[expeyes] 01/02: Imported Upstream version 3.1.6

Andreas Tille tille at debian.org
Sat Mar 8 20:31:21 UTC 2014


This is an automated email from the git hooks/post-receive script.

tille pushed a commit to branch master
in repository expeyes.

commit c62ca66d23c44eccd1efeca0e3134d4944f94c81
Author: Andreas Tille <tille at debian.org>
Date:   Sat Mar 8 21:18:10 2014 +0100

    Imported Upstream version 3.1.6
---
 .gitignore                                         |     1 +
 99-phoenix.rules                                   |     4 +
 Makefile                                           |    68 +
 README.TXT                                         |    43 +
 README.md                                          |     4 +
 SBC/a10-proto/A10-plus.lib                         |  1539 +
 SBC/a10-proto/a10-sbc.cmp                          |  1263 +
 SBC/a10-proto/a10-sbc.kicad_pcb                    | 20674 +++++++++++++
 SBC/a10-proto/a10-sbc.net                          |  3757 +++
 SBC/a10-proto/a10-sbc.pro                          |    81 +
 SBC/a10-proto/a10-sbc.sch                          |  4806 +++
 SBC/a10-proto/decouple.sch                         |   885 +
 SBC/a10-proto/esbc-modules.mod                     |  8626 ++++++
 SBC/a10-proto/ethernet.sch                         |   632 +
 SBC/a10-proto/hdmi.sch                             |   356 +
 SBC/netholi/A10-plus.lib                           |  1473 +
 SBC/netholi/decouple.sch                           |   896 +
 SBC/netholi/esbc-modules.mod                       |  8308 ++++++
 SBC/netholi/ethernet.sch                           |   632 +
 SBC/netholi/netholi-logo.svg                       |   352 +
 SBC/netholi/netholi.cmp                            |  1438 +
 SBC/netholi/netholi.kicad_pcb                      | 21460 ++++++++++++++
 SBC/netholi/netholi.net                            |  4020 +++
 SBC/netholi/netholi.pro                            |    81 +
 SBC/netholi/netholi.sch                            |  4344 +++
 SBC/sbc-ver1/A10-plus.lib                          |  1473 +
 SBC/sbc-ver1/a10-sbc.cmp                           |  1578 +
 SBC/sbc-ver1/a10-sbc.kicad_pcb                     | 28869 +++++++++++++++++++
 SBC/sbc-ver1/a10-sbc.net                           |  4272 +++
 SBC/sbc-ver1/a10-sbc.pro                           |    81 +
 SBC/sbc-ver1/a10-sbc.sch                           |  4369 +++
 SBC/sbc-ver1/decouple.sch                          |  1045 +
 SBC/sbc-ver1/esbc-modules.mod                      |  8290 ++++++
 SBC/sbc-ver1/ethernet.sch                          |   632 +
 bin/Makefile                                       |    36 +
 bin/expEYES                                        |     3 +
 bin/expeyes                                        |     3 +
 bin/expeyes-doc                                    |    27 +
 bin/expeyes-doc.1                                  |    84 +
 bin/expeyes-doc.xml                                |   166 +
 bin/expeyes-junior                                 |     3 +
 bin/expeyes-junior-man.xml                         |   133 +
 bin/expeyes-junior.1                               |    64 +
 bin/expeyes-man.xml                                |   133 +
 bin/expeyes-progman-jr-doc                         |    24 +
 bin/expeyes-progman-jr-doc.1                       |    82 +
 bin/expeyes-progman-jr-doc.xml                     |   165 +
 bin/expeyes.1                                      |    64 +
 clib/expeyes-clib/AUTHORS                          |     2 +
 clib/expeyes-clib/COPYING                          |   674 +
 clib/expeyes-clib/ChangeLog                        |     0
 clib/expeyes-clib/INSTALL                          |   370 +
 clib/expeyes-clib/Makefile.am                      |     5 +
 clib/expeyes-clib/Makefile.in                      |   780 +
 clib/expeyes-clib/NEWS                             |     0
 clib/expeyes-clib/README                           |     7 +
 clib/expeyes-clib/aclocal.m4                       |  1154 +
 clib/expeyes-clib/autogen.sh                       |     4 +
 clib/expeyes-clib/clean-all.sh                     |    11 +
 clib/expeyes-clib/compile                          |   347 +
 clib/expeyes-clib/config.guess                     |  1558 +
 clib/expeyes-clib/config.sub                       |  1782 ++
 clib/expeyes-clib/configure                        | 13575 +++++++++
 clib/expeyes-clib/configure.ac                     |    26 +
 clib/expeyes-clib/depcomp                          |   708 +
 clib/expeyes-clib/ejlib.c                          |  1048 +
 clib/expeyes-clib/install-sh                       |   527 +
 clib/expeyes-clib/ltmain.sh                        |  9661 +++++++
 clib/expeyes-clib/m4/libtool.m4                    |  7983 +++++
 clib/expeyes-clib/m4/ltoptions.m4                  |   384 +
 clib/expeyes-clib/m4/ltsugar.m4                    |   123 +
 clib/expeyes-clib/m4/ltversion.m4                  |    23 +
 clib/expeyes-clib/m4/lt~obsolete.m4                |    98 +
 clib/expeyes-clib/missing                          |   331 +
 clib/expeyes-clib/src/LICENSE.txt                  |   165 +
 clib/expeyes-clib/src/Makefile.am                  |    30 +
 clib/expeyes-clib/src/Makefile.in                  |   774 +
 clib/expeyes-clib/src/basic-io.c                   |    68 +
 clib/expeyes-clib/src/capdemo-hr.c                 |    52 +
 clib/expeyes-clib/src/capdemo.c                    |    89 +
 clib/expeyes-clib/src/capdemo1.c                   |    41 +
 clib/expeyes-clib/src/capdemo2.c                   |    46 +
 clib/expeyes-clib/src/capdemo3.c                   |    45 +
 clib/expeyes-clib/src/capdemo4.c                   |    46 +
 clib/expeyes-clib/src/ccs-cap.c                    |    35 +
 clib/expeyes-clib/src/ejlib.c                      |  1064 +
 clib/expeyes-clib/src/ejlib.h                      |   178 +
 desktop/Phoenix-ASM.desktop                        |    15 +
 desktop/expeyes-doc.desktop                        |    16 +
 desktop/expeyes-junior-doc.desktop                 |    16 +
 desktop/expeyes-junior.desktop                     |    15 +
 desktop/expeyes.desktop                            |    12 +
 desktop/progman-jr-doc.desktop                     |    16 +
 doc/Makefile                                       |    28 +
 doc/README.md                                      |     4 +
 doc/en/Docs-jr/Makefile                            |    32 +
 doc/en/Docs-jr/eyesj.lyx                           |  9272 ++++++
 doc/en/Docs-jr/pics/RC-curves.png                  |   Bin 0 -> 18177 bytes
 doc/en/Docs-jr/pics/RL-curves.png                  |   Bin 0 -> 26922 bytes
 doc/en/Docs-jr/pics/RLC-curve-damped.png           |   Bin 0 -> 15289 bytes
 doc/en/Docs-jr/pics/RLC-curves.png                 |   Bin 0 -> 21547 bytes
 doc/en/Docs-jr/pics/ac-gen-screen.png              |   Bin 0 -> 14150 bytes
 doc/en/Docs-jr/pics/ac-invert.png                  |   Bin 0 -> 13219 bytes
 doc/en/Docs-jr/pics/acdc-sep-screen.png            |   Bin 0 -> 10646 bytes
 doc/en/Docs-jr/pics/ad-dc.png                      |   Bin 0 -> 12103 bytes
 doc/en/Docs-jr/pics/am-ftran.png                   |   Bin 0 -> 2116 bytes
 doc/en/Docs-jr/pics/am-screen.png                  |   Bin 0 -> 20712 bytes
 doc/en/Docs-jr/pics/and-gate.png                   |   Bin 0 -> 9177 bytes
 doc/en/Docs-jr/pics/beats-ftran.png                |   Bin 0 -> 3915 bytes
 doc/en/Docs-jr/pics/benchmark.png                  |   Bin 0 -> 46601 bytes
 doc/en/Docs-jr/pics/body-resistance.png            |   Bin 0 -> 13514 bytes
 doc/en/Docs-jr/pics/cap-linear.png                 |   Bin 0 -> 16805 bytes
 doc/en/Docs-jr/pics/clock-divider.png              |   Bin 0 -> 10680 bytes
 doc/en/Docs-jr/pics/clock-divider2.png             |   Bin 0 -> 10629 bytes
 doc/en/Docs-jr/pics/cooling-curve.png              |   Bin 0 -> 25174 bytes
 doc/en/Docs-jr/pics/croplus.png                    |   Bin 0 -> 55916 bytes
 doc/en/Docs-jr/pics/diode-iv-screen.png            |   Bin 0 -> 18076 bytes
 doc/en/Docs-jr/pics/ej-photo.jpg                   |   Bin 0 -> 52598 bytes
 doc/en/Docs-jr/pics/ej-with-netbook-hr.jpg         |   Bin 0 -> 1043355 bytes
 doc/en/Docs-jr/pics/fft-sine147Hz.png              |   Bin 0 -> 27760 bytes
 doc/en/Docs-jr/pics/fft-sqr150Hz.png               |   Bin 0 -> 22724 bytes
 doc/en/Docs-jr/pics/fm-screen.png                  |   Bin 0 -> 23638 bytes
 doc/en/Docs-jr/pics/full-wave.png                  |   Bin 0 -> 15023 bytes
 doc/en/Docs-jr/pics/half-wave-filter-screen.png    |   Bin 0 -> 12380 bytes
 doc/en/Docs-jr/pics/half-wave-screen.png           |   Bin 0 -> 11944 bytes
 doc/en/Docs-jr/pics/ic555-screen.png               |   Bin 0 -> 13261 bytes
 doc/en/Docs-jr/pics/induction-screen.png           |   Bin 0 -> 33618 bytes
 doc/en/Docs-jr/pics/inter-sound.png                |   Bin 0 -> 21104 bytes
 doc/en/Docs-jr/pics/led-iv-screen.png              |   Bin 0 -> 20349 bytes
 doc/en/Docs-jr/pics/light-transmission.png         |   Bin 0 -> 7744 bytes
 doc/en/Docs-jr/pics/mono555-screen.png             |   Bin 0 -> 9786 bytes
 doc/en/Docs-jr/pics/opto-electric-transmission.png |   Bin 0 -> 10988 bytes
 doc/en/Docs-jr/pics/or-gate.png                    |   Bin 0 -> 9003 bytes
 doc/en/Docs-jr/pics/pendulum-screen.png            |   Bin 0 -> 19847 bytes
 doc/en/Docs-jr/pics/pickup.png                     |   Bin 0 -> 61003 bytes
 doc/en/Docs-jr/pics/piezo-drive100Hz.png           |   Bin 0 -> 19887 bytes
 doc/en/Docs-jr/pics/piezo-drive100hz-fft.png       |   Bin 0 -> 7495 bytes
 doc/en/Docs-jr/pics/piezo-freq-resp.png            |   Bin 0 -> 11505 bytes
 doc/en/Docs-jr/pics/pylab-cap-discharge.png        |   Bin 0 -> 16621 bytes
 doc/en/Docs-jr/pics/pylab-fitsine.png              |   Bin 0 -> 41284 bytes
 doc/en/Docs-jr/pics/pylab-pwm.png                  |   Bin 0 -> 21248 bytes
 doc/en/Docs-jr/pics/pylab-sine-phase.png           |   Bin 0 -> 55332 bytes
 doc/en/Docs-jr/pics/pylab-sine-sqr.png             |   Bin 0 -> 37293 bytes
 doc/en/Docs-jr/pics/pylab-sine.png                 |   Bin 0 -> 32571 bytes
 doc/en/Docs-jr/pics/rc-diff100Hz.png               |   Bin 0 -> 10597 bytes
 doc/en/Docs-jr/pics/rc-integ1khz.png               |   Bin 0 -> 9424 bytes
 doc/en/Docs-jr/pics/rc-integ20hz.png               |   Bin 0 -> 8682 bytes
 doc/en/Docs-jr/pics/rc-phaseshift.png              |   Bin 0 -> 18033 bytes
 doc/en/Docs-jr/pics/rl-phaseshift.png              |   Bin 0 -> 18383 bytes
 doc/en/Docs-jr/pics/sound-burst.png                |   Bin 0 -> 20727 bytes
 doc/en/Docs-jr/pics/sound-frequency.png            |   Bin 0 -> 13789 bytes
 doc/en/Docs-jr/pics/sound_waves.png                |   Bin 0 -> 7508 bytes
 doc/en/Docs-jr/pics/top-panel.png                  |   Bin 0 -> 89333 bytes
 doc/en/Docs-jr/pics/transformer-screen.png         |   Bin 0 -> 13663 bytes
 doc/en/Docs-jr/pics/transistor-ce.png              |   Bin 0 -> 18302 bytes
 doc/en/Docs-jr/pics/velocity-sound.png             |   Bin 0 -> 22559 bytes
 doc/en/Docs-jr/pics/water-conduct.png              |   Bin 0 -> 13334 bytes
 doc/en/Docs-jr/schematics/LCRRcircuit.png          |   Bin 0 -> 4267 bytes
 doc/en/Docs-jr/schematics/LCRRcircuit.ps           |   251 +
 doc/en/Docs-jr/schematics/LCRcircuit.png           |   Bin 0 -> 2032 bytes
 doc/en/Docs-jr/schematics/LCRcircuit.ps            |   218 +
 doc/en/Docs-jr/schematics/RCcircuit.png            |   Bin 0 -> 2070 bytes
 doc/en/Docs-jr/schematics/RCcircuit.ps             |   214 +
 doc/en/Docs-jr/schematics/RLcircuit.png            |   Bin 0 -> 4050 bytes
 doc/en/Docs-jr/schematics/RLcircuit.ps             |   235 +
 doc/en/Docs-jr/schematics/ac-dc.png                |   Bin 0 -> 3633 bytes
 doc/en/Docs-jr/schematics/ac-dc.ps                 |   198 +
 doc/en/Docs-jr/schematics/ac-generator.png         |   Bin 0 -> 6073 bytes
 doc/en/Docs-jr/schematics/ac-generator.ps          |   232 +
 doc/en/Docs-jr/schematics/ac-invert.png            |   Bin 0 -> 4144 bytes
 doc/en/Docs-jr/schematics/ac-invert.ps             |   230 +
 doc/en/Docs-jr/schematics/acdc-separating.png      |   Bin 0 -> 4427 bytes
 doc/en/Docs-jr/schematics/acdc-separating.ps       |   233 +
 doc/en/Docs-jr/schematics/am.png                   |   Bin 0 -> 4252 bytes
 doc/en/Docs-jr/schematics/am.ps                    |   206 +
 doc/en/Docs-jr/schematics/amp-gain.png             |   Bin 0 -> 3788 bytes
 doc/en/Docs-jr/schematics/amp-gain.ps              |   215 +
 doc/en/Docs-jr/schematics/amp-test.png             |   Bin 0 -> 4888 bytes
 doc/en/Docs-jr/schematics/amp-test.ps              |   234 +
 doc/en/Docs-jr/schematics/and-gate.png             |   Bin 0 -> 5064 bytes
 doc/en/Docs-jr/schematics/and-gate.ps              |   222 +
 doc/en/Docs-jr/schematics/calibrate.png            |   Bin 0 -> 3363 bytes
 doc/en/Docs-jr/schematics/calibrate.ps             |   196 +
 doc/en/Docs-jr/schematics/cap-lin.png              |   Bin 0 -> 3719 bytes
 doc/en/Docs-jr/schematics/cap-lin.ps               |   225 +
 doc/en/Docs-jr/schematics/ccs-calib.png            |   Bin 0 -> 3593 bytes
 doc/en/Docs-jr/schematics/ccs-calib.ps             |   211 +
 doc/en/Docs-jr/schematics/clock-divider.png        |   Bin 0 -> 5405 bytes
 doc/en/Docs-jr/schematics/clock-divider.ps         |   217 +
 doc/en/Docs-jr/schematics/diode-iv.png             |   Bin 0 -> 3854 bytes
 doc/en/Docs-jr/schematics/diode-iv.ps              |   223 +
 doc/en/Docs-jr/schematics/driven-pend.png          |   Bin 0 -> 4547 bytes
 doc/en/Docs-jr/schematics/driven-pend.ps           |   202 +
 doc/en/Docs-jr/schematics/dummy.png                |   Bin 0 -> 3493 bytes
 doc/en/Docs-jr/schematics/dummy.ps                 |   190 +
 doc/en/Docs-jr/schematics/ftran.png                |   Bin 0 -> 3658 bytes
 doc/en/Docs-jr/schematics/ftran.ps                 |   198 +
 doc/en/Docs-jr/schematics/full-wave.png            |   Bin 0 -> 4879 bytes
 doc/en/Docs-jr/schematics/full-wave.ps             |   246 +
 doc/en/Docs-jr/schematics/half-wave.png            |   Bin 0 -> 3888 bytes
 doc/en/Docs-jr/schematics/half-wave.ps             |   226 +
 doc/en/Docs-jr/schematics/induction.png            |   Bin 0 -> 4378 bytes
 doc/en/Docs-jr/schematics/induction.ps             |   221 +
 doc/en/Docs-jr/schematics/ldr.png                  |   Bin 0 -> 4586 bytes
 doc/en/Docs-jr/schematics/ldr.ps                   |   233 +
 doc/en/Docs-jr/schematics/lemon-cell.png           |   Bin 0 -> 4229 bytes
 doc/en/Docs-jr/schematics/lemon-cell.ps            |   204 +
 doc/en/Docs-jr/schematics/light-barrier.png        |   Bin 0 -> 4433 bytes
 doc/en/Docs-jr/schematics/light-barrier.ps         |   247 +
 doc/en/Docs-jr/schematics/light-tranmission.png    |   Bin 0 -> 4179 bytes
 doc/en/Docs-jr/schematics/light-tranmission.ps     |   243 +
 doc/en/Docs-jr/schematics/line-pickup.png          |   Bin 0 -> 5792 bytes
 doc/en/Docs-jr/schematics/line-pickup.ps           |   204 +
 doc/en/Docs-jr/schematics/measure-cap.png          |   Bin 0 -> 3248 bytes
 doc/en/Docs-jr/schematics/measure-cap.ps           |   208 +
 doc/en/Docs-jr/schematics/measure-dc.png           |   Bin 0 -> 3495 bytes
 doc/en/Docs-jr/schematics/measure-dc.ps            |   197 +
 doc/en/Docs-jr/schematics/measurecap.png           |   Bin 0 -> 3248 bytes
 doc/en/Docs-jr/schematics/measurecap.ps            |   208 +
 doc/en/Docs-jr/schematics/mono555.png              |   Bin 0 -> 8268 bytes
 doc/en/Docs-jr/schematics/mono555.ps               |   283 +
 doc/en/Docs-jr/schematics/motor-rpm.png            |   Bin 0 -> 5042 bytes
 doc/en/Docs-jr/schematics/motor-rpm.ps             |   253 +
 doc/en/Docs-jr/schematics/opto-electric.png        |   Bin 0 -> 4179 bytes
 doc/en/Docs-jr/schematics/opto-electric.ps         |   243 +
 doc/en/Docs-jr/schematics/or-gate.png              |   Bin 0 -> 5271 bytes
 doc/en/Docs-jr/schematics/or-gate.ps               |   227 +
 doc/en/Docs-jr/schematics/osc555.png               |   Bin 0 -> 7940 bytes
 doc/en/Docs-jr/schematics/osc555.ps                |   279 +
 doc/en/Docs-jr/schematics/pend-wave.png            |   Bin 0 -> 4799 bytes
 doc/en/Docs-jr/schematics/pend-wave.ps             |   205 +
 doc/en/Docs-jr/schematics/pt100.png                |   Bin 0 -> 3718 bytes
 doc/en/Docs-jr/schematics/pt100.ps                 |   200 +
 doc/en/Docs-jr/schematics/rc-acphase.png           |   Bin 0 -> 2278 bytes
 doc/en/Docs-jr/schematics/rc-acphase.ps            |   216 +
 doc/en/Docs-jr/schematics/rc-diff.png              |   Bin 0 -> 4076 bytes
 doc/en/Docs-jr/schematics/rc-diff.ps               |   230 +
 doc/en/Docs-jr/schematics/rc-integ.png             |   Bin 0 -> 4124 bytes
 doc/en/Docs-jr/schematics/rc-integ.ps              |   230 +
 doc/en/Docs-jr/schematics/res-body.png             |   Bin 0 -> 4438 bytes
 doc/en/Docs-jr/schematics/res-body.ps              |   218 +
 doc/en/Docs-jr/schematics/res-compare.png          |   Bin 0 -> 3701 bytes
 doc/en/Docs-jr/schematics/res-compare.ps           |   212 +
 doc/en/Docs-jr/schematics/res-parallel.png         |   Bin 0 -> 4090 bytes
 doc/en/Docs-jr/schematics/res-parallel.ps          |   217 +
 doc/en/Docs-jr/schematics/res-series.png           |   Bin 0 -> 3843 bytes
 doc/en/Docs-jr/schematics/res-series.ps            |   215 +
 doc/en/Docs-jr/schematics/res-water.png            |   Bin 0 -> 3825 bytes
 doc/en/Docs-jr/schematics/res-water.ps             |   204 +
 doc/en/Docs-jr/schematics/resistors.png            |   Bin 0 -> 4119 bytes
 doc/en/Docs-jr/schematics/resistors.ps             |   218 +
 doc/en/Docs-jr/schematics/rl-acphase.png           |   Bin 0 -> 4234 bytes
 doc/en/Docs-jr/schematics/rl-acphase.ps            |   236 +
 doc/en/Docs-jr/schematics/sound-burst.png          |   Bin 0 -> 4439 bytes
 doc/en/Docs-jr/schematics/sound-burst.ps           |   232 +
 doc/en/Docs-jr/schematics/sound-inter.png          |   Bin 0 -> 3958 bytes
 doc/en/Docs-jr/schematics/sound-inter.ps           |   202 +
 doc/en/Docs-jr/schematics/sound.png                |   Bin 0 -> 4046 bytes
 doc/en/Docs-jr/schematics/sound.ps                 |   201 +
 doc/en/Docs-jr/schematics/thermistor.png           |   Bin 0 -> 3593 bytes
 doc/en/Docs-jr/schematics/thermistor.ps            |   210 +
 doc/en/Docs-jr/schematics/tran.png                 |   Bin 0 -> 4276 bytes
 doc/en/Docs-jr/schematics/tran.ps                  |   223 +
 doc/en/Docs-jr/schematics/transistor-ce.png        |   Bin 0 -> 5650 bytes
 doc/en/Docs-jr/schematics/transistor-ce.ps         |   265 +
 doc/en/Docs/Makefile                               |    32 +
 doc/en/Docs/eyes.lyx                               | 13454 +++++++++
 doc/en/Docs/pics/40kHz-piezo-photo.jpg             |   Bin 0 -> 22045 bytes
 doc/en/Docs/pics/ACgen-output-30pct.png            |   Bin 0 -> 13356 bytes
 doc/en/Docs/pics/ACgenerator-photo.jpg             |   Bin 0 -> 52471 bytes
 doc/en/Docs/pics/ACthrough_water-photo.jpg         |   Bin 0 -> 82099 bytes
 doc/en/Docs/pics/ACthrough_water.png               |   Bin 0 -> 16469 bytes
 doc/en/Docs/pics/AM-photo.jpg                      |   Bin 0 -> 67564 bytes
 doc/en/Docs/pics/AMcarr-and-sig400x20.png          |   Bin 0 -> 27693 bytes
 doc/en/Docs/pics/AMfft-1800x40.png                 |   Bin 0 -> 5246 bytes
 doc/en/Docs/pics/AMoutput1800x40-2V.png            |   Bin 0 -> 29082 bytes
 doc/en/Docs/pics/CR-phaseshift-photo.jpg           |   Bin 0 -> 50938 bytes
 doc/en/Docs/pics/CR-transient-photo.jpg            |   Bin 0 -> 55103 bytes
 doc/en/Docs/pics/CR-transient-screen.png           |   Bin 0 -> 9837 bytes
 doc/en/Docs/pics/CRphaseshift-1uf560.png           |   Bin 0 -> 16654 bytes
 doc/en/Docs/pics/DCthrough_water.png               |   Bin 0 -> 9306 bytes
 doc/en/Docs/pics/EMinduction-photo.jpg             |   Bin 0 -> 41413 bytes
 doc/en/Docs/pics/FMcarr-and-sig500x10-2V.png       |   Bin 0 -> 30234 bytes
 doc/en/Docs/pics/LCR-transient-photo.jpg           |   Bin 0 -> 61865 bytes
 doc/en/Docs/pics/LCRdischarge.png                  |   Bin 0 -> 25199 bytes
 doc/en/Docs/pics/LCRdischarge_1k.png               |   Bin 0 -> 21445 bytes
 doc/en/Docs/pics/LCRdischarge_ferrite.png          |   Bin 0 -> 26196 bytes
 doc/en/Docs/pics/LM35-tempcon.jpg                  |   Bin 0 -> 38527 bytes
 doc/en/Docs/pics/LR-downstep.png                   |   Bin 0 -> 26314 bytes
 doc/en/Docs/pics/LR-phaseshift-photo.jpg           |   Bin 0 -> 48376 bytes
 doc/en/Docs/pics/LR-transient-photo.jpg            |   Bin 0 -> 43428 bytes
 doc/en/Docs/pics/LRphaseshift-125mH-125ohm.png     |   Bin 0 -> 16841 bytes
 doc/en/Docs/pics/LRphaseshift_ferrite.png          |   Bin 0 -> 16603 bytes
 doc/en/Docs/pics/RCdiff.png                        |   Bin 0 -> 1371 bytes
 doc/en/Docs/pics/RCinteg.png                       |   Bin 0 -> 1328 bytes
 doc/en/Docs/pics/capacitor_linear.png              |   Bin 0 -> 8965 bytes
 doc/en/Docs/pics/coil-magnetpendulum-photo.JPG     |   Bin 0 -> 53211 bytes
 doc/en/Docs/pics/conduct-hand.jpg                  |   Bin 0 -> 41733 bytes
 doc/en/Docs/pics/cooling-water-photo.jpg           |   Bin 0 -> 31225 bytes
 doc/en/Docs/pics/cooling-water-pt100.png           |   Bin 0 -> 33291 bytes
 doc/en/Docs/pics/dcvoltage.png                     |   Bin 0 -> 5174 bytes
 doc/en/Docs/pics/diode-LED-iv.png                  |   Bin 0 -> 23584 bytes
 doc/en/Docs/pics/diode-fullwave-photo.jpg          |   Bin 0 -> 53350 bytes
 doc/en/Docs/pics/diode-fullwave.png                |   Bin 0 -> 9831 bytes
 doc/en/Docs/pics/diode-halfwave-100uF.png          |   Bin 0 -> 8428 bytes
 doc/en/Docs/pics/diode-halfwave-1uF.png            |   Bin 0 -> 9011 bytes
 doc/en/Docs/pics/diode-halfwave.png                |   Bin 0 -> 8975 bytes
 doc/en/Docs/pics/diode-rectifier-photo.jpg         |   Bin 0 -> 47918 bytes
 doc/en/Docs/pics/diodeIV-photo.jpg                 |   Bin 0 -> 53134 bytes
 doc/en/Docs/pics/diode_4148.png                    |   Bin 0 -> 24857 bytes
 doc/en/Docs/pics/diode_zener_iv.png                |   Bin 0 -> 22182 bytes
 doc/en/Docs/pics/drycell-photo.jpg                 |   Bin 0 -> 50916 bytes
 doc/en/Docs/pics/drycell-voltage.png               |   Bin 0 -> 32674 bytes
 doc/en/Docs/pics/em_induction.png                  |   Bin 0 -> 24293 bytes
 doc/en/Docs/pics/explorer.png                      |   Bin 0 -> 488756 bytes
 doc/en/Docs/pics/eyes.jpg                          |   Bin 0 -> 78386 bytes
 doc/en/Docs/pics/eyes_block.png                    |   Bin 0 -> 30010 bytes
 doc/en/Docs/pics/gravity-tof-photo.jpg             |   Bin 0 -> 214494 bytes
 doc/en/Docs/pics/lemoncell-photo.jpg               |   Bin 0 -> 25949 bytes
 doc/en/Docs/pics/lemoncellDC.png                   |   Bin 0 -> 5159 bytes
 doc/en/Docs/pics/light-bar-rodpend-photo.jpg       |   Bin 0 -> 26407 bytes
 doc/en/Docs/pics/light-thru-paper-photo.JPG        |   Bin 0 -> 35759 bytes
 doc/en/Docs/pics/microHope.jpg                     |   Bin 0 -> 22938 bytes
 doc/en/Docs/pics/microHopeIDE.png                  |   Bin 0 -> 64066 bytes
 doc/en/Docs/pics/motor-rpm-photo.jpg               |   Bin 0 -> 234802 bytes
 doc/en/Docs/pics/mutual-induction-photo.jpg        |   Bin 0 -> 49369 bytes
 .../Docs/pics/mutual_induction-sqrwave-1kload.png  |   Bin 0 -> 14967 bytes
 doc/en/Docs/pics/mutual_induction.png              |   Bin 0 -> 16408 bytes
 doc/en/Docs/pics/mutual_induction_Ecore_1kload.png |   Bin 0 -> 17018 bytes
 doc/en/Docs/pics/optoelectric-tran-photo.jpg       |   Bin 0 -> 200773 bytes
 doc/en/Docs/pics/pendulum-osc.png                  |   Bin 0 -> 26656 bytes
 doc/en/Docs/pics/pendulum-photo.jpg                |   Bin 0 -> 44407 bytes
 doc/en/Docs/pics/photo-tran_ce.png                 |   Bin 0 -> 20884 bytes
 doc/en/Docs/pics/phototranCE-photo.jpg             |   Bin 0 -> 36711 bytes
 doc/en/Docs/pics/phototran_sqr_received.png        |   Bin 0 -> 6121 bytes
 doc/en/Docs/pics/phototran_sqr_thrupaper.png       |   Bin 0 -> 5728 bytes
 doc/en/Docs/pics/piezo-100hz.png                   |   Bin 0 -> 28360 bytes
 doc/en/Docs/pics/piezo-3600hz.png                  |   Bin 0 -> 30177 bytes
 doc/en/Docs/pics/piezo-fft-100hz.png               |   Bin 0 -> 5365 bytes
 doc/en/Docs/pics/piezo-fft-500hz.png               |   Bin 0 -> 4056 bytes
 doc/en/Docs/pics/resistor-iv-photo.jpg             |   Bin 0 -> 42413 bytes
 doc/en/Docs/pics/resistor-series-photo.jpg         |   Bin 0 -> 36607 bytes
 doc/en/Docs/pics/resistor_iv.png                   |   Bin 0 -> 25681 bytes
 doc/en/Docs/pics/rodpend-ghist.png                 |   Bin 0 -> 1150 bytes
 doc/en/Docs/pics/rodpend-photo.jpg                 |   Bin 0 -> 48271 bytes
 doc/en/Docs/pics/rodpend-screen.png                |   Bin 0 -> 27500 bytes
 doc/en/Docs/pics/sariga.png                        |   Bin 0 -> 12512 bytes
 doc/en/Docs/pics/sarigama-fourier.jpg              |   Bin 0 -> 264871 bytes
 doc/en/Docs/pics/sine-two-180deg.png               |   Bin 0 -> 9395 bytes
 doc/en/Docs/pics/sine90hz-fft.png                  |   Bin 0 -> 13240 bytes
 doc/en/Docs/pics/sinewave.png                      |   Bin 0 -> 7813 bytes
 doc/en/Docs/pics/sinewave50hz.png                  |   Bin 0 -> 13580 bytes
 doc/en/Docs/pics/sinewave90hz.png                  |   Bin 0 -> 14190 bytes
 doc/en/Docs/pics/sinewave_hand.png                 |   Bin 0 -> 16314 bytes
 doc/en/Docs/pics/solenoid_field.jpg                |   Bin 0 -> 97907 bytes
 doc/en/Docs/pics/sound-interference-photo.jpg      |   Bin 0 -> 55672 bytes
 doc/en/Docs/pics/sound-speaker-photo.jpg           |   Bin 0 -> 54915 bytes
 doc/en/Docs/pics/sound-velocity-photo.jpg          |   Bin 0 -> 274151 bytes
 doc/en/Docs/pics/sound2000hz.png                   |   Bin 0 -> 6414 bytes
 doc/en/Docs/pics/sound3012hz.png                   |   Bin 0 -> 7154 bytes
 doc/en/Docs/pics/sound_beats.png                   |   Bin 0 -> 29146 bytes
 doc/en/Docs/pics/sound_inphase.png                 |   Bin 0 -> 25970 bytes
 doc/en/Docs/pics/sound_outofphase.png              |   Bin 0 -> 26392 bytes
 doc/en/Docs/pics/sound_waves.png                   |   Bin 0 -> 7508 bytes
 doc/en/Docs/pics/sqr1000Hz-fft.png                 |   Bin 0 -> 15505 bytes
 doc/en/Docs/pics/sqr1000Hz.png                     |   Bin 0 -> 5844 bytes
 doc/en/Docs/pics/sqrwave.png                       |   Bin 0 -> 5462 bytes
 doc/en/Docs/pics/sqrwave2.png                      |   Bin 0 -> 5968 bytes
 doc/en/Docs/pics/sqrwave_dcblocked.png             |   Bin 0 -> 6000 bytes
 doc/en/Docs/pics/sqrwave_hand.png                  |   Bin 0 -> 6982 bytes
 doc/en/Docs/pics/squarewave_diff20hz.png           |   Bin 0 -> 6608 bytes
 doc/en/Docs/pics/squarewave_interg1khz.png         |   Bin 0 -> 7070 bytes
 doc/en/Docs/pics/squarewave_interg20hz.png         |   Bin 0 -> 8743 bytes
 doc/en/Docs/pics/standing-wave-app-photo.jpg       |   Bin 0 -> 30354 bytes
 doc/en/Docs/pics/std-accessory-photo.jpg           |   Bin 0 -> 49822 bytes
 doc/en/Docs/pics/stroboscope-photo.jpg             |   Bin 0 -> 44822 bytes
 doc/en/Docs/pics/temp-con.png                      |   Bin 0 -> 35882 bytes
 doc/en/Docs/pics/top-panel.png                     |   Bin 0 -> 173600 bytes
 doc/en/Docs/pics/tran_amp-photo.jpg                |   Bin 0 -> 40670 bytes
 doc/en/Docs/pics/tran_amp2V.png                    |   Bin 0 -> 6816 bytes
 doc/en/Docs/pics/tran_amp4V.png                    |   Bin 0 -> 6804 bytes
 doc/en/Docs/pics/tran_ce.png                       |   Bin 0 -> 25807 bytes
 doc/en/Docs/pics/transistorIV-photo.jpg            |   Bin 0 -> 51325 bytes
 doc/en/Docs/pics/triwave_diff.png                  |   Bin 0 -> 1026 bytes
 doc/en/Docs/schematics/LRcircuit.png               |   Bin 0 -> 1962 bytes
 doc/en/Docs/schematics/RCdiff.png                  |   Bin 0 -> 1371 bytes
 doc/en/Docs/schematics/RCinteg.png                 |   Bin 0 -> 1328 bytes
 doc/en/Docs/schematics/ac-dc.png                   |   Bin 0 -> 3151 bytes
 doc/en/Docs/schematics/ac-gen.png                  |   Bin 0 -> 3896 bytes
 doc/en/Docs/schematics/cell-volatge.png            |   Bin 0 -> 2368 bytes
 doc/en/Docs/schematics/diode-iv.png                |   Bin 0 -> 2649 bytes
 doc/en/Docs/schematics/full-wave.png               |   Bin 0 -> 4628 bytes
 doc/en/Docs/schematics/g-tof.png                   |   Bin 0 -> 3613 bytes
 doc/en/Docs/schematics/half-wave.png               |   Bin 0 -> 4209 bytes
 doc/en/Docs/schematics/lc-tran.png                 |   Bin 0 -> 3073 bytes
 doc/en/Docs/schematics/ldr.png                     |   Bin 0 -> 2669 bytes
 doc/en/Docs/schematics/lemon-cell.png              |   Bin 0 -> 3217 bytes
 doc/en/Docs/schematics/light-bar.png               |   Bin 0 -> 4080 bytes
 doc/en/Docs/schematics/motor-rps.png               |   Bin 0 -> 4980 bytes
 doc/en/Docs/schematics/ntc.png                     |   Bin 0 -> 2123 bytes
 doc/en/Docs/schematics/opto-tran.png               |   Bin 0 -> 4390 bytes
 doc/en/Docs/schematics/phtran-ce.png               |   Bin 0 -> 4347 bytes
 doc/en/Docs/schematics/pickup.png                  |   Bin 0 -> 3847 bytes
 doc/en/Docs/schematics/pt100.png                   |   Bin 0 -> 4063 bytes
 doc/en/Docs/schematics/rc-diff.png                 |   Bin 0 -> 2604 bytes
 doc/en/Docs/schematics/rc-integ.png                |   Bin 0 -> 2668 bytes
 doc/en/Docs/schematics/rc-steadystate.png          |   Bin 0 -> 3794 bytes
 doc/en/Docs/schematics/rc-tran.png                 |   Bin 0 -> 2857 bytes
 doc/en/Docs/schematics/res-comp.png                |   Bin 0 -> 2356 bytes
 doc/en/Docs/schematics/res-measure.png             |   Bin 0 -> 2112 bytes
 doc/en/Docs/schematics/res-par.png                 |   Bin 0 -> 2320 bytes
 doc/en/Docs/schematics/res-series.png              |   Bin 0 -> 1919 bytes
 doc/en/Docs/schematics/rl-steadystate-ferrite.png  |   Bin 0 -> 4377 bytes
 doc/en/Docs/schematics/rl-steadystate.png          |   Bin 0 -> 4109 bytes
 doc/en/Docs/schematics/rl-tran.png                 |   Bin 0 -> 3627 bytes
 doc/en/Docs/schematics/rlc-tran.png                |   Bin 0 -> 3730 bytes
 doc/en/Docs/schematics/rodpend.png                 |   Bin 0 -> 4080 bytes
 doc/en/Docs/schematics/sine-180deg.png             |   Bin 0 -> 2779 bytes
 doc/en/Docs/schematics/sine-a0.png                 |   Bin 0 -> 1695 bytes
 doc/en/Docs/schematics/sound-beats.png             |   Bin 0 -> 6043 bytes
 doc/en/Docs/schematics/sound-freq.png              |   Bin 0 -> 4871 bytes
 doc/en/Docs/schematics/sound-vel.png               |   Bin 0 -> 5153 bytes
 doc/en/Docs/schematics/sqr-a0.png                  |   Bin 0 -> 1662 bytes
 doc/en/Docs/schematics/strobo.png                  |   Bin 0 -> 5663 bytes
 doc/en/Docs/schematics/temp-control.png            |   Bin 0 -> 6513 bytes
 doc/en/Docs/schematics/tran-amp.png                |   Bin 0 -> 6369 bytes
 doc/en/Docs/schematics/tran-ce.png                 |   Bin 0 -> 3901 bytes
 doc/en/Docs/schematics/transformer.png             |   Bin 0 -> 4395 bytes
 doc/en/Docs/schematics/triwave_diff.png            |   Bin 0 -> 1026 bytes
 doc/en/Docs/schematics/ultra-sound.png             |   Bin 0 -> 2551 bytes
 doc/en/Docs/schematics/water.png                   |   Bin 0 -> 4621 bytes
 doc/en/Progman-jr/Makefile                         |    32 +
 doc/en/Progman-jr/eyesj-progman.lyx                |  3661 +++
 doc/en/Progman-jr/pics/eyesjun-block.png           |   Bin 0 -> 28204 bytes
 doc/en/Progman-jr/pics/top-panel.png               |   Bin 0 -> 89333 bytes
 doc/en/Progman-jr/schematics/ir-code.png           |   Bin 0 -> 5422 bytes
 doc/en/Progman-jr/schematics/ir-code.ps            |   213 +
 doc/en/Progman-jr/schematics/res-measure.png       |   Bin 0 -> 2112 bytes
 doc/en/Progman-jr/schematics/rlc-tran.png          |   Bin 0 -> 3730 bytes
 doc/fr/Docs-jr/Makefile                            |    36 +
 doc/fr/Docs-jr/eyesj.lyx                           | 10688 +++++++
 doc/fr/Docs-jr/localize-texfile                    |    94 +
 doc/fr/Docs-jr/pics/RC-curves.png                  |     1 +
 doc/fr/Docs-jr/pics/RL-curves.png                  |     1 +
 doc/fr/Docs-jr/pics/RLC-curve-damped.png           |     1 +
 doc/fr/Docs-jr/pics/RLC-curves.png                 |     1 +
 doc/fr/Docs-jr/pics/ac-gen-screen.png              |     1 +
 doc/fr/Docs-jr/pics/ac-invert.png                  |     1 +
 doc/fr/Docs-jr/pics/acdc-sep-screen.png            |     1 +
 doc/fr/Docs-jr/pics/ad-dc.png                      |     1 +
 doc/fr/Docs-jr/pics/am-ftran.png                   |     1 +
 doc/fr/Docs-jr/pics/am-screen.png                  |     1 +
 doc/fr/Docs-jr/pics/and-gate.png                   |     1 +
 doc/fr/Docs-jr/pics/beats-ftran.png                |     1 +
 doc/fr/Docs-jr/pics/benchmark.png                  |     1 +
 doc/fr/Docs-jr/pics/body-resistance.png            |     1 +
 doc/fr/Docs-jr/pics/cap-linear.png                 |     1 +
 doc/fr/Docs-jr/pics/clock-divider.png              |     1 +
 doc/fr/Docs-jr/pics/clock-divider2.png             |     1 +
 doc/fr/Docs-jr/pics/cooling-curve.png              |     1 +
 doc/fr/Docs-jr/pics/croplus.png                    |     1 +
 doc/fr/Docs-jr/pics/diode-iv-screen.png            |     1 +
 doc/fr/Docs-jr/pics/ej-photo.jpg                   |     1 +
 doc/fr/Docs-jr/pics/ej-with-netbook-hr.jpg         |     1 +
 doc/fr/Docs-jr/pics/fft-sine147Hz.png              |     1 +
 doc/fr/Docs-jr/pics/fft-sqr150Hz.png               |     1 +
 doc/fr/Docs-jr/pics/fm-screen.png                  |     1 +
 doc/fr/Docs-jr/pics/full-wave.png                  |     1 +
 doc/fr/Docs-jr/pics/half-wave-filter-screen.png    |     1 +
 doc/fr/Docs-jr/pics/half-wave-screen.png           |     1 +
 doc/fr/Docs-jr/pics/ic555-screen.png               |     1 +
 doc/fr/Docs-jr/pics/induction-screen.png           |     1 +
 doc/fr/Docs-jr/pics/inter-sound.png                |     1 +
 doc/fr/Docs-jr/pics/led-iv-screen.png              |     1 +
 doc/fr/Docs-jr/pics/light-transmission.png         |     1 +
 doc/fr/Docs-jr/pics/mono555-screen.png             |     1 +
 doc/fr/Docs-jr/pics/opto-electric-transmission.png |     1 +
 doc/fr/Docs-jr/pics/or-gate.png                    |     1 +
 doc/fr/Docs-jr/pics/pendulum-screen.png            |     1 +
 doc/fr/Docs-jr/pics/pickup.png                     |     1 +
 doc/fr/Docs-jr/pics/piezo-drive100Hz.png           |     1 +
 doc/fr/Docs-jr/pics/piezo-drive100hz-fft.png       |     1 +
 doc/fr/Docs-jr/pics/piezo-freq-resp.png            |     1 +
 doc/fr/Docs-jr/pics/pylab-cap-discharge.png        |     1 +
 doc/fr/Docs-jr/pics/pylab-fitsine.png              |     1 +
 doc/fr/Docs-jr/pics/pylab-pwm.png                  |     1 +
 doc/fr/Docs-jr/pics/pylab-sine-phase.png           |     1 +
 doc/fr/Docs-jr/pics/pylab-sine-sqr.png             |     1 +
 doc/fr/Docs-jr/pics/pylab-sine.png                 |     1 +
 doc/fr/Docs-jr/pics/rc-diff100Hz.png               |     1 +
 doc/fr/Docs-jr/pics/rc-integ1khz.png               |     1 +
 doc/fr/Docs-jr/pics/rc-integ20hz.png               |     1 +
 doc/fr/Docs-jr/pics/rc-phaseshift.png              |     1 +
 doc/fr/Docs-jr/pics/rl-phaseshift.png              |     1 +
 doc/fr/Docs-jr/pics/sound-burst.png                |     1 +
 doc/fr/Docs-jr/pics/sound-frequency.png            |     1 +
 doc/fr/Docs-jr/pics/sound_waves.png                |     1 +
 doc/fr/Docs-jr/pics/top-panel.png                  |     1 +
 doc/fr/Docs-jr/pics/transformer-screen.png         |     1 +
 doc/fr/Docs-jr/pics/transistor-ce.png              |     1 +
 doc/fr/Docs-jr/pics/velocity-sound.png             |     1 +
 doc/fr/Docs-jr/pics/water-conduct.png              |     1 +
 doc/fr/Docs-jr/schematics/LCRRcircuit.png          |     1 +
 doc/fr/Docs-jr/schematics/LCRRcircuit.ps           |     1 +
 doc/fr/Docs-jr/schematics/LCRcircuit.png           |     1 +
 doc/fr/Docs-jr/schematics/LCRcircuit.ps            |     1 +
 doc/fr/Docs-jr/schematics/RCcircuit.png            |     1 +
 doc/fr/Docs-jr/schematics/RCcircuit.ps             |     1 +
 doc/fr/Docs-jr/schematics/RLcircuit.png            |     1 +
 doc/fr/Docs-jr/schematics/RLcircuit.ps             |     1 +
 doc/fr/Docs-jr/schematics/ac-dc.png                |     1 +
 doc/fr/Docs-jr/schematics/ac-dc.ps                 |     1 +
 doc/fr/Docs-jr/schematics/ac-generator.png         |     1 +
 doc/fr/Docs-jr/schematics/ac-generator.ps          |     1 +
 doc/fr/Docs-jr/schematics/ac-invert.png            |     1 +
 doc/fr/Docs-jr/schematics/ac-invert.ps             |     1 +
 doc/fr/Docs-jr/schematics/acdc-separating.png      |     1 +
 doc/fr/Docs-jr/schematics/acdc-separating.ps       |     1 +
 doc/fr/Docs-jr/schematics/am.png                   |     1 +
 doc/fr/Docs-jr/schematics/am.ps                    |     1 +
 doc/fr/Docs-jr/schematics/amp-gain.png             |     1 +
 doc/fr/Docs-jr/schematics/amp-gain.ps              |     1 +
 doc/fr/Docs-jr/schematics/amp-test.png             |     1 +
 doc/fr/Docs-jr/schematics/amp-test.ps              |     1 +
 doc/fr/Docs-jr/schematics/and-gate.png             |     1 +
 doc/fr/Docs-jr/schematics/and-gate.ps              |     1 +
 doc/fr/Docs-jr/schematics/calibrate.png            |     1 +
 doc/fr/Docs-jr/schematics/calibrate.ps             |     1 +
 doc/fr/Docs-jr/schematics/cap-lin.png              |     1 +
 doc/fr/Docs-jr/schematics/cap-lin.ps               |     1 +
 doc/fr/Docs-jr/schematics/ccs-calib.png            |     1 +
 doc/fr/Docs-jr/schematics/ccs-calib.ps             |     1 +
 doc/fr/Docs-jr/schematics/clock-divider.png        |     1 +
 doc/fr/Docs-jr/schematics/clock-divider.ps         |     1 +
 doc/fr/Docs-jr/schematics/diode-iv.png             |     1 +
 doc/fr/Docs-jr/schematics/diode-iv.ps              |     1 +
 doc/fr/Docs-jr/schematics/driven-pend.png          |     1 +
 doc/fr/Docs-jr/schematics/driven-pend.ps           |     1 +
 doc/fr/Docs-jr/schematics/dummy.png                |     1 +
 doc/fr/Docs-jr/schematics/dummy.ps                 |     1 +
 doc/fr/Docs-jr/schematics/ftran.png                |     1 +
 doc/fr/Docs-jr/schematics/ftran.ps                 |     1 +
 doc/fr/Docs-jr/schematics/full-wave.png            |     1 +
 doc/fr/Docs-jr/schematics/full-wave.ps             |     1 +
 doc/fr/Docs-jr/schematics/half-wave.png            |     1 +
 doc/fr/Docs-jr/schematics/half-wave.ps             |     1 +
 doc/fr/Docs-jr/schematics/induction.png            |     1 +
 doc/fr/Docs-jr/schematics/induction.ps             |     1 +
 doc/fr/Docs-jr/schematics/ldr.png                  |     1 +
 doc/fr/Docs-jr/schematics/ldr.ps                   |     1 +
 doc/fr/Docs-jr/schematics/lemon-cell.png           |     1 +
 doc/fr/Docs-jr/schematics/lemon-cell.ps            |     1 +
 doc/fr/Docs-jr/schematics/light-barrier.png        |     1 +
 doc/fr/Docs-jr/schematics/light-barrier.ps         |     1 +
 doc/fr/Docs-jr/schematics/light-tranmission.png    |     1 +
 doc/fr/Docs-jr/schematics/light-tranmission.ps     |     1 +
 doc/fr/Docs-jr/schematics/line-pickup.png          |     1 +
 doc/fr/Docs-jr/schematics/line-pickup.ps           |     1 +
 doc/fr/Docs-jr/schematics/measure-cap.png          |     1 +
 doc/fr/Docs-jr/schematics/measure-cap.ps           |     1 +
 doc/fr/Docs-jr/schematics/measure-dc.png           |     1 +
 doc/fr/Docs-jr/schematics/measure-dc.ps            |     1 +
 doc/fr/Docs-jr/schematics/measurecap.png           |     1 +
 doc/fr/Docs-jr/schematics/measurecap.ps            |     1 +
 doc/fr/Docs-jr/schematics/mono555.png              |     1 +
 doc/fr/Docs-jr/schematics/mono555.ps               |     1 +
 doc/fr/Docs-jr/schematics/motor-rpm.png            |     1 +
 doc/fr/Docs-jr/schematics/motor-rpm.ps             |     1 +
 doc/fr/Docs-jr/schematics/opto-electric.png        |     1 +
 doc/fr/Docs-jr/schematics/opto-electric.ps         |     1 +
 doc/fr/Docs-jr/schematics/or-gate.png              |     1 +
 doc/fr/Docs-jr/schematics/or-gate.ps               |     1 +
 doc/fr/Docs-jr/schematics/osc555.png               |     1 +
 doc/fr/Docs-jr/schematics/osc555.ps                |     1 +
 doc/fr/Docs-jr/schematics/pend-wave.png            |     1 +
 doc/fr/Docs-jr/schematics/pend-wave.ps             |     1 +
 doc/fr/Docs-jr/schematics/pt100.png                |     1 +
 doc/fr/Docs-jr/schematics/pt100.ps                 |     1 +
 doc/fr/Docs-jr/schematics/rc-acphase.png           |     1 +
 doc/fr/Docs-jr/schematics/rc-acphase.ps            |     1 +
 doc/fr/Docs-jr/schematics/rc-diff.png              |     1 +
 doc/fr/Docs-jr/schematics/rc-diff.ps               |     1 +
 doc/fr/Docs-jr/schematics/rc-integ.png             |     1 +
 doc/fr/Docs-jr/schematics/rc-integ.ps              |     1 +
 doc/fr/Docs-jr/schematics/res-body.png             |     1 +
 doc/fr/Docs-jr/schematics/res-body.ps              |     1 +
 doc/fr/Docs-jr/schematics/res-compare.png          |     1 +
 doc/fr/Docs-jr/schematics/res-compare.ps           |     1 +
 doc/fr/Docs-jr/schematics/res-parallel.png         |     1 +
 doc/fr/Docs-jr/schematics/res-parallel.ps          |     1 +
 doc/fr/Docs-jr/schematics/res-series.png           |     1 +
 doc/fr/Docs-jr/schematics/res-series.ps            |     1 +
 doc/fr/Docs-jr/schematics/res-water.png            |     1 +
 doc/fr/Docs-jr/schematics/res-water.ps             |     1 +
 doc/fr/Docs-jr/schematics/resistors.png            |     1 +
 doc/fr/Docs-jr/schematics/resistors.ps             |     1 +
 doc/fr/Docs-jr/schematics/rl-acphase.png           |     1 +
 doc/fr/Docs-jr/schematics/rl-acphase.ps            |     1 +
 doc/fr/Docs-jr/schematics/sound-burst.png          |     1 +
 doc/fr/Docs-jr/schematics/sound-burst.ps           |     1 +
 doc/fr/Docs-jr/schematics/sound-inter.png          |     1 +
 doc/fr/Docs-jr/schematics/sound-inter.ps           |     1 +
 doc/fr/Docs-jr/schematics/sound.png                |     1 +
 doc/fr/Docs-jr/schematics/sound.ps                 |     1 +
 doc/fr/Docs-jr/schematics/thermistor.png           |     1 +
 doc/fr/Docs-jr/schematics/thermistor.ps            |     1 +
 doc/fr/Docs-jr/schematics/tran.png                 |     1 +
 doc/fr/Docs-jr/schematics/tran.ps                  |     1 +
 doc/fr/Docs-jr/schematics/transistor-ce.png        |     1 +
 doc/fr/Docs-jr/schematics/transistor-ce.ps         |     1 +
 doc/fr/Docs/Makefile                               |    26 +
 doc/fr/Docs/Pic-40kHz-piezo-photo.png              |   Bin 0 -> 123402 bytes
 doc/fr/Docs/Pic-ACgen-output-30pct.png             |   Bin 0 -> 13356 bytes
 doc/fr/Docs/Pic-ACthrough-water.png                |   Bin 0 -> 16469 bytes
 doc/fr/Docs/Pic-AMcarr-and-sig400x20.png           |   Bin 0 -> 27693 bytes
 doc/fr/Docs/Pic-CR-transient-screen.png            |   Bin 0 -> 9837 bytes
 doc/fr/Docs/Pic-CRphaseshift-1uf560.png            |   Bin 0 -> 16654 bytes
 doc/fr/Docs/Pic-DCthrough-water.png                |   Bin 0 -> 9306 bytes
 doc/fr/Docs/Pic-FMcarr-and-sig500x10-2V.png        |   Bin 0 -> 30234 bytes
 doc/fr/Docs/Pic-LCRdischarge-1k.png                |   Bin 0 -> 21445 bytes
 doc/fr/Docs/Pic-LCRdischarge-ferrite.png           |   Bin 0 -> 26196 bytes
 doc/fr/Docs/Pic-LCRdischarge.png                   |   Bin 0 -> 25199 bytes
 doc/fr/Docs/Pic-LR-downstep.png                    |   Bin 0 -> 26314 bytes
 doc/fr/Docs/Pic-LRphaseshift-125mH-125ohm.png      |   Bin 0 -> 16841 bytes
 doc/fr/Docs/Pic-LRphaseshift-ferrite.png           |   Bin 0 -> 16603 bytes
 doc/fr/Docs/Pic-capacitor-linear.png               |   Bin 0 -> 8965 bytes
 doc/fr/Docs/Pic-cooling-water-photo.png            |   Bin 0 -> 400777 bytes
 doc/fr/Docs/Pic-cooling-water-pt100.png            |   Bin 0 -> 33291 bytes
 doc/fr/Docs/Pic-dcvoltage.png                      |   Bin 0 -> 5174 bytes
 doc/fr/Docs/Pic-diode-4148.png                     |   Bin 0 -> 24857 bytes
 doc/fr/Docs/Pic-diode-LED-iv.png                   |   Bin 0 -> 23584 bytes
 doc/fr/Docs/Pic-diode-fullwave.png                 |   Bin 0 -> 9831 bytes
 doc/fr/Docs/Pic-diode-halfwave-100uF.png           |   Bin 0 -> 8428 bytes
 doc/fr/Docs/Pic-diode-halfwave.png                 |   Bin 0 -> 8975 bytes
 doc/fr/Docs/Pic-diode-zener-iv.png                 |   Bin 0 -> 22182 bytes
 doc/fr/Docs/Pic-drycell-voltage.png                |   Bin 0 -> 32674 bytes
 doc/fr/Docs/Pic-em-induction.png                   |   Bin 0 -> 24293 bytes
 doc/fr/Docs/Pic-gravity-tof-photo.png              |   Bin 0 -> 669691 bytes
 doc/fr/Docs/Pic-lemoncellDC.png                    |   Bin 0 -> 5159 bytes
 doc/fr/Docs/Pic-light-bar-rodpend-photo.png        |   Bin 0 -> 192262 bytes
 doc/fr/Docs/Pic-light-thru-paper-photo.png         |   Bin 0 -> 461398 bytes
 doc/fr/Docs/Pic-mutual-induction-Ecore-1kload.png  |   Bin 0 -> 17018 bytes
 doc/fr/Docs/Pic-mutual-induction.png               |   Bin 0 -> 16408 bytes
 doc/fr/Docs/Pic-pendulum-osc.png                   |   Bin 0 -> 26656 bytes
 doc/fr/Docs/Pic-photo-tran-ce.png                  |   Bin 0 -> 20884 bytes
 doc/fr/Docs/Pic-phototran-sqr-received.png         |   Bin 0 -> 6121 bytes
 doc/fr/Docs/Pic-piezo-100hz.png                    |   Bin 0 -> 28360 bytes
 doc/fr/Docs/Pic-piezo-fft-500hz.png                |   Bin 0 -> 4056 bytes
 doc/fr/Docs/Pic-resistor-iv.png                    |   Bin 0 -> 25681 bytes
 doc/fr/Docs/Pic-rodpend-ghist.png                  |   Bin 0 -> 1150 bytes
 doc/fr/Docs/Pic-rodpend-screen.png                 |   Bin 0 -> 27500 bytes
 doc/fr/Docs/Pic-sariga.png                         |   Bin 0 -> 12512 bytes
 doc/fr/Docs/Pic-sine-two-180deg.png                |   Bin 0 -> 9395 bytes
 doc/fr/Docs/Pic-sine90hz-fft.png                   |   Bin 0 -> 13240 bytes
 doc/fr/Docs/Pic-sinewave50hz.png                   |   Bin 0 -> 13580 bytes
 doc/fr/Docs/Pic-sinewave90hz.png                   |   Bin 0 -> 14190 bytes
 doc/fr/Docs/Pic-solenoid-field.png                 |   Bin 0 -> 358221 bytes
 doc/fr/Docs/Pic-sound-beats.png                    |   Bin 0 -> 29146 bytes
 doc/fr/Docs/Pic-sound-outofphase.png               |   Bin 0 -> 26392 bytes
 doc/fr/Docs/Pic-sound-speaker-photo.png            |   Bin 0 -> 704031 bytes
 doc/fr/Docs/Pic-sound-waves.png                    |   Bin 0 -> 7508 bytes
 doc/fr/Docs/Pic-sound2000hz.png                    |   Bin 0 -> 6414 bytes
 doc/fr/Docs/Pic-sound3012hz.png                    |   Bin 0 -> 7154 bytes
 doc/fr/Docs/Pic-sqr1000Hz-fft.png                  |   Bin 0 -> 15505 bytes
 doc/fr/Docs/Pic-sqrwave-dcblocked.png              |   Bin 0 -> 6000 bytes
 doc/fr/Docs/Pic-sqrwave-hand.png                   |   Bin 0 -> 6982 bytes
 doc/fr/Docs/Pic-sqrwave2.png                       |   Bin 0 -> 5968 bytes
 doc/fr/Docs/Pic-squarewave-diff20hz.png            |   Bin 0 -> 6608 bytes
 doc/fr/Docs/Pic-squarewave-interg1khz.png          |   Bin 0 -> 7070 bytes
 doc/fr/Docs/Pic-squarewave-interg20hz.png          |   Bin 0 -> 8743 bytes
 doc/fr/Docs/Pic-standing-wave-app-photo.png        |   Bin 0 -> 239585 bytes
 doc/fr/Docs/Pic-std-accessory-photo.png            |   Bin 0 -> 532955 bytes
 doc/fr/Docs/Pic-stroboscope-photo.png              |   Bin 0 -> 401231 bytes
 doc/fr/Docs/Pic-temp-con.png                       |   Bin 0 -> 35882 bytes
 doc/fr/Docs/Pic-tran-amp2V.png                     |   Bin 0 -> 6816 bytes
 doc/fr/Docs/Pic-tran-amp4V.png                     |   Bin 0 -> 6804 bytes
 doc/fr/Docs/Pic-tran-ce.png                        |   Bin 0 -> 25807 bytes
 doc/fr/Docs/Pic-triwave-diff.png                   |   Bin 0 -> 1026 bytes
 doc/fr/Docs/Schematic-AM-photo.png                 |   Bin 0 -> 677398 bytes
 doc/fr/Docs/Schematic-AMfft-1800x40.png            |   Bin 0 -> 5246 bytes
 doc/fr/Docs/Schematic-EMinduction-photo.png        |   Bin 0 -> 404518 bytes
 doc/fr/Docs/Schematic-RCdiff.png                   |   Bin 0 -> 1371 bytes
 doc/fr/Docs/Schematic-RCinteg.png                  |   Bin 0 -> 1328 bytes
 doc/fr/Docs/Schematic-ac-dc.png                    |   Bin 0 -> 3151 bytes
 doc/fr/Docs/Schematic-ac-gen.png                   |   Bin 0 -> 3896 bytes
 doc/fr/Docs/Schematic-cell-voltage.png             |   Bin 0 -> 2368 bytes
 .../Docs/Schematic-coil-magnetpendulum-photo.png   |   Bin 0 -> 818770 bytes
 doc/fr/Docs/Schematic-cond-main.png                |   Bin 0 -> 193864 bytes
 doc/fr/Docs/Schematic-diode-halfwave-1uF.png       |   Bin 0 -> 9011 bytes
 doc/fr/Docs/Schematic-diode-iv.png                 |   Bin 0 -> 2649 bytes
 doc/fr/Docs/Schematic-full-wave.png                |   Bin 0 -> 4628 bytes
 doc/fr/Docs/Schematic-half-wave.png                |   Bin 0 -> 4209 bytes
 doc/fr/Docs/Schematic-lc-tran.png                  |   Bin 0 -> 3073 bytes
 doc/fr/Docs/Schematic-ldr.png                      |   Bin 0 -> 2669 bytes
 doc/fr/Docs/Schematic-lemon-cell.png               |   Bin 0 -> 3217 bytes
 doc/fr/Docs/Schematic-light-bar.png                |   Bin 0 -> 4080 bytes
 doc/fr/Docs/Schematic-ntc.png                      |   Bin 0 -> 2123 bytes
 doc/fr/Docs/Schematic-opto-tran.png                |   Bin 0 -> 4390 bytes
 doc/fr/Docs/Schematic-pendulum-photo.png           |   Bin 0 -> 447607 bytes
 doc/fr/Docs/Schematic-phtran-ce.png                |   Bin 0 -> 4347 bytes
 doc/fr/Docs/Schematic-pickup.png                   |   Bin 0 -> 3847 bytes
 doc/fr/Docs/Schematic-piezo-3600hz.png             |   Bin 0 -> 30177 bytes
 doc/fr/Docs/Schematic-piezo-fft-100hz.png          |   Bin 0 -> 5365 bytes
 doc/fr/Docs/Schematic-pt100.png                    |   Bin 0 -> 4063 bytes
 doc/fr/Docs/Schematic-rc-diff.png                  |   Bin 0 -> 2604 bytes
 doc/fr/Docs/Schematic-rc-integ.png                 |   Bin 0 -> 2668 bytes
 doc/fr/Docs/Schematic-rc-steadystate.png           |   Bin 0 -> 3794 bytes
 doc/fr/Docs/Schematic-rc-tran.png                  |   Bin 0 -> 2857 bytes
 doc/fr/Docs/Schematic-res-measure.png              |   Bin 0 -> 2112 bytes
 doc/fr/Docs/Schematic-res-par.png                  |   Bin 0 -> 2320 bytes
 doc/fr/Docs/Schematic-res-series.png               |   Bin 0 -> 1919 bytes
 doc/fr/Docs/Schematic-rl-steadystate-ferrite.png   |   Bin 0 -> 4377 bytes
 doc/fr/Docs/Schematic-rl-steadystate.png           |   Bin 0 -> 4109 bytes
 doc/fr/Docs/Schematic-rl-tran.png                  |   Bin 0 -> 3730 bytes
 doc/fr/Docs/Schematic-rlc-tran.png                 |   Bin 0 -> 3730 bytes
 doc/fr/Docs/Schematic-rodpend-photo.png            |   Bin 0 -> 747732 bytes
 doc/fr/Docs/Schematic-sine-180deg.png              |   Bin 0 -> 2779 bytes
 doc/fr/Docs/Schematic-sine-a0.png                  |   Bin 0 -> 1695 bytes
 doc/fr/Docs/Schematic-sinewave.png                 |   Bin 0 -> 7813 bytes
 doc/fr/Docs/Schematic-sound-beats.png              |   Bin 0 -> 6043 bytes
 doc/fr/Docs/Schematic-sound-freq.png               |   Bin 0 -> 4871 bytes
 doc/fr/Docs/Schematic-sound-inphase.png            |   Bin 0 -> 25970 bytes
 doc/fr/Docs/Schematic-sound-vel.png                |   Bin 0 -> 5153 bytes
 doc/fr/Docs/Schematic-sqr-a0.png                   |   Bin 0 -> 1662 bytes
 doc/fr/Docs/Schematic-sqr1000Hz.png                |   Bin 0 -> 5844 bytes
 doc/fr/Docs/Schematic-strobo.png                   |   Bin 0 -> 5663 bytes
 doc/fr/Docs/Schematic-temp-control.png             |   Bin 0 -> 6513 bytes
 doc/fr/Docs/Schematic-tran-amp.png                 |   Bin 0 -> 6369 bytes
 doc/fr/Docs/Schematic-tran-ce.png                  |   Bin 0 -> 3901 bytes
 doc/fr/Docs/Schematic-transformer.png              |   Bin 0 -> 4395 bytes
 doc/fr/Docs/Schematic-ultra-sound.png              |   Bin 0 -> 2551 bytes
 doc/fr/Docs/Schematic-water.png                    |   Bin 0 -> 4621 bytes
 doc/fr/Docs/explorer.png                           |   Bin 0 -> 488756 bytes
 doc/fr/Docs/eyes.jpg                               |   Bin 0 -> 90633 bytes
 doc/fr/Docs/eyes.lyx                               | 15771 ++++++++++
 doc/fr/Docs/eyes.tex                               |  5104 ++++
 doc/fr/Docs/top-panelcolor.png                     |   Bin 0 -> 749751 bytes
 doc/fr/Progman-jr/Makefile                         |    34 +
 doc/fr/Progman-jr/eyesj-progman-fr.tex.tmp         |     0
 doc/fr/Progman-jr/eyesj-progman.lyx                |  4290 +++
 doc/fr/Progman-jr/eyesjun-block.odp                |   Bin 0 -> 15766 bytes
 doc/fr/Progman-jr/pics/eyesjun-block.png           |   Bin 0 -> 43622 bytes
 doc/fr/Progman-jr/pics/top-panel.png               |   Bin 0 -> 89333 bytes
 doc/fr/Progman-jr/schematics/ir-code.png           |   Bin 0 -> 5422 bytes
 doc/fr/Progman-jr/schematics/ir-code.ps            |   213 +
 doc/fr/Progman-jr/schematics/res-measure.png       |   Bin 0 -> 2112 bytes
 doc/fr/Progman-jr/schematics/rlc-tran.png          |   Bin 0 -> 3730 bytes
 expeyes-progman-jr-doc                             |    24 +
 expeyes-progman-jr-doc.xml                         |   165 +
 expeyes/__init__.py                                |     0
 expeyes/eyelib.c                                   |   148 +
 expeyes/eyelib.h                                   |    71 +
 expeyes/eyemath.py                                 |   216 +
 expeyes/eyeplot.py                                 |   357 +
 expeyes/eyes.c                                     |   100 +
 expeyes/eyes.h                                     |    22 +
 expeyes/eyes.py                                    |  1129 +
 expeyes/eyesj.py                                   |  1422 +
 expeyes/fit-data.py                                |    17 +
 expeyes/mca.py                                     |   198 +
 eyes-junior/RCcircuit.py                           |   156 +
 eyes-junior/RLCdischarge.py                        |   128 +
 eyes-junior/RLcircuit.py                           |   159 +
 eyes-junior/ac-circuit.py                          |   263 +
 eyes-junior/amfm.py                                |   126 +
 eyes-junior/calibrate.py                           |   190 +
 eyes-junior/change-pvs.py                          |    25 +
 eyes-junior/croplus.py                             |   770 +
 eyes-junior/diode_iv.py                            |   144 +
 eyes-junior/driven-pendulum.py                     |    23 +
 eyes-junior/freq-response.py                       |   148 +
 eyes-junior/induction.py                           |   139 +
 eyes-junior/interference-sound.py                  |   169 +
 eyes-junior/irtest.py                              |    11 +
 eyes-junior/logger.py                              |   179 +
 eyes-junior/pendulum.py                            |   184 +
 eyes-junior/pics/LCRcircuit.png                    |   Bin 0 -> 2032 bytes
 eyes-junior/pics/RCcircuit.png                     |   Bin 0 -> 2070 bytes
 eyes-junior/pics/RLcircuit.png                     |   Bin 0 -> 4050 bytes
 eyes-junior/pics/ac-circuit.png                    |   Bin 0 -> 4133 bytes
 eyes-junior/pics/ac-circuits.png                   |   Bin 0 -> 4133 bytes
 eyes-junior/pics/am.png                            |   Bin 0 -> 4252 bytes
 eyes-junior/pics/calibrate.png                     |   Bin 0 -> 3363 bytes
 eyes-junior/pics/diode-iv.png                      |   Bin 0 -> 3854 bytes
 eyes-junior/pics/driven-pend.png                   |   Bin 0 -> 4547 bytes
 eyes-junior/pics/induction.png                     |   Bin 0 -> 4002 bytes
 eyes-junior/pics/light-barrier.png                 |   Bin 0 -> 4433 bytes
 eyes-junior/pics/measure-res-screen.png            |   Bin 0 -> 46046 bytes
 eyes-junior/pics/pend-wave.png                     |   Bin 0 -> 4799 bytes
 eyes-junior/pics/pt100.png                         |   Bin 0 -> 5517 bytes
 eyes-junior/pics/sound-burst.png                   |   Bin 0 -> 4439 bytes
 eyes-junior/pics/sound-inter.png                   |   Bin 0 -> 3958 bytes
 eyes-junior/pics/sound.png                         |   Bin 0 -> 4046 bytes
 eyes-junior/pics/transistor-ce.png                 |   Bin 0 -> 5805 bytes
 eyes-junior/pt100.py                               |   257 +
 eyes-junior/resonance.py                           |    21 +
 eyes-junior/rodpend.py                             |   154 +
 eyes-junior/sound-burst.py                         |   147 +
 eyes-junior/stroboscope.py                         |    23 +
 eyes-junior/transistor.py                          |   165 +
 eyes-junior/velocity-sound.py                      |   132 +
 eyes/GUIProgs/abdisp.py                            |   210 +
 eyes/GUIProgs/abox.py                              |   242 +
 eyes/GUIProgs/colorimeter.py                       |   170 +
 eyes/GUIProgs/croplus.py                           |   802 +
 eyes/GUIProgs/draw.py                              |   142 +
 eyes/GUIProgs/logger.py                            |   122 +
 eyes/LED_iv.py                                     |   169 +
 eyes/RCcircuit.py                                  |   151 +
 eyes/README.TXT                                    |    20 +
 eyes/RLCdischarge.py                               |   129 +
 eyes/RLcircuit.py                                  |   158 +
 eyes/alpha.py                                      |   205 +
 eyes/amfm.py                                       |   126 +
 eyes/calibrate.py                                  |   187 +
 eyes/cro.py                                        |   171 +
 eyes/diode_iv.py                                   |   153 +
 eyes/explore.py                                    |   638 +
 eyes/freq-response.py                              |   149 +
 eyes/gravity_tof.py                                |   102 +
 eyes/gravity_tof2.py                               |   126 +
 eyes/hist.py                                       |    14 +
 eyes/hwtest.py                                     |     4 +
 eyes/induction.py                                  |   138 +
 eyes/interference-sound.py                         |   172 +
 eyes/janagana.py                                   |    88 +
 eyes/logger.py                                     |   179 +
 eyes/looptest.py                                   |    17 +
 eyes/pendulum.py                                   |   146 +
 eyes/phototransistor.py                            |   130 +
 eyes/pics/LED_iv.png                               |   Bin 0 -> 2649 bytes
 eyes/pics/diode-iv.png                             |   Bin 0 -> 2649 bytes
 eyes/pics/em-ind.png                               |   Bin 0 -> 2827 bytes
 eyes/pics/eyes.png                                 |   Bin 0 -> 359761 bytes
 eyes/pics/freq-resp.png                            |   Bin 0 -> 5319 bytes
 eyes/pics/g-tof.png                                |   Bin 0 -> 3613 bytes
 eyes/pics/lc-tran.png                              |   Bin 0 -> 3073 bytes
 eyes/pics/pend-wave.png                            |   Bin 0 -> 3105 bytes
 eyes/pics/phtran-ce.png                            |   Bin 0 -> 4347 bytes
 eyes/pics/pt100.png                                |   Bin 0 -> 4063 bytes
 eyes/pics/rc-tran.png                              |   Bin 0 -> 2857 bytes
 eyes/pics/res-measure.png                          |   Bin 0 -> 2112 bytes
 eyes/pics/rl-tran.png                              |   Bin 0 -> 3627 bytes
 eyes/pics/rodpend.png                              |   Bin 0 -> 4080 bytes
 eyes/pics/sound-beats.png                          |   Bin 0 -> 6043 bytes
 eyes/pics/sound-vel.png                            |   Bin 0 -> 5153 bytes
 eyes/pics/temp-control.png                         |   Bin 0 -> 6513 bytes
 eyes/pics/tran-ce.png                              |   Bin 0 -> 3901 bytes
 eyes/pics/ultra-sound.png                          |   Bin 0 -> 2551 bytes
 eyes/pt100.py                                      |   241 +
 eyes/resistor_iv.py                                |   151 +
 eyes/rodpend.py                                    |   194 +
 eyes/sound.py                                      |   144 +
 eyes/temp-controller.py                            |   216 +
 eyes/transistor.py                                 |   163 +
 eyes/usound_tof.py                                 |    43 +
 eyes/velocity-sound.py                             |   143 +
 firmware/.lst                                      |     0
 firmware/.map                                      |   328 +
 firmware/Makefile                                  |    21 +
 firmware/compile.sh                                |     8 +
 firmware/eyes.c                                    |  1651 ++
 firmware/go                                        |     5 +
 firmware/go-mca                                    |     5 +
 firmware/mca-test.py                               |     5 +
 firmware/mca.c                                     |   264 +
 firmware/set_fuse.sh                               |     5 +
 firmware/test.py                                   |    12 +
 firmware/upload.sh                                 |     7 +
 microhope/ASM/data-direct.s                        |    20 +
 microhope/ASM/data-indirect.s                      |    20 +
 microhope/ASM/first.s                              |    20 +
 microhope/ASM/global-init.s                        |    19 +
 microhope/ASM/immed.s                              |     9 +
 microhope/ASM/interrupt.s                          |    20 +
 microhope/ASM/io-direct.s                          |    10 +
 microhope/ASM/jump.s                               |    16 +
 microhope/ASM/ramp-on-R2RDAC.S                     |    12 +
 microhope/ASM/sine-wave.S                          |    50 +
 microhope/ASM/square-wave-tc0.S                    |    12 +
 microhope/ASM/square-wave-tc0.s                    |    17 +
 microhope/ASM/sub-routine.s                        |    20 +
 microhope/ASM/test.s                               |     7 +
 microhope/Makefile                                 |    12 +
 microhope/adc-loop.c                               |    20 +
 microhope/adc-v2.c                                 |    18 +
 microhope/adc-v3.c                                 |    28 +
 microhope/adc.c                                    |    14 +
 microhope/blink.c                                  |    16 +
 microhope/blink.py                                 |    10 +
 microhope/copy.c                                   |    11 +
 microhope/copy2.c                                  |    18 +
 microhope/copy3.c                                  |    14 +
 microhope/create-microhope-env                     |    26 +
 microhope/create-microhope-env.1                   |    59 +
 microhope/create-microhope-env.xml                 |   123 +
 microhope/cro.c                                    |    57 +
 microhope/cro.py                                   |    34 +
 microhope/cro2.c                                   |    68 +
 microhope/cro2.py                                  |    45 +
 microhope/desktop/microhope-avr.desktop            |    15 +
 microhope/desktop/microhope-doc.desktop            |    16 +
 microhope/echo-v2.c                                |    22 +
 microhope/echo.c                                   |    17 +
 microhope/echo.py                                  |    11 +
 microhope/eep-test.c                               |    18 +
 microhope/eyes.c                                   |  1650 ++
 microhope/firmware/Bootloader.c                    |   994 +
 microhope/firmware/Makefile                        |   210 +
 microhope/firmware/setboot-by-usbasp.sh            |     7 +
 microhope/firmware/setboot.sh                      |     7 +
 microhope/freq-counter.c                           |    21 +
 microhope/hello-blink.c                            |    13 +
 microhope/hello.c                                  |     7 +
 microhope/int0.c                                   |    17 +
 microhope/ir-recv.c                                |    64 +
 microhope/isr-test.c                               |    18 +
 microhope/lcd16.c                                  |   138 +
 microhope/mh-adc.c                                 |    65 +
 microhope/mh-digital.c                             |    32 +
 microhope/mh-ide.py                                |   226 +
 microhope/mh-lcd.c                                 |   148 +
 microhope/mh-soft-uart.c                           |   126 +
 microhope/mh-timer.c                               |   143 +
 microhope/mh-timer2.c                              |    62 +
 microhope/mh-uart.c                                |    40 +
 microhope/mh-upload.sh                             |     3 +
 microhope/mh-utils.c                               |    28 +
 microhope/microhope                                |     2 +
 microhope/microhope-doc/Makefile                   |    55 +
 microhope/microhope-doc/microhope-doc              |     9 +
 microhope/microhope-doc/microhope-doc.1            |    59 +
 microhope/microhope-doc/microhope-doc.xml          |   124 +
 microhope/microhope-doc/microhope.lyx              |  6884 +++++
 microhope/microhope-doc/pics/H-bridge.png          |   Bin 0 -> 25023 bytes
 microhope/microhope-doc/pics/Screenshot-isr.png    |   Bin 0 -> 67136 bytes
 microhope/microhope-doc/pics/TCNT0.png             |   Bin 0 -> 48321 bytes
 microhope/microhope-doc/pics/adcsra.png            |   Bin 0 -> 8167 bytes
 microhope/microhope-doc/pics/admux.png             |   Bin 0 -> 9419 bytes
 microhope/microhope-doc/pics/avr-architecture.png  |   Bin 0 -> 77949 bytes
 microhope/microhope-doc/pics/avr-block.png         |   Bin 0 -> 193918 bytes
 .../microhope-doc/pics/avr-data-memory-map.png     |   Bin 0 -> 43279 bytes
 microhope/microhope-doc/pics/avr-flash-memory.png  |   Bin 0 -> 13039 bytes
 .../microhope-doc/pics/avr-interrupt-table.png     |   Bin 0 -> 132675 bytes
 microhope/microhope-doc/pics/avr-regs.png          |   Bin 0 -> 29343 bytes
 microhope/microhope-doc/pics/avr-spreg1.png        |   Bin 0 -> 164646 bytes
 microhope/microhope-doc/pics/avr-sreg.png          |   Bin 0 -> 7981 bytes
 microhope/microhope-doc/pics/circuit-mh.png        |   Bin 0 -> 238353 bytes
 microhope/microhope-doc/pics/clock_bits.png        |   Bin 0 -> 17757 bytes
 microhope/microhope-doc/pics/clock_frequency.png   |   Bin 0 -> 7505 bytes
 microhope/microhope-doc/pics/fuse_high.png         |   Bin 0 -> 43590 bytes
 microhope/microhope-doc/pics/fuse_low.png          |   Bin 0 -> 36626 bytes
 microhope/microhope-doc/pics/ir-receiver.eps       |   199 +
 microhope/microhope-doc/pics/ir-receiver.png       |   Bin 0 -> 4925 bytes
 microhope/microhope-doc/pics/l293d.png             |   Bin 0 -> 43241 bytes
 microhope/microhope-doc/pics/lcd-con.eps           |   253 +
 microhope/microhope-doc/pics/lcd-con.png           |   Bin 0 -> 8048 bytes
 microhope/microhope-doc/pics/lcd-photo.jpg         |   Bin 0 -> 117765 bytes
 microhope/microhope-doc/pics/lcd-schematics.png    |   Bin 0 -> 19859 bytes
 microhope/microhope-doc/pics/led8-schematic.png    |   Bin 0 -> 49360 bytes
 microhope/microhope-doc/pics/led8.jpg              |   Bin 0 -> 135907 bytes
 microhope/microhope-doc/pics/lm35-package.jpg      |   Bin 0 -> 2827 bytes
 microhope/microhope-doc/pics/mh-IDE.png            |   Bin 0 -> 53784 bytes
 microhope/microhope-doc/pics/mh-block.eps          |   292 +
 microhope/microhope-doc/pics/mh-block.png          |   Bin 0 -> 13472 bytes
 microhope/microhope-doc/pics/mh-cro-screen.png     |   Bin 0 -> 27047 bytes
 microhope/microhope-doc/pics/mh-hbridge.jpg        |   Bin 0 -> 159114 bytes
 microhope/microhope-doc/pics/mh-usbasp.jpg         |   Bin 0 -> 178476 bytes
 microhope/microhope-doc/pics/microhope-led8.jpg    |   Bin 0 -> 296779 bytes
 .../microhope-doc/pics/microhope-photo-horiz.jpg   |   Bin 0 -> 558225 bytes
 .../microhope-doc/pics/microhope-with-lcd.jpg      |   Bin 0 -> 249227 bytes
 microhope/microhope-doc/pics/microhope.eps         |   284 +
 microhope/microhope-doc/pics/microhope.png         |   Bin 0 -> 7124 bytes
 microhope/microhope-doc/pics/minimum_circuit.eps   |   244 +
 microhope/microhope-doc/pics/mplabx-ide.png        |   Bin 0 -> 105445 bytes
 microhope/microhope-doc/pics/out.txt               |    31 +
 microhope/microhope-doc/pics/pcbpower.png          |   Bin 0 -> 273207 bytes
 microhope/microhope-doc/pics/port-regs.png         |   Bin 0 -> 17158 bytes
 microhope/microhope-doc/pics/prog_cable.jpg        |   Bin 0 -> 19139 bytes
 microhope/microhope-doc/pics/pwm-rc.eps            |   237 +
 microhope/microhope-doc/pics/pwm-rc.png            |   Bin 0 -> 3614 bytes
 microhope/microhope-doc/pics/r2r-dac.eps           |   258 +
 microhope/microhope-doc/pics/r2r-dac.png           |   Bin 0 -> 34420 bytes
 microhope/microhope-doc/pics/r2rdac-schematic.png  |   Bin 0 -> 34726 bytes
 microhope/microhope-doc/pics/ramp-screenshop.png   |   Bin 0 -> 38356 bytes
 microhope/microhope-doc/pics/schematic.png         |   Bin 0 -> 117591 bytes
 microhope/microhope-doc/pics/soft-serial-test.jpg  |   Bin 0 -> 335760 bytes
 microhope/microhope-doc/pics/switch8-schematic.png |   Bin 0 -> 50520 bytes
 microhope/microhope-doc/pics/tc0-clockselect.png   |   Bin 0 -> 56673 bytes
 microhope/microhope-doc/pics/tc1-block.png         |   Bin 0 -> 71120 bytes
 microhope/microhope-doc/pics/tccr0.png             |   Bin 0 -> 7009 bytes
 microhope/microhope-doc/pics/uc-block.eps          |   217 +
 microhope/microhope-doc/pics/uc-block.png          |   Bin 0 -> 9929 bytes
 microhope/microhope-doc/pics/ucblock.png           |   Bin 0 -> 55980 bytes
 microhope/microhope-doc/pics/usb2ser.png           |   Bin 0 -> 79509 bytes
 microhope/microhope-doc/pics/usbasp-layout.png     |   Bin 0 -> 14300 bytes
 microhope/microhope-doc/pics/usbasp-plugin.jpg     |   Bin 0 -> 255814 bytes
 microhope/microhope-doc/pics/usbasp-schematic.png  |   Bin 0 -> 176065 bytes
 microhope/microhope-doc/pics/usbasp.eps            |   209 +
 microhope/microhope-doc/pics/usbasp.png            |   Bin 0 -> 6038 bytes
 microhope/microhope-doc/prog/adc.c                 |    15 +
 microhope/microhope-doc/prog/adc_loop.c            |    20 +
 microhope/microhope-doc/prog/avr309.py             |    77 +
 microhope/microhope-doc/prog/blink.c               |    23 +
 microhope/microhope-doc/prog/compile               |     5 +
 microhope/microhope-doc/prog/copy.c                |    14 +
 microhope/microhope-doc/prog/dio.c                 |    10 +
 microhope/microhope-doc/prog/echo.c                |    17 +
 microhope/microhope-doc/prog/freq.c                |    13 +
 microhope/microhope-doc/prog/hello.c               |     7 +
 microhope/microhope-doc/prog/motor.c               |    49 +
 microhope/microhope-doc/prog/motor.c~              |    45 +
 microhope/microhope-doc/prog/motor.hex             |    25 +
 microhope/microhope-doc/prog/motor.py              |    12 +
 microhope/microhope-doc/prog/pmdk_adc.c            |    65 +
 microhope/microhope-doc/prog/pmdk_digital.c        |   104 +
 microhope/microhope-doc/prog/pmdk_lcd.c            |   119 +
 microhope/microhope-doc/prog/pmdk_timer.c          |   107 +
 microhope/microhope-doc/prog/pmdk_uart.c           |    40 +
 microhope/microhope-doc/prog/receive.py            |    14 +
 microhope/microhope-doc/prog/rs232echo.py          |     8 +
 microhope/microhope-doc/prog/senddata.c            |    22 +
 microhope/microhope-doc/prog/set_fuse              |     5 +
 microhope/microhope-doc/prog/upload                |     7 +
 microhope/microhope-doc/prog/usbecho.py            |    13 +
 microhope/microhope.1                              |    59 +
 microhope/microhope.xml                            |   123 +
 microhope/motor.c                                  |    45 +
 microhope/phoenix.c                                |  2036 ++
 microhope/pixmaps/mh-logo.svg                      |   320 +
 microhope/pixmaps/microhope.png                    |   Bin 0 -> 43328 bytes
 microhope/pwm-tc0-v2.c                             |    14 +
 microhope/pwm-tc0.c                                |    11 +
 microhope/pwm-tc1.c                                |    18 +
 microhope/pymicro.c                                |    50 +
 microhope/pymicro.py                               |    54 +
 microhope/pymicro.pyc                              |   Bin 0 -> 1801 bytes
 microhope/r2ftime.c                                |    19 +
 microhope/ramp-wave.c                              |    19 +
 microhope/remote-adc.c                             |    28 +
 microhope/remote-adc.py                            |    18 +
 microhope/shaftenc.c                               |    42 +
 microhope/soft-echo.c                              |    18 +
 microhope/soft-echo.py                             |     8 +
 microhope/sqwave-tc0.c                             |    10 +
 microhope/sqwave-tc1.c                             |    12 +
 microhope/sqwave-tc2-v2.c                          |    12 +
 microhope/src/.lst                                 |     0
 microhope/src/.map                                 |   328 +
 microhope/src/microhope/adc-loop.c                 |    20 +
 microhope/src/microhope/adc-v2.c                   |    18 +
 microhope/src/microhope/adc-v3.c                   |    28 +
 microhope/src/microhope/adc.c                      |    14 +
 microhope/src/microhope/asm/add.S                  |    16 +
 microhope/src/microhope/asm/sine-att85.S           |    72 +
 microhope/src/microhope/asm/sine-att85.lst         |   118 +
 microhope/src/microhope/blink.c                    |    15 +
 microhope/src/microhope/blink.py                   |    10 +
 microhope/src/microhope/compile-mega32.sh          |     5 +
 microhope/src/microhope/copy.c                     |    11 +
 microhope/src/microhope/copy2.c                    |    18 +
 microhope/src/microhope/copy3.c                    |    14 +
 microhope/src/microhope/cro.c                      |    68 +
 microhope/src/microhope/cro.py                     |    45 +
 microhope/src/microhope/echo-acm.py                |     8 +
 microhope/src/microhope/echo-v2.c                  |    22 +
 microhope/src/microhope/echo.c                     |    17 +
 microhope/src/microhope/echo.py                    |     8 +
 microhope/src/microhope/eep-test.c                 |    18 +
 microhope/src/microhope/eyes.c                     |  1650 ++
 microhope/src/microhope/freq-counter.c             |    21 +
 microhope/src/microhope/hello.c                    |     7 +
 microhope/src/microhope/ir-recv.c                  |    64 +
 microhope/src/microhope/isr-test.c                 |    18 +
 microhope/src/microhope/lcd16.c                    |   138 +
 microhope/src/microhope/mh-adc.c                   |    65 +
 microhope/src/microhope/mh-digital.c               |    32 +
 microhope/src/microhope/mh-ide.py                  |   188 +
 microhope/src/microhope/mh-lcd.c                   |   148 +
 microhope/src/microhope/mh-timer.c                 |   143 +
 microhope/src/microhope/mh-timer2.c                |    62 +
 microhope/src/microhope/mh-uart.c                  |    40 +
 microhope/src/microhope/mh-upload.sh               |     3 +
 microhope/src/microhope/mh-utils.c                 |    28 +
 microhope/src/microhope/motor.c                    |    45 +
 microhope/src/microhope/phoenix.c                  |  2036 ++
 microhope/src/microhope/pwm-tc0-v2.c               |    14 +
 microhope/src/microhope/pwm-tc0.c                  |    11 +
 microhope/src/microhope/pwm-tc1.c                  |    18 +
 microhope/src/microhope/pymicro.c                  |    50 +
 microhope/src/microhope/pymicro.py                 |    54 +
 microhope/src/microhope/pymicro.pyc                |   Bin 0 -> 1911 bytes
 microhope/src/microhope/r2ftime.c                  |    19 +
 microhope/src/microhope/remote-adc.c               |    28 +
 microhope/src/microhope/remote-adc.py              |    18 +
 microhope/src/microhope/sine-att85.c               |    43 +
 microhope/src/microhope/sqwave-tc0.c               |    10 +
 microhope/src/microhope/sqwave-tc1.c               |    12 +
 microhope/src/microhope/sqwave-tc2-v2.c            |    12 +
 microhope/src/microhope/temp-control.c             |    27 +
 microhope/src/microhope/ultra-sound-echo.c         |    28 +
 microhope/src/microhope/voltmeter.c                |    23 +
 microhope/temp-control.c                           |    27 +
 microhope/uhope.1                                  |    67 +
 microhope/uhope.c                                  |   965 +
 microhope/uhope.xml                                |   132 +
 microhope/ultra-sound-echo.c                       |    28 +
 microhope/voltmeter.c                              |    23 +
 pixmaps/expeyes-junior-icon.png                    |   Bin 0 -> 5827 bytes
 pixmaps/expeyes-junior-icon.svg                    |    94 +
 pixmaps/expeyes-logo.png                           |   Bin 0 -> 6402 bytes
 pixmaps/expeyes-logo.svg                           |   230 +
 pixmaps/expeyes-progman-jr-doc.png                 |   Bin 0 -> 5631 bytes
 pixmaps/expeyes-progman-jr-doc.svg                 |   991 +
 pixmaps/eyes-doc.png                               |   Bin 0 -> 6488 bytes
 pixmaps/eyes-doc.svg                               |  1502 +
 pixmaps/eyesj-doc.png                              |   Bin 0 -> 6077 bytes
 pixmaps/eyesj-doc.svg                              |  1422 +
 pixmaps/nuclear-icon.png                           |   Bin 0 -> 11790 bytes
 pixmaps/phoenixicon.png                            |   Bin 0 -> 5777 bytes
 pixmaps/phoenixicon.svg                            |   514 +
 po/Makefile                                        |    33 +
 .../usr/share/locale/eo/LC_MESSAGES/expeyes.mo     |   Bin 0 -> 511 bytes
 .../usr/share/locale/fr/LC_MESSAGES/expeyes.mo     |   Bin 0 -> 43743 bytes
 po/eo.po                                           |  2900 ++
 po/fr.po                                           |  3209 +++
 po/update-manual.py                                |   107 +
 postinst                                           |    16 +
 qeyes-1.0/.gitignore                               |     3 +
 qeyes-1.0/Makefile                                 |    17 +
 qeyes-1.0/axiswidget.py                            |   130 +
 qeyes-1.0/expeyes-img.png                          |   Bin 0 -> 442440 bytes
 qeyes-1.0/expeyes/__init__.py                      |     0
 qeyes-1.0/expeyes/__init__.pyc                     |   Bin 0 -> 161 bytes
 qeyes-1.0/expeyes/eyemath.py                       |   195 +
 qeyes-1.0/expeyes/eyes.py                          |  1230 +
 qeyes-1.0/expeyes/fit-data.py                      |    17 +
 qeyes-1.0/expeyes/mca.py                           |   192 +
 qeyes-1.0/expeyes1.png                             |   Bin 0 -> 388785 bytes
 qeyes-1.0/expeyes1.xcf                             |   Bin 0 -> 675936 bytes
 qeyes-1.0/expeyes2.png                             |   Bin 0 -> 428554 bytes
 qeyes-1.0/expeyes2.xcf                             |   Bin 0 -> 750155 bytes
 qeyes-1.0/explore.py                               |   638 +
 qeyes-1.0/eyes.png                                 |   Bin 0 -> 359761 bytes
 qeyes-1.0/main.ui                                  |   803 +
 qeyes-1.0/plotwidget.py                            |   269 +
 qeyes-1.0/qeyes.py                                 |   612 +
 setup.py                                           |    14 +
 webeyes-1.0/README                                 |     6 +
 webeyes-1.0/ej1.css                                |    87 +
 webeyes-1.0/ej1.js                                 |   182 +
 webeyes-1.0/eyes-junior.png                        |   Bin 0 -> 39445 bytes
 webeyes-1.0/static.html                            |    58 +
 1153 files changed, 313342 insertions(+)

diff --git a/.gitignore b/.gitignore
new file mode 100644
index 0000000..8e695ec
--- /dev/null
+++ b/.gitignore
@@ -0,0 +1 @@
+doc
diff --git a/99-phoenix.rules b/99-phoenix.rules
new file mode 100644
index 0000000..b649cb3
--- /dev/null
+++ b/99-phoenix.rules
@@ -0,0 +1,4 @@
+# udev rules for expEYES interface: AVR, FT232 and MCP2200
+ATTR{idVendor}=="03eb", ATTR{idProduct}=="21ff", MODE="666"
+ATTR{idVendor}=="0403", ATTR{idProduct}=="6001", MODE="666"
+ATTR{idVendor}=="04d8", ATTR{idProduct}=="00df", MODE="666"
diff --git a/Makefile b/Makefile
new file mode 100644
index 0000000..2a9bf24
--- /dev/null
+++ b/Makefile
@@ -0,0 +1,68 @@
+DESTDIR =
+SUBDIRS = $(shell ls -d doc bin firmware po clib/expeyes-clib microhope microhope/microhope-doc 2>/dev/null)
+all:
+	python setup.py build
+	for d in $(SUBDIRS); do \
+	  if [ -x $$d/configure ]; then \
+	    (cd $$d; ./configure -prefix=/usr; make all;) \
+	  else \
+	    make -C $$d $@; \
+	  fi; \
+	done
+	# make the bootloader hex file
+	make -C microhope/firmware atmega32
+
+install:
+	# for python-expeyes
+	if grep -Eq "Debian|Ubuntu" /etc/issue; then \
+	  python setup.py install --install-layout=deb \
+	         --root=$(DESTDIR)/ --prefix=/usr; \
+	else \
+	  python setup.py install --root=$(DESTDIR)/ --prefix=/usr; \
+	fi
+	install -d $(DESTDIR)/lib/udev/rules.d/
+	install -m 644 99-phoenix.rules $(DESTDIR)/lib/udev/rules.d/
+	# for expeyes
+	install -d $(DESTDIR)/usr/share/expeyes
+	cp -a eyes eyes-junior $(DESTDIR)/usr/share/expeyes
+	install -d $(DESTDIR)/usr/share/icons
+	install -m 644 pixmaps/expeyes-logo.png \
+	  $(DESTDIR)/usr/share/icons/expeyes.png
+	install -m 644 pixmaps/expeyes-junior-icon.png \
+	  $(DESTDIR)/usr/share/icons
+	install -m 644 pixmaps/expeyes-progman-jr-doc.png \
+	  $(DESTDIR)/usr/share/icons
+	install -m 644 pixmaps/expeyes-progman-jr-doc.svg \
+	  $(DESTDIR)/usr/share/icons
+	install -m 644 pixmaps/nuclear-icon.png \
+	  $(DESTDIR)/usr/share/icons
+	install -d $(DESTDIR)/usr/share/applications
+	install -m 644 desktop/expeyes.desktop \
+	  desktop/expeyes-junior.desktop desktop/Phoenix-ASM.desktop \
+	  $(DESTDIR)/usr/share/applications
+	make -C po install DESTDIR=$(DESTDIR)
+	# for expeyes-doc-common
+	install -d $(DESTDIR)/usr/share/icons
+	install -m 644 pixmaps/*doc.png $(DESTDIR)/usr/share/icons
+	install -d $(DESTDIR)/usr/share/applications
+	install -m 644 desktop/*doc.desktop $(DESTDIR)/usr/share/applications
+	# subdirs stuff
+	for d in $(SUBDIRS); do \
+	  make -C $$d $@ DESTDIR=$(DESTDIR); \
+	done
+	# fix permissions in /usr/share/expeyes
+	find $(DESTDIR)/usr/share/expeyes -type f -exec chmod 644 {} \;
+	# for expeyes-clib
+	ln -s /usr/lib/expeyes $(DESTDIR)/usr/share/expeyes/clib
+
+
+clean:
+	rm -rf *~ *.pyc build/ eyes/*~ eyes/*.pyc eyes-junior/*~ eyes-junior/*.pyc doc/fr/Docs/eyes.out
+	for d in $(SUBDIRS); do \
+	  [ ! -f $$d/Makefile ] || make -C $$d distclean || make -C $$d clean; \
+	done
+	# clean the bootloader hex file
+	make -C microhope/firmware clean
+
+
+.PHONY: all install clean
diff --git a/README.TXT b/README.TXT
new file mode 100644
index 0000000..eb49b9b
--- /dev/null
+++ b/README.TXT
@@ -0,0 +1,43 @@
+This directory contains all the expEYES programs and libraries - version 3.0  
+
+BUILDING FROM THE SOURCE
+========================
+
+To build the package from the source, here are the required dependencies:
+- python2.7
+- lyx
+- texlive binaries, fonts and packages
+- image-magick
+- gcc-avr and its utilities
+
+To build and install the package, unfold the archive in some directory
+and type the following commands:
+
+  make; make install; sh postinst
+
+TO RUN THE PROGRAMS
+===================
+
+You need to install the following modules and their dependences.
+
+- python-imaging-tk
+- tix
+- python-tk
+- python-scipy
+- xmgrace
+- python-pygrace
+- python-serial
+- python-usb
+- udev
+
+To start the expEYES main program:
+
+$ expeyes
+
+For expEYES Junior:
+
+$ expeyes-junior
+
+Each of the commands expeyes and expeyes-junior has its own manpage.
+
+
diff --git a/README.md b/README.md
new file mode 100644
index 0000000..c53c13f
--- /dev/null
+++ b/README.md
@@ -0,0 +1,4 @@
+expeyes-programs
+================
+
+programs for expeyes and expeyes-junior
\ No newline at end of file
diff --git a/SBC/a10-proto/A10-plus.lib b/SBC/a10-proto/A10-plus.lib
new file mode 100644
index 0000000..a688f80
--- /dev/null
+++ b/SBC/a10-proto/A10-plus.lib
@@ -0,0 +1,1539 @@
+EESchema-LIBRARY Version 2.3  Date: Mon 03 Jun 2013 10:37:00 AM IST
+#encoding utf-8
+#
+# 4GbDDR3
+#
+DEF 4GbDDR3 U 0 40 Y Y 1 F N
+F0 "U" 100 2600 50 H V C CNN
+F1 "4GbDDR3" -350 2600 50 H V C CNN
+F2 "~" 0 0 50 H I C CNN
+F3 "_" 0 0 50 H I C CNN
+DRAW
+S -450 -2700 200 2550 1 0 0 N
+X VDDQ A1 -750 300 300 R 50 50 1 1 I
+X VSSQ B1 -750 -1550 300 R 50 50 1 1 I
+X VDDQ C1 -750 200 300 R 50 50 1 1 I
+X VSSQ D1 -750 -1650 300 R 50 50 1 1 I
+X VSS E1 500 850 300 L 50 50 1 1 I
+X VDDQ F1 -750 100 300 R 50 50 1 1 I
+X VSSQ G1 -750 -1750 300 R 50 50 1 1 I
+X VREFDQ H1 500 -900 300 L 50 50 1 1 I
+X NC J1 500 -350 300 L 50 50 1 1 I
+X ODT K1 500 -2500 300 L 50 50 1 1 I
+X NC L1 500 -450 300 L 50 50 1 1 I
+X VSS M1 500 750 300 L 50 50 1 1 I
+X VDD N1 -750 -600 300 R 50 50 1 1 I
+X VSS P1 500 650 300 L 50 50 1 1 I
+X VDD R1 -750 -700 300 R 50 50 1 1 I
+X VSS T1 500 550 300 L 50 50 1 1 I
+X DQ13 A2 500 1200 300 L 50 50 1 1 I
+X VDD B2 -750 -800 300 R 50 50 1 1 I
+X DQ11 C2 500 1400 300 L 50 50 1 1 I
+X VDDQ D2 -750 0 300 R 50 50 1 1 I
+X VSSQ E2 -750 -1850 300 R 50 50 1 1 I
+X DQ2 F2 500 2300 300 L 50 50 1 1 I
+X DQ6 G2 500 1900 300 L 50 50 1 1 I
+X VDDQ H2 -750 -100 300 R 50 50 1 1 I
+X VSS J2 500 450 300 L 50 50 1 1 I
+X VDD K2 -750 -900 300 R 50 50 1 1 I
+X CS# L2 500 -1800 300 L 50 50 1 1 I
+X BA0 M2 -750 800 300 R 50 50 1 1 I
+X A3 N2 -750 2150 300 R 50 50 1 1 I
+X A5 P2 -750 1950 300 R 50 50 1 1 I
+X A7 R2 -750 1750 300 R 50 50 1 1 I
+X RESET# T2 500 -2400 300 L 50 50 1 1 I
+X DQ15 A3 500 1000 300 L 50 50 1 1 I
+X VSS B3 500 350 300 L 50 50 1 1 I
+X DQ9 C3 500 1600 300 L 50 50 1 1 I
+X UDM D3 500 -1600 300 L 50 50 1 1 I
+X DQ0 E3 500 2500 300 L 50 50 1 1 B
+X LDQS F3 500 -1100 300 L 50 50 1 1 I
+X LDQS# G3 500 -1200 300 L 50 50 1 1 I
+X DQ4 H3 500 2100 300 L 50 50 1 1 I
+X RAS# J3 500 -2200 300 L 50 50 1 1 I
+X CAS# K3 500 -2100 300 L 50 50 1 1 I
+X WE# L3 500 -2300 300 L 50 50 1 1 I
+X BA2 M3 -750 600 300 R 50 50 1 1 I
+X A0 N3 -750 2450 300 R 50 50 1 1 I
+X A2 P3 -750 2250 300 R 50 50 1 1 I
+X A9 R3 -750 1550 300 R 50 50 1 1 I
+X A13 T3 -750 1150 300 R 50 50 1 1 I
+X DQ12 A7 500 1300 300 L 50 50 1 1 I
+X UDQS# B7 500 -1400 300 L 50 50 1 1 I
+X UDQS C7 500 -1300 300 L 50 50 1 1 I
+X DQ8 D7 500 1700 300 L 50 50 1 1 I
+X LDM E7 500 -1500 300 L 50 50 1 1 I
+X DQ1 F7 500 2400 300 L 50 50 1 1 I
+X VDD G7 -750 -1000 300 R 50 50 1 1 I
+X DQ7 H7 500 1800 300 L 50 50 1 1 I
+X CK J7 500 -1900 300 L 50 50 1 1 I
+X CK# K7 500 -2000 300 L 50 50 1 1 I
+X A10 L7 -750 1450 300 R 50 50 1 1 I
+X A15 M7 -750 950 300 R 50 50 1 1 I
+X A12 N7 -750 1250 300 R 50 50 1 1 I
+X A1 P7 -750 2350 300 R 50 50 1 1 I
+X A11 R7 -750 1350 300 R 50 50 1 1 I
+X A14 T7 -750 1050 300 R 50 50 1 1 I
+X VDDQ A8 -750 -200 300 R 50 50 1 1 I
+X DQ14 B8 500 1100 300 L 50 50 1 1 I
+X DQ10 C8 500 1500 300 L 50 50 1 1 I
+X VSSQ D8 -750 -1950 300 R 50 50 1 1 I
+X VSSQ E8 -750 -2050 300 R 50 50 1 1 I
+X DQ3 F8 500 2200 300 L 50 50 1 1 I
+X VSS G8 500 250 300 L 50 50 1 1 I
+X DQ5 H8 500 2000 300 L 50 50 1 1 I
+X VSS J8 500 150 300 L 50 50 1 1 I
+X VDD K8 -750 -1100 300 R 50 50 1 1 I
+X ZQ L8 -750 -2450 300 R 50 50 1 1 I
+X VREFCA M8 500 -800 300 L 50 50 1 1 I
+X BA1 N8 -750 700 300 R 50 50 1 1 I
+X A4 P8 -750 2050 300 R 50 50 1 1 I
+X A6 R8 -750 1850 300 R 50 50 1 1 I
+X A8 T8 -750 1650 300 R 50 50 1 1 I
+X VSS A9 500 50 300 L 50 50 1 1 I
+X VSSQ B9 -750 -2150 300 R 50 50 1 1 I
+X VDDQ C9 -750 -500 300 R 50 50 1 1 I
+X VDD D9 -750 -1200 300 R 50 50 1 1 I
+X VDDQ E9 -750 -400 300 R 50 50 1 1 I
+X VSSQ F9 -750 -2250 300 R 50 50 1 1 I
+X VSSQ G9 -750 -2350 300 R 50 50 1 1 I
+X VDDQ H9 -750 -300 300 R 50 50 1 1 I
+X NC J9 500 -550 300 L 50 50 1 1 I
+X CKE K9 500 -1700 300 L 50 50 1 1 I
+X NC L9 500 -650 300 L 50 50 1 1 I
+X VSS M9 500 -50 300 L 50 50 1 1 I
+X VDD N9 -750 -1300 300 R 50 50 1 1 I
+X VSS P9 500 -150 300 L 50 50 1 1 I
+X VDD R9 -750 -1400 300 R 50 50 1 1 I
+X VSS T9 500 -250 300 L 50 50 1 1 I
+ENDDRAW
+ENDDEF
+#
+# 524P
+#
+DEF 524P U 0 40 Y Y 1 F N
+F0 "U" 150 450 50 H V C CNN
+F1 "524P" -50 450 50 H V C CNN
+F2 "~" 0 0 50 H I C CNN
+F3 "~" 0 0 50 H I C CNN
+DRAW
+S -200 -100 250 400 1 0 0 N
+X IN1 1 -400 350 200 R 50 50 1 1 I
+X IN2 2 -400 250 200 R 50 50 1 1 I
+X GND 3 -400 150 200 R 50 50 1 1 I
+X IN3 4 -400 50 200 R 50 50 1 1 I
+X IN4 5 -400 -50 200 R 50 50 1 1 I
+X OUT4 6 450 -50 200 L 50 50 1 1 I
+X OUT3 7 450 50 200 L 50 50 1 1 I
+X GND 8 450 150 200 L 50 50 1 1 I
+X OUT2 9 450 250 200 L 50 50 1 1 I
+X OUT1 10 450 350 200 L 50 50 1 1 I
+ENDDRAW
+ENDDEF
+#
+# 74LS08
+#
+DEF 74LS08 U 0 30 Y Y 4 F N
+F0 "U" 0 50 60 H V C CNN
+F1 "74LS08" 0 -50 60 H V C CNN
+ALIAS 74LS09
+DRAW
+X GND 7 -200 -200 0 U 40 40 0 0 W N
+X 5V 14 -200 200 0 U 40 40 0 0 W N
+A 100 0 200 896 -896 0 1 0 N 101 200 101 -199
+P 4 0 1 0  100 200  -300 200  -300 -200  100 -200 N
+X ~ 1 -600 100 300 R 60 60 1 1 I
+X ~ 2 -600 -100 300 R 60 60 1 1 I
+X ~ 3 600 0 300 L 60 60 1 1 O
+X ~ 4 -600 100 300 R 60 60 2 1 I
+X ~ 5 -600 -100 300 R 60 60 2 1 I
+X ~ 6 600 0 300 L 60 60 2 1 O
+X ~ 8 600 0 300 L 60 60 3 1 O
+X ~ 9 -600 100 300 R 60 60 3 1 I
+X ~ 10 -600 -100 300 R 60 60 3 1 I
+X ~ 11 600 0 300 L 60 60 4 1 O
+X ~ 12 -600 100 300 R 60 60 4 1 I
+X ~ 13 -600 -100 300 R 60 60 4 1 I
+A -470 0 262 495 -495 0 2 0 N -300 199 -300 -198
+A -2 126 326 -897 -225 0 2 0 N 0 -199 299 2
+A 4 -120 320 906 221 0 2 0 N 2 200 300 0
+P 2 0 2 0  -300 -200  0 -200 N
+P 2 0 2 0  -300 200  0 200 N
+X ~ 1 -600 100 370 R 60 60 1 2 I I
+X ~ 2 -600 -100 370 R 60 60 1 2 I I
+X ~ 3 600 0 300 L 60 60 1 2 O I
+X ~ 4 -600 100 370 R 60 60 2 2 I I
+X ~ 5 -600 -100 370 R 60 60 2 2 I I
+X ~ 6 600 0 300 L 60 60 2 2 O I
+X ~ 8 600 0 300 L 60 60 3 2 O I
+X ~ 9 -600 100 370 R 60 60 3 2 I I
+X ~ 10 -600 -100 370 R 60 60 3 2 I I
+X ~ 11 600 0 300 L 60 60 4 2 O I
+X ~ 12 -600 100 370 R 60 60 4 2 I I
+X ~ 13 -600 -100 370 R 60 60 4 2 I I
+ENDDRAW
+ENDDEF
+#
+# A10-SOC
+#
+DEF A10-SOC U 0 40 Y Y 1 F N
+F0 "U" -100 -3350 50 H V C CNN
+F1 "A10-SOC" 300 -3350 50 H V C CNN
+F3 "_" 300 -3250 50 H I C CNN
+DRAW
+S -3750 -3300 3550 3050 1 0 0 N
+P 2 0 1 0  -3750 -200  -3450 -200 N
+P 2 0 1 0  -2550 -3300  -2550 3050 N
+P 2 0 1 0  -2550 1200  -2300 1200 N
+P 2 0 1 0  -1200 -1500  -950 -1500 N
+P 2 0 1 0  -1200 900  -1000 900 N
+P 2 0 1 0  -1200 3050  -1200 -3300 N
+P 2 0 1 0  0 -2600  300 -2600 N
+P 2 0 1 0  0 -1400  250 -1400 N
+P 2 0 1 0  0 -200  250 -200 N
+P 2 0 1 0  0 2600  350 2600 N
+P 2 0 1 0  0 3050  0 -3300 N
+P 2 0 1 0  1200 -3300  1200 -3300 N
+P 2 0 1 0  1200 -1200  1450 -1200 N
+P 2 0 1 0  1200 1000  1400 1000 N
+P 2 0 1 0  1200 3050  1200 -3300 N
+P 2 0 1 0  2450 -3300  2450 3050 N
+P 2 0 1 0  2450 -2300  2750 -2300 N
+P 2 0 1 0  2450 -2000  2750 -2000 N
+P 2 0 1 0  2450 -1400  2800 -1400 N
+P 2 0 1 0  2450 -400  2850 -400 N
+P 2 0 1 0  2450 400  2800 400 N
+P 2 0 1 0  2450 700  2800 700 N
+P 2 0 1 0  2450 1000  2850 1000 N
+P 2 0 1 0  3050 -2100  3550 -2100 N
+P 2 0 1 0  3150 -1500  3550 -1500 N
+P 2 0 1 0  3200 -100  3550 -100 N
+P 2 0 1 0  3300 -700  3550 -700 N
+P 2 0 1 0  3550 -1300  3300 -1300 N
+X PH15 A1 900 -2450 300 R 50 50 1 1 B
+X PH16 B1 900 -2350 300 R 50 50 1 1 B
+X PH17 C1 900 -2250 300 R 50 50 1 1 B
+X PH19 D1 900 -2050 300 R 50 50 1 1 B
+X PH22 E1 900 -1750 300 R 50 50 1 1 B
+X CLK32KIN F1 3850 2250 300 L 50 50 1 1 B
+X SDQM3 G1 -4050 -2950 300 R 50 50 1 1 O
+X SDQ25 H1 -4050 450 300 R 50 50 1 1 B
+X SDQ28 J1 -4050 150 300 R 50 50 1 1 B
+X SDQS3 K1 -4050 -2650 300 R 50 50 1 1 O
+X SDQ24 L1 -4050 550 300 R 50 50 1 1 B
+X SDQ29 M1 -4050 50 300 R 50 50 1 1 B
+X SDQ16 N1 -4050 1350 300 R 50 50 1 1 B
+X SDQ21 P1 -4050 850 300 R 50 50 1 1 B
+X SDQS2 R1 -4050 -2450 300 R 50 50 1 1 O
+X SDQ22 T1 -4050 750 300 R 50 50 1 1 B
+X SDQ19 U1 -4050 1050 300 R 50 50 1 1 B
+X SCK V1 -4050 -1450 300 R 50 50 1 1 O
+X SDQM1 W1 -4050 -1150 300 R 50 50 1 1 O
+X SDQ9 Y1 -4050 2050 300 R 50 50 1 1 B
+X PH13 A2 900 -2650 300 R 50 50 1 1 B
+X PH14 B2 900 -2550 300 R 50 50 1 1 B
+X PH18 C2 900 -2150 300 R 50 50 1 1 B
+X PH20 D2 900 -1950 300 R 50 50 1 1 B
+X PH23 E2 900 -1650 300 R 50 50 1 1 B
+X CLK32KOUT F2 3850 2150 300 L 50 50 1 1 B
+X SDQ30 G2 -4050 -50 300 R 50 50 1 1 B
+X SDQ27 H2 -4050 250 300 R 50 50 1 1 B
+X SDQS3# J2 -4050 -2750 300 R 50 50 1 1 O
+X SDQ31 K2 -4050 -150 300 R 50 50 1 1 B
+X SDQ26 L2 -4050 350 300 R 50 50 1 1 B
+X SDQ23 M2 -4050 650 300 R 50 50 1 1 B
+X SDQ18 N2 -4050 1150 300 R 50 50 1 1 B
+X SDQS2# P2 -4050 -2550 300 R 50 50 1 1 O
+X SDQM2 R2 -4050 -2850 300 R 50 50 1 1 O
+X SDQ17 T2 -4050 1250 300 R 50 50 1 1 B
+X SDQ20 U2 -4050 950 300 R 50 50 1 1 B
+X SCK# V2 -4050 -1550 300 R 50 50 1 1 O
+X SDQ14 W2 -4050 1550 300 R 50 50 1 1 B
+X SDQ11 Y2 -4050 1850 300 R 50 50 1 1 B
+X PH10 A3 900 -2950 300 R 50 50 1 1 B
+X PH11 B3 900 -2850 300 R 50 50 1 1 B
+X PH12 C3 900 -2750 300 R 50 50 1 1 B
+X PH21 D3 900 -1850 300 R 50 50 1 1 B
+X PH24 E3 900 -1550 300 R 50 50 1 1 B
+X PH26 F3 900 -1350 300 R 50 50 1 1 B
+X GND_DRAM G3 -2850 -3150 300 R 50 50 1 1 I
+X SVREF0 H3 -4050 -250 300 R 50 50 1 1 I
+X ODT1 J3 -4050 -3250 300 R 50 50 1 1 B
+X SBA0 K3 -2850 1450 300 R 50 50 1 1 O
+X SA10 L3 -2850 1950 300 R 50 50 1 1 O
+X SA7 M3 -2850 2250 300 R 50 50 1 1 O
+X SCKE0 N3 -4050 -1250 300 R 50 50 1 1 O
+X SA12 P3 -2850 1750 300 R 50 50 1 1 O
+X SA14 R3 -2850 1550 300 R 50 50 1 1 O
+X SWE T3 -4050 -1850 300 R 50 50 1 1 B
+X SCAS U3 -4050 -1650 300 R 50 50 1 1 B
+X SCS0 V3 -4050 -1350 300 R 50 50 1 1 B
+X SA11 W3 -2850 1850 300 R 50 50 1 1 O
+X SA13 Y3 -2850 1650 300 R 50 50 1 1 O
+X U5TX A4 -300 -3250 300 R 50 50 1 1 B
+X U5RX B4 900 -3250 300 R 50 50 1 1 B
+X PH8 C4 900 -3150 300 R 50 50 1 1 B
+X PH9 D4 900 -3050 300 R 50 50 1 1 B
+X PH25 E4 900 -1450 300 R 50 50 1 1 B
+X PH27 F4 900 -1250 300 R 50 50 1 1 I
+X GND_DRAM G4 -2850 -3050 300 R 50 50 1 1 I
+X SVREF1 H4 -4050 -350 300 R 50 50 1 1 I
+X SCKE1 J4 -4050 -3050 300 R 50 50 1 1 O
+X SBA2 K4 -2850 1250 300 R 50 50 1 1 O
+X SBA1 L4 -2850 1350 300 R 50 50 1 1 O
+X SA3 M4 -2850 2650 300 R 50 50 1 1 O
+X SA5 N4 -2850 2450 300 R 50 50 1 1 O
+X SA9 P4 -2850 2050 300 R 50 50 1 1 O
+X SA1 R4 -2850 2850 300 R 50 50 1 1 O
+X SRAS T4 -4050 -1750 300 R 50 50 1 1 B
+X SA2 U4 -2850 2750 300 R 50 50 1 1 O
+X SA6 V4 -2850 2350 300 R 50 50 1 1 O
+X SA0 W4 -2850 2950 300 R 50 50 1 1 O
+X SA4 Y4 -2850 2550 300 R 50 50 1 1 O
+X U3CTS A5 -300 -2950 300 R 50 50 1 1 B
+X U4TX B5 -300 -3050 300 R 50 50 1 1 B
+X U4RX C5 -300 -3150 300 R 50 50 1 1 B
+X ERXD3 D5 -1500 -3250 300 R 50 50 1 1 I
+X ERXD2 E5 -1500 -3150 300 R 50 50 1 1 I
+X NMI# F5 3850 1550 300 L 50 50 1 1 I
+X VCC_DRAM G5 2150 -450 300 R 50 50 1 1 I
+X VCC_DRAM H5 2150 -550 300 R 50 50 1 1 I
+X GND_DRAM J5 -2850 -2950 300 R 50 50 1 1 I
+X GND_DRAM K5 -2850 -3250 300 R 50 50 1 1 I
+X VCC_DRAM L5 2150 -650 300 R 50 50 1 1 I
+X VCC_DRAM M5 2150 -750 300 R 50 50 1 1 I
+X GND_DRAM N5 -2850 -2850 300 R 50 50 1 1 I
+X GND_DRAM P5 -2850 -2750 300 R 50 50 1 1 I
+X VCC_DRAM R5 2150 -850 300 R 50 50 1 1 I
+X VCC_DRAM T5 2150 -950 300 R 50 50 1 1 I
+X GND_DRAM U5 -2850 -2650 300 R 50 50 1 1 I
+X GND_DRAM V5 -2850 -2550 300 R 50 50 1 1 I
+X VCC_DRAM W5 2150 -1050 300 R 50 50 1 1 I
+X SVREF2 Y5 -4050 -450 300 R 50 50 1 1 I
+X U3TX A6 -300 -2650 300 R 50 50 1 1 B
+X U3RX B6 -300 -2750 300 R 50 50 1 1 B
+X U3RTS C6 -300 -2850 300 R 50 50 1 1 B
+X ERXD1 D6 -1500 -3050 300 R 50 50 1 1 O
+X ERXD0 E6 -1500 -2950 300 R 50 50 1 1 I
+X VCC_DRAM W6 2150 -1150 300 R 50 50 1 1 I
+X VCC_DRAM Y6 2150 -1350 300 R 50 50 1 1 I
+X PB22 A7 -1500 750 300 R 50 50 1 1 I
+X PB23 B7 -1500 850 300 R 50 50 1 1 I
+X PB21 C7 -1500 650 300 R 50 50 1 1 I
+X ETXD3 D7 -1500 -2850 300 R 50 50 1 1 I
+X ETXD2 E7 -1500 -2750 300 R 50 50 1 1 I
+X VCC_DRAM W7 2150 -1250 300 R 50 50 1 1 I
+X GND_DRAM Y7 -2850 -2450 300 R 50 50 1 1 I
+X PB18 A8 -1500 350 300 R 50 50 1 1 I
+X PB19 B8 -1500 450 300 R 50 50 1 1 I
+X PB20 C8 -1500 550 300 R 50 50 1 1 I
+X ETXD1 D8 -1500 -2650 300 R 50 50 1 1 I
+X ETXD0 E8 -1500 -2550 300 R 50 50 1 1 I
+X VCC H8 2150 350 300 R 50 50 1 1 I
+X VCC J8 2150 -50 300 R 50 50 1 1 I
+X RTC_VDD K8 2150 2050 300 R 50 50 1 1 I
+X VDD_INT L8 2150 -2950 300 R 50 50 1 1 I
+X VDD_DLL M8 2150 -2250 300 R 50 50 1 1 I
+X NC N8 900 1150 300 R 50 50 1 1 I
+X NC P8 900 1250 300 R 50 50 1 1 I
+X NC R8 900 1350 300 R 50 50 1 1 I
+X VDD_INT T8 2150 -3250 300 R 50 50 1 1 I
+X UBOOT_SEL W8 3850 1350 300 L 50 50 1 1 I
+X GND_DRAM Y8 -2850 -2350 300 R 50 50 1 1 I
+X PB16 A9 -1500 150 300 R 50 50 1 1 I
+X PB17 B9 -1500 250 300 R 50 50 1 1 I
+X PB12 C9 -1500 -250 300 R 50 50 1 1 I
+X ERXCK D9 -1500 -2450 300 R 50 50 1 1 I
+X ERXERR E9 -1500 -2350 300 R 50 50 1 1 I
+X VCC H9 2150 250 300 R 50 50 1 1 I
+X VCC J9 2150 -150 300 R 50 50 1 1 I
+X VDD_INT K9 2150 -2750 300 R 50 50 1 1 I
+X VDD_INT L9 2150 -2850 300 R 50 50 1 1 I
+X GND_DLL M9 -2850 -2250 300 R 50 50 1 1 I
+X VDD_DLL N9 2150 -2150 300 R 50 50 1 1 I
+X VDD_DLL P9 2150 -2050 300 R 50 50 1 1 I
+X VDD_INT R9 2150 -3150 300 R 50 50 1 1 I
+X GND T9 -2850 -1650 300 R 50 50 1 1 I
+X GND_LVDS W9 -2850 -1750 300 R 50 50 1 1 I
+X LCD0_CLK Y9 -300 150 300 R 50 50 1 1 B
+X PB14 A10 -1500 -50 300 R 50 50 1 1 I
+X PB15 B10 -1500 50 300 R 50 50 1 1 I
+X PB11 C10 -1500 -350 300 R 50 50 1 1 I
+X ERXDV D10 -1500 -2250 300 R 50 50 1 1 O
+X EMDC E10 -1500 -2150 300 R 50 50 1 1 I
+X VCC H10 2150 150 300 R 50 50 1 1 I
+X VCC J10 2150 -250 300 R 50 50 1 1 I
+X VDD_INT K10 2150 -2650 300 R 50 50 1 1 I
+X GND L10 -2850 -150 300 R 50 50 1 1 I
+X GND M10 -2850 -450 300 R 50 50 1 1 I
+X GND_DLL N10 -2850 -2150 300 R 50 50 1 1 I
+X GND_DLL P10 -2850 -2050 300 R 50 50 1 1 I
+X VDD_INT R10 2150 -3050 300 R 50 50 1 1 I
+X JTAG_SEL T10 3850 1450 300 L 50 50 1 1 I
+X GND_LVDS W10 -2850 -1850 300 R 50 50 1 1 I
+X LCD0_D20 Y10 -300 550 300 R 50 50 1 1 B
+X PI0 A20 900 -1150 300 R 50 50 1 1 I
+X PI1 B20 900 -1050 300 R 50 50 1 1 I
+X PG7 C20 -300 -2150 300 R 50 50 1 1 I
+X PG4 D20 -300 -1850 300 R 50 50 1 1 I
+X PG2 E20 -300 -1650 300 R 50 50 1 1 I
+X PG0 F20 -300 -1450 300 R 50 50 1 1 I
+X PC15 G20 -1500 2450 300 R 50 50 1 1 I
+X PC13 H20 -1500 2250 300 R 50 50 1 1 I
+X PC22 J20 -300 2850 300 R 50 50 1 1 I
+X SDC0_D3 K20 3850 -1650 300 L 50 50 1 1 B
+X SDC0_CLK L20 3850 -1850 300 L 50 50 1 1 O
+X SDC0_D1 M20 3850 -2050 300 L 50 50 1 1 B
+X DM0 N20 3850 -1250 300 L 50 50 1 1 B
+X DM1 P20 3850 -1050 300 L 50 50 1 1 B
+X DM2 R20 3850 -850 300 L 50 50 1 1 B
+X NC T20 900 2050 300 R 50 50 1 1 I
+X NC U20 900 2750 300 R 50 50 1 1 I
+X VRA2 V20 3850 850 300 L 50 50 1 1 I
+X VRA1 W20 3850 950 300 L 50 50 1 1 I
+X FMINL Y20 3850 -1350 300 L 50 50 1 1 I
+X PB8 A11 -1500 -650 300 R 50 50 1 1 I
+X PB13 B11 -1500 -150 300 R 50 50 1 1 I
+X PB10 C11 -1500 -450 300 R 50 50 1 1 I
+X EMDIO D11 -1500 -2050 300 R 50 50 1 1 I
+X ETXEN E11 -1500 -1950 300 R 50 50 1 1 I
+X VDD_CPU H11 2150 -1750 300 R 50 50 1 1 I
+X GND J11 -2850 250 300 R 50 50 1 1 W
+X GND K11 -2850 150 300 R 50 50 1 1 W
+X GND L11 -2850 -250 300 R 50 50 1 1 I
+X GND M11 -2850 -550 300 R 50 50 1 1 I
+X GND N11 -2850 -850 300 R 50 50 1 1 I
+X GND P11 -2850 -1050 300 R 50 50 1 1 I
+X GND R11 -2850 -1250 300 R 50 50 1 1 I
+X GND T11 -2850 -1450 300 R 50 50 1 1 I
+X GND_LVDS W11 -2850 -1950 300 R 50 50 1 1 I
+X LCD0_D18 Y11 -300 750 300 R 50 50 1 1 B
+X PE11 A21 -300 -1350 300 R 50 50 1 1 I
+X PE10 B21 -300 -1250 300 R 50 50 1 1 I
+X PG5 C21 -300 -1950 300 R 50 50 1 1 I
+X PG3 D21 -300 -1750 300 R 50 50 1 1 I
+X PG1 E21 -300 -1550 300 R 50 50 1 1 I
+X PC24 F21 -300 2650 300 R 50 50 1 1 I
+X PC14 G21 -1500 2350 300 R 50 50 1 1 I
+X PC12 H21 -1500 2150 300 R 50 50 1 1 I
+X PC21 J21 -300 2950 300 R 50 50 1 1 I
+X PC20 K21 -1500 2950 300 R 50 50 1 1 I
+X PC19 L21 -1500 2850 300 R 50 50 1 1 I
+X PC16 M21 -1500 2550 300 R 50 50 1 1 I
+X DP0 N21 3850 -1150 300 L 50 50 1 1 B
+X DP1 P21 3850 -950 300 L 50 50 1 1 B
+X DP2 R21 3850 -750 300 L 50 50 1 1 B
+X NC T21 900 2950 300 R 50 50 1 1 I
+X NC U21 900 2850 300 R 50 50 1 1 I
+X NC V21 900 2650 300 R 50 50 1 1 I
+X VRP W21 3850 750 300 L 50 50 1 1 I
+X FMINR Y21 3850 -1450 300 L 50 50 1 1 I
+X SDQ12 AA1 -4050 1750 300 R 50 50 1 1 B
+X SDQS1 AB1 -4050 -850 300 R 50 50 1 1 O
+X SDQ15 AC1 -4050 1450 300 R 50 50 1 1 B
+X PB6 A12 -1500 -850 300 R 50 50 1 1 I
+X PB7 B12 -1500 -750 300 R 50 50 1 1 I
+X PB9 C12 -1500 -550 300 R 50 50 1 1 I
+X ETXCK D12 -1500 -1850 300 R 50 50 1 1 I
+X ECRS E12 -1500 -1750 300 R 50 50 1 1 I
+X VDD_CPU H12 2150 -1650 300 R 50 50 1 1 I
+X VDD_CPU J12 2150 -1950 300 R 50 50 1 1 I
+X GND K12 -2850 50 300 R 50 50 1 1 I
+X GND L12 -2850 -350 300 R 50 50 1 1 I
+X GND M12 -2850 -650 300 R 50 50 1 1 I
+X GND N12 -2850 -950 300 R 50 50 1 1 I
+X GND P12 -2850 -1150 300 R 50 50 1 1 I
+X GND R12 -2850 -1350 300 R 50 50 1 1 I
+X GND T12 -2850 -1550 300 R 50 50 1 1 I
+X VCC_LVDS W12 2150 650 300 R 50 50 1 1 I
+X LCD0_D16 Y12 -300 950 300 R 50 50 1 1 B
+X PE9 A22 -300 -1150 300 R 50 50 1 1 I
+X PE7 B22 -300 -950 300 R 50 50 1 1 I
+X PE5 C22 -300 -750 300 R 50 50 1 1 I
+X PE3 D22 -300 -550 300 R 50 50 1 1 I
+X PE1 E22 -300 -350 300 R 50 50 1 1 I
+X PC18 F22 -1500 2750 300 R 50 50 1 1 I
+X PC11 G22 -1500 2050 300 R 50 50 1 1 I
+X PC9 H22 -1500 1850 300 R 50 50 1 1 I
+X PC7 J22 -1500 1650 300 R 50 50 1 1 I
+X PC5 K22 -1500 1450 300 R 50 50 1 1 I
+X PC3 L22 -1500 1250 300 R 50 50 1 1 I
+X PC1 M22 -1500 1050 300 R 50 50 1 1 B
+X CLK24m_OUT N22 3850 1950 300 L 50 50 1 1 B
+X HPD_HDMI P22 3850 -3250 300 L 50 50 1 1 B
+X SDA_HDMI R22 3850 -3150 300 L 50 50 1 1 B
+X TX2N_HDMI T22 3850 -2250 300 L 50 50 1 1 B
+X TX1N_HDMI U22 3850 -2450 300 L 50 50 1 1 B
+X TX0N_HDMI V22 3850 -2650 300 L 50 50 1 1 B
+X TXCN_HDMI W22 3850 -2850 300 L 50 50 1 1 B
+X XP_TP Y22 3850 2550 300 L 50 50 1 1 I
+X SDQS1# AA2 -4050 -950 300 R 50 50 1 1 O
+X SDQ8 AB2 -4050 2150 300 R 50 50 1 1 B
+X SDQ10 AC2 -4050 1950 300 R 50 50 1 1 B
+X PB4 A13 -1500 -1050 300 R 50 50 1 1 I
+X PB5 B13 -1500 -950 300 R 50 50 1 1 I
+X ETXERR C13 -1500 -1550 300 R 50 50 1 1 I
+X ECOL D13 -1500 -1650 300 R 50 50 1 1 I
+X PI21 E13 900 950 300 R 50 50 1 1 I
+X VDD_CPU H13 2150 -1550 300 R 50 50 1 1 I
+X VDD_CPU J13 2150 -1850 300 R 50 50 1 1 I
+X GND K13 -2850 -50 300 R 50 50 1 1 I
+X UGND_T L13 -2850 750 300 R 50 50 1 1 I
+X GND M13 -2850 -750 300 R 50 50 1 1 I
+X GND_SATA N13 -2850 350 300 R 50 50 1 1 W
+X GND_HDMI P13 2150 2250 300 R 50 50 1 1 I
+X GND_HDMI R13 2150 2350 300 R 50 50 1 1 I
+X VP_HDMI T13 2150 1850 300 R 50 50 1 1 B
+X VCC_LVDS W13 2150 550 300 R 50 50 1 1 I
+X LCD0_D14 Y13 -300 1150 300 R 50 50 1 1 B
+X PE8 A23 -300 -1050 300 R 50 50 1 1 I
+X PE6 B23 -300 -850 300 R 50 50 1 1 I
+X PE4 C23 -300 -650 300 R 50 50 1 1 I
+X PE2 D23 -300 -450 300 R 50 50 1 1 I
+X PE0 E23 -300 -250 300 R 50 50 1 1 I
+X PC17 F23 -1500 2650 300 R 50 50 1 1 I
+X PC10 G23 -1500 1950 300 R 50 50 1 1 I
+X PC8 H23 -1500 1750 300 R 50 50 1 1 I
+X PC6 J23 -1500 1550 300 R 50 50 1 1 I
+X PC4 K23 -1500 1350 300 R 50 50 1 1 I
+X PC2 L23 -1500 1150 300 R 50 50 1 1 B
+X PC0 M23 -1500 950 300 R 50 50 1 1 B
+X CLK24M_IN N23 3850 2050 300 L 50 50 1 1 B
+X CEC_HDMI P23 3850 -2950 300 L 50 50 1 1 B
+X SCL_HDMI R23 3850 -3050 300 L 50 50 1 1 B
+X TX2P_HDMI T23 3850 -2150 300 L 50 50 1 1 B
+X TX1P_HDMI U23 3850 -2350 300 L 50 50 1 1 B
+X TX0P_HDMI V23 3850 -2550 300 L 50 50 1 1 B
+X TXCP_HDMI W23 3850 -2750 300 L 50 50 1 1 B
+X YP_TP Y23 3850 2750 300 L 50 50 1 1 I
+X SA8 AA3 -2850 2150 300 R 50 50 1 1 O
+X SDQ13 AB3 -4050 1650 300 R 50 50 1 1 B
+X SDQ7 AC3 -4050 2250 300 R 50 50 1 1 B
+X PB2 A14 -1500 -1250 300 R 50 50 1 1 I
+X PB3 B14 -1500 -1150 300 R 50 50 1 1 I
+X RESET# C14 3850 1650 300 L 50 50 1 1 I
+X PI19 D14 900 750 300 R 50 50 1 1 I
+X PI20 E14 900 850 300 R 50 50 1 1 I
+X VDD_CPU H14 2150 -1450 300 R 50 50 1 1 I
+X VCC J14 2150 -350 300 R 50 50 1 1 I
+X ULGND K14 -2850 650 300 R 50 50 1 1 I
+X UGND_C L14 -2850 850 300 R 50 50 1 1 I
+X GND_SATA M14 -2850 450 300 R 50 50 1 1 W
+X GND_SATA N14 -2850 550 300 R 50 50 1 1 W
+X GND_HDMI P14 2150 2450 300 R 50 50 1 1 I
+X NC R14 900 2250 300 R 50 50 1 1 I
+X NC T14 900 2150 300 R 50 50 1 1 I
+X VCC_LVDS W14 2150 450 300 R 50 50 1 1 I
+X LCD0_D12 Y14 -300 1350 300 R 50 50 1 1 B
+X SCS1 AA4 -4050 -3150 300 R 50 50 1 1 B
+X SDQ0 AB4 -4050 2950 300 R 50 50 1 1 B
+X SDQ2 AC4 -4050 2750 300 R 50 50 1 1 B
+X PB0 A15 -1500 -1450 300 R 50 50 1 1 I
+X PB1 B15 -1500 -1350 300 R 50 50 1 1 I
+X PI14 C15 900 250 300 R 50 50 1 1 I
+X PI15 D15 900 350 300 R 50 50 1 1 I
+X PI18 E15 900 650 300 R 50 50 1 1 I
+X VCC H15 2150 50 300 R 50 50 1 1 I
+X VDD_INT J15 2150 -2450 300 R 50 50 1 1 I
+X VDD_INT K15 2150 -2550 300 R 50 50 1 1 I
+X UVCC_T L15 2150 1350 300 R 50 50 1 1 I
+X NC M15 3850 -650 300 L 50 50 1 1 I
+X NC N15 900 1850 300 R 50 50 1 1 I
+X PLLGND P15 -2850 950 300 R 50 50 1 1 I
+X NC R15 900 1450 300 R 50 50 1 1 I
+X PLL_TEST T15 3850 2350 300 L 50 50 1 1 I
+X VCC33_TVO W15 2150 1550 300 R 50 50 1 1 I
+X LCD0_D10 Y15 -300 1550 300 R 50 50 1 1 B
+X ODT0 AA5 -4050 -2050 300 R 50 50 1 1 B
+X SDQ5 AB5 -4050 2450 300 R 50 50 1 1 B
+X SDQSO# AC5 -4050 -750 300 R 50 50 1 1 O
+X PI8 A16 900 -350 300 R 50 50 1 1 I
+X PI9 B16 900 -250 300 R 50 50 1 1 I
+X PI12 C16 900 50 300 R 50 50 1 1 I
+X PI13 D16 900 150 300 R 50 50 1 1 I
+X PI17 E16 900 550 300 R 50 50 1 1 I
+X TEST H16 3850 2450 300 L 50 50 1 1 I
+X VDD_INT J16 2150 -2350 300 R 50 50 1 1 I
+X ULVDD K16 3850 2950 300 L 50 50 1 1 I
+X UVCC_C L16 2150 1250 300 R 50 50 1 1 I
+X NC M16 900 2350 300 R 50 50 1 1 I
+X NC N16 900 1750 300 R 50 50 1 1 I
+X PLLVP25 P16 2150 1450 300 R 50 50 1 1 I
+X NC R16 900 1650 300 R 50 50 1 1 I
+X NC T16 900 1550 300 R 50 50 1 1 I
+X VCC33_TVIN W16 2150 1650 300 R 50 50 1 1 I
+X HPVCCIN Y16 2150 1750 300 R 50 50 1 1 I
+X SRST AA6 -4050 -1950 300 R 50 50 1 1 B
+X SDQS0 AB6 -4050 -650 300 R 50 50 1 1 O
+X SDQM0 AC6 -4050 -1050 300 R 50 50 1 1 O
+X PI6 A17 900 -550 300 R 50 50 1 1 I
+X PI7 B17 900 -450 300 R 50 50 1 1 I
+X PI10 C17 900 -150 300 R 50 50 1 1 I
+X PI11 D17 900 -50 300 R 50 50 1 1 I
+X PI16 E17 900 450 300 R 50 50 1 1 I
+X NC W17 900 1050 300 R 50 50 1 1 I
+X TVIN3 Y17 2150 2650 300 R 50 50 1 1 I
+X SZQ AA7 -4050 -2150 300 R 50 50 1 1 B
+X SDQ6 AB7 -4050 2350 300 R 50 50 1 1 B
+X SDQ1 AC7 -4050 2850 300 R 50 50 1 1 B
+X PI4 A18 900 -750 300 R 50 50 1 1 I
+X PI5 B18 900 -650 300 R 50 50 1 1 I
+X PG11 C18 -300 -2550 300 R 50 50 1 1 I
+X PG10 D18 -300 -2450 300 R 50 50 1 1 I
+X VCC_CSI0 E18 2150 1150 300 R 50 50 1 1 I
+X GND33_TV W18 2150 2550 300 R 50 50 1 1 I
+X NC Y18 -4050 -2350 300 R 50 50 1 1 I
+X SVREF3 AA8 -4050 -550 300 R 50 50 1 1 I
+X SDQ3 AB8 -4050 2650 300 R 50 50 1 1 B
+X SDQ4 AC8 -4050 2550 300 R 50 50 1 1 B
+X PI2 A19 900 -950 300 R 50 50 1 1 I
+X PI3 B19 900 -850 300 R 50 50 1 1 I
+X PG9 C19 -300 -2350 300 R 50 50 1 1 I
+X PG8 D19 -300 -2250 300 R 50 50 1 1 I
+X PG6 E19 -300 -2050 300 R 50 50 1 1 I
+X VCC_CSI1 F19 2150 1050 300 R 50 50 1 1 I
+X PC23 G19 -300 2750 300 R 50 50 1 1 I
+X VCC_NAND H19 2150 850 300 R 50 50 1 1 I
+X VCC_NAND J19 2150 950 300 R 50 50 1 1 I
+X SDC0_D2 K19 3850 -1550 300 L 50 50 1 1 B
+X SDC0_CMD L19 3850 -1750 300 L 50 50 1 1 B
+X SDC0_D0 M19 3850 -1950 300 L 50 50 1 1 B
+X VCC_CARD N19 2150 750 300 R 50 50 1 1 I
+X NC P19 900 2550 300 R 50 50 1 1 I
+X NC R19 900 2450 300 R 50 50 1 1 I
+X AVCC T19 2150 2150 300 R 50 50 1 1 I
+X AGND U19 -2850 1150 300 R 50 50 1 1 I
+X HPGND V19 -2850 1050 300 R 50 50 1 1 I
+X HPR W19 3850 450 300 L 50 50 1 1 I
+X HPL Y19 3850 350 300 L 50 50 1 1 I
+X LCD0_DE AA9 -300 50 300 R 50 50 1 1 B
+X LCD0_HSYNC AB9 -300 -50 300 R 50 50 1 1 B
+X LCD0_VSYNC AC9 -300 -150 300 R 50 50 1 1 B
+X LCD0_D21 AA10 -300 450 300 R 50 50 1 1 B
+X LCD0_D22 AB10 -300 350 300 R 50 50 1 1 B
+X LCD0_D23 AC10 -300 250 300 R 50 50 1 1 B
+X HPCOMFB AA20 3850 150 300 L 50 50 1 1 I
+X LINEINL AB20 3850 550 300 L 50 50 1 1 I
+X MICIN1 AC20 3850 1050 300 L 50 50 1 1 I
+X LCD0_D19 AA11 -300 650 300 R 50 50 1 1 B
+X LCD0_D8 AB11 -300 1750 300 R 50 50 1 1 B
+X LCD0_D9 AC11 -300 1650 300 R 50 50 1 1 B
+X VMIC AA21 3850 1250 300 L 50 50 1 1 I
+X LINEINR AB21 3850 650 300 L 50 50 1 1 I
+X MICIN2 AC21 3850 1150 300 L 50 50 1 1 I
+X LCD0_D17 AA12 -300 850 300 R 50 50 1 1 B
+X LCD0_D6 AB12 -300 1950 300 R 50 50 1 1 B
+X LCD0_D7 AC12 -300 1850 300 R 50 50 1 1 B
+X XN_TP AA22 3850 2650 300 L 50 50 1 1 I
+X LRADC1 AB22 3850 -50 300 L 50 50 1 1 I
+X MIC1OUTP AC22 3850 1850 300 L 50 50 1 1 B
+X LCD0_D15 AA13 -300 1050 300 R 50 50 1 1 B
+X LCD0_D4 AB13 -300 2150 300 R 50 50 1 1 B
+X LCD0_D5 AC13 -300 2050 300 R 50 50 1 1 B
+X YN_TP AA23 3850 2850 300 L 50 50 1 1 I
+X LRADC0 AB23 3850 50 300 L 50 50 1 1 I
+X MIC1OUTN AC23 3850 1750 300 L 50 50 1 1 B
+X LCD0_D13 AA14 -300 1250 300 R 50 50 1 1 B
+X LCD0_D2 AB14 -300 2350 300 R 50 50 1 1 B
+X LCD0_D3 AC14 -300 2250 300 R 50 50 1 1 B
+X LCD0_D11 AA15 -300 1450 300 R 50 50 1 1 B
+X LCD0_D0 AB15 -300 2550 300 R 50 50 1 1 B
+X LCD0_D1 AC15 -300 2450 300 R 50 50 1 1 B
+X NC AA16 3850 -550 300 L 50 50 1 1 I
+X TVOUT1 AB16 3850 -250 300 L 50 50 1 1 O
+X TVOUT0 AC16 3850 -150 300 L 50 50 1 1 O
+X TVIN2 AA17 2150 2750 300 R 50 50 1 1 I
+X TVOUT3 AB17 3850 -450 300 L 50 50 1 1 O
+X TVOUT2 AC17 3850 -350 300 L 50 50 1 1 O
+X NC AA18 -4050 -2250 300 R 50 50 1 1 I
+X TVIN1 AB18 2150 2850 300 R 50 50 1 1 I
+X TVIN0 AC18 2150 2950 300 R 50 50 1 1 I
+X HPCOM AA19 3850 250 300 L 50 50 1 1 I
+X NC AB19 900 1950 300 R 50 50 1 1 I
+X HPVCC AC19 2150 1950 300 R 50 50 1 1 I
+X SDQ5 80 -4050 2450 300 R 50 50 2 1 B
+X SDQ16 90 -4050 1350 300 R 50 50 2 1 B
+X SDQ6 81 -4050 2350 300 R 50 50 2 1 B
+X SDQ17 91 -4050 1250 300 R 50 50 2 1 B
+X SDQ8 82 -4050 2150 300 R 50 50 2 1 B
+X SDQ18 92 -4050 1150 300 R 50 50 2 1 B
+X SDQS3# J2 -4050 -2750 300 R 50 50 2 1 O
+X SDQ9 83 -4050 2050 300 R 50 50 2 1 B
+X SDQ19 93 -4050 1050 300 R 50 50 2 1 B
+X GND_DDR G3 -5000 50 300 R 50 50 2 1 I
+X ODT1 J3 -4050 -2450 300 R 50 50 2 1 B
+X SDQ10 84 -4050 1950 300 R 50 50 2 1 B
+X SDQ20 94 -4050 950 300 R 50 50 2 1 B
+X GND_DRAM G4 -2850 -3050 300 R 50 50 2 1 I
+X SDQ0 75 -4050 2950 300 R 50 50 2 1 B
+X SDQ11 85 -4050 1850 300 R 50 50 2 1 B
+X SDQ21 95 -4050 850 300 R 50 50 2 1 B
+X VCC_DRAM G5 2150 -450 300 R 50 50 2 1 I
+X GND_DRAM J5 -2850 -2950 300 R 50 50 2 1 I
+X GND_DRAM N5 -2850 -2850 300 R 50 50 2 1 I
+X GND_DRAM P5 -2850 -2750 300 R 50 50 2 1 I
+X GND_DRAM U5 -2850 -2650 300 R 50 50 2 1 I
+X GND_DRAM V5 -2850 -2550 300 R 50 50 2 1 I
+X VCC_DRAM W5 2150 -1050 300 R 50 50 2 1 I
+X SDQ1 76 -4050 2850 300 R 50 50 2 1 B
+X SDQ12 86 -4050 1750 300 R 50 50 2 1 B
+X SDQ22 96 -4050 750 300 R 50 50 2 1 B
+X VCC_DRAM W6 2150 -1150 300 R 50 50 2 1 I
+X VCC_DRAM Y6 2150 -1350 300 R 50 50 2 1 I
+X SDQ2 77 -4050 2750 300 R 50 50 2 1 B
+X SDQ13 87 -4050 1650 300 R 50 50 2 1 B
+X SDQ23 97 -4050 650 300 R 50 50 2 1 B
+X VCC_DRAM W7 2150 -1250 300 R 50 50 2 1 I
+X GND_DRAM Y7 -2850 -2450 300 R 50 50 2 1 I
+X SDQ3 78 -4050 2650 300 R 50 50 2 1 B
+X SDQ14 88 -4050 1550 300 R 50 50 2 1 B
+X SDQ24 98 -4050 550 300 R 50 50 2 1 B
+X GND_DRAM Y8 -2850 -2350 300 R 50 50 2 1 I
+X SDQ4 79 -4050 2550 300 R 50 50 2 1 B
+X SDQ15 89 -4050 1450 300 R 50 50 2 1 B
+X SDQ25 99 -4050 450 300 R 50 50 2 1 B
+X SDQ26 100 -4050 350 300 R 50 50 2 1 B
+X VCC_DRAM 110 2150 -850 300 R 50 50 2 1 I
+X ODT0 120 -4050 -2550 300 R 50 50 2 1 B
+X SCK 130 -4050 -1350 300 R 50 50 2 1 O
+X SA8 140 -2850 2150 300 R 50 50 2 1 O
+X SDQ27 101 -4050 250 300 R 50 50 2 1 B
+X VCC_DRAM 111 2150 -950 300 R 50 50 2 1 I
+X ODT1 121 -4050 -2450 300 R 50 50 2 1 B
+X SBA2 131 -2850 1250 300 R 50 50 2 1 O
+X SA7 141 -2850 2250 300 R 50 50 2 1 O
+X SDQ28 102 -4050 150 300 R 50 50 2 1 B
+X SDQS1 112 -4050 -850 300 R 50 50 2 1 O
+X TVIN1 122 2150 2850 300 R 50 50 2 1 I
+X SBA1 132 -2850 1350 300 R 50 50 2 1 O
+X SA6 142 -2850 2350 300 R 50 50 2 1 O
+X SDQ29 103 -4050 50 300 R 50 50 2 1 B
+X SDQSO# 113 -4050 -750 300 R 50 50 2 1 O
+X SDQS3 123 -4050 -2650 300 R 50 50 2 1 O
+X SBA0 133 -2850 1450 300 R 50 50 2 1 O
+X SA5 143 -2850 2450 300 R 50 50 2 1 O
+X SDQ30 104 -4050 -50 300 R 50 50 2 1 B
+X SDQS0 114 -4050 -650 300 R 50 50 2 1 O
+X SDQS2# 124 -4050 -2550 300 R 50 50 2 1 O
+X SA14 134 -2850 1550 300 R 50 50 2 1 O
+X SA4 144 -2850 2550 300 R 50 50 2 1 O
+X SDQ31 105 -4050 -150 300 R 50 50 2 1 B
+X SDQM3 115 -4050 -2950 300 R 50 50 2 1 O
+X SDQS2 125 -4050 -2450 300 R 50 50 2 1 O
+X SA13 135 -2850 1650 300 R 50 50 2 1 O
+X SA3 145 -2850 2650 300 R 50 50 2 1 O
+X TVIN1 AA5 2150 2850 300 R 50 50 2 1 I
+X SDQ7 106 -4050 2250 300 R 50 50 2 1 B
+X SDQM2 116 -4050 -2850 300 R 50 50 2 1 O
+X SDQS1# 126 -4050 -950 300 R 50 50 2 1 O
+X SA12 136 -2850 1750 300 R 50 50 2 1 O
+X SA2 146 -2850 2750 300 R 50 50 2 1 O
+X VCC_DRAM 107 2150 -550 300 R 50 50 2 1 I
+X SDQM1 117 -4050 -1150 300 R 50 50 2 1 O
+X TVIN0 127 2150 2950 300 R 50 50 2 1 I
+X SA11 137 -2850 1850 300 R 50 50 2 1 O
+X SA1 147 -2850 2850 300 R 50 50 2 1 O
+X VCC_DRAM 108 2150 -650 300 R 50 50 2 1 I
+X SDQM0 118 -4050 -1050 300 R 50 50 2 1 O
+X SCKE0 128 -4950 -3300 300 R 50 50 2 1 O
+X SA10 138 -2850 1950 300 R 50 50 2 1 O
+X SA0 148 -2850 2950 300 R 50 50 2 1 O
+X VCC_DRAM 109 2150 -750 300 R 50 50 2 1 I
+X TVIN1 119 2150 2850 300 R 50 50 2 1 I
+X SCK# 129 -4950 -3200 300 R 50 50 2 1 O
+X SA9 139 -2850 2050 300 R 50 50 2 1 O
+X SDQS3# J2 -4050 -2750 300 R 50 50 3 1 O
+X GND_DDR G3 -5000 50 300 R 50 50 3 1 I
+X ODT1 J3 -4050 -2450 300 R 50 50 3 1 B
+X GND_DRAM G4 -2850 -3050 300 R 50 50 3 1 I
+X VCC_DRAM G5 2150 -450 300 R 50 50 3 1 I
+X GND_DRAM J5 -2850 -2950 300 R 50 50 3 1 I
+X GND_DRAM N5 -2850 -2850 300 R 50 50 3 1 I
+X GND_DRAM P5 -2850 -2750 300 R 50 50 3 1 I
+X GND_DRAM U5 -2850 -2650 300 R 50 50 3 1 I
+X GND_DRAM V5 -2850 -2550 300 R 50 50 3 1 I
+X VCC_DRAM W5 2150 -1050 300 R 50 50 3 1 I
+X VCC_DRAM W6 2150 -1150 300 R 50 50 3 1 I
+X VCC_DRAM Y6 2150 -1350 300 R 50 50 3 1 I
+X VCC_DRAM W7 2150 -1250 300 R 50 50 3 1 I
+X GND_DRAM Y7 -2850 -2450 300 R 50 50 3 1 I
+X GND_DRAM Y8 -2850 -2350 300 R 50 50 3 1 I
+X SDQS1# 200 -4050 -950 300 R 50 50 3 1 O
+X SA12 210 -2850 1750 300 R 50 50 3 1 O
+X SA2 220 -2850 2750 300 R 50 50 3 1 O
+X SDQ1 150 -4050 2850 300 R 50 50 3 1 B
+X SDQ12 160 -4050 1750 300 R 50 50 3 1 B
+X SDQ22 170 -4050 750 300 R 50 50 3 1 B
+X SDQ7 180 -4050 2250 300 R 50 50 3 1 B
+X SDQM2 190 -4050 -2850 300 R 50 50 3 1 O
+X TVIN0 201 2150 2950 300 R 50 50 3 1 I
+X SA11 211 -2850 1850 300 R 50 50 3 1 O
+X SA1 221 -2850 2850 300 R 50 50 3 1 O
+X SDQ2 151 -4050 2750 300 R 50 50 3 1 B
+X SDQ13 161 -4050 1650 300 R 50 50 3 1 B
+X SDQ23 171 -4050 650 300 R 50 50 3 1 B
+X VCC_DRAM 181 2150 -550 300 R 50 50 3 1 I
+X SDQM1 191 -4050 -1150 300 R 50 50 3 1 O
+X SCKE0 202 -4950 -3300 300 R 50 50 3 1 O
+X SA10 212 -2850 1950 300 R 50 50 3 1 O
+X SA0 222 -2850 2950 300 R 50 50 3 1 O
+X SDQ3 152 -4050 2650 300 R 50 50 3 1 B
+X SDQ14 162 -4050 1550 300 R 50 50 3 1 B
+X SDQ24 172 -4050 550 300 R 50 50 3 1 B
+X VCC_DRAM 182 2150 -650 300 R 50 50 3 1 I
+X SDQM0 192 -4050 -1050 300 R 50 50 3 1 O
+X SCK# 203 -4950 -3200 300 R 50 50 3 1 O
+X SA9 213 -2850 2050 300 R 50 50 3 1 O
+X SDQ4 153 -4050 2550 300 R 50 50 3 1 B
+X SDQ15 163 -4050 1450 300 R 50 50 3 1 B
+X SDQ25 173 -4050 450 300 R 50 50 3 1 B
+X VCC_DRAM 183 2150 -750 300 R 50 50 3 1 I
+X TVIN1 193 2150 2850 300 R 50 50 3 1 I
+X SCK 204 -4050 -1350 300 R 50 50 3 1 O
+X SA8 214 -2850 2150 300 R 50 50 3 1 O
+X SDQ5 154 -4050 2450 300 R 50 50 3 1 B
+X SDQ16 164 -4050 1350 300 R 50 50 3 1 B
+X SDQ26 174 -4050 350 300 R 50 50 3 1 B
+X VCC_DRAM 184 2150 -850 300 R 50 50 3 1 I
+X ODT0 194 -4050 -2550 300 R 50 50 3 1 B
+X SBA2 205 -2850 1250 300 R 50 50 3 1 O
+X SA7 215 -2850 2250 300 R 50 50 3 1 O
+X SDQ6 155 -4050 2350 300 R 50 50 3 1 B
+X SDQ17 165 -4050 1250 300 R 50 50 3 1 B
+X SDQ27 175 -4050 250 300 R 50 50 3 1 B
+X VCC_DRAM 185 2150 -950 300 R 50 50 3 1 I
+X ODT1 195 -4050 -2450 300 R 50 50 3 1 B
+X TVIN1 AA5 2150 2850 300 R 50 50 3 1 I
+X SBA1 206 -2850 1350 300 R 50 50 3 1 O
+X SA6 216 -2850 2350 300 R 50 50 3 1 O
+X SDQ8 156 -4050 2150 300 R 50 50 3 1 B
+X SDQ18 166 -4050 1150 300 R 50 50 3 1 B
+X SDQ28 176 -4050 150 300 R 50 50 3 1 B
+X SDQS1 186 -4050 -850 300 R 50 50 3 1 O
+X TVIN1 196 2150 2850 300 R 50 50 3 1 I
+X SBA0 207 -2850 1450 300 R 50 50 3 1 O
+X SA5 217 -2850 2450 300 R 50 50 3 1 O
+X SDQ9 157 -4050 2050 300 R 50 50 3 1 B
+X SDQ19 167 -4050 1050 300 R 50 50 3 1 B
+X SDQ29 177 -4050 50 300 R 50 50 3 1 B
+X SDQSO# 187 -4050 -750 300 R 50 50 3 1 O
+X SDQS3 197 -4050 -2650 300 R 50 50 3 1 O
+X SA14 208 -2850 1550 300 R 50 50 3 1 O
+X SA4 218 -2850 2550 300 R 50 50 3 1 O
+X SDQ10 158 -4050 1950 300 R 50 50 3 1 B
+X SDQ20 168 -4050 950 300 R 50 50 3 1 B
+X SDQ30 178 -4050 -50 300 R 50 50 3 1 B
+X SDQS0 188 -4050 -650 300 R 50 50 3 1 O
+X SDQS2# 198 -4050 -2550 300 R 50 50 3 1 O
+X SA13 209 -2850 1650 300 R 50 50 3 1 O
+X SA3 219 -2850 2650 300 R 50 50 3 1 O
+X SDQ0 149 -4050 2950 300 R 50 50 3 1 B
+X SDQ11 159 -4050 1850 300 R 50 50 3 1 B
+X SDQ21 169 -4050 850 300 R 50 50 3 1 B
+X SDQ31 179 -4050 -150 300 R 50 50 3 1 B
+X SDQM3 189 -4050 -2950 300 R 50 50 3 1 O
+X SDQS2 199 -4050 -2450 300 R 50 50 3 1 O
+X SDQS3# J2 -4050 -2750 300 R 50 50 4 1 O
+X GND_DDR G3 -5000 50 300 R 50 50 4 1 I
+X ODT1 J3 -4050 -2450 300 R 50 50 4 1 B
+X GND_DRAM G4 -2850 -3050 300 R 50 50 4 1 I
+X VCC_DRAM G5 2150 -450 300 R 50 50 4 1 I
+X GND_DRAM J5 -2850 -2950 300 R 50 50 4 1 I
+X GND_DRAM N5 -2850 -2850 300 R 50 50 4 1 I
+X GND_DRAM P5 -2850 -2750 300 R 50 50 4 1 I
+X GND_DRAM U5 -2850 -2650 300 R 50 50 4 1 I
+X GND_DRAM V5 -2850 -2550 300 R 50 50 4 1 I
+X VCC_DRAM W5 2150 -1050 300 R 50 50 4 1 I
+X VCC_DRAM W6 2150 -1150 300 R 50 50 4 1 I
+X VCC_DRAM Y6 2150 -1350 300 R 50 50 4 1 I
+X VCC_DRAM W7 2150 -1250 300 R 50 50 4 1 I
+X GND_DRAM Y7 -2850 -2450 300 R 50 50 4 1 I
+X GND_DRAM Y8 -2850 -2350 300 R 50 50 4 1 I
+X SDQ8 230 -4050 2150 300 R 50 50 4 1 B
+X SDQ18 240 -4050 1150 300 R 50 50 4 1 B
+X SDQ28 250 -4050 150 300 R 50 50 4 1 B
+X SDQS1 260 -4050 -850 300 R 50 50 4 1 O
+X TVIN1 270 2150 2850 300 R 50 50 4 1 I
+X SBA1 280 -2850 1350 300 R 50 50 4 1 O
+X SA6 290 -2850 2350 300 R 50 50 4 1 O
+X SDQ9 231 -4050 2050 300 R 50 50 4 1 B
+X SDQ19 241 -4050 1050 300 R 50 50 4 1 B
+X SDQ29 251 -4050 50 300 R 50 50 4 1 B
+X SDQSO# 261 -4050 -750 300 R 50 50 4 1 O
+X SDQS3 271 -4050 -2650 300 R 50 50 4 1 O
+X SBA0 281 -2850 1450 300 R 50 50 4 1 O
+X SA5 291 -2850 2450 300 R 50 50 4 1 O
+X SDQ10 232 -4050 1950 300 R 50 50 4 1 B
+X SDQ20 242 -4050 950 300 R 50 50 4 1 B
+X SDQ30 252 -4050 -50 300 R 50 50 4 1 B
+X SDQS0 262 -4050 -650 300 R 50 50 4 1 O
+X SDQS2# 272 -4050 -2550 300 R 50 50 4 1 O
+X SA14 282 -2850 1550 300 R 50 50 4 1 O
+X SA4 292 -2850 2550 300 R 50 50 4 1 O
+X SDQ0 223 -4050 2950 300 R 50 50 4 1 B
+X SDQ11 233 -4050 1850 300 R 50 50 4 1 B
+X SDQ21 243 -4050 850 300 R 50 50 4 1 B
+X SDQ31 253 -4050 -150 300 R 50 50 4 1 B
+X SDQM3 263 -4050 -2950 300 R 50 50 4 1 O
+X SDQS2 273 -4050 -2450 300 R 50 50 4 1 O
+X SA13 283 -2850 1650 300 R 50 50 4 1 O
+X SA3 293 -2850 2650 300 R 50 50 4 1 O
+X SDQ1 224 -4050 2850 300 R 50 50 4 1 B
+X SDQ12 234 -4050 1750 300 R 50 50 4 1 B
+X SDQ22 244 -4050 750 300 R 50 50 4 1 B
+X SDQ7 254 -4050 2250 300 R 50 50 4 1 B
+X SDQM2 264 -4050 -2850 300 R 50 50 4 1 O
+X SDQS1# 274 -4050 -950 300 R 50 50 4 1 O
+X SA12 284 -2850 1750 300 R 50 50 4 1 O
+X SA2 294 -2850 2750 300 R 50 50 4 1 O
+X SDQ2 225 -4050 2750 300 R 50 50 4 1 B
+X SDQ13 235 -4050 1650 300 R 50 50 4 1 B
+X SDQ23 245 -4050 650 300 R 50 50 4 1 B
+X VCC_DRAM 255 2150 -550 300 R 50 50 4 1 I
+X SDQM1 265 -4050 -1150 300 R 50 50 4 1 O
+X TVIN0 275 2150 2950 300 R 50 50 4 1 I
+X SA11 285 -2850 1850 300 R 50 50 4 1 O
+X SA1 295 -2850 2850 300 R 50 50 4 1 O
+X TVIN1 AA5 2150 2850 300 R 50 50 4 1 I
+X SDQ3 226 -4050 2650 300 R 50 50 4 1 B
+X SDQ14 236 -4050 1550 300 R 50 50 4 1 B
+X SDQ24 246 -4050 550 300 R 50 50 4 1 B
+X VCC_DRAM 256 2150 -650 300 R 50 50 4 1 I
+X SDQM0 266 -4050 -1050 300 R 50 50 4 1 O
+X SCKE0 276 -4950 -3300 300 R 50 50 4 1 O
+X SA10 286 -2850 1950 300 R 50 50 4 1 O
+X SA0 296 -2850 2950 300 R 50 50 4 1 O
+X SDQ4 227 -4050 2550 300 R 50 50 4 1 B
+X SDQ15 237 -4050 1450 300 R 50 50 4 1 B
+X SDQ25 247 -4050 450 300 R 50 50 4 1 B
+X VCC_DRAM 257 2150 -750 300 R 50 50 4 1 I
+X TVIN1 267 2150 2850 300 R 50 50 4 1 I
+X SCK# 277 -4950 -3200 300 R 50 50 4 1 O
+X SA9 287 -2850 2050 300 R 50 50 4 1 O
+X SDQ5 228 -4050 2450 300 R 50 50 4 1 B
+X SDQ16 238 -4050 1350 300 R 50 50 4 1 B
+X SDQ26 248 -4050 350 300 R 50 50 4 1 B
+X VCC_DRAM 258 2150 -850 300 R 50 50 4 1 I
+X ODT0 268 -4050 -2550 300 R 50 50 4 1 B
+X SCK 278 -4050 -1350 300 R 50 50 4 1 O
+X SA8 288 -2850 2150 300 R 50 50 4 1 O
+X SDQ6 229 -4050 2350 300 R 50 50 4 1 B
+X SDQ17 239 -4050 1250 300 R 50 50 4 1 B
+X SDQ27 249 -4050 250 300 R 50 50 4 1 B
+X VCC_DRAM 259 2150 -950 300 R 50 50 4 1 I
+X ODT1 269 -4050 -2450 300 R 50 50 4 1 B
+X SBA2 279 -2850 1250 300 R 50 50 4 1 O
+X SA7 289 -2850 2250 300 R 50 50 4 1 O
+X SDQS3# J2 -4050 -2750 300 R 50 50 5 1 O
+X GND_DDR G3 -5000 50 300 R 50 50 5 1 I
+X ODT1 J3 -4050 -2450 300 R 50 50 5 1 B
+X GND_DRAM G4 -2850 -3050 300 R 50 50 5 1 I
+X VCC_DRAM G5 2150 -450 300 R 50 50 5 1 I
+X GND_DRAM J5 -2850 -2950 300 R 50 50 5 1 I
+X GND_DRAM N5 -2850 -2850 300 R 50 50 5 1 I
+X GND_DRAM P5 -2850 -2750 300 R 50 50 5 1 I
+X GND_DRAM U5 -2850 -2650 300 R 50 50 5 1 I
+X GND_DRAM V5 -2850 -2550 300 R 50 50 5 1 I
+X VCC_DRAM W5 2150 -1050 300 R 50 50 5 1 I
+X VCC_DRAM W6 2150 -1150 300 R 50 50 5 1 I
+X VCC_DRAM Y6 2150 -1350 300 R 50 50 5 1 I
+X VCC_DRAM W7 2150 -1250 300 R 50 50 5 1 I
+X GND_DRAM Y7 -2850 -2450 300 R 50 50 5 1 I
+X GND_DRAM Y8 -2850 -2350 300 R 50 50 5 1 I
+X SDQ3 300 -4050 2650 300 R 50 50 5 1 B
+X SDQ14 310 -4050 1550 300 R 50 50 5 1 B
+X SDQ24 320 -4050 550 300 R 50 50 5 1 B
+X VCC_DRAM 330 2150 -650 300 R 50 50 5 1 I
+X SDQM0 340 -4050 -1050 300 R 50 50 5 1 O
+X SCKE0 350 -4950 -3300 300 R 50 50 5 1 O
+X SA10 360 -2850 1950 300 R 50 50 5 1 O
+X SA0 370 -2850 2950 300 R 50 50 5 1 O
+X SDQ4 301 -4050 2550 300 R 50 50 5 1 B
+X SDQ15 311 -4050 1450 300 R 50 50 5 1 B
+X SDQ25 321 -4050 450 300 R 50 50 5 1 B
+X VCC_DRAM 331 2150 -750 300 R 50 50 5 1 I
+X TVIN1 341 2150 2850 300 R 50 50 5 1 I
+X SCK# 351 -4950 -3200 300 R 50 50 5 1 O
+X SA9 361 -2850 2050 300 R 50 50 5 1 O
+X SDQ5 302 -4050 2450 300 R 50 50 5 1 B
+X SDQ16 312 -4050 1350 300 R 50 50 5 1 B
+X SDQ26 322 -4050 350 300 R 50 50 5 1 B
+X VCC_DRAM 332 2150 -850 300 R 50 50 5 1 I
+X ODT0 342 -4050 -2550 300 R 50 50 5 1 B
+X SCK 352 -4050 -1350 300 R 50 50 5 1 O
+X SA8 362 -2850 2150 300 R 50 50 5 1 O
+X SDQ6 303 -4050 2350 300 R 50 50 5 1 B
+X SDQ17 313 -4050 1250 300 R 50 50 5 1 B
+X SDQ27 323 -4050 250 300 R 50 50 5 1 B
+X VCC_DRAM 333 2150 -950 300 R 50 50 5 1 I
+X ODT1 343 -4050 -2450 300 R 50 50 5 1 B
+X SBA2 353 -2850 1250 300 R 50 50 5 1 O
+X SA7 363 -2850 2250 300 R 50 50 5 1 O
+X SDQ8 304 -4050 2150 300 R 50 50 5 1 B
+X SDQ18 314 -4050 1150 300 R 50 50 5 1 B
+X SDQ28 324 -4050 150 300 R 50 50 5 1 B
+X SDQS1 334 -4050 -850 300 R 50 50 5 1 O
+X TVIN1 344 2150 2850 300 R 50 50 5 1 I
+X SBA1 354 -2850 1350 300 R 50 50 5 1 O
+X SA6 364 -2850 2350 300 R 50 50 5 1 O
+X SDQ9 305 -4050 2050 300 R 50 50 5 1 B
+X SDQ19 315 -4050 1050 300 R 50 50 5 1 B
+X SDQ29 325 -4050 50 300 R 50 50 5 1 B
+X SDQSO# 335 -4050 -750 300 R 50 50 5 1 O
+X SDQS3 345 -4050 -2650 300 R 50 50 5 1 O
+X SBA0 355 -2850 1450 300 R 50 50 5 1 O
+X SA5 365 -2850 2450 300 R 50 50 5 1 O
+X TVIN1 AA5 2150 2850 300 R 50 50 5 1 I
+X SDQ10 306 -4050 1950 300 R 50 50 5 1 B
+X SDQ20 316 -4050 950 300 R 50 50 5 1 B
+X SDQ30 326 -4050 -50 300 R 50 50 5 1 B
+X SDQS0 336 -4050 -650 300 R 50 50 5 1 O
+X SDQS2# 346 -4050 -2550 300 R 50 50 5 1 O
+X SA14 356 -2850 1550 300 R 50 50 5 1 O
+X SA4 366 -2850 2550 300 R 50 50 5 1 O
+X SDQ11 307 -4050 1850 300 R 50 50 5 1 B
+X SDQ21 317 -4050 850 300 R 50 50 5 1 B
+X SDQ31 327 -4050 -150 300 R 50 50 5 1 B
+X SDQM3 337 -4050 -2950 300 R 50 50 5 1 O
+X SDQS2 347 -4050 -2450 300 R 50 50 5 1 O
+X SA13 357 -2850 1650 300 R 50 50 5 1 O
+X SA3 367 -2850 2650 300 R 50 50 5 1 O
+X SDQ0 297 -4050 2950 300 R 50 50 5 1 B
+X SDQ12 308 -4050 1750 300 R 50 50 5 1 B
+X SDQ22 318 -4050 750 300 R 50 50 5 1 B
+X SDQ7 328 -4050 2250 300 R 50 50 5 1 B
+X SDQM2 338 -4050 -2850 300 R 50 50 5 1 O
+X SDQS1# 348 -4050 -950 300 R 50 50 5 1 O
+X SA12 358 -2850 1750 300 R 50 50 5 1 O
+X SA2 368 -2850 2750 300 R 50 50 5 1 O
+X SDQ1 298 -4050 2850 300 R 50 50 5 1 B
+X SDQ13 309 -4050 1650 300 R 50 50 5 1 B
+X SDQ23 319 -4050 650 300 R 50 50 5 1 B
+X VCC_DRAM 329 2150 -550 300 R 50 50 5 1 I
+X SDQM1 339 -4050 -1150 300 R 50 50 5 1 O
+X TVIN0 349 2150 2950 300 R 50 50 5 1 I
+X SA11 359 -2850 1850 300 R 50 50 5 1 O
+X SA1 369 -2850 2850 300 R 50 50 5 1 O
+X SDQ2 299 -4050 2750 300 R 50 50 5 1 B
+X SDQS3# J2 -4050 -2750 300 R 50 50 6 1 O
+X GND_DDR G3 -5000 50 300 R 50 50 6 1 I
+X ODT1 J3 -4050 -2450 300 R 50 50 6 1 B
+X GND_DRAM G4 -2850 -3050 300 R 50 50 6 1 I
+X VCC_DRAM G5 2150 -450 300 R 50 50 6 1 I
+X GND_DRAM J5 -2850 -2950 300 R 50 50 6 1 I
+X GND_DRAM N5 -2850 -2850 300 R 50 50 6 1 I
+X GND_DRAM P5 -2850 -2750 300 R 50 50 6 1 I
+X GND_DRAM U5 -2850 -2650 300 R 50 50 6 1 I
+X GND_DRAM V5 -2850 -2550 300 R 50 50 6 1 I
+X VCC_DRAM W5 2150 -1050 300 R 50 50 6 1 I
+X VCC_DRAM W6 2150 -1150 300 R 50 50 6 1 I
+X VCC_DRAM Y6 2150 -1350 300 R 50 50 6 1 I
+X VCC_DRAM W7 2150 -1250 300 R 50 50 6 1 I
+X GND_DRAM Y7 -2850 -2450 300 R 50 50 6 1 I
+X GND_DRAM Y8 -2850 -2350 300 R 50 50 6 1 I
+X SDQ30 400 -4050 -50 300 R 50 50 6 1 B
+X SDQS0 410 -4050 -650 300 R 50 50 6 1 O
+X SDQS2# 420 -4050 -2550 300 R 50 50 6 1 O
+X SA14 430 -2850 1550 300 R 50 50 6 1 O
+X SA4 440 -2850 2550 300 R 50 50 6 1 O
+X SDQ10 380 -4050 1950 300 R 50 50 6 1 B
+X SDQ20 390 -4050 950 300 R 50 50 6 1 B
+X SDQ31 401 -4050 -150 300 R 50 50 6 1 B
+X SDQM3 411 -4050 -2950 300 R 50 50 6 1 O
+X SDQS2 421 -4050 -2450 300 R 50 50 6 1 O
+X SA13 431 -2850 1650 300 R 50 50 6 1 O
+X SA3 441 -2850 2650 300 R 50 50 6 1 O
+X SDQ0 371 -4050 2950 300 R 50 50 6 1 B
+X SDQ11 381 -4050 1850 300 R 50 50 6 1 B
+X SDQ21 391 -4050 850 300 R 50 50 6 1 B
+X SDQ7 402 -4050 2250 300 R 50 50 6 1 B
+X SDQM2 412 -4050 -2850 300 R 50 50 6 1 O
+X SDQS1# 422 -4050 -950 300 R 50 50 6 1 O
+X SA12 432 -2850 1750 300 R 50 50 6 1 O
+X SDQ1 372 -4050 2850 300 R 50 50 6 1 B
+X SDQ12 382 -4050 1750 300 R 50 50 6 1 B
+X SDQ22 392 -4050 750 300 R 50 50 6 1 B
+X VCC_DRAM 403 2150 -550 300 R 50 50 6 1 I
+X SDQM1 413 -4050 -1150 300 R 50 50 6 1 O
+X TVIN0 423 2150 2950 300 R 50 50 6 1 I
+X SA11 433 -2850 1850 300 R 50 50 6 1 O
+X SDQ2 373 -4050 2750 300 R 50 50 6 1 B
+X SDQ13 383 -4050 1650 300 R 50 50 6 1 B
+X SDQ23 393 -4050 650 300 R 50 50 6 1 B
+X VCC_DRAM 404 2150 -650 300 R 50 50 6 1 I
+X SDQM0 414 -4050 -1050 300 R 50 50 6 1 O
+X SCKE0 424 -4950 -3300 300 R 50 50 6 1 O
+X SA10 434 -2850 1950 300 R 50 50 6 1 O
+X SDQ3 374 -4050 2650 300 R 50 50 6 1 B
+X SDQ14 384 -4050 1550 300 R 50 50 6 1 B
+X SDQ24 394 -4050 550 300 R 50 50 6 1 B
+X VCC_DRAM 405 2150 -750 300 R 50 50 6 1 I
+X TVIN1 415 2150 2850 300 R 50 50 6 1 I
+X SCK# 425 -4950 -3200 300 R 50 50 6 1 O
+X SA9 435 -2850 2050 300 R 50 50 6 1 O
+X SDQ4 375 -4050 2550 300 R 50 50 6 1 B
+X SDQ15 385 -4050 1450 300 R 50 50 6 1 B
+X SDQ25 395 -4050 450 300 R 50 50 6 1 B
+X TVIN1 AA5 2150 2850 300 R 50 50 6 1 I
+X VCC_DRAM 406 2150 -850 300 R 50 50 6 1 I
+X ODT0 416 -4050 -2550 300 R 50 50 6 1 B
+X SCK 426 -4050 -1350 300 R 50 50 6 1 O
+X SA8 436 -2850 2150 300 R 50 50 6 1 O
+X SDQ5 376 -4050 2450 300 R 50 50 6 1 B
+X SDQ16 386 -4050 1350 300 R 50 50 6 1 B
+X SDQ26 396 -4050 350 300 R 50 50 6 1 B
+X VCC_DRAM 407 2150 -950 300 R 50 50 6 1 I
+X ODT1 417 -4050 -2450 300 R 50 50 6 1 B
+X SBA2 427 -2850 1250 300 R 50 50 6 1 O
+X SA7 437 -2850 2250 300 R 50 50 6 1 O
+X SDQ6 377 -4050 2350 300 R 50 50 6 1 B
+X SDQ17 387 -4050 1250 300 R 50 50 6 1 B
+X SDQ27 397 -4050 250 300 R 50 50 6 1 B
+X SDQS1 408 -4050 -850 300 R 50 50 6 1 O
+X TVIN1 418 2150 2850 300 R 50 50 6 1 I
+X SBA1 428 -2850 1350 300 R 50 50 6 1 O
+X SA6 438 -2850 2350 300 R 50 50 6 1 O
+X SDQ8 378 -4050 2150 300 R 50 50 6 1 B
+X SDQ18 388 -4050 1150 300 R 50 50 6 1 B
+X SDQ28 398 -4050 150 300 R 50 50 6 1 B
+X SDQSO# 409 -4050 -750 300 R 50 50 6 1 O
+X SDQS3 419 -4050 -2650 300 R 50 50 6 1 O
+X SBA0 429 -2850 1450 300 R 50 50 6 1 O
+X SA5 439 -2850 2450 300 R 50 50 6 1 O
+X SDQ9 379 -4050 2050 300 R 50 50 6 1 B
+X SDQ19 389 -4050 1050 300 R 50 50 6 1 B
+X SDQ29 399 -4050 50 300 R 50 50 6 1 B
+ENDDRAW
+ENDDEF
+#
+# AXP209
+#
+DEF AXP209 U 0 40 Y Y 1 F N
+F0 "U" 300 1300 60 H V C CNN
+F1 "AXP209" -250 1300 60 H V C CNN
+DRAW
+T 0 250 0 30 0 0 0 "~"  Normal 0 C C
+S -450 1250 350 -1500 0 1 0 N
+X SDA 1 -650 950 200 R 50 50 1 1 B
+X SCK 2 -650 1050 200 R 50 50 1 1 I
+X GPIO3 3 -650 550 200 R 50 50 1 1 B
+X N_OE 4 550 -850 200 L 50 50 1 1 I
+X GPIO2 5 -650 650 200 R 50 50 1 1 B
+X N_VBUSEN 6 550 -750 200 L 50 50 1 1 I
+X VIN2 7 550 550 200 L 50 50 1 1 I
+X LX2 8 550 -450 200 L 50 50 1 1 I
+X PGND2 9 550 -1450 200 L 50 50 1 1 W
+X DCDC2 10 550 -350 200 L 50 50 1 1 w
+X EXTEN 20 550 -1050 200 L 50 50 1 1 O
+X BACKUP 30 -650 450 200 R 50 50 1 1 B
+X LDO3IN 40 550 350 200 L 50 50 1 1 I
+X LDO4 11 550 50 200 L 50 50 1 1 w
+X APS 21 550 150 200 L 50 50 1 1 I
+X VBUS 31 550 -1150 200 L 50 50 1 1 W
+X LDO3 41 550 -50 200 L 50 50 1 1 w
+X LDO2 12 550 -150 200 L 50 50 1 1 w
+X AGND 22 -650 0 200 R 50 50 1 1 W
+X ACIN 32 -650 -800 200 R 50 50 1 1 W
+X BATSENSE 42 -650 -350 200 R 50 50 1 1 I
+X LDO24IN 13 550 250 200 L 50 50 1 1 I
+X BIAS 23 -650 100 200 R 50 50 1 1 B
+X ACIN 33 -650 -700 200 R 50 50 1 1 W
+X CHSENSE 43 -650 -250 200 R 50 50 1 1 O
+X VIN3 14 550 650 200 L 50 50 1 1 I
+X VREF 24 -650 200 200 R 50 50 1 1 O
+X IPSOUT 34 550 750 200 L 50 50 1 1 I
+X VIN1 44 550 450 200 L 50 50 1 1 I
+X LX3 15 550 -650 200 L 50 50 1 1 I
+X PWROK 25 550 1100 200 L 50 50 1 1 O
+X IPSOUT 35 550 850 200 L 50 50 1 1 w
+X LX1 45 -650 -150 200 R 50 50 1 1 B
+X PGND3 16 550 -1350 200 L 50 50 1 1 W
+X VINT 26 -650 -1150 200 R 50 50 1 1 w
+X CHGLED 36 550 1000 200 L 50 50 1 1 O
+X PGND1 46 -650 -900 200 R 50 50 1 1 W
+X DCDC3 17 550 -550 200 L 50 50 1 1 w
+X LDO1SET 27 550 -1250 200 L 50 50 1 1 I
+X TS 37 -650 -1250 200 R 50 50 1 1 I
+X PWRON 47 -650 -1000 200 R 50 50 1 1 I
+X GPIO1 18 -650 750 200 R 50 50 1 1 O
+X LDO1 28 550 -250 200 L 50 50 1 1 w
+X BAT 38 -650 -500 200 R 50 50 1 1 W
+X IRQ 48 -650 1150 200 R 50 50 1 1 B
+X GPIO0 19 -650 850 200 R 50 50 1 1 B
+X DC3SET 29 -650 350 200 R 50 50 1 1 B
+X BAT 39 -650 -600 200 R 50 50 1 1 W
+X DP_[BODY] 49 -650 -1350 200 R 50 50 1 1 W
+ENDDRAW
+ENDDEF
+#
+# C16
+#
+DEF C16 P 0 40 Y Y 1 F N
+F0 "P" 50 850 30 H V C CNN
+F1 "C16" -50 850 30 H V C CNN
+DRAW
+S 0 800 100 -800 0 1 0 N
+X 1 1 -100 750 100 R 30 30 1 1 B
+X 2 2 -100 650 100 R 30 30 1 1 B
+X 3 3 -100 550 100 R 30 30 1 1 B
+X 4 4 -100 450 100 R 30 30 1 1 B
+X 5 5 -100 350 100 R 30 30 1 1 B
+X 6 6 -100 250 100 R 30 30 1 1 B
+X 7 7 -100 150 100 R 30 30 1 1 B
+X 8 8 -100 50 100 R 30 30 1 1 B
+X 9 9 -100 -50 100 R 30 30 1 1 B
+X 10 10 -100 -150 100 R 30 30 1 1 B
+X 11 11 -100 -250 100 R 30 30 1 1 B
+X 12 12 -100 -350 100 R 30 30 1 1 B
+X 13 13 -100 -450 100 R 30 30 1 1 B
+X 14 14 -100 -550 100 R 30 30 1 1 B
+X 15 15 -100 -650 100 R 30 30 1 1 B
+X 16 16 -100 -750 100 R 30 30 1 1 B
+ENDDRAW
+ENDDEF
+#
+# C30
+#
+DEF C30 P 0 40 Y Y 1 F N
+F0 "P" 50 1550 30 H V C CNN
+F1 "C30" -50 1550 30 H V C CNN
+DRAW
+S 0 1500 100 -1500 0 1 0 N
+X 1 1 -100 1450 100 R 30 30 1 1 B
+X 2 2 -100 1350 100 R 30 30 1 1 B
+X 3 3 -100 1250 100 R 30 30 1 1 B
+X 4 4 -100 1150 100 R 30 30 1 1 B
+X 5 5 -100 1050 100 R 30 30 1 1 B
+X 6 6 -100 950 100 R 30 30 1 1 B
+X 7 7 -100 850 100 R 30 30 1 1 B
+X 8 8 -100 750 100 R 30 30 1 1 B
+X 9 9 -100 650 100 R 30 30 1 1 B
+X 10 10 -100 550 100 R 30 30 1 1 B
+X 20 20 -100 -450 100 R 30 30 1 1 B
+X 30 30 -100 -1450 100 R 30 30 1 1 B
+X 11 11 -100 450 100 R 30 30 1 1 B
+X 21 21 -100 -550 100 R 30 30 1 1 B
+X 12 12 -100 350 100 R 30 30 1 1 B
+X 22 22 -100 -650 100 R 30 30 1 1 B
+X 13 13 -100 250 100 R 30 30 1 1 B
+X 23 23 -100 -750 100 R 30 30 1 1 B
+X 14 14 -100 150 100 R 30 30 1 1 B
+X 24 24 -100 -850 100 R 30 30 1 1 B
+X 15 15 -100 50 100 R 30 30 1 1 B
+X 25 25 -100 -950 100 R 30 30 1 1 B
+X 16 16 -100 -50 100 R 30 30 1 1 B
+X 26 26 -100 -1050 100 R 30 30 1 1 B
+X 17 17 -100 -150 100 R 30 30 1 1 B
+X 27 27 -100 -1150 100 R 30 30 1 1 B
+X 18 18 -100 -250 100 R 30 30 1 1 B
+X 28 28 -100 -1250 100 R 30 30 1 1 B
+X 19 19 -100 -350 100 R 30 30 1 1 B
+X 29 29 -100 -1350 100 R 30 30 1 1 B
+ENDDRAW
+ENDDEF
+#
+# CONN
+#
+DEF CONN uSD 0 40 Y Y 1 F N
+F0 "uSD" -300 550 60 H V C CNN
+F1 "CONN" -300 -550 60 H V C CNN
+DRAW
+S -450 500 -200 -500 0 1 0 N
+X DAT2 1 -750 450 300 R 50 50 1 1 I
+X DAT3 2 -750 350 300 R 50 50 1 1 I
+X CMD 3 -750 250 300 R 50 50 1 1 I
+X VDD 4 -750 -150 300 R 50 50 1 1 I
+X CLK 5 -750 150 300 R 50 50 1 1 I
+X VSS 6 -750 -250 300 R 50 50 1 1 I
+X DAT0 7 -750 50 300 R 50 50 1 1 I
+X DAT1 8 -750 -50 300 R 50 50 1 1 I
+X CD1 ~ -750 -350 300 R 50 50 1 1 I
+X CD2 ~ -750 -450 300 R 50 50 1 1 I
+ENDDRAW
+ENDDEF
+#
+# CRYSTAL
+#
+DEF CRYSTAL X 0 40 N N 1 F N
+F0 "X" 0 150 60 H V C CNN
+F1 "CRYSTAL" 0 -150 60 H V C CNN
+DRAW
+P 2 0 1 16  -100 100  -100 -100 N
+P 2 0 1 16  100 100  100 -100 N
+P 5 0 1 12  -50 50  50 50  50 -50  -50 -50  -50 50 f
+X 1 1 -300 -50 200 R 40 40 1 1 P
+X 2 2 300 -50 200 L 40 40 1 1 P
+X 3 3 -300 50 200 R 50 50 1 1 I
+X 4 4 300 50 200 L 50 50 1 1 I
+ENDDRAW
+ENDDEF
+#
+# CRYSTAL-SMD
+#
+DEF CRYSTAL-SMD X 0 40 N N 1 F N
+F0 "X" 0 150 60 H V C CNN
+F1 "CRYSTAL-SMD" 0 -150 60 H V C CNN
+DRAW
+P 2 0 1 16  -100 100  -100 -100 N
+P 2 0 1 16  100 100  100 -100 N
+P 5 0 1 12  -50 50  50 50  50 -50  -50 -50  -50 50 f
+X 1 1 -300 -50 200 R 40 40 1 1 P
+X GND 2 300 -50 200 L 40 40 1 1 P
+X 3 3 300 50 200 L 50 50 1 1 I
+X GND 4 -300 50 200 R 50 50 1 1 I
+ENDDRAW
+ENDDEF
+#
+# DUSB
+#
+DEF DUSB J 0 40 Y Y 1 F N
+F0 "J" -200 550 50 H V C CNN
+F1 "DUSB" -350 550 50 H V C CNN
+F2 "~" 0 0 50 H I C CNN
+F3 "~" 0 0 50 H I C CNN
+DRAW
+S -450 -700 -150 500 1 0 0 N
+X PWR 1 -750 -650 300 R 50 50 1 1 I
+X PWR 2 -750 -550 300 R 50 50 1 1 I
+X D- 3 -750 -450 300 R 50 50 1 1 I
+X D- 4 -750 -250 300 R 50 50 1 1 I
+X D+ 5 -750 -350 300 R 50 50 1 1 I
+X D+ 6 -750 -150 300 R 50 50 1 1 I
+X GND 7 -750 -50 300 R 50 50 1 1 I
+X GND 8 -750 50 300 R 50 50 1 1 I
+X ~ sh1 -750 150 300 R 50 50 1 1 I
+X ~ sh2 -750 250 300 R 50 50 1 1 I
+X ~ sh3 -750 350 300 R 50 50 1 1 I
+X ~ sh4 -750 450 300 R 50 50 1 1 I
+ENDDRAW
+ENDDEF
+#
+# HEADER_10x2
+#
+DEF HEADER_10x2 J 0 0 Y Y 1 F N
+F0 "J" 0 550 60 H V C CNN
+F1 "HEADER_10x2" 50 -550 60 H V C CNN
+DRAW
+S 50 500 -50 -500 0 1 0 N
+X ~ 1 -150 450 100 R 35 50 1 1 P
+X ~ 2 150 450 100 L 35 50 1 1 P
+X ~ 3 -150 350 100 R 35 50 1 1 P
+X ~ 4 150 350 100 L 35 50 1 1 P
+X ~ 5 -150 250 100 R 35 50 1 1 P
+X ~ 6 150 250 100 L 35 50 1 1 P
+X ~ 7 -150 150 100 R 35 50 1 1 P
+X ~ 8 150 150 100 L 35 50 1 1 P
+X ~ 9 -150 50 100 R 35 50 1 1 P
+X ~ 10 150 50 100 L 35 50 1 1 P
+X ~ 20 150 -450 100 L 35 50 1 1 P
+X ~ 11 -150 -50 100 R 35 50 1 1 P
+X ~ 12 150 -50 100 L 35 50 1 1 P
+X ~ 13 -150 -150 100 R 35 50 1 1 P
+X ~ 14 150 -150 100 L 35 50 1 1 P
+X ~ 15 -150 -250 100 R 35 50 1 1 P
+X ~ 16 150 -250 100 L 35 50 1 1 P
+X ~ 17 -150 -350 100 R 35 50 1 1 P
+X ~ 18 150 -350 100 L 35 50 1 1 P
+X ~ 19 -150 -450 100 R 35 50 1 1 P
+ENDDRAW
+ENDDEF
+#
+# RJ45-HANRUN
+#
+DEF RJ45-HANRUN J 0 0 Y Y 1 F N
+F0 "J" 200 600 60 H V L CNN
+F1 "RJ45-HANRUN" -50 600 60 H V R CNN
+DRAW
+T 0 -575 -250 40 0 0 0 GREEN  Normal 0 C C
+T 0 -275 475 40 0 0 0 J1  Normal 0 C C
+T 0 -275 325 40 0 0 0 J2  Normal 0 C C
+T 0 -275 175 40 0 0 0 J3  Normal 0 C C
+T 0 -275 400 35 0 0 0 J4  Normal 0 C B
+T 0 -275 375 35 0 0 0 J5  Normal 0 C C
+T 0 -275 25 40 0 0 0 J6  Normal 0 C C
+T 0 -275 100 35 0 0 0 J7  Normal 0 C B
+T 0 -275 75 35 0 0 0 J8  Normal 0 C C
+T 0 -675 -25 40 0 0 0 RCV  Normal 0 C C
+T 0 -650 275 40 0 0 0 XMIT  Normal 0 C C
+T 0 -575 -450 40 0 0 0 YELLOW  Normal 0 C C
+P 3 0 0 0  -700 -475  -800 -475  -800 -475 N
+P 3 0 0 0  -700 -275  -800 -275  -800 -275 N
+P 3 0 0 0  -500 100  -500 0  -500 0 N
+P 3 0 0 0  -500 400  -500 100  -500 100 N
+P 3 0 0 10  -450 -25  -550 -25  -550 -25 N
+P 3 0 0 0  -350 100  -375 100  -375 100 N
+P 3 0 0 0  -350 400  -375 400  -375 400 N
+P 4 0 0 0  -925 -500  -750 -500  -750 -475  -750 -475 N
+P 4 0 0 0  -925 -400  -750 -400  -750 -425  -750 -425 N
+P 4 0 0 0  -925 -200  -750 -200  -750 -225  -750 -225 N
+P 4 0 0 0  -750 -275  -750 -300  -925 -300  -900 -300 N
+P 4 0 0 0  -500 -25  -500 -100  -925 -100  -875 -100 N
+P 4 0 0 10  -450 0  -525 0  -550 0  -550 0 N
+P 5 0 0 0  -800 -225  -700 -225  -750 -275  -800 -225  -800 -225 N
+P 5 0 0 0  -700 -425  -800 -425  -750 -475  -700 -425  -700 -425 N
+P 5 0 0 0  -325 125  -350 125  -350 75  -325 75  -325 75 N
+P 5 0 0 0  -325 425  -350 425  -350 375  -325 375  -325 375 N
+A -900 25 25 -899 899 0 1 0 N -900 0 -900 50
+A -900 75 25 -899 899 0 1 0 N -900 50 -900 100
+A -900 125 25 -899 899 0 1 0 N -900 100 -900 150
+A -900 175 25 -899 899 0 1 0 N -900 150 -900 200
+A -900 325 25 -899 899 0 1 0 N -900 300 -900 350
+A -900 375 25 -899 899 0 1 0 N -900 350 -900 400
+A -900 425 25 -899 899 0 1 0 N -900 400 -900 450
+A -900 475 25 -899 899 0 1 0 N -900 450 -900 500
+A -800 25 25 901 -901 0 1 0 N -800 50 -800 0
+A -800 75 25 901 -901 0 1 0 N -800 100 -800 50
+A -800 125 25 901 -901 0 1 0 N -800 150 -800 100
+A -800 175 25 901 -901 0 1 0 N -800 200 -800 150
+A -800 325 25 901 -901 0 1 0 N -800 350 -800 300
+A -800 375 25 901 -901 0 1 0 N -800 400 -800 350
+A -800 425 25 901 -901 0 1 0 N -800 450 -800 400
+A -800 475 25 901 -901 0 1 0 N -800 500 -800 450
+A -725 25 25 1 1799 0 1 0 N -700 25 -750 25
+A -725 175 25 -1799 -1 0 1 0 N -750 175 -700 175
+A -725 325 25 1 1799 0 1 0 N -700 325 -750 325
+A -725 475 25 -1799 -1 0 1 0 N -750 475 -700 475
+A -675 25 25 1 1799 0 1 0 N -650 25 -700 25
+A -675 175 25 -1799 -1 0 1 0 N -700 175 -650 175
+A -675 325 25 1 1799 0 1 0 N -650 325 -700 325
+A -675 475 25 -1799 -1 0 1 0 N -700 475 -650 475
+S -925 -550 400 550 0 1 0 N
+S -625 125 -525 75 0 1 0 N
+S -625 425 -525 375 0 1 0 N
+S -475 125 -375 75 0 1 0 N
+S -475 425 -375 375 0 1 0 N
+P 3 0 1 0  -900 0  -925 0  -925 0 N
+P 3 0 1 0  -900 100  -925 100  -925 100 N
+P 3 0 1 0  -900 200  -925 200  -925 200 N
+P 3 0 1 0  -900 300  -925 300  -925 300 N
+P 3 0 1 0  -900 400  -925 400  -925 400 N
+P 3 0 1 0  -650 25  -325 25  -325 25 N
+P 3 0 1 0  -650 175  -325 175  -325 175 N
+P 3 0 1 0  -650 325  -325 325  -325 325 N
+P 3 0 1 0  -650 475  -325 475  -325 475 N
+P 3 0 1 0  -525 100  -475 100  -475 100 N
+P 3 0 1 0  -525 400  -475 400  -475 400 N
+P 3 0 1 0  300 -175  350 -175  350 -175 N
+P 3 0 1 0  300 -125  350 -125  350 -125 N
+P 3 0 1 0  300 175  350 175  350 175 N
+P 3 0 1 0  350 -75  300 -75  300 -75 N
+P 3 0 1 0  350 -25  300 -25  300 -25 N
+P 3 0 1 0  350 25  300 25  300 25 N
+P 3 0 1 0  350 75  300 75  300 75 N
+P 3 0 1 0  350 125  300 125  300 125 N
+P 4 0 1 0  -900 500  -925 500  -925 500  -925 500 N
+P 4 0 1 0  -750 25  -750 0  -800 0  -800 0 N
+P 4 0 1 0  -750 325  -750 300  -800 300  -800 300 N
+P 4 0 1 0  -625 100  -800 100  -800 100  -800 100 N
+P 4 0 1 0  -625 400  -800 400  -800 400  -800 400 N
+P 6 0 1 0  -800 200  -750 200  -750 175  -750 175  -750 175  -750 175 N
+P 6 0 1 0  -800 500  -750 500  -750 475  -750 475  -750 475  -750 475 N
+P 14 0 1 0  350 225  350 -225  -50 -225  -50 -125  -100 -125  -100 -75  -150 -75  -150 75  -100 75  -100 125  -50 125  -50 225  350 225  350 225 N
+X TD+ 1 -1100 500 175 R 30 20 1 1 P
+X TD- 2 -1100 300 175 R 30 20 1 1 P
+X RD+ 3 -1100 200 175 R 30 20 1 1 P
+X COM 4 -1100 400 175 R 30 20 1 1 P
+X COM 5 -1100 100 175 R 30 20 1 1 P
+X RD- 6 -1100 0 175 R 30 20 1 1 P
+X NC 7 600 -500 200 L 30 20 1 1 P
+X GND 8 -1100 -100 175 R 30 20 1 1 P
+X LEDG_A 9 -1100 -200 175 R 30 20 1 1 P
+X LEDG_K 10 -1100 -300 175 R 30 20 1 1 P
+X LEDY_K 11 -1100 -500 175 R 30 20 1 1 P
+X LEDY_A 12 -1100 -400 175 R 30 20 1 1 P
+X SHIELD 13 600 -400 200 L 30 20 1 1 P
+ENDDRAW
+ENDDEF
+#
+# RTL8201CP
+#
+DEF RTL8201CP U 0 40 Y Y 1 F N
+F0 "U" 350 1400 50 H V C CNN
+F1 "RTL8201CP" -200 1400 50 H V C CNN
+F2 "MODULE" 0 0 50 H I C CNN
+F3 "~" 0 0 50 H I C CNN
+DRAW
+S -450 -1550 450 1350 1 0 0 N
+X COL 1 -750 -350 300 R 50 50 1 1 B
+X TXEN 2 -750 -50 300 R 50 50 1 1 B
+X TXD3 3 -750 850 300 R 50 50 1 1 B
+X TXD2 4 -750 750 300 R 50 50 1 1 B
+X TXD1 5 -750 650 300 R 50 50 1 1 B
+X TXD0 6 -750 550 300 R 50 50 1 1 B
+X TXC 7 -750 -150 300 R 50 50 1 1 B
+X PFWBIN 8 -750 -1000 300 R 50 50 1 1 I
+X LED0 9 750 -1000 300 L 50 50 1 1 I
+X LED1 10 750 -900 300 L 50 50 1 1 I
+X RXD1 20 -750 1050 300 R 50 50 1 1 B
+X TPRX- 30 750 -1450 300 L 50 50 1 1 I
+X RPTR 40 750 -400 300 L 50 50 1 1 I
+X DGND 11 750 150 300 L 50 50 1 1 I
+X RXD0 21 -750 950 300 R 50 50 1 1 B
+X TPRX+ 31 750 -1350 300 L 50 50 1 1 I
+X LDPS 41 750 500 300 L 50 50 1 1 I
+X LED2 12 750 -800 300 L 50 50 1 1 I
+X RXDV 22 -750 250 300 R 50 50 1 1 B
+X PFWBOUT 32 -750 -1150 300 R 50 50 1 1 I
+X RESETB 42 -750 -450 300 R 50 50 1 1 B I
+X LED3 13 750 -700 300 L 50 50 1 1 I
+X CRS 23 -750 -250 300 R 50 50 1 1 B
+X TPTX- 33 750 -1250 300 L 50 50 1 1 I
+X ISOLATE 43 750 -300 300 L 50 50 1 1 I
+X DVDD33 14 -750 -750 300 R 50 50 1 1 I
+X RXER 24 -750 350 300 R 50 50 1 1 B
+X TPTX+ 34 750 -1150 300 L 50 50 1 1 I
+X MII 44 750 400 300 L 50 50 1 1 I
+X LED4 15 750 -600 300 L 50 50 1 1 I
+X MDC 25 -750 150 300 R 50 50 1 1 B C
+X AGND 35 -750 -1450 300 R 50 50 1 1 I
+X DGND 45 750 -50 300 L 50 50 1 1 I
+X RXC 16 -750 450 300 R 50 50 1 1 B
+X MDIO 26 -750 50 300 R 50 50 1 1 B
+X AVDD33 36 -750 -650 300 R 50 50 1 1 I
+X X1 46 750 1250 300 L 50 50 1 1 I
+X DGND 17 750 50 300 L 50 50 1 1 I
+X NC 27 750 1050 300 L 50 50 1 1 I
+X ANE 37 750 600 300 L 50 50 1 1 I
+X X2 47 750 1150 300 L 50 50 1 1 I
+X RXD3 18 -750 1250 300 R 50 50 1 1 B
+X RTEST 28 750 -200 300 L 50 50 1 1 I
+X DUPLEX 38 750 700 300 L 50 50 1 1 I
+X DVDD33 48 -750 -850 300 R 50 50 1 1 I
+X RXD2 19 -750 1150 300 R 50 50 1 1 B
+X AGND 29 -750 -1350 300 R 50 50 1 1 I
+X SPEED 39 750 800 300 L 50 50 1 1 I
+ENDDRAW
+ENDDEF
+#
+# SY8008C
+#
+DEF SY8008C U 0 40 Y Y 1 F N
+F0 "U" -50 350 50 H V C CNN
+F1 "SY8008C" -300 350 50 H V C CNN
+F2 "MODULE" -200 -50 50 H I C CNN
+F3 "~" 0 0 50 H I C CNN
+DRAW
+S -450 0 0 300 1 0 0 N
+X EN 1 -750 150 300 R 50 50 1 1 I
+X GND 2 -750 50 300 R 50 50 1 1 I
+X LX 3 300 200 300 L 50 50 1 1 w
+X IN 4 -750 250 300 R 50 50 1 1 I
+X FB 5 300 100 300 L 50 50 1 1 I
+ENDDRAW
+ENDDEF
+#
+# XTL-SMD
+#
+DEF XTL-SMD X 0 40 Y Y 1 F N
+F0 "X" 50 100 60 H V C CNN
+F1 "XTL-SMD" 50 -50 30 H V C CNN
+DRAW
+S -100 50 150 -150 0 1 0 N
+X 1 1 -200 -100 100 R 40 40 1 1 B
+X GND 2 250 -100 100 L 40 30 1 1 B
+X 3 3 250 0 100 L 40 40 1 1 B
+X GND 4 -200 0 100 R 40 30 1 1 B
+ENDDRAW
+ENDDEF
+#
+#End Library
diff --git a/SBC/a10-proto/a10-sbc.cmp b/SBC/a10-proto/a10-sbc.cmp
new file mode 100644
index 0000000..63d5f0b
--- /dev/null
+++ b/SBC/a10-proto/a10-sbc.cmp
@@ -0,0 +1,1263 @@
+Cmp-Mod V01 Created by CvPcb (2012-nov-02)-testing date = Wed 05 Jun 2013 04:33:25 PM IST
+
+BeginCmp
+TimeStamp = /51543761;
+Reference = C1;
+ValeurCmp = 18pF;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /51543767;
+Reference = C2;
+ValeurCmp = 18pF;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /51523965;
+Reference = C3;
+ValeurCmp = 18pF;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /51523944;
+Reference = C4;
+ValeurCmp = 18pF;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5155B764;
+Reference = C5;
+ValeurCmp = 22pF;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5155B76A;
+Reference = C6;
+ValeurCmp = 22pF;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /51558874;
+Reference = C7;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5154EB96;
+Reference = C8;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5154EECF;
+Reference = C9;
+ValeurCmp = 10u;
+IdModule  = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /5154D3CE;
+Reference = C10;
+ValeurCmp = 10u;
+IdModule  = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /5154DEB6;
+Reference = C11;
+ValeurCmp = 10u;
+IdModule  = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /5154DEC1;
+Reference = C12;
+ValeurCmp = 10u;
+IdModule  = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /51551007;
+Reference = C13;
+ValeurCmp = 10u;
+IdModule  = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /5155100D;
+Reference = C14;
+ValeurCmp = 10u;
+IdModule  = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /515517D9;
+Reference = C15;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5151C2DB;
+Reference = C16;
+ValeurCmp = 1uF;
+IdModule  = SM0603;
+EndCmp
+
+BeginCmp
+TimeStamp = /5155A734;
+Reference = C17;
+ValeurCmp = 10u;
+IdModule  = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /51501971;
+Reference = C18;
+ValeurCmp = 1uF;
+IdModule  = SM0603;
+EndCmp
+
+BeginCmp
+TimeStamp = /51552413;
+Reference = C19;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /51552419;
+Reference = C20;
+ValeurCmp = 4.7u;
+IdModule  = SM0603;
+EndCmp
+
+BeginCmp
+TimeStamp = /5153CE6B;
+Reference = C21;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5153CE77;
+Reference = C22;
+ValeurCmp = 10u;
+IdModule  = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /51ADDEE6/51ADDF49;
+Reference = C23;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515105DA;
+Reference = C24;
+ValeurCmp = 22pF;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /51552580;
+Reference = C26;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /51552586;
+Reference = C27;
+ValeurCmp = 4.7u;
+IdModule  = SM0603;
+EndCmp
+
+BeginCmp
+TimeStamp = /51554668;
+Reference = C28;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /51541706;
+Reference = C29;
+ValeurCmp = 4.7u;
+IdModule  = SM0603;
+EndCmp
+
+BeginCmp
+TimeStamp = /5155A749;
+Reference = C30;
+ValeurCmp = 10u;
+IdModule  = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /51554E46;
+Reference = C31;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /51554E4C;
+Reference = C32;
+ValeurCmp = 1u;
+IdModule  = SM0603;
+EndCmp
+
+BeginCmp
+TimeStamp = /515489AE;
+Reference = C33;
+ValeurCmp = 220u;
+IdModule  = C2V8;
+EndCmp
+
+BeginCmp
+TimeStamp = /515489B4;
+Reference = C34;
+ValeurCmp = 10u;
+IdModule  = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /5154170C;
+Reference = C35;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5153E245;
+Reference = C36;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5153E251;
+Reference = C37;
+ValeurCmp = 10u;
+IdModule  = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /5153E24B;
+Reference = C38;
+ValeurCmp = 10u;
+IdModule  = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /51513983;
+Reference = C40;
+ValeurCmp = 22pF;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5152F82C;
+Reference = C41;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5152E923;
+Reference = C42;
+ValeurCmp = 1uF;
+IdModule  = SM0603;
+EndCmp
+
+BeginCmp
+TimeStamp = /5155CB6D;
+Reference = C43;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5155CB79;
+Reference = C44;
+ValeurCmp = 10u;
+IdModule  = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /5155CB7F;
+Reference = C45;
+ValeurCmp = 10u;
+IdModule  = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /5154AF6B;
+Reference = C46;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5154AF77;
+Reference = C47;
+ValeurCmp = 10u;
+IdModule  = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /5154AF7D;
+Reference = C48;
+ValeurCmp = 10u;
+IdModule  = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/515197E6;
+Reference = C49;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/5151947E;
+Reference = C50;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51519490;
+Reference = C51;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/5151948A;
+Reference = C52;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51519484;
+Reference = C53;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51519496;
+Reference = C54;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/5151949C;
+Reference = C55;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/515194A2;
+Reference = C56;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/515194A8;
+Reference = C57;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/515194AE;
+Reference = C58;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/515194B4;
+Reference = C59;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/515194BA;
+Reference = C60;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/515194C0;
+Reference = C61;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/515194C6;
+Reference = C62;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/515194D6;
+Reference = C63;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/515194DC;
+Reference = C64;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/515194E2;
+Reference = C65;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/515194E8;
+Reference = C66;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/515194EE;
+Reference = C67;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/515194F4;
+Reference = C68;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51519600;
+Reference = C69;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51519606;
+Reference = C70;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51519612;
+Reference = C71;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/5151960C;
+Reference = C72;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51519618;
+Reference = C73;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/5151961E;
+Reference = C74;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51519624;
+Reference = C75;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/5151962A;
+Reference = C76;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51514BD9;
+Reference = C77;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51514BD3;
+Reference = C78;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51514BCD;
+Reference = C79;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51514B03;
+Reference = C80;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51514BDF;
+Reference = C81;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51523C00;
+Reference = C82;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51518E22;
+Reference = C83;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51518E28;
+Reference = C84;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51518E2E;
+Reference = C85;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51518E34;
+Reference = C86;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51518E3A;
+Reference = C87;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51518E40;
+Reference = C88;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51518E46;
+Reference = C89;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51518E4C;
+Reference = C90;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51518E52;
+Reference = C91;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51518E58;
+Reference = C92;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51518E5E;
+Reference = C93;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51518E64;
+Reference = C94;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51518E6A;
+Reference = C95;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51518E70;
+Reference = C96;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51518E76;
+Reference = C97;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51518E7C;
+Reference = C98;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51518E82;
+Reference = C99;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51518E88;
+Reference = C100;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51518E8E;
+Reference = C101;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51518E94;
+Reference = C102;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51518E9A;
+Reference = C103;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51518EA0;
+Reference = C104;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51518EA6;
+Reference = C105;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51518EAC;
+Reference = C106;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51518EB2;
+Reference = C107;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51513AB2;
+Reference = C108;
+ValeurCmp = 22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/515198E6;
+Reference = C109;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51519944;
+Reference = C110;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/5151994A;
+Reference = C111;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51519950;
+Reference = C112;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5189E9D2;
+Reference = D1;
+ValeurCmp = LED;
+IdModule  = LED-3MM;
+EndCmp
+
+BeginCmp
+TimeStamp = /51ADDEE6/51ADDF2A;
+Reference = J1;
+ValeurCmp = HDMI Connector;
+IdModule  = HDMI;
+EndCmp
+
+BeginCmp
+TimeStamp = /5151A880;
+Reference = J5;
+ValeurCmp = USD;
+IdModule  = microsd_socket;
+EndCmp
+
+BeginCmp
+TimeStamp = /5150FD3C;
+Reference = L2;
+ValeurCmp = 4.7uH/3A;
+IdModule  = SM1210L;
+EndCmp
+
+BeginCmp
+TimeStamp = /514EC5DA;
+Reference = L3;
+ValeurCmp = 4.7uH at 1.5A;
+IdModule  = SM1210L;
+EndCmp
+
+BeginCmp
+TimeStamp = /51513975;
+Reference = L4;
+ValeurCmp = 4.7uH/2A;
+IdModule  = SM1210L;
+EndCmp
+
+BeginCmp
+TimeStamp = /514ECA56;
+Reference = L6;
+ValeurCmp = 4.7uH at 1.5A;
+IdModule  = SM1210L;
+EndCmp
+
+BeginCmp
+TimeStamp = /51AC0E52;
+Reference = P1;
+ValeurCmp = C30;
+IdModule  = FPC30-0.5mm;
+EndCmp
+
+BeginCmp
+TimeStamp = /51526492;
+Reference = P2;
+ValeurCmp = CONN_5;
+IdModule  = conn_usb_B_micro_smd;
+EndCmp
+
+BeginCmp
+TimeStamp = /51AC45C8;
+Reference = P3;
+ValeurCmp = CONN_5;
+IdModule  = PIN_ARRAY_5x1;
+EndCmp
+
+BeginCmp
+TimeStamp = /515A7B4E;
+Reference = P4;
+ValeurCmp = UBOOT;
+IdModule  = PIN_ARRAY_2X1;
+EndCmp
+
+BeginCmp
+TimeStamp = /5189B2BF;
+Reference = P5;
+ValeurCmp = CONN_1;
+IdModule  = PIN_ARRAY_1;
+EndCmp
+
+BeginCmp
+TimeStamp = /5189B2CC;
+Reference = P6;
+ValeurCmp = CONN_1;
+IdModule  = PIN_ARRAY_1;
+EndCmp
+
+BeginCmp
+TimeStamp = /5189B2D2;
+Reference = P7;
+ValeurCmp = CONN_1;
+IdModule  = PIN_ARRAY_1;
+EndCmp
+
+BeginCmp
+TimeStamp = /5189B2D8;
+Reference = P8;
+ValeurCmp = CONN_1;
+IdModule  = PIN_ARRAY_1;
+EndCmp
+
+BeginCmp
+TimeStamp = /51AC0E1B;
+Reference = P9;
+ValeurCmp = C30;
+IdModule  = FPC30-0.5mm;
+EndCmp
+
+BeginCmp
+TimeStamp = /51AC0E0C;
+Reference = P10;
+ValeurCmp = C30;
+IdModule  = FPC30-0.5mm;
+EndCmp
+
+BeginCmp
+TimeStamp = /5189BF82;
+Reference = P11;
+ValeurCmp = CONN_1;
+IdModule  = PIN_ARRAY_1;
+EndCmp
+
+BeginCmp
+TimeStamp = /51AC0E34;
+Reference = P12;
+ValeurCmp = C30;
+IdModule  = FPC30-0.5mm;
+EndCmp
+
+BeginCmp
+TimeStamp = /51AC0E43;
+Reference = P13;
+ValeurCmp = C30;
+IdModule  = FPC30-0.5mm;
+EndCmp
+
+BeginCmp
+TimeStamp = /51AEBAC0;
+Reference = P14;
+ValeurCmp = CONN_10;
+IdModule  = FPC10-0.5mm;
+EndCmp
+
+BeginCmp
+TimeStamp = /51AC5A05;
+Reference = P15;
+ValeurCmp = C30;
+IdModule  = FPC30-0.5mm;
+EndCmp
+
+BeginCmp
+TimeStamp = /51AC3C4E;
+Reference = P16;
+ValeurCmp = C30;
+IdModule  = FPC30-0.5mm;
+EndCmp
+
+BeginCmp
+TimeStamp = /5154376F;
+Reference = R1;
+ValeurCmp = 10M;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5152B195;
+Reference = R2;
+ValeurCmp = 5k1;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5154C786;
+Reference = R3;
+ValeurCmp = 33;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /514C6175;
+Reference = R4;
+ValeurCmp = 240;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5154E138;
+Reference = R5;
+ValeurCmp = 33;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5154E7E5;
+Reference = R6;
+ValeurCmp = 33;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5154F007;
+Reference = R7;
+ValeurCmp = 33;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5154F826;
+Reference = R8;
+ValeurCmp = 33;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /51550049;
+Reference = R9;
+ValeurCmp = 33;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /514C617B;
+Reference = R10;
+ValeurCmp = 240;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /514FBC8D;
+Reference = R11;
+ValeurCmp = 240;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5154C74A;
+Reference = R12;
+ValeurCmp = 47K;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /51559D15;
+Reference = R13;
+ValeurCmp = 47K;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /51559D1B;
+Reference = R14;
+ValeurCmp = 47K;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /51559D21;
+Reference = R15;
+ValeurCmp = 47K;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /51559D27;
+Reference = R16;
+ValeurCmp = 47K;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /51559D2D;
+Reference = R17;
+ValeurCmp = 47K;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5154676A;
+Reference = R18;
+ValeurCmp = 22;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /51546777;
+Reference = R19;
+ValeurCmp = 22;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5152D179;
+Reference = R20;
+ValeurCmp = 2k2;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5152D186;
+Reference = R21;
+ValeurCmp = 2k2;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5150FD34;
+Reference = R22;
+ValeurCmp = 15k;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /51501E95;
+Reference = R23;
+ValeurCmp = 200k;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5150FD4C;
+Reference = R24;
+ValeurCmp = 10k;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5151396F;
+Reference = R25;
+ValeurCmp = 15k;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /51ADDEE6/51ADDF30;
+Reference = R26;
+ValeurCmp = 2k2;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /51ADDEE6/51ADDEEE;
+Reference = R27;
+ValeurCmp = 2k2;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5152F676;
+Reference = R28;
+ValeurCmp = 33;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5151397B;
+Reference = R29;
+ValeurCmp = 3.3k;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5152CC57;
+Reference = R30;
+ValeurCmp = 240;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /51531275;
+Reference = R31;
+ValeurCmp = 2k2;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/515147DD;
+Reference = R32;
+ValeurCmp = 2k2;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/515147D0;
+Reference = R33;
+ValeurCmp = 2k2;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /51ADDEE6/51ADE12E;
+Reference = R34;
+ValeurCmp = 47k;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5189E9C5;
+Reference = R35;
+ValeurCmp = 4k7;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5152F670;
+Reference = SW1;
+ValeurCmp = SW_PUSH;
+IdModule  = SIL-2;
+EndCmp
+
+BeginCmp
+TimeStamp = /514FBC93;
+Reference = U1;
+ValeurCmp = 4GBDDR3;
+IdModule  = FBGA96-new;
+EndCmp
+
+BeginCmp
+TimeStamp = /514D42E8;
+Reference = U2;
+ValeurCmp = 4GBDDR3;
+IdModule  = FBGA96-new;
+EndCmp
+
+BeginCmp
+TimeStamp = /514D47F5;
+Reference = U3;
+ValeurCmp = A10-SOC;
+IdModule  = TFBGA441-new;
+EndCmp
+
+BeginCmp
+TimeStamp = /51ADDEE6/51ADDF24;
+Reference = U4;
+ValeurCmp = 524P;
+IdModule  = RCLAMP;
+EndCmp
+
+BeginCmp
+TimeStamp = /514F0596;
+Reference = U5;
+ValeurCmp = SY8008C;
+IdModule  = SOT23-5;
+EndCmp
+
+BeginCmp
+TimeStamp = /51ADDEE6/51ADDF1E;
+Reference = U6;
+ValeurCmp = 524P;
+IdModule  = RCLAMP;
+EndCmp
+
+BeginCmp
+TimeStamp = /514C3FF4;
+Reference = U7;
+ValeurCmp = AXP209;
+IdModule  = QFN48+1;
+EndCmp
+
+BeginCmp
+TimeStamp = /51ADDEE6/51ADDF18;
+Reference = U8;
+ValeurCmp = 524P;
+IdModule  = RCLAMP;
+EndCmp
+
+BeginCmp
+TimeStamp = /514F0D71;
+Reference = U9;
+ValeurCmp = SY8008C;
+IdModule  = SOT23-5;
+EndCmp
+
+BeginCmp
+TimeStamp = /5154375B;
+Reference = X1;
+ValeurCmp = 32.768k;
+IdModule  = crystal-32k-4.5x1.9mm;
+EndCmp
+
+BeginCmp
+TimeStamp = /51523481;
+Reference = X2;
+ValeurCmp = 24MHz;
+IdModule  = Crystal_SMD_7x5mm;
+EndCmp
+
+EndListe
diff --git a/SBC/a10-proto/a10-sbc.kicad_pcb b/SBC/a10-proto/a10-sbc.kicad_pcb
new file mode 100644
index 0000000..546335b
--- /dev/null
+++ b/SBC/a10-proto/a10-sbc.kicad_pcb
@@ -0,0 +1,20674 @@
+(kicad_pcb (version 3) (host pcbnew "(2012-nov-02)-testing")
+
+  (general
+    (links 868)
+    (no_connects 0)
+    (area 29.036904 24.774999 127.425001 105.200001)
+    (thickness 1.6)
+    (drawings 11)
+    (tracks 6650)
+    (zones 0)
+    (modules 180)
+    (nets 332)
+  )
+
+  (page User 431.8 279.4)
+  (layers
+    (15 TOP-L1 signal)
+    (4 GND-L2 signal hide)
+    (3 Sig1-L3 signal)
+    (2 GND-L4 signal hide)
+    (1 Sig-L5 signal)
+    (0 BOT-L6 signal)
+    (16 B.Adhes user)
+    (17 F.Adhes user)
+    (18 B.Paste user)
+    (19 F.Paste user)
+    (20 B.SilkS user)
+    (21 F.SilkS user)
+    (22 B.Mask user)
+    (23 F.Mask user)
+    (24 Dwgs.User user)
+    (25 Cmts.User user)
+    (26 Eco1.User user)
+    (27 Eco2.User user)
+    (28 Edge.Cuts user)
+  )
+
+  (setup
+    (last_trace_width 0.1524)
+    (user_trace_width 0.2)
+    (user_trace_width 0.3)
+    (user_trace_width 0.5)
+    (user_trace_width 0.8)
+    (user_trace_width 1.5)
+    (user_trace_width 2)
+    (trace_clearance 0.09144)
+    (zone_clearance 0.2032)
+    (zone_45_only no)
+    (trace_min 0.127)
+    (segment_width 0.2)
+    (edge_width 0.15)
+    (via_size 0.4572)
+    (via_drill 0.2032)
+    (via_min_size 0.4064)
+    (via_min_drill 0.2032)
+    (user_via 0.8128 0.6096)
+    (user_via 0.8128 0.6096)
+    (user_via 1.016 0.8128)
+    (uvia_size 0.508)
+    (uvia_drill 0.127)
+    (uvias_allowed no)
+    (uvia_min_size 0.508)
+    (uvia_min_drill 0.127)
+    (pcb_text_width 0.3)
+    (pcb_text_size 1 1)
+    (mod_edge_width 0.15)
+    (mod_text_size 1 1)
+    (mod_text_width 0.15)
+    (pad_size 2 3)
+    (pad_drill 0)
+    (pad_to_mask_clearance 0)
+    (aux_axis_origin 43.3658 115.4814)
+    (visible_elements 7FFFFFFF)
+    (pcbplotparams
+      (layerselection 284983327)
+      (usegerberextensions true)
+      (excludeedgelayer true)
+      (linewidth 152400)
+      (plotframeref false)
+      (viasonmask false)
+      (mode 1)
+      (useauxorigin false)
+      (hpglpennumber 1)
+      (hpglpenspeed 20)
+      (hpglpendiameter 15)
+      (hpglpenoverlay 2)
+      (psnegative false)
+      (psa4output false)
+      (plotreference true)
+      (plotvalue true)
+      (plotothertext true)
+      (plotinvisibletext false)
+      (padsonsilk false)
+      (subtractmaskfromsilk false)
+      (outputformat 1)
+      (mirror false)
+      (drillshape 0)
+      (scaleselection 1)
+      (outputdirectory Gerber/))
+  )
+
+  (net 0 "")
+  (net 1 /BA0)
+  (net 2 /BA1)
+  (net 3 /BA2)
+  (net 4 /CK)
+  (net 5 /CK#)
+  (net 6 /CKE)
+  (net 7 /CS#)
+  (net 8 /DQ0)
+  (net 9 /DQ1)
+  (net 10 /DQ10)
+  (net 11 /DQ11)
+  (net 12 /DQ12)
+  (net 13 /DQ13)
+  (net 14 /DQ14)
+  (net 15 /DQ15)
+  (net 16 /DQ16)
+  (net 17 /DQ17)
+  (net 18 /DQ18)
+  (net 19 /DQ19)
+  (net 20 /DQ2)
+  (net 21 /DQ20)
+  (net 22 /DQ21)
+  (net 23 /DQ22)
+  (net 24 /DQ23)
+  (net 25 /DQ24)
+  (net 26 /DQ25)
+  (net 27 /DQ26)
+  (net 28 /DQ27)
+  (net 29 /DQ28)
+  (net 30 /DQ29)
+  (net 31 /DQ3)
+  (net 32 /DQ30)
+  (net 33 /DQ31)
+  (net 34 /DQ4)
+  (net 35 /DQ5)
+  (net 36 /DQ6)
+  (net 37 /DQ7)
+  (net 38 /DQ8)
+  (net 39 /DQ9)
+  (net 40 /EXTEN)
+  (net 41 /LCLK)
+  (net 42 /LD0)
+  (net 43 /LD1)
+  (net 44 /LD10)
+  (net 45 /LD11)
+  (net 46 /LD12)
+  (net 47 /LD13)
+  (net 48 /LD14)
+  (net 49 /LD15)
+  (net 50 /LD16)
+  (net 51 /LD17)
+  (net 52 /LD18)
+  (net 53 /LD19)
+  (net 54 /LD2)
+  (net 55 /LD20)
+  (net 56 /LD21)
+  (net 57 /LD22)
+  (net 58 /LD23)
+  (net 59 /LD3)
+  (net 60 /LD4)
+  (net 61 /LD5)
+  (net 62 /LD6)
+  (net 63 /LD7)
+  (net 64 /LD8)
+  (net 65 /LD9)
+  (net 66 /LDE)
+  (net 67 /LHSYN)
+  (net 68 /LIN_L)
+  (net 69 /LIN_R)
+  (net 70 /LVSYN)
+  (net 71 /MICIN1)
+  (net 72 /MICIN2)
+  (net 73 /NMI#)
+  (net 74 /ODT)
+  (net 75 /PA0)
+  (net 76 /PA1)
+  (net 77 /PA10)
+  (net 78 /PA11)
+  (net 79 /PA12)
+  (net 80 /PA13)
+  (net 81 /PA14)
+  (net 82 /PA15)
+  (net 83 /PA16)
+  (net 84 /PA17)
+  (net 85 /PA2)
+  (net 86 /PA3)
+  (net 87 /PA4)
+  (net 88 /PA5)
+  (net 89 /PA6)
+  (net 90 /PA7)
+  (net 91 /PA8)
+  (net 92 /PA9)
+  (net 93 /PB10)
+  (net 94 /PB11)
+  (net 95 /PB12)
+  (net 96 /PB13)
+  (net 97 /PB14/JMS)
+  (net 98 /PB15/JCK)
+  (net 99 /PB16/JD0)
+  (net 100 /PB17/JDI)
+  (net 101 /PB18)
+  (net 102 /PB19)
+  (net 103 /PB2)
+  (net 104 /PB20)
+  (net 105 /PB21)
+  (net 106 /PB3)
+  (net 107 /PB4)
+  (net 108 /PB5)
+  (net 109 /PB6)
+  (net 110 /PB7)
+  (net 111 /PB8)
+  (net 112 /PB9)
+  (net 113 /PC0)
+  (net 114 /PC1)
+  (net 115 /PC10)
+  (net 116 /PC11)
+  (net 117 /PC12)
+  (net 118 /PC13)
+  (net 119 /PC14)
+  (net 120 /PC15)
+  (net 121 /PC16)
+  (net 122 /PC17)
+  (net 123 /PC18)
+  (net 124 /PC19)
+  (net 125 /PC2)
+  (net 126 /PC20)
+  (net 127 /PC21)
+  (net 128 /PC22)
+  (net 129 /PC23)
+  (net 130 /PC24)
+  (net 131 /PC3)
+  (net 132 /PC4)
+  (net 133 /PC5)
+  (net 134 /PC6)
+  (net 135 /PC7)
+  (net 136 /PC8)
+  (net 137 /PC9)
+  (net 138 /PE0)
+  (net 139 /PE1)
+  (net 140 /PE10)
+  (net 141 /PE11)
+  (net 142 /PE2)
+  (net 143 /PE3)
+  (net 144 /PE4)
+  (net 145 /PE5)
+  (net 146 /PE6)
+  (net 147 /PE7)
+  (net 148 /PE8)
+  (net 149 /PE9)
+  (net 150 /PG0)
+  (net 151 /PG1)
+  (net 152 /PG10)
+  (net 153 /PG11)
+  (net 154 /PG2)
+  (net 155 /PG3)
+  (net 156 /PG4)
+  (net 157 /PG5)
+  (net 158 /PG6)
+  (net 159 /PG7)
+  (net 160 /PG8)
+  (net 161 /PG9)
+  (net 162 /PH0)
+  (net 163 /PH1)
+  (net 164 /PH10)
+  (net 165 /PH11)
+  (net 166 /PH12)
+  (net 167 /PH13)
+  (net 168 /PH14)
+  (net 169 /PH15)
+  (net 170 /PH16)
+  (net 171 /PH17)
+  (net 172 /PH18)
+  (net 173 /PH19)
+  (net 174 /PH2)
+  (net 175 /PH20)
+  (net 176 /PH21)
+  (net 177 /PH22)
+  (net 178 /PH23)
+  (net 179 /PH24)
+  (net 180 /PH25)
+  (net 181 /PH26)
+  (net 182 /PH27)
+  (net 183 /PH3)
+  (net 184 /PH4)
+  (net 185 /PH5)
+  (net 186 /PH6)
+  (net 187 /PH7)
+  (net 188 /PH8)
+  (net 189 /PH9)
+  (net 190 /PI0)
+  (net 191 /PI1)
+  (net 192 /PI10)
+  (net 193 /PI11)
+  (net 194 /PI12)
+  (net 195 /PI13)
+  (net 196 /PI14)
+  (net 197 /PI15)
+  (net 198 /PI16)
+  (net 199 /PI17)
+  (net 200 /PI18)
+  (net 201 /PI19)
+  (net 202 /PI2)
+  (net 203 /PI20)
+  (net 204 /PI21)
+  (net 205 /PI3)
+  (net 206 /PI4)
+  (net 207 /PI5)
+  (net 208 /PI6)
+  (net 209 /PI7)
+  (net 210 /PI8)
+  (net 211 /PI9)
+  (net 212 /RESET#)
+  (net 213 /SA0)
+  (net 214 /SA1)
+  (net 215 /SA10)
+  (net 216 /SA11)
+  (net 217 /SA12)
+  (net 218 /SA13)
+  (net 219 /SA14)
+  (net 220 /SA2)
+  (net 221 /SA3)
+  (net 222 /SA4)
+  (net 223 /SA5)
+  (net 224 /SA6)
+  (net 225 /SA7)
+  (net 226 /SA8)
+  (net 227 /SA9)
+  (net 228 /SCAS)
+  (net 229 /SCK)
+  (net 230 /SCK#)
+  (net 231 /SDQM0)
+  (net 232 /SDQM1)
+  (net 233 /SDQM2)
+  (net 234 /SDQM3)
+  (net 235 /SDQS0)
+  (net 236 /SDQS0#)
+  (net 237 /SDQS1)
+  (net 238 /SDQS1#)
+  (net 239 /SDQS2)
+  (net 240 /SDQS2#)
+  (net 241 /SDQS3)
+  (net 242 /SDQS3#)
+  (net 243 /SRAS)
+  (net 244 /SRST)
+  (net 245 /SWE)
+  (net 246 /TWI0SCK)
+  (net 247 /TWI0SDA)
+  (net 248 /U0Rx/23)
+  (net 249 /U0Tx/22)
+  (net 250 /USB0M)
+  (net 251 /USB0P)
+  (net 252 /USB1M)
+  (net 253 /USB1P)
+  (net 254 /USB2M)
+  (net 255 /USB2P)
+  (net 256 /VGA_B)
+  (net 257 /VGA_G)
+  (net 258 /VGA_R)
+  (net 259 /VMIC)
+  (net 260 1.25V)
+  (net 261 1.2V)
+  (net 262 3.3V)
+  (net 263 5V_IN)
+  (net 264 AVCC)
+  (net 265 DRAM_REF)
+  (net 266 DRAM_VCC)
+  (net 267 GND)
+  (net 268 HCEC)
+  (net 269 HHPD)
+  (net 270 HSCL)
+  (net 271 HSDA)
+  (net 272 HTX0N)
+  (net 273 HTX0P)
+  (net 274 HTX1N)
+  (net 275 HTX1P)
+  (net 276 HTX2N)
+  (net 277 HTX2P)
+  (net 278 HTXCN)
+  (net 279 HTXCP)
+  (net 280 IPSOUT)
+  (net 281 LDO1)
+  (net 282 LDO3)
+  (net 283 LDO4)
+  (net 284 N-0000012)
+  (net 285 N-00000230)
+  (net 286 N-00000240)
+  (net 287 N-00000242)
+  (net 288 N-00000244)
+  (net 289 N-00000245)
+  (net 290 N-00000246)
+  (net 291 N-00000248)
+  (net 292 N-00000249)
+  (net 293 N-00000262)
+  (net 294 N-00000263)
+  (net 295 N-00000264)
+  (net 296 N-00000265)
+  (net 297 N-00000266)
+  (net 298 N-00000267)
+  (net 299 N-00000268)
+  (net 300 N-00000269)
+  (net 301 N-00000270)
+  (net 302 N-00000271)
+  (net 303 N-00000272)
+  (net 304 N-00000273)
+  (net 305 N-00000274)
+  (net 306 N-00000275)
+  (net 307 N-00000283)
+  (net 308 N-00000287)
+  (net 309 N-00000303)
+  (net 310 N-00000304)
+  (net 311 N-00000305)
+  (net 312 N-00000333)
+  (net 313 N-00000364)
+  (net 314 N-00000383)
+  (net 315 N-00000384)
+  (net 316 N-00000418)
+  (net 317 N-00000419)
+  (net 318 N-00000421)
+  (net 319 N-00000422)
+  (net 320 N-00000423)
+  (net 321 N-00000424)
+  (net 322 N-00000425)
+  (net 323 N-00000426)
+  (net 324 N-00000427)
+  (net 325 N-00000428)
+  (net 326 N-00000429)
+  (net 327 N-00000430)
+  (net 328 N-00000431)
+  (net 329 N-00000432)
+  (net 330 N-0000044)
+  (net 331 N-0000045)
+
+  (net_class Default "This is the default net class."
+    (clearance 0.09144)
+    (trace_width 0.1524)
+    (via_dia 0.4572)
+    (via_drill 0.2032)
+    (uvia_dia 0.508)
+    (uvia_drill 0.127)
+    (add_net "")
+    (add_net /BA0)
+    (add_net /BA1)
+    (add_net /BA2)
+    (add_net /CK)
+    (add_net /CK#)
+    (add_net /CKE)
+    (add_net /CS#)
+    (add_net /DQ0)
+    (add_net /DQ1)
+    (add_net /DQ10)
+    (add_net /DQ11)
+    (add_net /DQ12)
+    (add_net /DQ13)
+    (add_net /DQ14)
+    (add_net /DQ15)
+    (add_net /DQ16)
+    (add_net /DQ17)
+    (add_net /DQ18)
+    (add_net /DQ19)
+    (add_net /DQ2)
+    (add_net /DQ20)
+    (add_net /DQ21)
+    (add_net /DQ22)
+    (add_net /DQ23)
+    (add_net /DQ24)
+    (add_net /DQ25)
+    (add_net /DQ26)
+    (add_net /DQ27)
+    (add_net /DQ28)
+    (add_net /DQ29)
+    (add_net /DQ3)
+    (add_net /DQ30)
+    (add_net /DQ31)
+    (add_net /DQ4)
+    (add_net /DQ5)
+    (add_net /DQ6)
+    (add_net /DQ7)
+    (add_net /DQ8)
+    (add_net /DQ9)
+    (add_net /EXTEN)
+    (add_net /LCLK)
+    (add_net /LD0)
+    (add_net /LD1)
+    (add_net /LD10)
+    (add_net /LD11)
+    (add_net /LD12)
+    (add_net /LD13)
+    (add_net /LD14)
+    (add_net /LD15)
+    (add_net /LD16)
+    (add_net /LD17)
+    (add_net /LD18)
+    (add_net /LD19)
+    (add_net /LD2)
+    (add_net /LD20)
+    (add_net /LD21)
+    (add_net /LD22)
+    (add_net /LD23)
+    (add_net /LD3)
+    (add_net /LD4)
+    (add_net /LD5)
+    (add_net /LD6)
+    (add_net /LD7)
+    (add_net /LD8)
+    (add_net /LD9)
+    (add_net /LDE)
+    (add_net /LHSYN)
+    (add_net /LIN_L)
+    (add_net /LIN_R)
+    (add_net /LVSYN)
+    (add_net /MICIN1)
+    (add_net /MICIN2)
+    (add_net /NMI#)
+    (add_net /ODT)
+    (add_net /PA0)
+    (add_net /PA1)
+    (add_net /PA10)
+    (add_net /PA11)
+    (add_net /PA12)
+    (add_net /PA13)
+    (add_net /PA14)
+    (add_net /PA15)
+    (add_net /PA16)
+    (add_net /PA17)
+    (add_net /PA2)
+    (add_net /PA3)
+    (add_net /PA4)
+    (add_net /PA5)
+    (add_net /PA6)
+    (add_net /PA7)
+    (add_net /PA8)
+    (add_net /PA9)
+    (add_net /PB10)
+    (add_net /PB11)
+    (add_net /PB12)
+    (add_net /PB13)
+    (add_net /PB14/JMS)
+    (add_net /PB15/JCK)
+    (add_net /PB16/JD0)
+    (add_net /PB17/JDI)
+    (add_net /PB18)
+    (add_net /PB19)
+    (add_net /PB2)
+    (add_net /PB20)
+    (add_net /PB21)
+    (add_net /PB3)
+    (add_net /PB4)
+    (add_net /PB5)
+    (add_net /PB6)
+    (add_net /PB7)
+    (add_net /PB8)
+    (add_net /PB9)
+    (add_net /PC0)
+    (add_net /PC1)
+    (add_net /PC10)
+    (add_net /PC11)
+    (add_net /PC12)
+    (add_net /PC13)
+    (add_net /PC14)
+    (add_net /PC15)
+    (add_net /PC16)
+    (add_net /PC17)
+    (add_net /PC18)
+    (add_net /PC19)
+    (add_net /PC2)
+    (add_net /PC20)
+    (add_net /PC21)
+    (add_net /PC22)
+    (add_net /PC23)
+    (add_net /PC24)
+    (add_net /PC3)
+    (add_net /PC4)
+    (add_net /PC5)
+    (add_net /PC6)
+    (add_net /PC7)
+    (add_net /PC8)
+    (add_net /PC9)
+    (add_net /PE0)
+    (add_net /PE1)
+    (add_net /PE10)
+    (add_net /PE11)
+    (add_net /PE2)
+    (add_net /PE3)
+    (add_net /PE4)
+    (add_net /PE5)
+    (add_net /PE6)
+    (add_net /PE7)
+    (add_net /PE8)
+    (add_net /PE9)
+    (add_net /PG0)
+    (add_net /PG1)
+    (add_net /PG10)
+    (add_net /PG11)
+    (add_net /PG2)
+    (add_net /PG3)
+    (add_net /PG4)
+    (add_net /PG5)
+    (add_net /PG6)
+    (add_net /PG7)
+    (add_net /PG8)
+    (add_net /PG9)
+    (add_net /PH0)
+    (add_net /PH1)
+    (add_net /PH10)
+    (add_net /PH11)
+    (add_net /PH12)
+    (add_net /PH13)
+    (add_net /PH14)
+    (add_net /PH15)
+    (add_net /PH16)
+    (add_net /PH17)
+    (add_net /PH18)
+    (add_net /PH19)
+    (add_net /PH2)
+    (add_net /PH20)
+    (add_net /PH21)
+    (add_net /PH22)
+    (add_net /PH23)
+    (add_net /PH24)
+    (add_net /PH25)
+    (add_net /PH26)
+    (add_net /PH27)
+    (add_net /PH3)
+    (add_net /PH4)
+    (add_net /PH5)
+    (add_net /PH6)
+    (add_net /PH7)
+    (add_net /PH8)
+    (add_net /PH9)
+    (add_net /PI0)
+    (add_net /PI1)
+    (add_net /PI10)
+    (add_net /PI11)
+    (add_net /PI12)
+    (add_net /PI13)
+    (add_net /PI14)
+    (add_net /PI15)
+    (add_net /PI16)
+    (add_net /PI17)
+    (add_net /PI18)
+    (add_net /PI19)
+    (add_net /PI2)
+    (add_net /PI20)
+    (add_net /PI21)
+    (add_net /PI3)
+    (add_net /PI4)
+    (add_net /PI5)
+    (add_net /PI6)
+    (add_net /PI7)
+    (add_net /PI8)
+    (add_net /PI9)
+    (add_net /RESET#)
+    (add_net /SA0)
+    (add_net /SA1)
+    (add_net /SA10)
+    (add_net /SA11)
+    (add_net /SA12)
+    (add_net /SA13)
+    (add_net /SA14)
+    (add_net /SA2)
+    (add_net /SA3)
+    (add_net /SA4)
+    (add_net /SA5)
+    (add_net /SA6)
+    (add_net /SA7)
+    (add_net /SA8)
+    (add_net /SA9)
+    (add_net /SCAS)
+    (add_net /SCK)
+    (add_net /SCK#)
+    (add_net /SDQM0)
+    (add_net /SDQM1)
+    (add_net /SDQM2)
+    (add_net /SDQM3)
+    (add_net /SDQS0)
+    (add_net /SDQS0#)
+    (add_net /SDQS1)
+    (add_net /SDQS1#)
+    (add_net /SDQS2)
+    (add_net /SDQS2#)
+    (add_net /SDQS3)
+    (add_net /SDQS3#)
+    (add_net /SRAS)
+    (add_net /SRST)
+    (add_net /SWE)
+    (add_net /TWI0SCK)
+    (add_net /TWI0SDA)
+    (add_net /U0Rx/23)
+    (add_net /U0Tx/22)
+    (add_net /USB0M)
+    (add_net /USB0P)
+    (add_net /USB1M)
+    (add_net /USB1P)
+    (add_net /USB2M)
+    (add_net /USB2P)
+    (add_net /VGA_B)
+    (add_net /VGA_G)
+    (add_net /VGA_R)
+    (add_net /VMIC)
+    (add_net HCEC)
+    (add_net HHPD)
+    (add_net HSCL)
+    (add_net HSDA)
+    (add_net HTX0N)
+    (add_net HTX0P)
+    (add_net HTX1N)
+    (add_net HTX1P)
+    (add_net HTX2N)
+    (add_net HTX2P)
+    (add_net HTXCN)
+    (add_net HTXCP)
+    (add_net N-0000012)
+    (add_net N-00000230)
+    (add_net N-00000240)
+    (add_net N-00000242)
+    (add_net N-00000244)
+    (add_net N-00000245)
+    (add_net N-00000246)
+    (add_net N-00000248)
+    (add_net N-00000249)
+    (add_net N-00000262)
+    (add_net N-00000263)
+    (add_net N-00000264)
+    (add_net N-00000265)
+    (add_net N-00000266)
+    (add_net N-00000267)
+    (add_net N-00000268)
+    (add_net N-00000269)
+    (add_net N-00000270)
+    (add_net N-00000271)
+    (add_net N-00000272)
+    (add_net N-00000273)
+    (add_net N-00000274)
+    (add_net N-00000275)
+    (add_net N-00000283)
+    (add_net N-00000287)
+    (add_net N-00000303)
+    (add_net N-00000304)
+    (add_net N-00000305)
+    (add_net N-00000333)
+    (add_net N-00000364)
+    (add_net N-00000383)
+    (add_net N-00000384)
+    (add_net N-00000418)
+    (add_net N-00000419)
+    (add_net N-00000421)
+    (add_net N-00000422)
+    (add_net N-00000423)
+    (add_net N-00000424)
+    (add_net N-00000425)
+    (add_net N-00000426)
+    (add_net N-00000427)
+    (add_net N-00000428)
+    (add_net N-00000429)
+    (add_net N-00000430)
+    (add_net N-00000431)
+    (add_net N-00000432)
+    (add_net N-0000044)
+    (add_net N-0000045)
+  )
+
+  (net_class power ""
+    (clearance 0.09144)
+    (trace_width 0.1524)
+    (via_dia 0.4572)
+    (via_drill 0.2032)
+    (uvia_dia 0.508)
+    (uvia_drill 0.127)
+    (add_net 1.25V)
+    (add_net 1.2V)
+    (add_net 3.3V)
+    (add_net 5V_IN)
+    (add_net AVCC)
+    (add_net DRAM_REF)
+    (add_net DRAM_VCC)
+    (add_net GND)
+    (add_net IPSOUT)
+    (add_net LDO1)
+    (add_net LDO3)
+    (add_net LDO4)
+  )
+
+  (module SOT23-5 (layer TOP-L1) (tedit 51AEE3D6) (tstamp 5162DC46)
+    (at 43.625 87.875 90)
+    (path /514F0596)
+    (attr smd)
+    (fp_text reference U5 (at 0 0.635 90) (layer F.SilkS)
+      (effects (font (size 0.635 0.635) (thickness 0.127)))
+    )
+    (fp_text value SY8008C (at 0.4145 -0.17966 90) (layer F.SilkS) hide
+      (effects (font (size 0.635 0.635) (thickness 0.127)))
+    )
+    (fp_line (start 1.524 -0.889) (end 1.524 0.889) (layer F.SilkS) (width 0.127))
+    (fp_line (start 1.524 0.889) (end -1.524 0.889) (layer F.SilkS) (width 0.127))
+    (fp_line (start -1.524 0.889) (end -1.524 -0.889) (layer F.SilkS) (width 0.127))
+    (fp_line (start -1.524 -0.889) (end 1.524 -0.889) (layer F.SilkS) (width 0.127))
+    (pad 1 smd rect (at -0.9525 1.27 90) (size 0.508 0.762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 264 AVCC)
+    )
+    (pad 3 smd rect (at 0.9525 1.27 90) (size 0.508 0.762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 310 N-00000304)
+    )
+    (pad 5 smd rect (at -0.9525 -1.27 90) (size 0.508 0.762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 287 N-00000242)
+    )
+    (pad 2 smd rect (at 0 1.27 90) (size 0.508 0.762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad 4 smd rect (at 0.9525 -1.27 90) (size 0.508 0.762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 263 5V_IN)
+    )
+    (model smd/SOT23_5.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.1 0.1 0.1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SOT23-5 (layer TOP-L1) (tedit 51A729EC) (tstamp 51544573)
+    (at 66.15 89.475 90)
+    (path /514F0D71)
+    (attr smd)
+    (fp_text reference U9 (at 2.05 -0.05 180) (layer F.SilkS)
+      (effects (font (size 0.635 0.635) (thickness 0.127)))
+    )
+    (fp_text value SY8008C (at 2.725 -0.025 180) (layer F.SilkS) hide
+      (effects (font (size 0.2 0.2) (thickness 0.05)))
+    )
+    (fp_line (start 1.524 -0.889) (end 1.524 0.889) (layer F.SilkS) (width 0.127))
+    (fp_line (start 1.524 0.889) (end -1.524 0.889) (layer F.SilkS) (width 0.127))
+    (fp_line (start -1.524 0.889) (end -1.524 -0.889) (layer F.SilkS) (width 0.127))
+    (fp_line (start -1.524 -0.889) (end 1.524 -0.889) (layer F.SilkS) (width 0.127))
+    (pad 1 smd rect (at -0.9525 1.27 90) (size 0.508 0.762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 40 /EXTEN)
+    )
+    (pad 3 smd rect (at 0.9525 1.27 90) (size 0.508 0.762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 311 N-00000305)
+    )
+    (pad 5 smd rect (at -0.9525 -1.27 90) (size 0.508 0.762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 286 N-00000240)
+    )
+    (pad 2 smd rect (at 0 1.27 90) (size 0.508 0.762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad 4 smd rect (at 0.9525 -1.27 90) (size 0.508 0.762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 263 5V_IN)
+    )
+    (model smd/SOT23_5.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.1 0.1 0.1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0805 (layer TOP-L1) (tedit 51A6FFD9) (tstamp 515445E4)
+    (at 67 93.15 90)
+    (path /5153E251)
+    (attr smd)
+    (fp_text reference C37 (at -2.125 -0.025 180) (layer F.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_text value 10u (at -2.8 0.05 180) (layer F.SilkS) hide
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_circle (center -1.651 0.762) (end -1.651 0.635) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -0.508 0.762) (end -1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 0.762) (end -1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 -0.762) (end -0.508 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 0.508 -0.762) (end 1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 -0.762) (end 1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 0.762) (end 0.508 0.762) (layer F.SilkS) (width 0.09906))
+    (pad 1 smd rect (at -0.9525 0 90) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad 2 smd rect (at 0.9525 0 90) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 262 3.3V)
+    )
+    (model smd/chip_cms.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.1 0.1 0.1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0805 (layer TOP-L1) (tedit 51A6F278) (tstamp 515445FE)
+    (at 48.325 90.5)
+    (path /5153CE77)
+    (attr smd)
+    (fp_text reference C22 (at -2.95 0.95) (layer F.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_text value 10u (at 0.1 2) (layer F.SilkS) hide
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_circle (center -1.651 0.762) (end -1.651 0.635) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -0.508 0.762) (end -1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 0.762) (end -1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 -0.762) (end -0.508 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 0.508 -0.762) (end 1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 -0.762) (end 1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 0.762) (end 0.508 0.762) (layer F.SilkS) (width 0.09906))
+    (pad 1 smd rect (at -0.9525 0) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad 2 smd rect (at 0.9525 0) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (model smd/chip_cms.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.1 0.1 0.1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0805 (layer BOT-L6) (tedit 5091495C) (tstamp 5154460B)
+    (at 76.85 102.55 90)
+    (path /515489B4)
+    (attr smd)
+    (fp_text reference C34 (at 0 0.3175 90) (layer B.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)) (justify mirror))
+    )
+    (fp_text value 10u (at 0 -0.381 90) (layer B.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)) (justify mirror))
+    )
+    (fp_circle (center -1.651 -0.762) (end -1.651 -0.635) (layer B.SilkS) (width 0.09906))
+    (fp_line (start -0.508 -0.762) (end -1.524 -0.762) (layer B.SilkS) (width 0.09906))
+    (fp_line (start -1.524 -0.762) (end -1.524 0.762) (layer B.SilkS) (width 0.09906))
+    (fp_line (start -1.524 0.762) (end -0.508 0.762) (layer B.SilkS) (width 0.09906))
+    (fp_line (start 0.508 0.762) (end 1.524 0.762) (layer B.SilkS) (width 0.09906))
+    (fp_line (start 1.524 0.762) (end 1.524 -0.762) (layer B.SilkS) (width 0.09906))
+    (fp_line (start 1.524 -0.762) (end 0.508 -0.762) (layer B.SilkS) (width 0.09906))
+    (pad 1 smd rect (at -0.9525 0 90) (size 0.889 1.397)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 263 5V_IN)
+    )
+    (pad 2 smd rect (at 0.9525 0 90) (size 0.889 1.397)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 267 GND)
+    )
+    (model smd/chip_cms.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.1 0.1 0.1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0805 (layer TOP-L1) (tedit 5188D57C) (tstamp 51544618)
+    (at 86.61 94.885 90)
+    (path /5155CB79)
+    (attr smd)
+    (fp_text reference C44 (at 0.05 -1.325 90) (layer F.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_text value 10u (at 0.025 -2.075 90) (layer F.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_circle (center -1.651 0.762) (end -1.651 0.635) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -0.508 0.762) (end -1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 0.762) (end -1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 -0.762) (end -0.508 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 0.508 -0.762) (end 1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 -0.762) (end 1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 0.762) (end 0.508 0.762) (layer F.SilkS) (width 0.09906))
+    (pad 1 smd rect (at -0.9525 0 90) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad 2 smd rect (at 0.9525 0 90) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 261 1.2V)
+    )
+    (model smd/chip_cms.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.1 0.1 0.1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0805 (layer TOP-L1) (tedit 5192FF60) (tstamp 51544625)
+    (at 84.78 94.885 90)
+    (path /5155CB7F)
+    (attr smd)
+    (fp_text reference C45 (at 0.05 1.375 90) (layer F.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_text value 10u (at 0.1 2.2 90) (layer F.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_circle (center -1.651 0.762) (end -1.651 0.635) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -0.508 0.762) (end -1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 0.762) (end -1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 -0.762) (end -0.508 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 0.508 -0.762) (end 1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 -0.762) (end 1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 0.762) (end 0.508 0.762) (layer F.SilkS) (width 0.09906))
+    (pad 1 smd rect (at -0.9525 0 90) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad 2 smd rect (at 0.9525 0 90) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 261 1.2V)
+    )
+    (model smd/chip_cms.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.1 0.1 0.1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0805 (layer TOP-L1) (tedit 51A6FE82) (tstamp 51544632)
+    (at 89.95 83.775)
+    (path /5154AF77)
+    (attr smd)
+    (fp_text reference C47 (at -2.3 0.175) (layer F.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_text value 10u (at -2.35 -0.525) (layer F.SilkS) hide
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_circle (center -1.651 0.762) (end -1.651 0.635) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -0.508 0.762) (end -1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 0.762) (end -1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 -0.762) (end -0.508 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 0.508 -0.762) (end 1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 -0.762) (end 1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 0.762) (end 0.508 0.762) (layer F.SilkS) (width 0.09906))
+    (pad 1 smd rect (at -0.9525 0) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad 2 smd rect (at 0.9525 0) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 260 1.25V)
+    )
+    (model smd/chip_cms.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.1 0.1 0.1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0805 (layer TOP-L1) (tedit 51AFED78) (tstamp 5162DC38)
+    (at 38.275 86.95 180)
+    (path /5155A734)
+    (attr smd)
+    (fp_text reference C17 (at -0.1 -1.4 180) (layer F.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_text value 10u (at -0.325 -2.125 180) (layer F.SilkS) hide
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_circle (center -1.651 0.762) (end -1.651 0.635) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -0.508 0.762) (end -1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 0.762) (end -1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 -0.762) (end -0.508 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 0.508 -0.762) (end 1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 -0.762) (end 1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 0.762) (end 0.508 0.762) (layer F.SilkS) (width 0.09906))
+    (pad 1 smd rect (at -0.9525 0 180) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 263 5V_IN)
+    )
+    (pad 2 smd rect (at 0.9525 0 180) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (model smd/chip_cms.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.1 0.1 0.1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0805 (layer TOP-L1) (tedit 51A729DB) (tstamp 51544666)
+    (at 60.2 88.425 180)
+    (path /5155A749)
+    (attr smd)
+    (fp_text reference C30 (at 0.15 1.15 180) (layer F.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_text value 10u (at 0.275 1.875 180) (layer F.SilkS) hide
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_circle (center -1.651 0.762) (end -1.651 0.635) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -0.508 0.762) (end -1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 0.762) (end -1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 -0.762) (end -0.508 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 0.508 -0.762) (end 1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 -0.762) (end 1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 0.762) (end 0.508 0.762) (layer F.SilkS) (width 0.09906))
+    (pad 1 smd rect (at -0.9525 0 180) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 263 5V_IN)
+    )
+    (pad 2 smd rect (at 0.9525 0 180) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (model smd/chip_cms.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.1 0.1 0.1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0805 (layer TOP-L1) (tedit 51AF1193) (tstamp 51544673)
+    (at 51.65 90.475 180)
+    (path /5155100D)
+    (attr smd)
+    (fp_text reference C14 (at -0.025 -1.275 180) (layer F.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_text value 10u (at -0.025 -2.05 180) (layer F.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_circle (center -1.651 0.762) (end -1.651 0.635) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -0.508 0.762) (end -1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 0.762) (end -1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 -0.762) (end -0.508 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 0.508 -0.762) (end 1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 -0.762) (end 1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 0.762) (end 0.508 0.762) (layer F.SilkS) (width 0.09906))
+    (pad 1 smd rect (at -0.9525 0 180) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad 2 smd rect (at 0.9525 0 180) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (model smd/chip_cms.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.1 0.1 0.1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0805 (layer TOP-L1) (tedit 51B00D6C) (tstamp 51544680)
+    (at 103.13 96.08)
+    (path /51551007)
+    (attr smd)
+    (fp_text reference C13 (at 2.43 -0.39) (layer F.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_text value 10u (at 2.62 0.4) (layer F.SilkS) hide
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_circle (center -1.651 0.762) (end -1.651 0.635) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -0.508 0.762) (end -1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 0.762) (end -1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 -0.762) (end -0.508 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 0.508 -0.762) (end 1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 -0.762) (end 1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 0.762) (end 0.508 0.762) (layer F.SilkS) (width 0.09906))
+    (pad 1 smd rect (at -0.9525 0) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 280 IPSOUT)
+    )
+    (pad 2 smd rect (at 0.9525 0) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (model smd/chip_cms.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.1 0.1 0.1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0805 (layer TOP-L1) (tedit 51B00CAF) (tstamp 5154469A)
+    (at 99.94 99.62)
+    (path /5154EECF)
+    (attr smd)
+    (fp_text reference C9 (at -0.13 1.15) (layer F.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_text value 10u (at -0.03 1.79) (layer F.SilkS) hide
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_circle (center -1.651 0.762) (end -1.651 0.635) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -0.508 0.762) (end -1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 0.762) (end -1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 -0.762) (end -0.508 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 0.508 -0.762) (end 1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 -0.762) (end 1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 0.762) (end 0.508 0.762) (layer F.SilkS) (width 0.09906))
+    (pad 1 smd rect (at -0.9525 0) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 280 IPSOUT)
+    )
+    (pad 2 smd rect (at 0.9525 0) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (model smd/chip_cms.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.1 0.1 0.1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0805 (layer TOP-L1) (tedit 51A2EE62) (tstamp 515446B4)
+    (at 87.925 100.85 270)
+    (path /5154DEC1)
+    (attr smd)
+    (fp_text reference C12 (at 0 -1.3 270) (layer F.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_text value 10u (at -0.125 -2.075 270) (layer F.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_circle (center -1.651 0.762) (end -1.651 0.635) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -0.508 0.762) (end -1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 0.762) (end -1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 -0.762) (end -0.508 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 0.508 -0.762) (end 1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 -0.762) (end 1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 0.762) (end 0.508 0.762) (layer F.SilkS) (width 0.09906))
+    (pad 1 smd rect (at -0.9525 0 270) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 280 IPSOUT)
+    )
+    (pad 2 smd rect (at 0.9525 0 270) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (model smd/chip_cms.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.1 0.1 0.1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0805 (layer TOP-L1) (tedit 51AF1D78) (tstamp 515446C1)
+    (at 97.225 88.05)
+    (path /5154DEB6)
+    (attr smd)
+    (fp_text reference C11 (at 2.275 0.225) (layer F.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_text value 10u (at 2.25 -0.6) (layer F.SilkS) hide
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_circle (center -1.651 0.762) (end -1.651 0.635) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -0.508 0.762) (end -1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 0.762) (end -1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 -0.762) (end -0.508 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 0.508 -0.762) (end 1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 -0.762) (end 1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 0.762) (end 0.508 0.762) (layer F.SilkS) (width 0.09906))
+    (pad 1 smd rect (at -0.9525 0) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 280 IPSOUT)
+    )
+    (pad 2 smd rect (at 0.9525 0) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (model smd/chip_cms.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.1 0.1 0.1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0805 (layer TOP-L1) (tedit 51A5D382) (tstamp 515446CE)
+    (at 89.32 90.795 180)
+    (path /5154D3CE)
+    (attr smd)
+    (fp_text reference C10 (at -0.1 -1.1 180) (layer F.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_text value 10u (at -0.15 -1.84 180) (layer F.SilkS) hide
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_circle (center -1.651 0.762) (end -1.651 0.635) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -0.508 0.762) (end -1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 0.762) (end -1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 -0.762) (end -0.508 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 0.508 -0.762) (end 1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 -0.762) (end 1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 0.762) (end 0.508 0.762) (layer F.SilkS) (width 0.09906))
+    (pad 1 smd rect (at -0.9525 0 180) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 280 IPSOUT)
+    )
+    (pad 2 smd rect (at 0.9525 0 180) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (model smd/chip_cms.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.1 0.1 0.1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0805 (layer TOP-L1) (tedit 51A83182) (tstamp 515446DB)
+    (at 89.975 85.5)
+    (path /5154AF7D)
+    (attr smd)
+    (fp_text reference C48 (at -2.35 -0.45) (layer F.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_text value 10u (at -2.475 0.375) (layer F.SilkS) hide
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_circle (center -1.651 0.762) (end -1.651 0.635) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -0.508 0.762) (end -1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 0.762) (end -1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 -0.762) (end -0.508 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 0.508 -0.762) (end 1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 -0.762) (end 1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 0.762) (end 0.508 0.762) (layer F.SilkS) (width 0.09906))
+    (pad 1 smd rect (at -0.9525 0) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad 2 smd rect (at 0.9525 0) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 260 1.25V)
+    )
+    (model smd/chip_cms.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.1 0.1 0.1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0603 (layer TOP-L1) (tedit 5192FF14) (tstamp 5188D2AD)
+    (at 90.6 100.9 90)
+    (path /51501971)
+    (attr smd)
+    (fp_text reference C18 (at -1.9 -0.175 90) (layer F.SilkS)
+      (effects (font (size 0.508 0.4572) (thickness 0.1143)))
+    )
+    (fp_text value 1uF (at -1.975 -0.975 90) (layer F.SilkS) hide
+      (effects (font (size 0.508 0.4572) (thickness 0.1143)))
+    )
+    (fp_line (start -1.143 -0.635) (end 1.143 -0.635) (layer F.SilkS) (width 0.127))
+    (fp_line (start 1.143 -0.635) (end 1.143 0.635) (layer F.SilkS) (width 0.127))
+    (fp_line (start 1.143 0.635) (end -1.143 0.635) (layer F.SilkS) (width 0.127))
+    (fp_line (start -1.143 0.635) (end -1.143 -0.635) (layer F.SilkS) (width 0.127))
+    (pad 1 smd rect (at -0.762 0 90) (size 0.635 1.143)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad 2 smd rect (at 0.762 0 90) (size 0.635 1.143)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 292 N-00000249)
+    )
+    (model smd\resistors\R0603.wrl
+      (at (xyz 0 0 0.001))
+      (scale (xyz 0.5 0.5 0.5))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0603 (layer TOP-L1) (tedit 51A5D5BE) (tstamp 51A5D550)
+    (at 89.7 88.005)
+    (path /51541706)
+    (attr smd)
+    (fp_text reference C29 (at -1.62 0.03 90) (layer F.SilkS)
+      (effects (font (size 0.508 0.4572) (thickness 0.1143)))
+    )
+    (fp_text value 4.7u (at -2.32 0.98 90) (layer F.SilkS) hide
+      (effects (font (size 0.508 0.4572) (thickness 0.1143)))
+    )
+    (fp_line (start -1.143 -0.635) (end 1.143 -0.635) (layer F.SilkS) (width 0.127))
+    (fp_line (start 1.143 -0.635) (end 1.143 0.635) (layer F.SilkS) (width 0.127))
+    (fp_line (start 1.143 0.635) (end -1.143 0.635) (layer F.SilkS) (width 0.127))
+    (fp_line (start -1.143 0.635) (end -1.143 -0.635) (layer F.SilkS) (width 0.127))
+    (pad 1 smd rect (at -0.762 0) (size 0.635 1.143)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad 2 smd rect (at 0.762 0) (size 0.635 1.143)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 264 AVCC)
+    )
+    (model smd\resistors\R0603.wrl
+      (at (xyz 0 0 0.001))
+      (scale (xyz 0.5 0.5 0.5))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0603 (layer TOP-L1) (tedit 5188D379) (tstamp 51544703)
+    (at 86.225 97.775)
+    (path /5151C2DB)
+    (attr smd)
+    (fp_text reference C16 (at -1.975 -0.4) (layer F.SilkS)
+      (effects (font (size 0.508 0.4572) (thickness 0.1143)))
+    )
+    (fp_text value 1uF (at -1.925 0.275) (layer F.SilkS) hide
+      (effects (font (size 0.508 0.4572) (thickness 0.1143)))
+    )
+    (fp_line (start -1.143 -0.635) (end 1.143 -0.635) (layer F.SilkS) (width 0.127))
+    (fp_line (start 1.143 -0.635) (end 1.143 0.635) (layer F.SilkS) (width 0.127))
+    (fp_line (start 1.143 0.635) (end -1.143 0.635) (layer F.SilkS) (width 0.127))
+    (fp_line (start -1.143 0.635) (end -1.143 -0.635) (layer F.SilkS) (width 0.127))
+    (pad 1 smd rect (at -0.762 0) (size 0.635 1.143)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad 2 smd rect (at 0.762 0) (size 0.635 1.143)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 288 N-00000244)
+    )
+    (model smd\resistors\R0603.wrl
+      (at (xyz 0 0 0.001))
+      (scale (xyz 0.5 0.5 0.5))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0603 (layer TOP-L1) (tedit 5192FF0D) (tstamp 5154470D)
+    (at 94.475 100.875 90)
+    (path /51554E4C)
+    (attr smd)
+    (fp_text reference C32 (at 0.075 -1.05 90) (layer F.SilkS)
+      (effects (font (size 0.508 0.4572) (thickness 0.1143)))
+    )
+    (fp_text value 1u (at 0.05 -1.825 90) (layer F.SilkS) hide
+      (effects (font (size 0.508 0.4572) (thickness 0.1143)))
+    )
+    (fp_line (start -1.143 -0.635) (end 1.143 -0.635) (layer F.SilkS) (width 0.127))
+    (fp_line (start 1.143 -0.635) (end 1.143 0.635) (layer F.SilkS) (width 0.127))
+    (fp_line (start 1.143 0.635) (end -1.143 0.635) (layer F.SilkS) (width 0.127))
+    (fp_line (start -1.143 0.635) (end -1.143 -0.635) (layer F.SilkS) (width 0.127))
+    (pad 1 smd rect (at -0.762 0 90) (size 0.635 1.143)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad 2 smd rect (at 0.762 0 90) (size 0.635 1.143)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 281 LDO1)
+    )
+    (model smd\resistors\R0603.wrl
+      (at (xyz 0 0 0.001))
+      (scale (xyz 0.5 0.5 0.5))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0603 (layer TOP-L1) (tedit 5192FF09) (tstamp 51544717)
+    (at 92.1 100.9 90)
+    (path /5152E923)
+    (attr smd)
+    (fp_text reference C42 (at -1.95 0.3 90) (layer F.SilkS)
+      (effects (font (size 0.508 0.4572) (thickness 0.1143)))
+    )
+    (fp_text value 1uF (at -1.95 -0.375 90) (layer F.SilkS) hide
+      (effects (font (size 0.508 0.4572) (thickness 0.1143)))
+    )
+    (fp_line (start -1.143 -0.635) (end 1.143 -0.635) (layer F.SilkS) (width 0.127))
+    (fp_line (start 1.143 -0.635) (end 1.143 0.635) (layer F.SilkS) (width 0.127))
+    (fp_line (start 1.143 0.635) (end -1.143 0.635) (layer F.SilkS) (width 0.127))
+    (fp_line (start -1.143 0.635) (end -1.143 -0.635) (layer F.SilkS) (width 0.127))
+    (pad 1 smd rect (at -0.762 0 90) (size 0.635 1.143)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad 2 smd rect (at 0.762 0 90) (size 0.635 1.143)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 296 N-00000265)
+    )
+    (model smd\resistors\R0603.wrl
+      (at (xyz 0 0 0.001))
+      (scale (xyz 0.5 0.5 0.5))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A5EF4B) (tstamp 51544723)
+    (at 79.35 67.225 180)
+    (path /515197D1/515194E8)
+    (attr smd)
+    (fp_text reference C66 (at -1.125 0.025 270) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -1.6 0.025 270) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 262 3.3V)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51B0135B) (tstamp 5154472F)
+    (at 107.34 58.24 180)
+    (path /515197D1/515194EE)
+    (attr smd)
+    (fp_text reference C67 (at 1.99 -0.135 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 1.99 0.415 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 262 3.3V)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51AFF454) (tstamp 5154473B)
+    (at 75.8 62.025 90)
+    (path /515197D1/515194E2)
+    (attr smd)
+    (fp_text reference C65 (at 1.05 0.05 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -1.65 -0.5 90) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 262 3.3V)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51B012A5) (tstamp 51544747)
+    (at 82.2 61.05 270)
+    (path /515197D1/515194BA)
+    (attr smd)
+    (fp_text reference C60 (at 0 0.65 270) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0 -1.025 270) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 262 3.3V)
+    )
+    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 519210D0) (tstamp 51544753)
+    (at 77.75 70.975 90)
+    (path /515197D1/515194F4)
+    (attr smd)
+    (fp_text reference C68 (at 1.05 0.025 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -1.275 -0.525 90) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 262 3.3V)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51920F82) (tstamp 5154475F)
+    (at 50.225 84.425 180)
+    (path /515197D1/51518EB2)
+    (attr smd)
+    (fp_text reference C107 (at 0.04 -0.755 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -0.01 -1.28 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A48EF3) (tstamp 51544777)
+    (at 50.85 64.4)
+    (path /515197D1/51518E5E)
+    (attr smd)
+    (fp_text reference C93 (at -0.025 0.725) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0.05 1.15) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51B01223) (tstamp 51544783)
+    (at 52.425 60.925 90)
+    (path /515197D1/51518E64)
+    (attr smd)
+    (fp_text reference C94 (at 0.125 -0.7 90) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0.025 -1.125 90) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51B0122F) (tstamp 5154478F)
+    (at 50.3 75.675 180)
+    (path /515197D1/51518E6A)
+    (attr smd)
+    (fp_text reference C95 (at 0.025 0.725 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -0.05 -1.2 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51B01231) (tstamp 5154479B)
+    (at 52.025 75.675 180)
+    (path /515197D1/51518E70)
+    (attr smd)
+    (fp_text reference C96 (at 0 0.7 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0.525 1.275 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A49091) (tstamp 515447A7)
+    (at 58.775 77.1)
+    (path /515197D1/51518E76)
+    (attr smd)
+    (fp_text reference C97 (at -0.025 0.725) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0.1 1.2) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51920F33) (tstamp 515447B3)
+    (at 59.95 75.65 180)
+    (path /515197D1/51518E7C)
+    (attr smd)
+    (fp_text reference C98 (at 0 0.65 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -0.05 1.2 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A49071) (tstamp 515447BF)
+    (at 61.65 75.625 180)
+    (path /515197D1/51518E82)
+    (attr smd)
+    (fp_text reference C99 (at -0.025 0.625 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -0.075 1.125 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51B01240) (tstamp 515447CB)
+    (at 60.85 77.5 90)
+    (path /515197D1/51518E88)
+    (attr smd)
+    (fp_text reference C100 (at 0.3 0.775 90) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0.35 1.275 90) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51B01239) (tstamp 515447D7)
+    (at 55.325 77.725 90)
+    (path /515197D1/51518E8E)
+    (attr smd)
+    (fp_text reference C101 (at 0.1 -0.675 90) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -0.25 -1.15 90) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51B01245) (tstamp 515447E3)
+    (at 63.2 82.85 270)
+    (path /515197D1/51518E94)
+    (attr smd)
+    (fp_text reference C102 (at 0 -0.75 270) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -1.275 -0.65 270) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51B0124F) (tstamp 515447EF)
+    (at 58.7 84.225 180)
+    (path /515197D1/51518E9A)
+    (attr smd)
+    (fp_text reference C103 (at 1.4 -0.025 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -0.49 1.21 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A49193) (tstamp 515447FB)
+    (at 61.125 83.6)
+    (path /515197D1/51518EA0)
+    (attr smd)
+    (fp_text reference C104 (at -0.025 -0.65) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -0.05 -1.2) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51B0125D) (tstamp 51544807)
+    (at 54.7 83.875 180)
+    (path /515197D1/51518EA6)
+    (attr smd)
+    (fp_text reference C105 (at -0.1 0.575 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -0.005 1.25 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51B01256) (tstamp 5188E8FE)
+    (at 52.875 84.4)
+    (path /515197D1/51518EAC)
+    (attr smd)
+    (fp_text reference C106 (at 0 0.75) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 1.605 0.005 90) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A5EE47) (tstamp 5154481F)
+    (at 79.375 66.3 180)
+    (path /515197D1/515194DC)
+    (attr smd)
+    (fp_text reference C64 (at -1.075 0 270) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -1.525 0.05 270) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 262 3.3V)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A5E181) (tstamp 51A5E225)
+    (at 73.15 65.6 180)
+    (path /515197D1/5151947E)
+    (attr smd)
+    (fp_text reference C50 (at 1.025 -0.05 270) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 1.5 -0.075 270) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 261 1.2V)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A5E49C) (tstamp 51544837)
+    (at 73.1774 69.4182 180)
+    (path /515197D1/51519484)
+    (attr smd)
+    (fp_text reference C53 (at 0.9524 0.0182 270) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 1.4524 0.0682 270) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 261 1.2V)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A5E2BA) (tstamp 51544843)
+    (at 73.1266 68.0212 180)
+    (path /515197D1/5151948A)
+    (attr smd)
+    (fp_text reference C52 (at 0.9906 0.0254 270) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 1.4224 0.0508 270) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 261 1.2V)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A5E220) (tstamp 5154484F)
+    (at 73.1266 67.1576 180)
+    (path /515197D1/51519490)
+    (attr smd)
+    (fp_text reference C51 (at 1.016 0.2794 270) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 1.4224 0.3302 270) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 261 1.2V)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A5E5AE) (tstamp 5154485B)
+    (at 75 67.725 90)
+    (path /515197D1/51519496)
+    (attr smd)
+    (fp_text reference C54 (at 1 -0.05 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 1.475 0.025 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 261 1.2V)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A5F6B6) (tstamp 51544867)
+    (at 75.25 64.7)
+    (path /515197D1/5151949C)
+    (attr smd)
+    (fp_text reference C55 (at 0.025 -0.625) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0.05 -1.1) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 261 1.2V)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A5EF12) (tstamp 51544873)
+    (at 79.825 64.7 180)
+    (path /515197D1/515194A2)
+    (attr smd)
+    (fp_text reference C56 (at -1.075 0 270) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -1.475 0.1 270) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 261 1.2V)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51B0129E) (tstamp 5154487F)
+    (at 78.5 64.325 270)
+    (path /515197D1/515194A8)
+    (attr smd)
+    (fp_text reference C57 (at -1.525 -0.025 360) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -2.425 -0.175 360) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 260 1.25V)
+    )
+    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51B01294) (tstamp 5191FB4F)
+    (at 76.6 64.325 270)
+    (path /515197D1/515194AE)
+    (attr smd)
+    (fp_text reference C58 (at -1.55 -0.075 360) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -2.425 -0.025 360) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 260 1.25V)
+    )
+    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51B01299) (tstamp 51544897)
+    (at 77.55 64.325 270)
+    (path /515197D1/515194B4)
+    (attr smd)
+    (fp_text reference C59 (at -1.525 -0.025 360) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -2.4 -0.125 360) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 260 1.25V)
+    )
+    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A48EC8) (tstamp 515448A3)
+    (at 48.35 64.375 180)
+    (path /515197D1/51518E58)
+    (attr smd)
+    (fp_text reference C92 (at -0.025 -0.7 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -0.125 -1.15 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51B012AB) (tstamp 515448AF)
+    (at 82.675 62.325 180)
+    (path /515197D1/515194C0)
+    (attr smd)
+    (fp_text reference C61 (at 0.4 -0.775 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0.1 1.125 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 262 3.3V)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51AFF430) (tstamp 515448BB)
+    (at 74.925 62.025 90)
+    (path /515197D1/515194C6)
+    (attr smd)
+    (fp_text reference C62 (at 1.475 0.025 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 1.5 0.025 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 262 3.3V)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A5EBF7) (tstamp 515448C7)
+    (at 74.025 62.025 90)
+    (path /515197D1/515194D6)
+    (attr smd)
+    (fp_text reference C63 (at 1 0.025 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 1.5 0.05 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 262 3.3V)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51B01281) (tstamp 5154496F)
+    (at 72.8 62.425 180)
+    (path /515197D1/51513AB2)
+    (attr smd)
+    (fp_text reference C108 (at 1.4 -0.9 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value 22u (at -0.075 -1.15 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 267 GND)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A47A8F) (tstamp 5154497B)
+    (at 39.55 81.225)
+    (path /515197D1/515147D0)
+    (attr smd)
+    (fp_text reference R33 (at -1.3 0.075) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value 2k2 (at -2.35 0.1) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 265 DRAM_REF)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A47F70) (tstamp 51544987)
+    (at 39.575 82.125)
+    (path /515197D1/515147DD)
+    (attr smd)
+    (fp_text reference R32 (at -1.25 0) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value 2k2 (at -2.25 0) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 265 DRAM_REF)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A47F77) (tstamp 51876690)
+    (at 39.575 83)
+    (path /515197D1/51514B03)
+    (attr smd)
+    (fp_text reference C80 (at -1.3 0.025) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -2.3 0) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 265 DRAM_REF)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51B01234) (tstamp 5154499F)
+    (at 54.65 76.1 180)
+    (path /515197D1/51514BCD)
+    (attr smd)
+    (fp_text reference C79 (at -0.025 0.675 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0.125 -1.2 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 265 DRAM_REF)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A48074) (tstamp 515449AB)
+    (at 52.3 82.775 180)
+    (path /515197D1/51514BD3)
+    (attr smd)
+    (fp_text reference C78 (at 1.275 -0.025 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 1.2 0.525 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 265 DRAM_REF)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A480D1) (tstamp 515449B7)
+    (at 49.75 62.375 270)
+    (path /515197D1/51514BD9)
+    (attr smd)
+    (fp_text reference C77 (at -0.05 0.65 270) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0.05 1.175 270) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 265 DRAM_REF)
+    )
+    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A47A97) (tstamp 515449C3)
+    (at 39.55 80.325)
+    (path /515197D1/51514BDF)
+    (attr smd)
+    (fp_text reference C81 (at -1.25 0) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -2.275 -0.025) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 265 DRAM_REF)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51B012FF) (tstamp 515449CF)
+    (at 71.475 72.025 180)
+    (path /515197D1/51519950)
+    (attr smd)
+    (fp_text reference C112 (at -0.15 -0.7 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0.55 -1.175 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51B012CE) (tstamp 515449DB)
+    (at 79.625 70.95 90)
+    (path /515197D1/51519606)
+    (attr smd)
+    (fp_text reference C70 (at 0.075 0.725 90) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 1.025 1.125 90) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 262 3.3V)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51B012D8) (tstamp 515449F3)
+    (at 75.48 71.87 180)
+    (path /515197D1/51519612)
+    (attr smd)
+    (fp_text reference C71 (at -0.195 0.67 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -0.1 1.3 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 262 3.3V)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51B012F3) (tstamp 51A5DD78)
+    (at 73.075 73.7)
+    (path /515197D1/51519618)
+    (attr smd)
+    (fp_text reference C73 (at 1.15 0.075 90) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0.15 1.15) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 265 DRAM_REF)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51B012F6) (tstamp 51A5DD6B)
+    (at 73.075 72.825)
+    (path /515197D1/5151961E)
+    (attr smd)
+    (fp_text reference C74 (at 1.15 -0.025 90) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -1.5 0.525 90) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 265 DRAM_REF)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A4821E) (tstamp 51544A17)
+    (at 70.275 62.05 90)
+    (path /515197D1/51519624)
+    (attr smd)
+    (fp_text reference C75 (at 0 -0.65 90) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -0.025 -1.2 90) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 265 DRAM_REF)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51B0120D) (tstamp 51544A23)
+    (at 53.1 56.15 180)
+    (path /515197D1/5151962A)
+    (attr smd)
+    (fp_text reference C76 (at 0 0.65 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -0.1 0.675 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 265 DRAM_REF)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51B0127D) (tstamp 51544A2F)
+    (at 72.81 63.29)
+    (path /515197D1/515198E6)
+    (attr smd)
+    (fp_text reference C109 (at -0.485 -1.565) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0.45 -1.2) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A5E2A9) (tstamp 51544A3B)
+    (at 71 67.725 90)
+    (path /515197D1/51519944)
+    (attr smd)
+    (fp_text reference C110 (at 0.034 -0.5912 90) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0.1102 -1.2008 90) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A49526) (tstamp 51544A47)
+    (at 71.025 70.725 90)
+    (path /515197D1/5151994A)
+    (attr smd)
+    (fp_text reference C111 (at -0.025 -0.725 90) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -0.35 -1.15 90) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51B012C8) (tstamp 51544A53)
+    (at 78.675 70.95 90)
+    (path /515197D1/51519600)
+    (attr smd)
+    (fp_text reference C69 (at -1.25 -0.1 90) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -1.3 -0.5 90) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 262 3.3V)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 5188CAB6) (tstamp 515E31A6)
+    (at 42.075 91.1)
+    (path /5150FD34)
+    (attr smd)
+    (fp_text reference R22 (at 1.28948 0.21024) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 15k (at 1.26448 -0.26476) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 287 N-00000242)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51887E18) (tstamp 51544AD7)
+    (at 64.88 86.91 270)
+    (path /5155B764)
+    (attr smd)
+    (fp_text reference C5 (at -0.025 -0.775 270) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 22pF (at 0.025 0.8 270) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 263 5V_IN)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51A5CFFE) (tstamp 51544AE3)
+    (at 94.625 98.7 90)
+    (path /51554E46)
+    (attr smd)
+    (fp_text reference C31 (at -0.3 0.65 90) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value .22u (at 0.675 0.7 90) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 281 LDO1)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51A5D589) (tstamp 51544AEF)
+    (at 90.13 89.235)
+    (path /51554668)
+    (attr smd)
+    (fp_text reference C28 (at 1.25 0.16) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value .22u (at 1.28 -0.3) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 264 AVCC)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51B00D71) (tstamp 51544AFB)
+    (at 101.69 94.69 180)
+    (path /51552580)
+    (attr smd)
+    (fp_text reference C26 (at -1.29 -0.13 180) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value .22u (at -2.43 -0.05 180) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 282 LDO3)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51A5D5CE) (tstamp 51544B07)
+    (at 92.82 88.545 180)
+    (path /51552413)
+    (attr smd)
+    (fp_text reference C19 (at 0.04 -0.63 180) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value .22u (at 0.09 -1.11 180) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 283 LDO4)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51920F48) (tstamp 51544B13)
+    (at 56.275 61.125)
+    (path /515197D1/51518E52)
+    (attr smd)
+    (fp_text reference C91 (at 0.05 -0.75) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -0.025 -1.25) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 5188D969) (tstamp 51544B1F)
+    (at 92.81 87.535 180)
+    (path /5154AF6B)
+    (attr smd)
+    (fp_text reference C46 (at -1.325 0.25 180) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value .22u (at -1.375 -0.325 180) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 260 1.25V)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51A5D025) (tstamp 51544B2B)
+    (at 96.05 98.675 90)
+    (path /5154170C)
+    (attr smd)
+    (fp_text reference C35 (at -1.225 -0.45 90) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value .22u (at -1.9 0.075 90) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 263 5V_IN)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51A6FFD5) (tstamp 51544B37)
+    (at 68.4 93.275 90)
+    (path /5153E245)
+    (attr smd)
+    (fp_text reference C36 (at -1.1 0.125 180) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value .22u (at -1.575 0.175 180) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 262 3.3V)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 5188840D) (tstamp 51544B43)
+    (at 42.075 92)
+    (path /5153CE6B)
+    (attr smd)
+    (fp_text reference C21 (at 0.0209 0.76884) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value .22u (at -0.0291 -0.70616) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51A6FFE6) (tstamp 51544B4F)
+    (at 63.7 91.875 270)
+    (path /51513983)
+    (attr smd)
+    (fp_text reference C40 (at 1.3 -0.275 270) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 22pF (at 1.35 0.225 270) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 286 N-00000240)
+    )
+    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 262 3.3V)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 5188CE36) (tstamp 51544B5B)
+    (at 63.2 90.45)
+    (path /5151397B)
+    (attr smd)
+    (fp_text reference R29 (at -1.325 -0.25) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 3.3k (at -1.325 0.225) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 286 N-00000240)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 5188CD0A) (tstamp 51544B67)
+    (at 62.675 91.875 270)
+    (path /5151396F)
+    (attr smd)
+    (fp_text reference R25 (at 1.275 -0.275 270) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 15k (at 1.25 0.2 270) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 286 N-00000240)
+    )
+    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 262 3.3V)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 5188CAB1) (tstamp 51544B73)
+    (at 42.075 90.2)
+    (path /515105DA)
+    (attr smd)
+    (fp_text reference C24 (at 1.23948 -0.38432) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 22pF (at 1.33948 0.21568) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 287 N-00000242)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 515E33BF) (tstamp 51544B7F)
+    (at 40.9 88.825)
+    (path /5150FD4C)
+    (attr smd)
+    (fp_text reference R24 (at -0.03048 -0.66548) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 10k (at 0.09906 0) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 287 N-00000242)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51A73F14) (tstamp 5188D33B)
+    (at 90.5 95.375 270)
+    (path /515517D9)
+    (attr smd)
+    (fp_text reference C15 (at 0.025 0.725 270) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value .22u (at 1.42 -0.73 270) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 280 IPSOUT)
+    )
+    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 5192FF18) (tstamp 51544B97)
+    (at 89.4 101.275 90)
+    (path /51501E95)
+    (attr smd)
+    (fp_text reference R23 (at -1.275 -0.275 90) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 200k (at -1.4 -0.75 90) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 289 N-00000245)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A48517) (tstamp 51544BA3)
+    (at 51.25 62.8 180)
+    (path /514FBC8D)
+    (attr smd)
+    (fp_text reference R11 (at -0.325 0.675 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value 240 (at -0.325 1.175 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 308 N-00000287)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 5188D252) (tstamp 51544BBB)
+    (at 93.3 101.275 270)
+    (path /51531275)
+    (attr smd)
+    (fp_text reference R31 (at -1.225 0.2 270) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 2k2 (at -1.25 -0.3 270) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 309 N-00000303)
+    )
+    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 5188E80D) (tstamp 51544BC7)
+    (at 113.375 99.55 180)
+    (path /5152F82C)
+    (attr smd)
+    (fp_text reference C41 (at -1.525 0.225 180) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value .22u (at -1.35 -0.225 180) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 297 N-00000266)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 5188DD7F) (tstamp 51544BD3)
+    (at 111.45 99.575 180)
+    (path /5152F676)
+    (attr smd)
+    (fp_text reference R28 (at -0.35 0.825 180) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 33 (at 0.525 0.8 180) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 297 N-00000266)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 290 N-00000246)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 5188E2C7) (tstamp 51544BDF)
+    (at 104.1 73.75 180)
+    (path /5152D186)
+    (attr smd)
+    (fp_text reference R21 (at -1.25 -0.225 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value 2k2 (at -1.225 0.225 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 262 3.3V)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 247 /TWI0SDA)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 5188E2C1) (tstamp 51544BEB)
+    (at 104.075 72.825 180)
+    (path /5152D179)
+    (attr smd)
+    (fp_text reference R20 (at -1.275 -0.125 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value 2k2 (at -1.25 0.3 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 262 3.3V)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 246 /TWI0SCK)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51B00D7A) (tstamp 51544BF7)
+    (at 100.35 96.925 270)
+    (path /5152CC57)
+    (attr smd)
+    (fp_text reference R30 (at -0.3 -0.7 270) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 240 (at 0.095 0.66 270) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 295 N-00000264)
+    )
+    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51B012D3) (tstamp 51544C03)
+    (at 75.075 70.35 180)
+    (path /5152B195)
+    (attr smd)
+    (fp_text reference R2 (at 1.1 -0.025 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value 5k1 (at -1.25 -0.25 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 313 N-00000364)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51AF169E) (tstamp 51544C0F)
+    (at 94.3 61.59)
+    (path /51523965)
+    (attr smd)
+    (fp_text reference C3 (at 0.03 -0.73) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 18pF (at 1.35 0.475) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 315 N-00000384)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51AF1674) (tstamp 51640911)
+    (at 98.82 61.28 90)
+    (path /51523944)
+    (attr smd)
+    (fp_text reference C4 (at 0.04 0.97 90) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 18pF (at 0.21 1.55 90) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 314 N-00000383)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51921006) (tstamp 5191EA34)
+    (at 69.625 81.975 180)
+    (path /514C617B)
+    (attr smd)
+    (fp_text reference R10 (at -0.05 -0.725 180) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 240 (at 0 -1.225 180) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 312 N-00000333)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A4875E) (tstamp 51544C33)
+    (at 55.55 82 180)
+    (path /514C6175)
+    (attr smd)
+    (fp_text reference R4 (at -0.25 0.675 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value 240 (at -0.15 1.2 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 267 GND)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 291 N-00000248)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A48A70) (tstamp 51544C4B)
+    (at 48.35 55.475 180)
+    (path /515197D1/51523C00)
+    (attr smd)
+    (fp_text reference C82 (at 0.05 0.675 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0.025 1.2 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51A738DE) (tstamp 51544C6F)
+    (at 40.98 86.07 270)
+    (path /5155B76A)
+    (attr smd)
+    (fp_text reference C6 (at 0.025 -0.825 270) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 22pF (at 0.025 -1.575 270) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 263 5V_IN)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A5E171) (tstamp 51A5E232)
+    (at 73.15 64.75 180)
+    (path /515197D1/515197E6)
+    (attr smd)
+    (fp_text reference C49 (at 1.025 0.025 270) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 1.475 0.025 270) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 261 1.2V)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A6FA8D) (tstamp 51544CC3)
+    (at 76.81 70.97 270)
+    (path /51558874)
+    (attr smd)
+    (fp_text reference C7 (at 1.15 -0.2 270) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 1.325 0.325 270) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 267 GND)
+    )
+    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 262 3.3V)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A48AA2) (tstamp 51544CCF)
+    (at 50.125 55.575 180)
+    (path /515197D1/51518E22)
+    (attr smd)
+    (fp_text reference C83 (at -0.025 0.6 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -0.05 1.075 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51B01213) (tstamp 51866B21)
+    (at 53.3 57.575 90)
+    (path /515197D1/51518E28)
+    (attr smd)
+    (fp_text reference C84 (at 0.325 -0.7 90) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -1.325 -0.35 90) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A48C11) (tstamp 51544CE7)
+    (at 56.575 55.675)
+    (path /515197D1/51518E2E)
+    (attr smd)
+    (fp_text reference C85 (at -0.025 -0.675) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0.05 -1.125) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A48CE3) (tstamp 51544CF3)
+    (at 60.3 56.825 90)
+    (path /515197D1/51518E34)
+    (attr smd)
+    (fp_text reference C86 (at 0 0.725 90) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0.075 1.175 90) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A48CEE) (tstamp 51544CFF)
+    (at 58.3 57.275)
+    (path /515197D1/51518E3A)
+    (attr smd)
+    (fp_text reference C87 (at -0.125 0.7) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -0.075 1.15) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A48D31) (tstamp 5185C9A4)
+    (at 61.125 62.8 270)
+    (path /515197D1/51518E40)
+    (attr smd)
+    (fp_text reference C88 (at -0.025 -0.75 270) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -0.1 -1.3 270) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A48D5A) (tstamp 51544D17)
+    (at 58 63.175 90)
+    (path /515197D1/51518E46)
+    (attr smd)
+    (fp_text reference C89 (at 0.375 0.75 90) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0.475 1.225 90) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A48DD9) (tstamp 51544D23)
+    (at 54.25 62.9 180)
+    (path /515197D1/51518E4C)
+    (attr smd)
+    (fp_text reference C90 (at 0.2 0.65 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0.175 1.125 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51A5D1C8) (tstamp 51544D3B)
+    (at 88 94.125 270)
+    (path /5155CB6D)
+    (attr smd)
+    (fp_text reference C43 (at -0.085 -0.87 270) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value .22u (at -0.055 -1.44 270) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 261 1.2V)
+    )
+    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 518883BC) (tstamp 51544D53)
+    (at 67.3 53.05 270)
+    (path /51543767)
+    (attr smd)
+    (fp_text reference C2 (at -1.15 -0.25 270) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 18pF (at -1.375 0.325 270) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 307 N-00000283)
+    )
+    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51A834BB) (tstamp 51544D5F)
+    (at 60.8 52.4 90)
+    (path /5154376F)
+    (attr smd)
+    (fp_text reference R1 (at 0 -0.72 90) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 10M (at -0.07 -1.19 90) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 317 N-00000419)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 307 N-00000283)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 519DBFE9) (tstamp 51544D6B)
+    (at 57.425 72.475 90)
+    (path /5154676A)
+    (attr smd)
+    (fp_text reference R18 (at 0.05 -0.65 90) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 22 (at 0.025 -1.125 90) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 4 /CK)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 229 /SCK)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 519DBFF0) (tstamp 51544D77)
+    (at 58.275 72.475 90)
+    (path /51546777)
+    (attr smd)
+    (fp_text reference R19 (at 0.05 0.725 90) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 22 (at -0.125 1.225 90) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 5 /CK#)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 230 /SCK#)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module QFN48+1 (layer TOP-L1) (tedit 515E7D5F) (tstamp 51544E1A)
+    (at 95.8745 93.5902 270)
+    (path /514C3FF4)
+    (attr smd)
+    (fp_text reference U7 (at 0.01016 2.45364 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.127)))
+    )
+    (fp_text value AXP209 (at 0.381 -0.1524 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.635) (thickness 0.1524)))
+    )
+    (fp_line (start -2.921 -3.81) (end -3.175 -3.81) (layer F.SilkS) (width 0.127))
+    (fp_line (start -3.175 -3.81) (end -3.81 -3.302) (layer F.SilkS) (width 0.127))
+    (fp_line (start -3.81 -3.302) (end -3.81 -2.921) (layer F.SilkS) (width 0.127))
+    (fp_line (start -2.921 -3.81) (end 3.81 -3.81) (layer F.SilkS) (width 0.127))
+    (fp_line (start 3.81 -3.81) (end 3.81 3.81) (layer F.SilkS) (width 0.127))
+    (fp_line (start 3.81 3.81) (end -3.81 3.81) (layer F.SilkS) (width 0.127))
+    (fp_line (start -3.81 3.81) (end -3.81 -2.921) (layer F.SilkS) (width 0.127))
+    (fp_circle (center -3.32994 -3.25882) (end -3.43154 -3.56108) (layer F.SilkS) (width 0.127))
+    (pad 1 smd rect (at -3.39852 -2.74828 270) (size 0.59944 0.24892)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 247 /TWI0SDA)
+    )
+    (pad 2 smd rect (at -3.39852 -2.2479 270) (size 0.59944 0.24892)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 246 /TWI0SCK)
+    )
+    (pad 3 smd rect (at -3.39852 -1.74752 270) (size 0.59944 0.24892)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 4 smd rect (at -3.39852 -1.24714 270) (size 0.59944 0.24892)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad 5 smd rect (at -3.39852 -0.7493 270) (size 0.59944 0.24892)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 6 smd rect (at -3.39852 -0.24892 270) (size 0.59944 0.24892)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 7 smd rect (at -3.39852 0.25146 270) (size 0.59944 0.24892)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 280 IPSOUT)
+    )
+    (pad 8 smd rect (at -3.39852 0.75184 270) (size 0.59944 0.24892)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 330 N-0000044)
+    )
+    (pad 9 smd rect (at -3.39852 1.25222 270) (size 0.59944 0.24892)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad 10 smd rect (at -3.39852 1.7526 270) (size 0.59944 0.24892)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 260 1.25V)
+    )
+    (pad 11 smd rect (at -3.39852 2.25298 270) (size 0.59944 0.24892)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 283 LDO4)
+    )
+    (pad 12 smd rect (at -3.39852 2.75082 270) (size 0.59944 0.24892)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 264 AVCC)
+    )
+    (pad 33 smd rect (at 3.39852 -1.25222 270) (size 0.59944 0.24892)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 263 5V_IN)
+    )
+    (pad 34 smd rect (at 3.39852 -1.75006 270) (size 0.59944 0.24892)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 280 IPSOUT)
+    )
+    (pad 35 smd rect (at 3.39852 -2.25044 270) (size 0.59944 0.24892)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 280 IPSOUT)
+    )
+    (pad 36 smd rect (at 3.39852 -2.75082 270) (size 0.59944 0.24892)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 17 smd rect (at -0.7493 3.40106 270) (size 0.24892 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 261 1.2V)
+    )
+    (pad 18 smd rect (at -0.25146 3.40106 270) (size 0.24892 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 19 smd rect (at 0.24892 3.40106 270) (size 0.24892 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 288 N-00000244)
+    )
+    (pad 20 smd rect (at 0.7493 3.40106 270) (size 0.24892 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 40 /EXTEN)
+    )
+    (pad 21 smd rect (at 1.24968 3.40106 270) (size 0.24892 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 280 IPSOUT)
+    )
+    (pad 22 smd rect (at 1.75006 3.40106 270) (size 0.24892 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad 23 smd rect (at 2.25044 3.40106 270) (size 0.24892 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 289 N-00000245)
+    )
+    (pad 24 smd rect (at 2.75082 3.40106 270) (size 0.24892 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 292 N-00000249)
+    )
+    (pad 49 smd rect (at 0 0 270) (size 5.10032 5.10032)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad 13 smd rect (at -2.74828 3.40106 270) (size 0.24892 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 280 IPSOUT)
+    )
+    (pad 14 smd rect (at -2.2479 3.40106 270) (size 0.24892 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 280 IPSOUT)
+    )
+    (pad 15 smd rect (at -1.74752 3.40106 270) (size 0.24892 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 331 N-0000045)
+    )
+    (pad 16 smd rect (at -1.24968 3.40106 270) (size 0.24892 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad 25 smd rect (at 3.39852 2.74828 270) (size 0.59944 0.24892)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 212 /RESET#)
+    )
+    (pad 26 smd rect (at 3.40106 2.2479 270) (size 0.59944 0.24892)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 296 N-00000265)
+    )
+    (pad 27 smd rect (at 3.40106 1.75006 270) (size 0.59944 0.24892)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 309 N-00000303)
+    )
+    (pad 28 smd rect (at 3.40106 1.24968 270) (size 0.59944 0.24892)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 281 LDO1)
+    )
+    (pad 29 smd rect (at 3.40106 0.7493 270) (size 0.59944 0.24892)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 30 smd rect (at 3.40106 0.24892 270) (size 0.59944 0.24892)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 31 smd rect (at 3.40106 -0.25146 270) (size 0.59944 0.24892)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad 32 smd rect (at 3.40106 -0.75184 270) (size 0.59944 0.24892)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 263 5V_IN)
+    )
+    (pad 37 smd rect (at 2.75082 -3.39852 270) (size 0.24892 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 295 N-00000264)
+    )
+    (pad 38 smd rect (at 2.25044 -3.39852 270) (size 0.24892 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 39 smd rect (at 1.75006 -3.39852 270) (size 0.24892 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 40 smd rect (at 1.25222 -3.39852 270) (size 0.24892 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 280 IPSOUT)
+    )
+    (pad 41 smd rect (at 0.75184 -3.39852 270) (size 0.24892 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 282 LDO3)
+    )
+    (pad 42 smd rect (at 0.25146 -3.39852 270) (size 0.24892 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 43 smd rect (at -0.24892 -3.39852 270) (size 0.24892 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 44 smd rect (at -0.7493 -3.39852 270) (size 0.24892 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 280 IPSOUT)
+    )
+    (pad 45 smd rect (at -1.24968 -3.39852 270) (size 0.24892 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 46 smd rect (at -1.75006 -3.39852 270) (size 0.24892 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad 47 smd rect (at -2.2479 -3.39852 270) (size 0.24892 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 290 N-00000246)
+    )
+    (pad 48 smd rect (at -2.74828 -3.39852 270) (size 0.24892 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 73 /NMI#)
+    )
+  )
+
+  (module PIN_ARRAY_2X1 (layer TOP-L1) (tedit 51A5E735) (tstamp 515A7C58)
+    (at 70.5 85.425 180)
+    (descr "Connecteurs 2 pins")
+    (tags "CONN DEV")
+    (path /515A7B4E)
+    (fp_text reference P4 (at 1.875 1.8 180) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value UBOOT (at 0.7 2.85 180) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start -2.54 1.27) (end -2.54 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -2.54 -1.27) (end 2.54 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 2.54 -1.27) (end 2.54 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 2.54 1.27) (end -2.54 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at -1.27 0 180) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 285 N-00000230)
+    )
+    (pad 2 thru_hole circle (at 1.27 0 180) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 267 GND)
+    )
+    (model pin_array/pins_array_2x1.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM1210L (layer TOP-L1) (tedit 5188CED0) (tstamp 515445A6)
+    (at 70.625 90.325 270)
+    (tags "CMS SM")
+    (path /51513975)
+    (attr smd)
+    (fp_text reference L4 (at -3.65 -0.6 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.127)))
+    )
+    (fp_text value 4.7uH/2A (at -0.05 -2.525 270) (layer F.SilkS)
+      (effects (font (size 0.889 0.762) (thickness 0.127)))
+    )
+    (fp_circle (center -2.921 1.651) (end -2.794 1.524) (layer F.SilkS) (width 0.127))
+    (fp_line (start 0.889 1.524) (end 2.794 1.524) (layer F.SilkS) (width 0.127))
+    (fp_line (start 2.794 1.524) (end 2.794 -1.524) (layer F.SilkS) (width 0.127))
+    (fp_line (start 2.794 -1.524) (end 0.889 -1.524) (layer F.SilkS) (width 0.127))
+    (fp_line (start -0.762 -1.524) (end -2.794 -1.524) (layer F.SilkS) (width 0.127))
+    (fp_line (start -2.794 -1.524) (end -2.794 1.524) (layer F.SilkS) (width 0.127))
+    (fp_line (start -2.794 1.524) (end -0.762 1.524) (layer F.SilkS) (width 0.127))
+    (pad 1 smd rect (at -1.778 0 270) (size 1.778 2.794)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 311 N-00000305)
+    )
+    (pad 2 smd rect (at 1.778 0 270) (size 1.778 2.794)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 262 3.3V)
+    )
+    (model smd/chip_cms.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.2 0.2 0.2))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM1210L (layer TOP-L1) (tedit 51AF1D7F) (tstamp 515445B2)
+    (at 97.125 84.325 180)
+    (tags "CMS SM")
+    (path /514EC5DA)
+    (attr smd)
+    (fp_text reference L3 (at -0.025 2.15 180) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.127)))
+    )
+    (fp_text value 4.7uH at 1.5A (at -0.175 2.75 180) (layer F.SilkS) hide
+      (effects (font (size 0.3 0.3) (thickness 0.000001)))
+    )
+    (fp_circle (center -2.921 1.651) (end -2.794 1.524) (layer F.SilkS) (width 0.127))
+    (fp_line (start 0.889 1.524) (end 2.794 1.524) (layer F.SilkS) (width 0.127))
+    (fp_line (start 2.794 1.524) (end 2.794 -1.524) (layer F.SilkS) (width 0.127))
+    (fp_line (start 2.794 -1.524) (end 0.889 -1.524) (layer F.SilkS) (width 0.127))
+    (fp_line (start -0.762 -1.524) (end -2.794 -1.524) (layer F.SilkS) (width 0.127))
+    (fp_line (start -2.794 -1.524) (end -2.794 1.524) (layer F.SilkS) (width 0.127))
+    (fp_line (start -2.794 1.524) (end -0.762 1.524) (layer F.SilkS) (width 0.127))
+    (pad 1 smd rect (at -1.778 0 180) (size 1.778 2.794)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 330 N-0000044)
+    )
+    (pad 2 smd rect (at 1.778 0 180) (size 1.778 2.794)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 260 1.25V)
+    )
+    (model smd/chip_cms.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.2 0.2 0.2))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM1210L (layer TOP-L1) (tedit 51A5D376) (tstamp 515445BE)
+    (at 85.62 89.185 90)
+    (tags "CMS SM")
+    (path /514ECA56)
+    (attr smd)
+    (fp_text reference L6 (at -0.03 -2.28 180) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.127)))
+    )
+    (fp_text value 4.7uH at 1.5A (at 0.15 -3.06 90) (layer F.SilkS)
+      (effects (font (size 0.3 0.3) (thickness 0.000001)))
+    )
+    (fp_circle (center -2.921 1.651) (end -2.794 1.524) (layer F.SilkS) (width 0.127))
+    (fp_line (start 0.889 1.524) (end 2.794 1.524) (layer F.SilkS) (width 0.127))
+    (fp_line (start 2.794 1.524) (end 2.794 -1.524) (layer F.SilkS) (width 0.127))
+    (fp_line (start 2.794 -1.524) (end 0.889 -1.524) (layer F.SilkS) (width 0.127))
+    (fp_line (start -0.762 -1.524) (end -2.794 -1.524) (layer F.SilkS) (width 0.127))
+    (fp_line (start -2.794 -1.524) (end -2.794 1.524) (layer F.SilkS) (width 0.127))
+    (fp_line (start -2.794 1.524) (end -0.762 1.524) (layer F.SilkS) (width 0.127))
+    (pad 1 smd rect (at -1.778 0 90) (size 1.778 2.794)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 331 N-0000045)
+    )
+    (pad 2 smd rect (at 1.778 0 90) (size 1.778 2.794)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 261 1.2V)
+    )
+    (model smd/chip_cms.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.2 0.2 0.2))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM1210L (layer TOP-L1) (tedit 51AEE3DA) (tstamp 515445CA)
+    (at 48.9 87.025)
+    (tags "CMS SM")
+    (path /5150FD3C)
+    (attr smd)
+    (fp_text reference L2 (at -1.1 -2.15) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.127)))
+    )
+    (fp_text value 4.7uH/3A (at 0.05 -0.825) (layer F.SilkS) hide
+      (effects (font (size 0.889 0.762) (thickness 0.127)))
+    )
+    (fp_circle (center -2.921 1.651) (end -2.794 1.524) (layer F.SilkS) (width 0.127))
+    (fp_line (start 0.889 1.524) (end 2.794 1.524) (layer F.SilkS) (width 0.127))
+    (fp_line (start 2.794 1.524) (end 2.794 -1.524) (layer F.SilkS) (width 0.127))
+    (fp_line (start 2.794 -1.524) (end 0.889 -1.524) (layer F.SilkS) (width 0.127))
+    (fp_line (start -0.762 -1.524) (end -2.794 -1.524) (layer F.SilkS) (width 0.127))
+    (fp_line (start -2.794 -1.524) (end -2.794 1.524) (layer F.SilkS) (width 0.127))
+    (fp_line (start -2.794 1.524) (end -0.762 1.524) (layer F.SilkS) (width 0.127))
+    (pad 1 smd rect (at -1.778 0) (size 1.778 2.794)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 310 N-00000304)
+    )
+    (pad 2 smd rect (at 1.778 0) (size 1.778 2.794)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (model smd/chip_cms.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.2 0.2 0.2))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 5188DBBF) (tstamp 515446A7)
+    (at 99.93 98.31)
+    (path /5154EB96)
+    (attr smd)
+    (fp_text reference C8 (at 1.14894 -0.22732) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value .22u (at 1.24894 0.22268) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 280 IPSOUT)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module C2V8 (layer TOP-L1) (tedit 51AFED7E) (tstamp 51544582)
+    (at 56.3 100.425 90)
+    (descr "Condensateur polarise")
+    (tags CP)
+    (path /515489AE)
+    (fp_text reference C33 (at 0.15 5.775 90) (layer F.SilkS)
+      (effects (font (size 1.016 1.016) (thickness 0.2032)))
+    )
+    (fp_text value 220u (at 0 -2.54 90) (layer F.SilkS) hide
+      (effects (font (size 1.016 1.016) (thickness 0.2032)))
+    )
+    (fp_circle (center 0 0) (end -4.445 0) (layer F.SilkS) (width 0.3048))
+    (pad 1 thru_hole rect (at -2.54 0 90) (size 1.778 1.778) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 263 5V_IN)
+    )
+    (pad 2 thru_hole circle (at 2.54 0 90) (size 1.778 1.778) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 267 GND)
+    )
+    (model discret/c_vert_c2v10.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0603 (layer TOP-L1) (tedit 5188DCF8) (tstamp 5154463F)
+    (at 101.79 93.55 180)
+    (path /51552586)
+    (attr smd)
+    (fp_text reference C27 (at -0.125 1.025 180) (layer F.SilkS)
+      (effects (font (size 0.508 0.4572) (thickness 0.1143)))
+    )
+    (fp_text value 4.7u (at -0.1 1.825 180) (layer F.SilkS) hide
+      (effects (font (size 0.508 0.4572) (thickness 0.1143)))
+    )
+    (fp_line (start -1.143 -0.635) (end 1.143 -0.635) (layer F.SilkS) (width 0.127))
+    (fp_line (start 1.143 -0.635) (end 1.143 0.635) (layer F.SilkS) (width 0.127))
+    (fp_line (start 1.143 0.635) (end -1.143 0.635) (layer F.SilkS) (width 0.127))
+    (fp_line (start -1.143 0.635) (end -1.143 -0.635) (layer F.SilkS) (width 0.127))
+    (pad 1 smd rect (at -0.762 0 180) (size 0.635 1.143)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad 2 smd rect (at 0.762 0 180) (size 0.635 1.143)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 282 LDO3)
+    )
+    (model smd\resistors\R0603.wrl
+      (at (xyz 0 0 0.001))
+      (scale (xyz 0.5 0.5 0.5))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0603 (layer BOT-L6) (tedit 5191E750) (tstamp 515F7978)
+    (at 57.85 85.65 180)
+    (path /51552419)
+    (attr smd)
+    (fp_text reference C20 (at -1.95 -0.225 180) (layer B.SilkS)
+      (effects (font (size 0.508 0.4572) (thickness 0.1143)) (justify mirror))
+    )
+    (fp_text value 4.7u (at -1.95 0.55 180) (layer B.SilkS) hide
+      (effects (font (size 0.508 0.4572) (thickness 0.1143)) (justify mirror))
+    )
+    (fp_line (start -1.143 0.635) (end 1.143 0.635) (layer B.SilkS) (width 0.127))
+    (fp_line (start 1.143 0.635) (end 1.143 -0.635) (layer B.SilkS) (width 0.127))
+    (fp_line (start 1.143 -0.635) (end -1.143 -0.635) (layer B.SilkS) (width 0.127))
+    (fp_line (start -1.143 -0.635) (end -1.143 0.635) (layer B.SilkS) (width 0.127))
+    (pad 1 smd rect (at -0.762 0 180) (size 0.635 1.143)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 267 GND)
+    )
+    (pad 2 smd rect (at 0.762 0 180) (size 0.635 1.143)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (model smd\resistors\R0603.wrl
+      (at (xyz 0 0 0.001))
+      (scale (xyz 0.5 0.5 0.5))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module TFBGA441-new (layer TOP-L1) (tedit 510A4318) (tstamp 5172549E)
+    (at 77 66)
+    (descr "441 pin Thin Fine Pitch BGA")
+    (path /514D47F5)
+    (fp_text reference U3 (at 0 0) (layer F.SilkS)
+      (effects (font (size 0.0004 0.0004) (thickness 0.00012)))
+    )
+    (fp_text value A10-SOC (at 0 0) (layer F.SilkS)
+      (effects (font (size 0.0004 0.0004) (thickness 0.00012)))
+    )
+    (fp_line (start 9.6 9.6) (end 9.6 -9.4) (layer F.SilkS) (width 0.15))
+    (fp_line (start 9.6 -9.4) (end 9.4 -9.4) (layer F.SilkS) (width 0.15))
+    (fp_line (start -9.6 -9.4) (end -10 -9.8) (layer F.SilkS) (width 0.15))
+    (fp_line (start -9.6 -9.4) (end 9.4 -9.4) (layer F.SilkS) (width 0.15))
+    (fp_line (start 9.6 9.6) (end -9.6 9.6) (layer F.SilkS) (width 0.15))
+    (fp_line (start -9.6 9.6) (end -9.6 -9.4) (layer F.SilkS) (width 0.15))
+    (pad A1 smd circle (at -8.8 -8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 169 /PH15)
+    )
+    (pad A2 smd circle (at -8 -8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 167 /PH13)
+    )
+    (pad A3 smd circle (at -7.2 -8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 /PH10)
+    )
+    (pad A4 smd circle (at -6.4 -8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 186 /PH6)
+    )
+    (pad A5 smd circle (at -5.6 -8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 183 /PH3)
+    )
+    (pad A6 smd circle (at -4.8 -8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 162 /PH0)
+    )
+    (pad A7 smd circle (at -4 -8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 249 /U0Tx/22)
+    )
+    (pad A8 smd circle (at -3.2 -8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 101 /PB18)
+    )
+    (pad A9 smd circle (at -2.4 -8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 99 /PB16/JD0)
+    )
+    (pad A10 smd circle (at -1.6 -8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 97 /PB14/JMS)
+    )
+    (pad A11 smd circle (at -0.8 -8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 111 /PB8)
+    )
+    (pad A12 smd circle (at 0 -8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 109 /PB6)
+    )
+    (pad A13 smd circle (at 0.8 -8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 107 /PB4)
+    )
+    (pad A14 smd circle (at 1.6 -8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 103 /PB2)
+    )
+    (pad A15 smd circle (at 2.4 -8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 246 /TWI0SCK)
+    )
+    (pad A16 smd circle (at 3.2 -8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 210 /PI8)
+    )
+    (pad A17 smd circle (at 4 -8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 208 /PI6)
+    )
+    (pad A18 smd circle (at 4.8 -8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 206 /PI4)
+    )
+    (pad A19 smd circle (at 5.6 -8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 202 /PI2)
+    )
+    (pad A20 smd circle (at 6.4 -8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 190 /PI0)
+    )
+    (pad A21 smd circle (at 7.2 -8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 141 /PE11)
+    )
+    (pad A22 smd circle (at 8 -8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 149 /PE9)
+    )
+    (pad A23 smd circle (at 8.8 -8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 148 /PE8)
+    )
+    (pad B1 smd circle (at -8.8 -8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 170 /PH16)
+    )
+    (pad B2 smd circle (at -8 -8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 /PH14)
+    )
+    (pad B3 smd circle (at -7.2 -8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 165 /PH11)
+    )
+    (pad B4 smd circle (at -6.4 -8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 /PH7)
+    )
+    (pad B5 smd circle (at -5.6 -8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 184 /PH4)
+    )
+    (pad B6 smd circle (at -4.8 -8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 163 /PH1)
+    )
+    (pad B7 smd circle (at -4 -8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 248 /U0Rx/23)
+    )
+    (pad B8 smd circle (at -3.2 -8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 102 /PB19)
+    )
+    (pad B9 smd circle (at -2.4 -8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 100 /PB17/JDI)
+    )
+    (pad B10 smd circle (at -1.6 -8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 98 /PB15/JCK)
+    )
+    (pad B11 smd circle (at -0.8 -8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 96 /PB13)
+    )
+    (pad B12 smd circle (at 0 -8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 110 /PB7)
+    )
+    (pad B13 smd circle (at 0.8 -8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 108 /PB5)
+    )
+    (pad B14 smd circle (at 1.6 -8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 106 /PB3)
+    )
+    (pad B15 smd circle (at 2.4 -8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 247 /TWI0SDA)
+    )
+    (pad B16 smd circle (at 3.2 -8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 211 /PI9)
+    )
+    (pad B17 smd circle (at 4 -8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 209 /PI7)
+    )
+    (pad B18 smd circle (at 4.8 -8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 207 /PI5)
+    )
+    (pad B19 smd circle (at 5.6 -8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 205 /PI3)
+    )
+    (pad B20 smd circle (at 6.4 -8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 191 /PI1)
+    )
+    (pad B21 smd circle (at 7.2 -8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 140 /PE10)
+    )
+    (pad B22 smd circle (at 8 -8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 147 /PE7)
+    )
+    (pad B23 smd circle (at 8.8 -8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 146 /PE6)
+    )
+    (pad C1 smd circle (at -8.8 -7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 171 /PH17)
+    )
+    (pad C2 smd circle (at -8 -7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 172 /PH18)
+    )
+    (pad C3 smd circle (at -7.2 -7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 166 /PH12)
+    )
+    (pad C4 smd circle (at -6.4 -7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 188 /PH8)
+    )
+    (pad C5 smd circle (at -5.6 -7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 185 /PH5)
+    )
+    (pad C6 smd circle (at -4.8 -7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 174 /PH2)
+    )
+    (pad C7 smd circle (at -4 -7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 105 /PB21)
+    )
+    (pad C8 smd circle (at -3.2 -7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 104 /PB20)
+    )
+    (pad C9 smd circle (at -2.4 -7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 95 /PB12)
+    )
+    (pad C10 smd circle (at -1.6 -7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 94 /PB11)
+    )
+    (pad C11 smd circle (at -0.8 -7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 93 /PB10)
+    )
+    (pad C12 smd circle (at 0 -7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 112 /PB9)
+    )
+    (pad C13 smd circle (at 0.8 -7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 84 /PA17)
+    )
+    (pad C14 smd circle (at 1.6 -7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 212 /RESET#)
+    )
+    (pad C15 smd circle (at 2.4 -7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 196 /PI14)
+    )
+    (pad C16 smd circle (at 3.2 -7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 194 /PI12)
+    )
+    (pad C17 smd circle (at 4 -7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 192 /PI10)
+    )
+    (pad C18 smd circle (at 4.8 -7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 153 /PG11)
+    )
+    (pad C19 smd circle (at 5.6 -7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 161 /PG9)
+    )
+    (pad C20 smd circle (at 6.4 -7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 159 /PG7)
+    )
+    (pad C21 smd circle (at 7.2 -7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 157 /PG5)
+    )
+    (pad C22 smd circle (at 8 -7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 145 /PE5)
+    )
+    (pad C23 smd circle (at 8.8 -7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 144 /PE4)
+    )
+    (pad D1 smd circle (at -8.8 -6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 173 /PH19)
+    )
+    (pad D2 smd circle (at -8 -6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 175 /PH20)
+    )
+    (pad D3 smd circle (at -7.2 -6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 176 /PH21)
+    )
+    (pad D4 smd circle (at -6.4 -6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 189 /PH9)
+    )
+    (pad D5 smd circle (at -5.6 -6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 75 /PA0)
+    )
+    (pad D6 smd circle (at -4.8 -6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 85 /PA2)
+    )
+    (pad D7 smd circle (at -4 -6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 87 /PA4)
+    )
+    (pad D8 smd circle (at -3.2 -6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 89 /PA6)
+    )
+    (pad D9 smd circle (at -2.4 -6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 91 /PA8)
+    )
+    (pad D10 smd circle (at -1.6 -6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 77 /PA10)
+    )
+    (pad D11 smd circle (at -0.8 -6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 79 /PA12)
+    )
+    (pad D12 smd circle (at 0 -6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 81 /PA14)
+    )
+    (pad D13 smd circle (at 0.8 -6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 83 /PA16)
+    )
+    (pad D14 smd circle (at 1.6 -6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 201 /PI19)
+    )
+    (pad D15 smd circle (at 2.4 -6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 197 /PI15)
+    )
+    (pad D16 smd circle (at 3.2 -6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 195 /PI13)
+    )
+    (pad D17 smd circle (at 4 -6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 193 /PI11)
+    )
+    (pad D18 smd circle (at 4.8 -6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 152 /PG10)
+    )
+    (pad D19 smd circle (at 5.6 -6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 160 /PG8)
+    )
+    (pad D20 smd circle (at 6.4 -6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 156 /PG4)
+    )
+    (pad D21 smd circle (at 7.2 -6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 155 /PG3)
+    )
+    (pad D22 smd circle (at 8 -6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 143 /PE3)
+    )
+    (pad D23 smd circle (at 8.8 -6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 142 /PE2)
+    )
+    (pad E1 smd circle (at -8.8 -5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 177 /PH22)
+    )
+    (pad E2 smd circle (at -8 -5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 178 /PH23)
+    )
+    (pad E3 smd circle (at -7.2 -5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 179 /PH24)
+    )
+    (pad E4 smd circle (at -6.4 -5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 180 /PH25)
+    )
+    (pad E5 smd circle (at -5.6 -5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 76 /PA1)
+    )
+    (pad E6 smd circle (at -4.8 -5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 86 /PA3)
+    )
+    (pad E7 smd circle (at -4 -5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 88 /PA5)
+    )
+    (pad E8 smd circle (at -3.2 -5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 90 /PA7)
+    )
+    (pad E9 smd circle (at -2.4 -5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 92 /PA9)
+    )
+    (pad E10 smd circle (at -1.6 -5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 78 /PA11)
+    )
+    (pad E11 smd circle (at -0.8 -5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 80 /PA13)
+    )
+    (pad E12 smd circle (at 0 -5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 82 /PA15)
+    )
+    (pad E13 smd circle (at 0.8 -5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 204 /PI21)
+    )
+    (pad E14 smd circle (at 1.6 -5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 203 /PI20)
+    )
+    (pad E15 smd circle (at 2.4 -5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 200 /PI18)
+    )
+    (pad E16 smd circle (at 3.2 -5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 199 /PI17)
+    )
+    (pad E17 smd circle (at 4 -5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 198 /PI16)
+    )
+    (pad E18 smd circle (at 4.8 -5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 262 3.3V)
+    )
+    (pad E19 smd circle (at 5.6 -5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 158 /PG6)
+    )
+    (pad E20 smd circle (at 6.4 -5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 154 /PG2)
+    )
+    (pad E21 smd circle (at 7.2 -5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 151 /PG1)
+    )
+    (pad E22 smd circle (at 8 -5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 139 /PE1)
+    )
+    (pad E23 smd circle (at 8.8 -5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 138 /PE0)
+    )
+    (pad F1 smd circle (at -8.8 -4.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 317 N-00000419)
+    )
+    (pad F2 smd circle (at -8 -4.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 307 N-00000283)
+    )
+    (pad F3 smd circle (at -7.2 -4.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 181 /PH26)
+    )
+    (pad F4 smd circle (at -6.4 -4.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 182 /PH27)
+    )
+    (pad F5 smd circle (at -5.6 -4.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 73 /NMI#)
+    )
+    (pad F19 smd circle (at 5.6 -4.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 262 3.3V)
+    )
+    (pad F20 smd circle (at 6.4 -4.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 150 /PG0)
+    )
+    (pad F21 smd circle (at 7.2 -4.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 130 /PC24)
+    )
+    (pad F22 smd circle (at 8 -4.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 123 /PC18)
+    )
+    (pad F23 smd circle (at 8.8 -4.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 122 /PC17)
+    )
+    (pad G1 smd circle (at -8.8 -4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 234 /SDQM3)
+    )
+    (pad G2 smd circle (at -8 -4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 32 /DQ30)
+    )
+    (pad G3 smd circle (at -7.2 -4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad G4 smd circle (at -6.4 -4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad G5 smd circle (at -5.6 -4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (pad G19 smd circle (at 5.6 -4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 129 /PC23)
+    )
+    (pad G20 smd circle (at 6.4 -4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 120 /PC15)
+    )
+    (pad G21 smd circle (at 7.2 -4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 119 /PC14)
+    )
+    (pad G22 smd circle (at 8 -4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 116 /PC11)
+    )
+    (pad G23 smd circle (at 8.8 -4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 115 /PC10)
+    )
+    (pad H1 smd circle (at -8.8 -3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 26 /DQ25)
+    )
+    (pad H2 smd circle (at -8 -3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 28 /DQ27)
+    )
+    (pad H3 smd circle (at -7.2 -3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 265 DRAM_REF)
+    )
+    (pad H4 smd circle (at -6.4 -3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 265 DRAM_REF)
+    )
+    (pad H5 smd circle (at -5.6 -3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (pad H8 smd circle (at -3.2 -3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 262 3.3V)
+    )
+    (pad H9 smd circle (at -2.4 -3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 262 3.3V)
+    )
+    (pad H10 smd circle (at -1.6 -3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 262 3.3V)
+    )
+    (pad H11 smd circle (at -0.8 -3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 260 1.25V)
+    )
+    (pad H12 smd circle (at 0 -3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 260 1.25V)
+    )
+    (pad H13 smd circle (at 0.8 -3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 260 1.25V)
+    )
+    (pad H14 smd circle (at 1.6 -3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 260 1.25V)
+    )
+    (pad H15 smd circle (at 2.4 -3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 262 3.3V)
+    )
+    (pad H16 smd circle (at 3.2 -3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad H19 smd circle (at 5.6 -3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 262 3.3V)
+    )
+    (pad H20 smd circle (at 6.4 -3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 118 /PC13)
+    )
+    (pad H21 smd circle (at 7.2 -3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 117 /PC12)
+    )
+    (pad H22 smd circle (at 8 -3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 137 /PC9)
+    )
+    (pad H23 smd circle (at 8.8 -3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 136 /PC8)
+    )
+    (pad J1 smd circle (at -8.8 -2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 29 /DQ28)
+    )
+    (pad J2 smd circle (at -8 -2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 242 /SDQS3#)
+    )
+    (pad J3 smd circle (at -7.2 -2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad J4 smd circle (at -6.4 -2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad J5 smd circle (at -5.6 -2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad J8 smd circle (at -3.2 -2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 262 3.3V)
+    )
+    (pad J9 smd circle (at -2.4 -2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 262 3.3V)
+    )
+    (pad J10 smd circle (at -1.6 -2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 262 3.3V)
+    )
+    (pad J11 smd circle (at -0.8 -2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad J12 smd circle (at 0 -2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 260 1.25V)
+    )
+    (pad J13 smd circle (at 0.8 -2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 260 1.25V)
+    )
+    (pad J14 smd circle (at 1.6 -2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 262 3.3V)
+    )
+    (pad J15 smd circle (at 2.4 -2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 261 1.2V)
+    )
+    (pad J16 smd circle (at 3.2 -2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 261 1.2V)
+    )
+    (pad J19 smd circle (at 5.6 -2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 262 3.3V)
+    )
+    (pad J20 smd circle (at 6.4 -2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 128 /PC22)
+    )
+    (pad J21 smd circle (at 7.2 -2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 127 /PC21)
+    )
+    (pad J22 smd circle (at 8 -2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 135 /PC7)
+    )
+    (pad J23 smd circle (at 8.8 -2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 134 /PC6)
+    )
+    (pad K1 smd circle (at -8.8 -1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 241 /SDQS3)
+    )
+    (pad K2 smd circle (at -8 -1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 33 /DQ31)
+    )
+    (pad K3 smd circle (at -7.2 -1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 1 /BA0)
+    )
+    (pad K4 smd circle (at -6.4 -1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 3 /BA2)
+    )
+    (pad K5 smd circle (at -5.6 -1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad K8 smd circle (at -3.2 -1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 281 LDO1)
+    )
+    (pad K9 smd circle (at -2.4 -1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 261 1.2V)
+    )
+    (pad K10 smd circle (at -1.6 -1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 261 1.2V)
+    )
+    (pad K11 smd circle (at -0.8 -1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad K12 smd circle (at 0 -1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad K13 smd circle (at 0.8 -1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad K14 smd circle (at 1.6 -1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad K15 smd circle (at 2.4 -1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 261 1.2V)
+    )
+    (pad K16 smd circle (at 3.2 -1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 261 1.2V)
+    )
+    (pad K19 smd circle (at 5.6 -1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 298 N-00000267)
+    )
+    (pad K20 smd circle (at 6.4 -1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 299 N-00000268)
+    )
+    (pad K21 smd circle (at 7.2 -1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 126 /PC20)
+    )
+    (pad K22 smd circle (at 8 -1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 133 /PC5)
+    )
+    (pad K23 smd circle (at 8.8 -1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 132 /PC4)
+    )
+    (pad L1 smd circle (at -8.8 -0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 25 /DQ24)
+    )
+    (pad L2 smd circle (at -8 -0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 27 /DQ26)
+    )
+    (pad L3 smd circle (at -7.2 -0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 215 /SA10)
+    )
+    (pad L4 smd circle (at -6.4 -0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 2 /BA1)
+    )
+    (pad L5 smd circle (at -5.6 -0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (pad L8 smd circle (at -3.2 -0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 261 1.2V)
+    )
+    (pad L9 smd circle (at -2.4 -0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 261 1.2V)
+    )
+    (pad L10 smd circle (at -1.6 -0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad L11 smd circle (at -0.8 -0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad L12 smd circle (at 0 -0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad L13 smd circle (at 0.8 -0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad L14 smd circle (at 1.6 -0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad L15 smd circle (at 2.4 -0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 262 3.3V)
+    )
+    (pad L16 smd circle (at 3.2 -0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 262 3.3V)
+    )
+    (pad L19 smd circle (at 5.6 -0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 300 N-00000269)
+    )
+    (pad L20 smd circle (at 6.4 -0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 301 N-00000270)
+    )
+    (pad L21 smd circle (at 7.2 -0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 124 /PC19)
+    )
+    (pad L22 smd circle (at 8 -0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 131 /PC3)
+    )
+    (pad L23 smd circle (at 8.8 -0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 125 /PC2)
+    )
+    (pad M1 smd circle (at -8.8 0) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 30 /DQ29)
+    )
+    (pad M2 smd circle (at -8 0) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 24 /DQ23)
+    )
+    (pad M3 smd circle (at -7.2 0) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 225 /SA7)
+    )
+    (pad M4 smd circle (at -6.4 0) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 221 /SA3)
+    )
+    (pad M5 smd circle (at -5.6 0) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (pad M8 smd circle (at -3.2 0) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 261 1.2V)
+    )
+    (pad M9 smd circle (at -2.4 0) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad M10 smd circle (at -1.6 0) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad M11 smd circle (at -0.8 0) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad M12 smd circle (at 0 0) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad M13 smd circle (at 0.8 0) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad M14 smd circle (at 1.6 0) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad M15 smd circle (at 2.4 0) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad M16 smd circle (at 3.2 0) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad M19 smd circle (at 5.6 0) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 302 N-00000271)
+    )
+    (pad M20 smd circle (at 6.4 0) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 284 N-0000012)
+    )
+    (pad M21 smd circle (at 7.2 0) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 121 /PC16)
+    )
+    (pad M22 smd circle (at 8 0) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 114 /PC1)
+    )
+    (pad M23 smd circle (at 8.8 0) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 113 /PC0)
+    )
+    (pad N1 smd circle (at -8.8 0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 16 /DQ16)
+    )
+    (pad N2 smd circle (at -8 0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 18 /DQ18)
+    )
+    (pad N3 smd circle (at -7.2 0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 6 /CKE)
+    )
+    (pad N4 smd circle (at -6.4 0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 223 /SA5)
+    )
+    (pad N5 smd circle (at -5.6 0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad N8 smd circle (at -3.2 0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad N9 smd circle (at -2.4 0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 261 1.2V)
+    )
+    (pad N10 smd circle (at -1.6 0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad N11 smd circle (at -0.8 0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad N12 smd circle (at 0 0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad N13 smd circle (at 0.8 0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad N14 smd circle (at 1.6 0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad N15 smd circle (at 2.4 0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad N16 smd circle (at 3.2 0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad N19 smd circle (at 5.6 0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 262 3.3V)
+    )
+    (pad N20 smd circle (at 6.4 0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 250 /USB0M)
+    )
+    (pad N21 smd circle (at 7.2 0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 251 /USB0P)
+    )
+    (pad N22 smd circle (at 8 0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 314 N-00000383)
+    )
+    (pad N23 smd circle (at 8.8 0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 315 N-00000384)
+    )
+    (pad P1 smd circle (at -8.8 1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 22 /DQ21)
+    )
+    (pad P2 smd circle (at -8 1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 240 /SDQS2#)
+    )
+    (pad P3 smd circle (at -7.2 1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 217 /SA12)
+    )
+    (pad P4 smd circle (at -6.4 1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 227 /SA9)
+    )
+    (pad P5 smd circle (at -5.6 1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad P8 smd circle (at -3.2 1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad P9 smd circle (at -2.4 1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 261 1.2V)
+    )
+    (pad P10 smd circle (at -1.6 1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad P11 smd circle (at -0.8 1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad P12 smd circle (at 0 1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad P13 smd circle (at 0.8 1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad P14 smd circle (at 1.6 1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad P15 smd circle (at 2.4 1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad P16 smd circle (at 3.2 1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 262 3.3V)
+    )
+    (pad P19 smd circle (at 5.6 1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad P20 smd circle (at 6.4 1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 252 /USB1M)
+    )
+    (pad P21 smd circle (at 7.2 1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 253 /USB1P)
+    )
+    (pad P22 smd circle (at 8 1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 269 HHPD)
+    )
+    (pad P23 smd circle (at 8.8 1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 268 HCEC)
+    )
+    (pad R1 smd circle (at -8.8 2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 239 /SDQS2)
+    )
+    (pad R2 smd circle (at -8 2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 233 /SDQM2)
+    )
+    (pad R3 smd circle (at -7.2 2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 219 /SA14)
+    )
+    (pad R4 smd circle (at -6.4 2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 214 /SA1)
+    )
+    (pad R5 smd circle (at -5.6 2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (pad R8 smd circle (at -3.2 2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad R9 smd circle (at -2.4 2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 261 1.2V)
+    )
+    (pad R10 smd circle (at -1.6 2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 261 1.2V)
+    )
+    (pad R11 smd circle (at -0.8 2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad R12 smd circle (at 0 2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad R13 smd circle (at 0.8 2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad R14 smd circle (at 1.6 2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad R15 smd circle (at 2.4 2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad R16 smd circle (at 3.2 2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad R19 smd circle (at 5.6 2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad R20 smd circle (at 6.4 2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 254 /USB2M)
+    )
+    (pad R21 smd circle (at 7.2 2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 255 /USB2P)
+    )
+    (pad R22 smd circle (at 8 2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 271 HSDA)
+    )
+    (pad R23 smd circle (at 8.8 2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 270 HSCL)
+    )
+    (pad T1 smd circle (at -8.8 3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 23 /DQ22)
+    )
+    (pad T2 smd circle (at -8 3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 17 /DQ17)
+    )
+    (pad T3 smd circle (at -7.2 3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 245 /SWE)
+    )
+    (pad T4 smd circle (at -6.4 3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 243 /SRAS)
+    )
+    (pad T5 smd circle (at -5.6 3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (pad T8 smd circle (at -3.2 3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 261 1.2V)
+    )
+    (pad T9 smd circle (at -2.4 3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad T10 smd circle (at -1.6 3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 313 N-00000364)
+    )
+    (pad T11 smd circle (at -0.8 3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad T12 smd circle (at 0 3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad T13 smd circle (at 0.8 3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 262 3.3V)
+    )
+    (pad T14 smd circle (at 1.6 3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad T15 smd circle (at 2.4 3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad T16 smd circle (at 3.2 3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad T19 smd circle (at 5.6 3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 264 AVCC)
+    )
+    (pad T20 smd circle (at 6.4 3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad T21 smd circle (at 7.2 3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad T22 smd circle (at 8 3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 276 HTX2N)
+    )
+    (pad T23 smd circle (at 8.8 3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 277 HTX2P)
+    )
+    (pad U1 smd circle (at -8.8 4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 19 /DQ19)
+    )
+    (pad U2 smd circle (at -8 4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 21 /DQ20)
+    )
+    (pad U3 smd circle (at -7.2 4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 228 /SCAS)
+    )
+    (pad U4 smd circle (at -6.4 4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 220 /SA2)
+    )
+    (pad U5 smd circle (at -5.6 4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad U19 smd circle (at 5.6 4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad U20 smd circle (at 6.4 4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad U21 smd circle (at 7.2 4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad U22 smd circle (at 8 4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 274 HTX1N)
+    )
+    (pad U23 smd circle (at 8.8 4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 275 HTX1P)
+    )
+    (pad V1 smd circle (at -8.8 4.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 229 /SCK)
+    )
+    (pad V2 smd circle (at -8 4.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 230 /SCK#)
+    )
+    (pad V3 smd circle (at -7.2 4.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 7 /CS#)
+    )
+    (pad V4 smd circle (at -6.4 4.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 224 /SA6)
+    )
+    (pad V5 smd circle (at -5.6 4.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad V19 smd circle (at 5.6 4.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad V20 smd circle (at 6.4 4.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad V21 smd circle (at 7.2 4.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad V22 smd circle (at 8 4.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 272 HTX0N)
+    )
+    (pad V23 smd circle (at 8.8 4.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 273 HTX0P)
+    )
+    (pad W1 smd circle (at -8.8 5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 232 /SDQM1)
+    )
+    (pad W2 smd circle (at -8 5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 14 /DQ14)
+    )
+    (pad W3 smd circle (at -7.2 5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 216 /SA11)
+    )
+    (pad W4 smd circle (at -6.4 5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 213 /SA0)
+    )
+    (pad W5 smd circle (at -5.6 5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (pad W6 smd circle (at -4.8 5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (pad W7 smd circle (at -4 5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (pad W8 smd circle (at -3.2 5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 285 N-00000230)
+    )
+    (pad W9 smd circle (at -2.4 5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad W10 smd circle (at -1.6 5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad W11 smd circle (at -0.8 5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad W12 smd circle (at 0 5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 262 3.3V)
+    )
+    (pad W13 smd circle (at 0.8 5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 262 3.3V)
+    )
+    (pad W14 smd circle (at 1.6 5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 262 3.3V)
+    )
+    (pad W15 smd circle (at 2.4 5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 262 3.3V)
+    )
+    (pad W16 smd circle (at 3.2 5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 262 3.3V)
+    )
+    (pad W17 smd circle (at 4 5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad W18 smd circle (at 4.8 5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad W19 smd circle (at 5.6 5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad W20 smd circle (at 6.4 5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad W21 smd circle (at 7.2 5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad W22 smd circle (at 8 5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 278 HTXCN)
+    )
+    (pad W23 smd circle (at 8.8 5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 279 HTXCP)
+    )
+    (pad Y1 smd circle (at -8.8 6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 39 /DQ9)
+    )
+    (pad Y2 smd circle (at -8 6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 11 /DQ11)
+    )
+    (pad Y3 smd circle (at -7.2 6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 218 /SA13)
+    )
+    (pad Y4 smd circle (at -6.4 6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 222 /SA4)
+    )
+    (pad Y5 smd circle (at -5.6 6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 265 DRAM_REF)
+    )
+    (pad Y6 smd circle (at -4.8 6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (pad Y7 smd circle (at -4 6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad Y8 smd circle (at -3.2 6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad Y9 smd circle (at -2.4 6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 41 /LCLK)
+    )
+    (pad Y10 smd circle (at -1.6 6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 55 /LD20)
+    )
+    (pad Y11 smd circle (at -0.8 6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 52 /LD18)
+    )
+    (pad Y12 smd circle (at 0 6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 50 /LD16)
+    )
+    (pad Y13 smd circle (at 0.8 6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 48 /LD14)
+    )
+    (pad Y14 smd circle (at 1.6 6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 46 /LD12)
+    )
+    (pad Y15 smd circle (at 2.4 6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 44 /LD10)
+    )
+    (pad Y16 smd circle (at 3.2 6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 262 3.3V)
+    )
+    (pad Y17 smd circle (at 4 6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad Y18 smd circle (at 4.8 6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad Y19 smd circle (at 5.6 6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad Y20 smd circle (at 6.4 6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad Y21 smd circle (at 7.2 6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad Y22 smd circle (at 8 6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad Y23 smd circle (at 8.8 6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad AA1 smd circle (at -8.8 7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 12 /DQ12)
+    )
+    (pad AA2 smd circle (at -8 7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 238 /SDQS1#)
+    )
+    (pad AA3 smd circle (at -7.2 7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 226 /SA8)
+    )
+    (pad AA4 smd circle (at -6.4 7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad AA5 smd circle (at -5.6 7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 74 /ODT)
+    )
+    (pad AA6 smd circle (at -4.8 7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 244 /SRST)
+    )
+    (pad AA7 smd circle (at -4 7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 312 N-00000333)
+    )
+    (pad AA8 smd circle (at -3.2 7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 265 DRAM_REF)
+    )
+    (pad AA9 smd circle (at -2.4 7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 66 /LDE)
+    )
+    (pad AA10 smd circle (at -1.6 7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 56 /LD21)
+    )
+    (pad AA11 smd circle (at -0.8 7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 53 /LD19)
+    )
+    (pad AA12 smd circle (at 0 7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 51 /LD17)
+    )
+    (pad AA13 smd circle (at 0.8 7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 49 /LD15)
+    )
+    (pad AA14 smd circle (at 1.6 7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 47 /LD13)
+    )
+    (pad AA15 smd circle (at 2.4 7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 45 /LD11)
+    )
+    (pad AA16 smd circle (at 3.2 7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad AA17 smd circle (at 4 7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad AA18 smd circle (at 4.8 7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad AA19 smd circle (at 5.6 7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad AA20 smd circle (at 6.4 7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad AA21 smd circle (at 7.2 7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 259 /VMIC)
+    )
+    (pad AA22 smd circle (at 8 7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad AA23 smd circle (at 8.8 7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad AB1 smd circle (at -8.8 8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 237 /SDQS1)
+    )
+    (pad AB2 smd circle (at -8 8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 38 /DQ8)
+    )
+    (pad AB3 smd circle (at -7.2 8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 13 /DQ13)
+    )
+    (pad AB4 smd circle (at -6.4 8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 8 /DQ0)
+    )
+    (pad AB5 smd circle (at -5.6 8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 35 /DQ5)
+    )
+    (pad AB6 smd circle (at -4.8 8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 235 /SDQS0)
+    )
+    (pad AB7 smd circle (at -4 8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 36 /DQ6)
+    )
+    (pad AB8 smd circle (at -3.2 8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 31 /DQ3)
+    )
+    (pad AB9 smd circle (at -2.4 8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 67 /LHSYN)
+    )
+    (pad AB10 smd circle (at -1.6 8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 57 /LD22)
+    )
+    (pad AB11 smd circle (at -0.8 8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 64 /LD8)
+    )
+    (pad AB12 smd circle (at 0 8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 62 /LD6)
+    )
+    (pad AB13 smd circle (at 0.8 8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 60 /LD4)
+    )
+    (pad AB14 smd circle (at 1.6 8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 54 /LD2)
+    )
+    (pad AB15 smd circle (at 2.4 8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 42 /LD0)
+    )
+    (pad AB16 smd circle (at 3.2 8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 256 /VGA_B)
+    )
+    (pad AB17 smd circle (at 4 8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad AB18 smd circle (at 4.8 8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad AB19 smd circle (at 5.6 8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad AB20 smd circle (at 6.4 8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 68 /LIN_L)
+    )
+    (pad AB21 smd circle (at 7.2 8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 69 /LIN_R)
+    )
+    (pad AB22 smd circle (at 8 8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad AB23 smd circle (at 8.8 8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad AC1 smd circle (at -8.8 8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 15 /DQ15)
+    )
+    (pad AC2 smd circle (at -8 8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 10 /DQ10)
+    )
+    (pad AC3 smd circle (at -7.2 8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 37 /DQ7)
+    )
+    (pad AC4 smd circle (at -6.4 8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 20 /DQ2)
+    )
+    (pad AC5 smd circle (at -5.6 8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 236 /SDQS0#)
+    )
+    (pad AC6 smd circle (at -4.8 8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 231 /SDQM0)
+    )
+    (pad AC7 smd circle (at -4 8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 34 /DQ4)
+    )
+    (pad AC8 smd circle (at -3.2 8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 9 /DQ1)
+    )
+    (pad AC9 smd circle (at -2.4 8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 70 /LVSYN)
+    )
+    (pad AC10 smd circle (at -1.6 8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 58 /LD23)
+    )
+    (pad AC11 smd circle (at -0.8 8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 65 /LD9)
+    )
+    (pad AC12 smd circle (at 0 8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 63 /LD7)
+    )
+    (pad AC13 smd circle (at 0.8 8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 61 /LD5)
+    )
+    (pad AC14 smd circle (at 1.6 8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 59 /LD3)
+    )
+    (pad AC15 smd circle (at 2.4 8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 43 /LD1)
+    )
+    (pad AC16 smd circle (at 3.2 8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 257 /VGA_G)
+    )
+    (pad AC17 smd circle (at 4 8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 258 /VGA_R)
+    )
+    (pad AC18 smd circle (at 4.8 8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad AC19 smd circle (at 5.6 8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 262 3.3V)
+    )
+    (pad AC20 smd circle (at 6.4 8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 71 /MICIN1)
+    )
+    (pad AC21 smd circle (at 7.2 8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 72 /MICIN2)
+    )
+    (pad AC22 smd circle (at 8 8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad AC23 smd circle (at 8.8 8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (model walter/smd_bga/tfbga180.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module FBGA96-new (layer TOP-L1) (tedit 51A48F2E) (tstamp 51725BF2)
+    (at 54 60 270)
+    (path /514FBC93)
+    (attr smd)
+    (fp_text reference U1 (at -4.925 -6.125 360) (layer F.SilkS)
+      (effects (font (size 0.508 0.508) (thickness 0.1016)))
+    )
+    (fp_text value 4GBDDR3 (at -0.575 3.85 360) (layer F.SilkS) hide
+      (effects (font (size 0.508 0.508) (thickness 0.1016)))
+    )
+    (fp_line (start -3.5 -7) (end -4 -6.5) (layer F.SilkS) (width 0.15))
+    (fp_line (start -4 -7) (end 4 -7) (layer F.SilkS) (width 0.15))
+    (fp_line (start 4 -7) (end 4 7) (layer F.SilkS) (width 0.15))
+    (fp_line (start 4 7) (end -4 7) (layer F.SilkS) (width 0.15))
+    (fp_line (start -4 7) (end -4 -7) (layer F.SilkS) (width 0.15))
+    (pad A1 smd circle (at -3.2 -6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (pad A2 smd circle (at -2.4 -6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 26 /DQ25)
+    )
+    (pad A3 smd circle (at -1.6 -6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 28 /DQ27)
+    )
+    (pad A7 smd circle (at 1.6 -6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 33 /DQ31)
+    )
+    (pad A8 smd circle (at 2.4 -6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (pad A9 smd circle (at 3.2 -6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad B1 smd circle (at -3.2 -5.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad B2 smd circle (at -2.4 -5.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (pad B3 smd circle (at -1.6 -5.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad B7 smd circle (at 1.6 -5.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 242 /SDQS3#)
+    )
+    (pad B8 smd circle (at 2.4 -5.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 25 /DQ24)
+    )
+    (pad B9 smd circle (at 3.2 -5.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad C1 smd circle (at -3.2 -4.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (pad C2 smd circle (at -2.4 -4.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 32 /DQ30)
+    )
+    (pad C3 smd circle (at -1.6 -4.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 29 /DQ28)
+    )
+    (pad C7 smd circle (at 1.6 -4.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 241 /SDQS3)
+    )
+    (pad C8 smd circle (at 2.4 -4.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 27 /DQ26)
+    )
+    (pad C9 smd circle (at 3.2 -4.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (pad D1 smd circle (at -3.2 -3.6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad D2 smd circle (at -2.4 -3.6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (pad D3 smd circle (at -1.6 -3.6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 234 /SDQM3)
+    )
+    (pad D7 smd circle (at 1.6 -3.6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 30 /DQ29)
+    )
+    (pad D8 smd circle (at 2.4 -3.6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad D9 smd circle (at 3.2 -3.6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (pad E1 smd circle (at -3.2 -2.8 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad E2 smd circle (at -2.4 -2.8 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad E3 smd circle (at -1.6 -2.8 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 22 /DQ21)
+    )
+    (pad E7 smd circle (at 1.6 -2.8 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 233 /SDQM2)
+    )
+    (pad E8 smd circle (at 2.4 -2.8 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad E9 smd circle (at 3.2 -2.8 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (pad F1 smd circle (at -3.2 -2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (pad F2 smd circle (at -2.4 -2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 16 /DQ16)
+    )
+    (pad F3 smd circle (at -1.6 -2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 239 /SDQS2)
+    )
+    (pad F7 smd circle (at 1.6 -2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 17 /DQ17)
+    )
+    (pad F8 smd circle (at 2.4 -2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 23 /DQ22)
+    )
+    (pad F9 smd circle (at 3.2 -2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad G1 smd circle (at -3.2 -1.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad G2 smd circle (at -2.4 -1.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 24 /DQ23)
+    )
+    (pad G3 smd circle (at -1.6 -1.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 240 /SDQS2#)
+    )
+    (pad G7 smd circle (at 1.6 -1.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (pad G8 smd circle (at 2.4 -1.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad G9 smd circle (at 3.2 -1.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad H1 smd circle (at -3.2 -0.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 265 DRAM_REF)
+    )
+    (pad H2 smd circle (at -2.4 -0.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (pad H3 smd circle (at -1.6 -0.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 18 /DQ18)
+    )
+    (pad H7 smd circle (at 1.6 -0.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 19 /DQ19)
+    )
+    (pad H8 smd circle (at 2.4 -0.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 21 /DQ20)
+    )
+    (pad H9 smd circle (at 3.2 -0.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (pad J1 smd circle (at -3.2 0.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad J2 smd circle (at -2.4 0.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad J3 smd circle (at -1.6 0.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 243 /SRAS)
+    )
+    (pad J7 smd circle (at 1.6 0.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 4 /CK)
+    )
+    (pad J8 smd circle (at 2.4 0.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad J9 smd circle (at 3.2 0.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad K1 smd circle (at -3.2 1.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 74 /ODT)
+    )
+    (pad K2 smd circle (at -2.4 1.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (pad K3 smd circle (at -1.6 1.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 228 /SCAS)
+    )
+    (pad K7 smd circle (at 1.6 1.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 5 /CK#)
+    )
+    (pad K8 smd circle (at 2.4 1.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (pad K9 smd circle (at 3.2 1.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 6 /CKE)
+    )
+    (pad L1 smd circle (at -3.2 2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad L2 smd circle (at -2.4 2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 7 /CS#)
+    )
+    (pad L3 smd circle (at -1.6 2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 245 /SWE)
+    )
+    (pad L7 smd circle (at 1.6 2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 215 /SA10)
+    )
+    (pad L8 smd circle (at 2.4 2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 308 N-00000287)
+    )
+    (pad L9 smd circle (at 3.2 2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad M1 smd circle (at -3.2 2.8 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad M2 smd circle (at -2.4 2.8 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 1 /BA0)
+    )
+    (pad M3 smd circle (at -1.6 2.8 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 3 /BA2)
+    )
+    (pad M7 smd circle (at 1.6 2.8 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad M8 smd circle (at 2.4 2.8 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 265 DRAM_REF)
+    )
+    (pad M9 smd circle (at 3.2 2.8 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad N1 smd circle (at -3.2 3.6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (pad N2 smd circle (at -2.4 3.6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 221 /SA3)
+    )
+    (pad N3 smd circle (at -1.6 3.6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 213 /SA0)
+    )
+    (pad N7 smd circle (at 1.6 3.6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 217 /SA12)
+    )
+    (pad N8 smd circle (at 2.4 3.6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 2 /BA1)
+    )
+    (pad N9 smd circle (at 3.2 3.6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (pad P1 smd circle (at -3.2 4.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad P2 smd circle (at -2.4 4.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 223 /SA5)
+    )
+    (pad P3 smd circle (at -1.6 4.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 220 /SA2)
+    )
+    (pad P7 smd circle (at 1.6 4.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 214 /SA1)
+    )
+    (pad P8 smd circle (at 2.4 4.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 222 /SA4)
+    )
+    (pad P9 smd circle (at 3.2 4.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad R1 smd circle (at -3.2 5.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (pad R2 smd circle (at -2.4 5.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 225 /SA7)
+    )
+    (pad R3 smd circle (at -1.6 5.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 227 /SA9)
+    )
+    (pad R7 smd circle (at 1.6 5.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 216 /SA11)
+    )
+    (pad R8 smd circle (at 2.4 5.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 224 /SA6)
+    )
+    (pad R9 smd circle (at 3.2 5.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (pad T1 smd circle (at -3.2 6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad T2 smd circle (at -2.4 6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 244 /SRST)
+    )
+    (pad T3 smd circle (at -1.6 6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 218 /SA13)
+    )
+    (pad T7 smd circle (at 1.6 6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 219 /SA14)
+    )
+    (pad T8 smd circle (at 2.4 6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 226 /SA8)
+    )
+    (pad T9 smd circle (at 3.2 6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+  )
+
+  (module FBGA96-new (layer TOP-L1) (tedit 51A49183) (tstamp 51725D99)
+    (at 56 80 270)
+    (path /514D42E8)
+    (attr smd)
+    (fp_text reference U2 (at -3.6 -7.6 360) (layer F.SilkS)
+      (effects (font (size 0.508 0.508) (thickness 0.1016)))
+    )
+    (fp_text value 4GBDDR3 (at 4.55 -7.625 360) (layer F.SilkS) hide
+      (effects (font (size 0.508 0.508) (thickness 0.1016)))
+    )
+    (fp_line (start -3.5 -7) (end -4 -6.5) (layer F.SilkS) (width 0.15))
+    (fp_line (start -4 -7) (end 4 -7) (layer F.SilkS) (width 0.15))
+    (fp_line (start 4 -7) (end 4 7) (layer F.SilkS) (width 0.15))
+    (fp_line (start 4 7) (end -4 7) (layer F.SilkS) (width 0.15))
+    (fp_line (start -4 7) (end -4 -7) (layer F.SilkS) (width 0.15))
+    (pad A1 smd circle (at -3.2 -6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (pad A2 smd circle (at -2.4 -6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 11 /DQ11)
+    )
+    (pad A3 smd circle (at -1.6 -6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 12 /DQ12)
+    )
+    (pad A7 smd circle (at 1.6 -6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 38 /DQ8)
+    )
+    (pad A8 smd circle (at 2.4 -6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (pad A9 smd circle (at 3.2 -6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad B1 smd circle (at -3.2 -5.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad B2 smd circle (at -2.4 -5.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (pad B3 smd circle (at -1.6 -5.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad B7 smd circle (at 1.6 -5.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 238 /SDQS1#)
+    )
+    (pad B8 smd circle (at 2.4 -5.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 10 /DQ10)
+    )
+    (pad B9 smd circle (at 3.2 -5.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad C1 smd circle (at -3.2 -4.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (pad C2 smd circle (at -2.4 -4.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 14 /DQ14)
+    )
+    (pad C3 smd circle (at -1.6 -4.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 39 /DQ9)
+    )
+    (pad C7 smd circle (at 1.6 -4.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 237 /SDQS1)
+    )
+    (pad C8 smd circle (at 2.4 -4.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 13 /DQ13)
+    )
+    (pad C9 smd circle (at 3.2 -4.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (pad D1 smd circle (at -3.2 -3.6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad D2 smd circle (at -2.4 -3.6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (pad D3 smd circle (at -1.6 -3.6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 232 /SDQM1)
+    )
+    (pad D7 smd circle (at 1.6 -3.6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 15 /DQ15)
+    )
+    (pad D8 smd circle (at 2.4 -3.6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad D9 smd circle (at 3.2 -3.6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (pad E1 smd circle (at -3.2 -2.8 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad E2 smd circle (at -2.4 -2.8 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad E3 smd circle (at -1.6 -2.8 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 8 /DQ0)
+    )
+    (pad E7 smd circle (at 1.6 -2.8 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 231 /SDQM0)
+    )
+    (pad E8 smd circle (at 2.4 -2.8 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad E9 smd circle (at 3.2 -2.8 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (pad F1 smd circle (at -3.2 -2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (pad F2 smd circle (at -2.4 -2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 20 /DQ2)
+    )
+    (pad F3 smd circle (at -1.6 -2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 235 /SDQS0)
+    )
+    (pad F7 smd circle (at 1.6 -2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 9 /DQ1)
+    )
+    (pad F8 smd circle (at 2.4 -2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 31 /DQ3)
+    )
+    (pad F9 smd circle (at 3.2 -2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad G1 smd circle (at -3.2 -1.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad G2 smd circle (at -2.4 -1.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 37 /DQ7)
+    )
+    (pad G3 smd circle (at -1.6 -1.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 236 /SDQS0#)
+    )
+    (pad G7 smd circle (at 1.6 -1.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (pad G8 smd circle (at 2.4 -1.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad G9 smd circle (at 3.2 -1.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad H1 smd circle (at -3.2 -0.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 265 DRAM_REF)
+    )
+    (pad H2 smd circle (at -2.4 -0.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (pad H3 smd circle (at -1.6 -0.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 36 /DQ6)
+    )
+    (pad H7 smd circle (at 1.6 -0.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 34 /DQ4)
+    )
+    (pad H8 smd circle (at 2.4 -0.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 35 /DQ5)
+    )
+    (pad H9 smd circle (at 3.2 -0.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (pad J1 smd circle (at -3.2 0.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad J2 smd circle (at -2.4 0.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad J3 smd circle (at -1.6 0.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 243 /SRAS)
+    )
+    (pad J7 smd circle (at 1.6 0.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 4 /CK)
+    )
+    (pad J8 smd circle (at 2.4 0.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad J9 smd circle (at 3.2 0.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad K1 smd circle (at -3.2 1.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 74 /ODT)
+    )
+    (pad K2 smd circle (at -2.4 1.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (pad K3 smd circle (at -1.6 1.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 228 /SCAS)
+    )
+    (pad K7 smd circle (at 1.6 1.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 5 /CK#)
+    )
+    (pad K8 smd circle (at 2.4 1.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (pad K9 smd circle (at 3.2 1.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 6 /CKE)
+    )
+    (pad L1 smd circle (at -3.2 2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad L2 smd circle (at -2.4 2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 7 /CS#)
+    )
+    (pad L3 smd circle (at -1.6 2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 245 /SWE)
+    )
+    (pad L7 smd circle (at 1.6 2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 215 /SA10)
+    )
+    (pad L8 smd circle (at 2.4 2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 291 N-00000248)
+    )
+    (pad L9 smd circle (at 3.2 2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad M1 smd circle (at -3.2 2.8 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad M2 smd circle (at -2.4 2.8 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 1 /BA0)
+    )
+    (pad M3 smd circle (at -1.6 2.8 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 3 /BA2)
+    )
+    (pad M7 smd circle (at 1.6 2.8 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad M8 smd circle (at 2.4 2.8 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 265 DRAM_REF)
+    )
+    (pad M9 smd circle (at 3.2 2.8 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad N1 smd circle (at -3.2 3.6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (pad N2 smd circle (at -2.4 3.6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 221 /SA3)
+    )
+    (pad N3 smd circle (at -1.6 3.6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 213 /SA0)
+    )
+    (pad N7 smd circle (at 1.6 3.6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 217 /SA12)
+    )
+    (pad N8 smd circle (at 2.4 3.6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 2 /BA1)
+    )
+    (pad N9 smd circle (at 3.2 3.6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (pad P1 smd circle (at -3.2 4.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad P2 smd circle (at -2.4 4.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 223 /SA5)
+    )
+    (pad P3 smd circle (at -1.6 4.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 220 /SA2)
+    )
+    (pad P7 smd circle (at 1.6 4.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 214 /SA1)
+    )
+    (pad P8 smd circle (at 2.4 4.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 222 /SA4)
+    )
+    (pad P9 smd circle (at 3.2 4.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad R1 smd circle (at -3.2 5.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (pad R2 smd circle (at -2.4 5.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 225 /SA7)
+    )
+    (pad R3 smd circle (at -1.6 5.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 227 /SA9)
+    )
+    (pad R7 smd circle (at 1.6 5.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 216 /SA11)
+    )
+    (pad R8 smd circle (at 2.4 5.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 224 /SA6)
+    )
+    (pad R9 smd circle (at 3.2 5.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 266 DRAM_VCC)
+    )
+    (pad T1 smd circle (at -3.2 6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad T2 smd circle (at -2.4 6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 244 /SRST)
+    )
+    (pad T3 smd circle (at -1.6 6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 218 /SA13)
+    )
+    (pad T7 smd circle (at 1.6 6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 219 /SA14)
+    )
+    (pad T8 smd circle (at 2.4 6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 226 /SA8)
+    )
+    (pad T9 smd circle (at 3.2 6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51A834B5) (tstamp 51544D47)
+    (at 60.825 54.075 270)
+    (path /51543761)
+    (attr smd)
+    (fp_text reference C1 (at -0.505 0.675 270) (layer F.SilkS)
+      (effects (font (size 0.35052 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 18pF (at -0.285 1.375 270) (layer F.SilkS) hide
+      (effects (font (size 0.35052 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 317 N-00000419)
+    )
+    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module PIN_ARRAY_1 (layer TOP-L1) (tedit 51A6FD75) (tstamp 5189ABE1)
+    (at 74.09 92.08)
+    (descr "1 pin")
+    (tags "CONN DEV")
+    (path /5189B2CC)
+    (fp_text reference P6 (at -0.01 2) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value CONN_1 (at 0.475 2.95) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 262 3.3V)
+    )
+    (model pin_array\pin_1.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module PIN_ARRAY_1 (layer TOP-L1) (tedit 51A6FE6D) (tstamp 5189ABEA)
+    (at 82.075 87.3 180)
+    (descr "1 pin")
+    (tags "CONN DEV")
+    (path /5189B2D2)
+    (fp_text reference P7 (at 2.18 -0.26 180) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value CONN_1 (at 0 -1.905 180) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 180) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 261 1.2V)
+    )
+    (model pin_array\pin_1.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module PIN_ARRAY_1 (layer TOP-L1) (tedit 51B00EA9) (tstamp 5189ABF3)
+    (at 92.95 84.15 180)
+    (descr "1 pin")
+    (tags "CONN DEV")
+    (path /5189B2D8)
+    (fp_text reference P8 (at 0.63 1.87 180) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value CONN_1 (at -1.05 1.875 180) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 180) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 260 1.25V)
+    )
+    (model pin_array\pin_1.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module PIN_ARRAY_1 (layer TOP-L1) (tedit 51AFED6E) (tstamp 5189AF73)
+    (at 38.15 55.375)
+    (descr "1 pin")
+    (tags "CONN DEV")
+    (path /5189BF82)
+    (fp_text reference P11 (at -0.725 -2.3) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value CONN_1 (at 1.025 -2.125) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 265 DRAM_REF)
+    )
+    (model pin_array\pin_1.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module LED-3MM (layer TOP-L1) (tedit 5189C9A7) (tstamp 5189B251)
+    (at 72.76 100.69 180)
+    (descr "LED 3mm - Lead pitch 100mil (2,54mm)")
+    (tags "LED led 3mm 3MM 100mil 2,54mm")
+    (path /5189E9D2)
+    (fp_text reference D1 (at 2.775 -0.975 180) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.0889)))
+    )
+    (fp_text value LED (at -0.9 -2.675 180) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.0889)))
+    )
+    (fp_line (start 1.8288 1.27) (end 1.8288 -1.27) (layer F.SilkS) (width 0.254))
+    (fp_arc (start 0.254 0) (end -1.27 0) (angle 39.8) (layer F.SilkS) (width 0.1524))
+    (fp_arc (start 0.254 0) (end -0.88392 1.01092) (angle 41.6) (layer F.SilkS) (width 0.1524))
+    (fp_arc (start 0.254 0) (end 1.4097 -0.9906) (angle 40.6) (layer F.SilkS) (width 0.1524))
+    (fp_arc (start 0.254 0) (end 1.778 0) (angle 39.8) (layer F.SilkS) (width 0.1524))
+    (fp_arc (start 0.254 0) (end 0.254 -1.524) (angle 54.4) (layer F.SilkS) (width 0.1524))
+    (fp_arc (start 0.254 0) (end -0.9652 -0.9144) (angle 53.1) (layer F.SilkS) (width 0.1524))
+    (fp_arc (start 0.254 0) (end 1.45542 0.93472) (angle 52.1) (layer F.SilkS) (width 0.1524))
+    (fp_arc (start 0.254 0) (end 0.254 1.524) (angle 52.1) (layer F.SilkS) (width 0.1524))
+    (fp_arc (start 0.254 0) (end -0.381 0) (angle 90) (layer F.SilkS) (width 0.1524))
+    (fp_arc (start 0.254 0) (end -0.762 0) (angle 90) (layer F.SilkS) (width 0.1524))
+    (fp_arc (start 0.254 0) (end 0.889 0) (angle 90) (layer F.SilkS) (width 0.1524))
+    (fp_arc (start 0.254 0) (end 1.27 0) (angle 90) (layer F.SilkS) (width 0.1524))
+    (fp_arc (start 0.254 0) (end 0.254 -2.032) (angle 50.1) (layer F.SilkS) (width 0.254))
+    (fp_arc (start 0.254 0) (end -1.5367 -0.95504) (angle 61.9) (layer F.SilkS) (width 0.254))
+    (fp_arc (start 0.254 0) (end 1.8034 1.31064) (angle 49.7) (layer F.SilkS) (width 0.254))
+    (fp_arc (start 0.254 0) (end 0.254 2.032) (angle 60.2) (layer F.SilkS) (width 0.254))
+    (fp_arc (start 0.254 0) (end -1.778 0) (angle 28.3) (layer F.SilkS) (width 0.254))
+    (fp_arc (start 0.254 0) (end -1.47574 1.06426) (angle 31.6) (layer F.SilkS) (width 0.254))
+    (pad 1 thru_hole circle (at -1.27 0 180) (size 1.6764 1.6764) (drill 0.812799)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 316 N-00000418)
+    )
+    (pad 2 thru_hole circle (at 1.27 0 180) (size 1.6764 1.6764) (drill 0.812799)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 267 GND)
+    )
+    (model discret/leds/led3_vertical_verde.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SIL-2 (layer TOP-L1) (tedit 51AFED91) (tstamp 51920386)
+    (at 112.975 102.875 180)
+    (descr "Connecteurs 2 pins")
+    (tags "CONN DEV")
+    (path /5152F670)
+    (fp_text reference SW1 (at -3.325 0 180) (layer F.SilkS)
+      (effects (font (size 0.3 0.3) (thickness 0.075)))
+    )
+    (fp_text value SW_PUSH (at 0.075 -0.775 180) (layer F.SilkS) hide
+      (effects (font (size 0.127 0.127) (thickness 0.000001)))
+    )
+    (fp_line (start -2.54 1.27) (end -2.54 -1.27) (layer F.SilkS) (width 0.3048))
+    (fp_line (start -2.54 -1.27) (end 2.54 -1.27) (layer F.SilkS) (width 0.3048))
+    (fp_line (start 2.54 -1.27) (end 2.54 1.27) (layer F.SilkS) (width 0.3048))
+    (fp_line (start 2.54 1.27) (end -2.54 1.27) (layer F.SilkS) (width 0.3048))
+    (pad 1 thru_hole rect (at -1.27 0 180) (size 1.397 1.397) (drill 0.812799)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 267 GND)
+    )
+    (pad 2 thru_hole circle (at 1.27 0 180) (size 1.397 1.397) (drill 0.812799)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 297 N-00000266)
+    )
+  )
+
+  (module Crystal_SMD_7x5mm (layer TOP-L1) (tedit 51AF169A) (tstamp 51A5B3D2)
+    (at 95.675 64.65 180)
+    (descr "Crystal, Quarz, SMD, 0603, 4 Pads,")
+    (tags "Crystal, Quarz, SMD, 0603, 4 Pads,")
+    (path /51523481)
+    (attr smd)
+    (fp_text reference X2 (at -0.035 -3.82 180) (layer F.SilkS)
+      (effects (font (size 1.524 1.524) (thickness 0.3048)))
+    )
+    (fp_text value 24MHz (at -0.565 -5.26 180) (layer F.SilkS) hide
+      (effects (font (size 1 1) (thickness 0.2)))
+    )
+    (fp_line (start -4.6 -2.45) (end 4.65 -2.45) (layer F.SilkS) (width 0.15))
+    (fp_line (start 4.65 -2.45) (end 4.65 2.35) (layer F.SilkS) (width 0.15))
+    (fp_line (start 4.65 2.35) (end -4.6 2.35) (layer F.SilkS) (width 0.15))
+    (fp_line (start -4.6 2.35) (end -4.6 -2.45) (layer F.SilkS) (width 0.15))
+    (pad 1 smd rect (at -3.15 1.25 180) (size 2.2 1.4)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 314 N-00000383)
+    )
+    (pad 2 smd rect (at 3.15 1.25 180) (size 2.2 1.4)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 315 N-00000384)
+    )
+    (pad 2 smd rect (at 3.15 -1.25 180) (size 2.2 1.4)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 315 N-00000384)
+    )
+    (pad 1 smd rect (at -3.15 -1.25 180) (size 2.2 1.4)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 314 N-00000383)
+    )
+  )
+
+  (module crystal-32k-4.5x1.9mm (layer TOP-L1) (tedit 51A2BB36) (tstamp 51A169A9)
+    (at 64.125 53.65 180)
+    (path /5154375B)
+    (attr smd)
+    (fp_text reference X1 (at -0.125 1.025 180) (layer F.SilkS)
+      (effects (font (size 0.508 0.4572) (thickness 0.1143)))
+    )
+    (fp_text value 32.768k (at -0.075 -1.325 180) (layer F.SilkS) hide
+      (effects (font (size 0.508 0.4572) (thickness 0.1143)))
+    )
+    (fp_line (start -2.65 -1.4) (end 2.7 -1.4) (layer F.SilkS) (width 0.15))
+    (fp_line (start 2.7 -1.4) (end 2.7 1.4) (layer F.SilkS) (width 0.15))
+    (fp_line (start 2.7 1.4) (end -2.65 1.4) (layer F.SilkS) (width 0.15))
+    (fp_line (start -2.65 1.4) (end -2.65 -1.4) (layer F.SilkS) (width 0.15))
+    (pad 1 smd rect (at -1.7 0 180) (size 1.1 1.9)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 307 N-00000283)
+    )
+    (pad 2 smd rect (at 1.7 0.05 180) (size 1.1 1.9)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 317 N-00000419)
+    )
+    (model smd\resistors\R0603.wrl
+      (at (xyz 0 0 0.001))
+      (scale (xyz 0.5 0.5 0.5))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0805 (layer TOP-L1) (tedit 51ADB484) (tstamp 51AC054B)
+    (at 115.775 58.25 180)
+    (path /5153E24B)
+    (attr smd)
+    (fp_text reference C38 (at -2.65 0.425 180) (layer F.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_text value 10u (at -2.575 -0.45 180) (layer F.SilkS) hide
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_circle (center -1.651 0.762) (end -1.651 0.635) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -0.508 0.762) (end -1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 0.762) (end -1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 -0.762) (end -0.508 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 0.508 -0.762) (end 1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 -0.762) (end 1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 0.762) (end 0.508 0.762) (layer F.SilkS) (width 0.09906))
+    (pad 1 smd rect (at -0.9525 0 180) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad 2 smd rect (at 0.9525 0 180) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 262 3.3V)
+    )
+    (model smd/chip_cms.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.1 0.1 0.1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51ADB048) (tstamp 51AC0557)
+    (at 112.725 53.825 180)
+    (path /5154C74A)
+    (attr smd)
+    (fp_text reference R12 (at -1.35 0.05 180) (layer F.SilkS)
+      (effects (font (size 0.35052 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 47K (at -2.325 0.05 180) (layer F.SilkS) hide
+      (effects (font (size 0.35052 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 262 3.3V)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 303 N-00000272)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51B0136F) (tstamp 51AC0563)
+    (at 107.425 61.525 180)
+    (path /5154C786)
+    (attr smd)
+    (fp_text reference R3 (at 1.05 0.225 180) (layer B.SilkS)
+      (effects (font (size 0.35052 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value 33 (at 2.05 0.25 180) (layer B.SilkS) hide
+      (effects (font (size 0.35052 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 293 N-00000262)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 298 N-00000267)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51B0136A) (tstamp 51AC056F)
+    (at 107.375 60.425 180)
+    (path /5154E138)
+    (attr smd)
+    (fp_text reference R5 (at 1.125 0.3 180) (layer B.SilkS)
+      (effects (font (size 0.35052 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value 33 (at 2.05 0.025 180) (layer B.SilkS) hide
+      (effects (font (size 0.35052 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 294 N-00000263)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 299 N-00000268)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51B01364) (tstamp 51AC057B)
+    (at 107.35 59.325 180)
+    (path /5154E7E5)
+    (attr smd)
+    (fp_text reference R6 (at 1.15 0 180) (layer B.SilkS)
+      (effects (font (size 0.35052 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value 33 (at 1.9 0 180) (layer B.SilkS) hide
+      (effects (font (size 0.35052 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 306 N-00000275)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 300 N-00000269)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51B0135F) (tstamp 51AC0587)
+    (at 107.325 57.125 180)
+    (path /5154F007)
+    (attr smd)
+    (fp_text reference R7 (at 1.175 0.025 180) (layer B.SilkS)
+      (effects (font (size 0.35052 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value 33 (at 1.2 0.6 180) (layer B.SilkS) hide
+      (effects (font (size 0.35052 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 305 N-00000274)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 301 N-00000270)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51B0134A) (tstamp 51AC0593)
+    (at 107.325 54.95 180)
+    (path /5154F826)
+    (attr smd)
+    (fp_text reference R8 (at 1.15 -0.025 180) (layer B.SilkS)
+      (effects (font (size 0.35052 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value 33 (at 1.15 0.475 180) (layer B.SilkS) hide
+      (effects (font (size 0.35052 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 304 N-00000273)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 302 N-00000271)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51B01343) (tstamp 51AC059F)
+    (at 107.325 53.85 180)
+    (path /51550049)
+    (attr smd)
+    (fp_text reference R9 (at 1.2 0 180) (layer B.SilkS)
+      (effects (font (size 0.35052 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value 33 (at 1.15 0.525 180) (layer B.SilkS) hide
+      (effects (font (size 0.35052 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 303 N-00000272)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 284 N-0000012)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51ADB04A) (tstamp 51AC05AB)
+    (at 112.725 54.9 180)
+    (path /51559D15)
+    (attr smd)
+    (fp_text reference R13 (at -1.35 0 180) (layer F.SilkS)
+      (effects (font (size 0.35052 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 47K (at -2.425 -0.025 180) (layer F.SilkS) hide
+      (effects (font (size 0.35052 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 262 3.3V)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 304 N-00000273)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51ADB054) (tstamp 51AC05B7)
+    (at 112.725 57.1 180)
+    (path /51559D1B)
+    (attr smd)
+    (fp_text reference R14 (at -1.375 -0.075 180) (layer F.SilkS)
+      (effects (font (size 0.35052 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 47K (at -2.45 -0.1 180) (layer F.SilkS) hide
+      (effects (font (size 0.35052 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 262 3.3V)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 305 N-00000274)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51ADB05B) (tstamp 51AC05C3)
+    (at 112.725 59.325 180)
+    (path /51559D21)
+    (attr smd)
+    (fp_text reference R15 (at -1.275 -0.025 180) (layer F.SilkS)
+      (effects (font (size 0.35052 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 47K (at -2.3 -0.05 180) (layer F.SilkS) hide
+      (effects (font (size 0.35052 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 262 3.3V)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 306 N-00000275)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51ADB061) (tstamp 51AC05CF)
+    (at 112.7 60.425 180)
+    (path /51559D27)
+    (attr smd)
+    (fp_text reference R16 (at -1.375 -0.05 180) (layer F.SilkS)
+      (effects (font (size 0.35052 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 47K (at -2.425 -0.05 180) (layer F.SilkS) hide
+      (effects (font (size 0.35052 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 262 3.3V)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 294 N-00000263)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51ADB066) (tstamp 51AC05DB)
+    (at 112.7 61.55 180)
+    (path /51559D2D)
+    (attr smd)
+    (fp_text reference R17 (at -1.45 0 180) (layer F.SilkS)
+      (effects (font (size 0.35052 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 47K (at -2.55 0 180) (layer F.SilkS) hide
+      (effects (font (size 0.35052 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 262 3.3V)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 293 N-00000262)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51ADB04D) (tstamp 51AC05E7)
+    (at 112.7 56.025 180)
+    (path /515197D1/5151960C)
+    (attr smd)
+    (fp_text reference C72 (at -1.45 0.05 180) (layer F.SilkS)
+      (effects (font (size 0.35052 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value .22u (at -2.5 0 180) (layer F.SilkS) hide
+      (effects (font (size 0.35052 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 262 3.3V)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module microsd_socket (layer BOT-L6) (tedit 51ADB442) (tstamp 51AC063F)
+    (at 117.225 56.725 270)
+    (descr "MicroSD Card socket, Molex P/N 502774-0811")
+    (path /5151A880)
+    (fp_text reference J5 (at -5.9395 9.6796 270) (layer B.SilkS)
+      (effects (font (size 1.524 1.524) (thickness 0.3048)) (justify mirror))
+    )
+    (fp_text value USD (at 3.975 -5.675 270) (layer B.SilkS)
+      (effects (font (size 1.524 1.524) (thickness 0.3048)) (justify mirror))
+    )
+    (fp_line (start 2.90068 -7.29996) (end 4.39928 -7.59968) (layer B.SilkS) (width 0.381))
+    (fp_line (start -2.19964 -7.59968) (end -1.39954 -7.39902) (layer B.SilkS) (width 0.381))
+    (fp_line (start -1.39954 -7.39902) (end 0.50038 -7.2009) (layer B.SilkS) (width 0.381))
+    (fp_line (start 0.50038 -7.2009) (end 1.80086 -7.2009) (layer B.SilkS) (width 0.381))
+    (fp_line (start 1.80086 -7.2009) (end 2.90068 -7.29996) (layer B.SilkS) (width 0.381))
+    (fp_line (start -2.19964 -7.59968) (end -4.39928 -7.59968) (layer B.SilkS) (width 0.381))
+    (fp_line (start -4.40182 -7.59968) (end -4.70154 -8.001) (layer B.SilkS) (width 0.381))
+    (fp_line (start 4.699 -8.001) (end 7.2009 -8.001) (layer B.SilkS) (width 0.381))
+    (fp_line (start -4.699 -8.001) (end -7.2009 -8.001) (layer B.SilkS) (width 0.381))
+    (fp_line (start 4.699 -8.001) (end 4.39928 -7.59968) (layer B.SilkS) (width 0.381))
+    (fp_line (start -3.70078 7.00024) (end -3.70078 8.001) (layer B.SilkS) (width 0.381))
+    (fp_line (start -3.70078 8.001) (end -5.19938 8.001) (layer B.SilkS) (width 0.381))
+    (fp_line (start -5.19938 8.001) (end -5.19938 7.00024) (layer B.SilkS) (width 0.381))
+    (fp_line (start -5.90042 8.001) (end -7.00024 8.001) (layer B.SilkS) (width 0.381))
+    (fp_line (start -5.90042 8.001) (end -5.90042 7.00024) (layer B.SilkS) (width 0.381))
+    (fp_line (start -7.00024 7.00024) (end -7.00024 8.001) (layer B.SilkS) (width 0.381))
+    (fp_line (start 5.69976 7.8994) (end 6.70052 7.8994) (layer B.SilkS) (width 0.381))
+    (fp_line (start 5.69976 7.00024) (end 5.69976 7.8994) (layer B.SilkS) (width 0.381))
+    (fp_line (start 6.70052 7.8994) (end 6.70052 7.00024) (layer B.SilkS) (width 0.381))
+    (fp_line (start 4.8006 7.8994) (end 4.8006 7.00024) (layer B.SilkS) (width 0.381))
+    (fp_line (start 3.70078 7.8994) (end 3.70078 7.00024) (layer B.SilkS) (width 0.381))
+    (fp_line (start 2.60096 7.8994) (end 2.60096 7.00024) (layer B.SilkS) (width 0.381))
+    (fp_line (start 1.50114 7.8994) (end 1.50114 7.00024) (layer B.SilkS) (width 0.381))
+    (fp_line (start 0.39878 7.8994) (end 0.39878 7.00024) (layer B.SilkS) (width 0.381))
+    (fp_line (start -0.70104 7.8994) (end -0.70104 7.0993) (layer B.SilkS) (width 0.381))
+    (fp_line (start -1.80086 7.8994) (end -1.80086 7.00024) (layer B.SilkS) (width 0.381))
+    (fp_line (start -2.90068 7.00024) (end -2.90068 7.8994) (layer B.SilkS) (width 0.381))
+    (fp_line (start 7.2009 -8.001) (end 7.2009 7.00024) (layer B.SilkS) (width 0.381))
+    (fp_line (start 7.2009 7.00024) (end -7.2009 7.00024) (layer B.SilkS) (width 0.381))
+    (fp_line (start -7.2009 7.00024) (end -7.2009 -8.001) (layer B.SilkS) (width 0.381))
+    (pad 1 smd rect (at 4.8006 7.38378 270) (size 0.8001 1.67894)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 293 N-00000262)
+      (clearance 0.20066)
+    )
+    (pad 2 smd rect (at 3.70078 7.38378 270) (size 0.8001 1.67894)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 294 N-00000263)
+      (clearance 0.20066)
+    )
+    (pad 3 smd rect (at 2.60096 7.38378 270) (size 0.8001 1.67894)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 306 N-00000275)
+      (clearance 0.20066)
+    )
+    (pad 4 smd rect (at 1.50114 7.38378 270) (size 0.8001 1.67894)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 262 3.3V)
+      (clearance 0.20066)
+    )
+    (pad 5 smd rect (at 0.39878 7.38378 270) (size 0.8001 1.67894)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 305 N-00000274)
+      (clearance 0.20066)
+    )
+    (pad 6 smd rect (at -0.70104 7.38378 270) (size 0.8001 1.67894)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 267 GND)
+      (clearance 0.20066)
+    )
+    (pad 7 smd rect (at -1.80086 7.38378 270) (size 0.8001 1.67894)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 304 N-00000273)
+      (clearance 0.20066)
+    )
+    (pad 8 smd rect (at -2.90068 7.38378 270) (size 0.8001 1.67894)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 303 N-00000272)
+      (clearance 0.20066)
+    )
+    (pad sh1 smd rect (at 6.85546 5.82422 270) (size 1.29032 1.30048)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 267 GND)
+      (clearance 0.20066)
+    )
+    (pad "" smd rect (at 6.74878 -7.37616 270) (size 1.50114 1.69926)
+      (layers BOT-L6 B.Paste B.Mask)
+    )
+    (pad "" smd rect (at -6.44906 7.47522 270) (size 1.69926 1.50114)
+      (layers BOT-L6 B.Paste B.Mask)
+    )
+    (pad sh2 smd rect (at 6.85546 -1.5748 270) (size 1.29032 1.39954)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 267 GND)
+    )
+    (pad "" smd rect (at -6.74878 -7.37616 270) (size 1.50114 1.69926)
+      (layers BOT-L6 B.Paste B.Mask)
+    )
+    (pad "" smd rect (at 6.2103 7.47522 270) (size 1.6002 1.50114)
+      (layers BOT-L6 B.Paste B.Mask)
+      (clearance 0.20066)
+    )
+    (model walter/conn_misc/microsd_socket.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module conn_usb_B_micro_smd (layer TOP-L1) (tedit 51AFED8A) (tstamp 51545FD2)
+    (at 46.25 102.5)
+    (descr "USB B micro SMD connector, Molex P/N 47346-0001")
+    (path /51526492)
+    (fp_text reference P2 (at -2.675 -4.275) (layer F.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.09906)))
+    )
+    (fp_text value CONN_5 (at 0 -4.0005) (layer F.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.09906)))
+    )
+    (fp_line (start -4.20116 1.99898) (end 4.20116 1.99898) (layer F.SilkS) (width 0.20066))
+    (fp_line (start -4.20116 2.10058) (end 4.20116 2.10058) (layer F.SilkS) (width 0.20066))
+    (fp_line (start 4.20116 2.10058) (end 4.20116 1.89992) (layer F.SilkS) (width 0.20066))
+    (fp_line (start 4.20116 1.89992) (end -4.20116 1.89992) (layer F.SilkS) (width 0.20066))
+    (fp_line (start -4.20116 1.89992) (end -4.20116 2.10058) (layer F.SilkS) (width 0.20066))
+    (fp_line (start -3.8989 2.10058) (end -3.8989 -2.90068) (layer F.SilkS) (width 0.20066))
+    (fp_line (start -3.8989 -2.90068) (end 3.8989 -2.90068) (layer F.SilkS) (width 0.20066))
+    (fp_line (start 3.8989 -2.90068) (end 3.8989 2.10058) (layer F.SilkS) (width 0.20066))
+    (pad "" smd rect (at -0.8382 0) (size 1.17348 1.89738)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad "" smd rect (at 0.8382 0) (size 1.17348 1.89738)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad "" smd rect (at 2.91338 0) (size 2.3749 1.89738)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad "" smd rect (at -2.91338 0) (size 2.3749 1.89738)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad "" smd rect (at 2.46126 -2.2987) (size 1.4732 2.10058)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad "" smd rect (at -2.46126 -2.2987) (size 1.4732 2.10058)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 1 smd rect (at -1.30048 -2.65938) (size 0.44958 1.37922)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 263 5V_IN)
+    )
+    (pad 2 smd rect (at -0.65024 -2.65938) (size 0.44958 1.37922)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 3 smd rect (at 0 -2.65938) (size 0.44958 1.37922)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 4 smd rect (at 0.65024 -2.65938) (size 0.44958 1.37922)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 5 smd rect (at 1.30048 -2.65938) (size 0.44958 1.37922)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (model walter/conn_pc/usb_B_micro_smd.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module PIN_ARRAY_1 (layer TOP-L1) (tedit 51B0126A) (tstamp 5189ABD8)
+    (at 54.8 85.6)
+    (descr "1 pin")
+    (tags "CONN DEV")
+    (path /5189B2BF)
+    (fp_text reference P5 (at -0.05 1.85) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value CONN_1 (at -0.2 2.775) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 266 DRAM_VCC)
+    )
+    (model pin_array\pin_1.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module FPC30-0.5mm (layer BOT-L6) (tedit 51AFEDEA) (tstamp 51AC060F)
+    (at 73.65 33.525)
+    (path /51AC0E52)
+    (fp_text reference P1 (at -11.225 -7.7) (layer B.SilkS)
+      (effects (font (size 0.5 0.5) (thickness 0.05)) (justify mirror))
+    )
+    (fp_text value C30 (at 0.04 -1.75) (layer B.SilkS) hide
+      (effects (font (size 0.5 0.5) (thickness 0.05)) (justify mirror))
+    )
+    (fp_line (start -10.5 2) (end 10.5 2) (layer B.SilkS) (width 0.15))
+    (fp_line (start 10.5 2) (end 10.5 -8) (layer B.SilkS) (width 0.15))
+    (fp_line (start 10.5 -8) (end -10.5 -8) (layer B.SilkS) (width 0.15))
+    (fp_line (start -10.5 -8) (end -10.5 2) (layer B.SilkS) (width 0.15))
+    (pad 16 smd rect (at 0.25 0.65) (size 0.3 1.3)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 82 /PA15)
+    )
+    (pad 15 smd rect (at -0.25 0.65) (size 0.3 1.3)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 81 /PA14)
+    )
+    (pad 17 smd rect (at 0.75 0.65) (size 0.3 1.3)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 83 /PA16)
+    )
+    (pad 19 smd rect (at 1.75 0.65) (size 0.3 1.3)
+      (layers BOT-L6 B.Paste B.Mask)
+    )
+    (pad 18 smd rect (at 1.25 0.65) (size 0.3 1.3)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 84 /PA17)
+    )
+    (pad 20 smd rect (at 2.25 0.65) (size 0.3 1.3)
+      (layers BOT-L6 B.Paste B.Mask)
+    )
+    (pad 21 smd rect (at 2.75 0.65) (size 0.3 1.3)
+      (layers BOT-L6 B.Paste B.Mask)
+    )
+    (pad 22 smd rect (at 3.25 0.65) (size 0.3 1.3)
+      (layers BOT-L6 B.Paste B.Mask)
+    )
+    (pad 10 smd rect (at -2.725 0.65) (size 0.3 1.3)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 92 /PA9)
+    )
+    (pad 11 smd rect (at -2.25 0.65) (size 0.3 1.3)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 77 /PA10)
+    )
+    (pad 12 smd rect (at -1.75 0.65) (size 0.3 1.3)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 78 /PA11)
+    )
+    (pad 13 smd rect (at -1.25 0.65) (size 0.3 1.3)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 79 /PA12)
+    )
+    (pad 14 smd rect (at -0.75 0.65) (size 0.3 1.3)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 80 /PA13)
+    )
+    (pad 8 smd rect (at -3.75 0.65) (size 0.3 1.3)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 90 /PA7)
+    )
+    (pad 6 smd rect (at -4.75 0.65) (size 0.3 1.3)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 88 /PA5)
+    )
+    (pad 7 smd rect (at -4.25 0.65) (size 0.3 1.3)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 89 /PA6)
+    )
+    (pad 5 smd rect (at -5.25 0.65) (size 0.3 1.3)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 87 /PA4)
+    )
+    (pad 4 smd rect (at -5.75 0.65) (size 0.3 1.3)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 86 /PA3)
+    )
+    (pad 23 smd rect (at 3.75 0.65) (size 0.3 1.3)
+      (layers BOT-L6 B.Paste B.Mask)
+    )
+    (pad 24 smd rect (at 4.25 0.65) (size 0.3 1.3)
+      (layers BOT-L6 B.Paste B.Mask)
+    )
+    (pad 25 smd rect (at 4.75 0.65) (size 0.3 1.3)
+      (layers BOT-L6 B.Paste B.Mask)
+    )
+    (pad 9 smd rect (at -3.25 0.65) (size 0.3 1.3)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 91 /PA8)
+    )
+    (pad 3 smd rect (at -6.25 0.65) (size 0.3 1.3)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 85 /PA2)
+    )
+    (pad 2 smd rect (at -6.75 0.65) (size 0.3 1.3)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 76 /PA1)
+    )
+    (pad 1 smd rect (at -7.25 0.65) (size 0.3 1.3)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 75 /PA0)
+    )
+    (pad 26 smd rect (at 5.25 0.65) (size 0.3 1.3)
+      (layers BOT-L6 B.Paste B.Mask)
+    )
+    (pad 27 smd rect (at 5.75 0.65) (size 0.3 1.3)
+      (layers BOT-L6 B.Paste B.Mask)
+    )
+    (pad 28 smd rect (at 6.25 0.65) (size 0.3 1.3)
+      (layers BOT-L6 B.Paste B.Mask)
+    )
+    (pad 29 smd rect (at 6.75 0.65) (size 0.3 1.3)
+      (layers BOT-L6 B.Paste B.Mask)
+    )
+    (pad 30 smd rect (at 7.25 0.65) (size 0.3 1.3)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 267 GND)
+    )
+    (pad ~ smd rect (at 8.9 -1.7) (size 2 3)
+      (layers BOT-L6 B.Paste B.Mask)
+    )
+    (pad ~1 smd rect (at -8.9 -1.7) (size 2 3)
+      (layers BOT-L6 B.Paste B.Mask)
+    )
+  )
+
+  (module FPC30-0.5mm (layer BOT-L6) (tedit 51AC3DE3) (tstamp 51AC07D1)
+    (at 98.25 33.475)
+    (path /51AC0E0C)
+    (fp_text reference P10 (at 0.02 -1) (layer B.SilkS)
+      (effects (font (size 0.5 0.5) (thickness 0.05)) (justify mirror))
+    )
+    (fp_text value C30 (at 0.04 -1.75) (layer B.SilkS)
+      (effects (font (size 0.5 0.5) (thickness 0.05)) (justify mirror))
+    )
+    (fp_line (start -10.5 2) (end 10.5 2) (layer B.SilkS) (width 0.15))
+    (fp_line (start 10.5 2) (end 10.5 -8) (layer B.SilkS) (width 0.15))
+    (fp_line (start 10.5 -8) (end -10.5 -8) (layer B.SilkS) (width 0.15))
+    (fp_line (start -10.5 -8) (end -10.5 2) (layer B.SilkS) (width 0.15))
+    (pad 16 smd rect (at 0.25 0.65) (size 0.3 1.3)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 208 /PI6)
+    )
+    (pad 15 smd rect (at -0.25 0.65) (size 0.3 1.3)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 209 /PI7)
+    )
+    (pad 17 smd rect (at 0.75 0.65) (size 0.3 1.3)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 207 /PI5)
+    )
+    (pad 19 smd rect (at 1.75 0.65) (size 0.3 1.3)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 205 /PI3)
+    )
+    (pad 18 smd rect (at 1.25 0.65) (size 0.3 1.3)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 206 /PI4)
+    )
+    (pad 20 smd rect (at 2.25 0.65) (size 0.3 1.3)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 202 /PI2)
+    )
+    (pad 21 smd rect (at 2.75 0.65) (size 0.3 1.3)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 191 /PI1)
+    )
+    (pad 22 smd rect (at 3.25 0.65) (size 0.3 1.3)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 190 /PI0)
+    )
+    (pad 10 smd rect (at -2.725 0.65) (size 0.3 1.3)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 194 /PI12)
+    )
+    (pad 11 smd rect (at -2.25 0.65) (size 0.3 1.3)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 193 /PI11)
+    )
+    (pad 12 smd rect (at -1.75 0.65) (size 0.3 1.3)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 192 /PI10)
+    )
+    (pad 13 smd rect (at -1.25 0.65) (size 0.3 1.3)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 211 /PI9)
+    )
+    (pad 14 smd rect (at -0.75 0.65) (size 0.3 1.3)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 210 /PI8)
+    )
+    (pad 8 smd rect (at -3.75 0.65) (size 0.3 1.3)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 196 /PI14)
+    )
+    (pad 6 smd rect (at -4.75 0.65) (size 0.3 1.3)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 198 /PI16)
+    )
+    (pad 7 smd rect (at -4.25 0.65) (size 0.3 1.3)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 197 /PI15)
+    )
+    (pad 5 smd rect (at -5.25 0.65) (size 0.3 1.3)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 199 /PI17)
+    )
+    (pad 4 smd rect (at -5.75 0.65) (size 0.3 1.3)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 200 /PI18)
+    )
+    (pad 23 smd rect (at 3.75 0.65) (size 0.3 1.3)
+      (layers BOT-L6 B.Paste B.Mask)
+    )
+    (pad 24 smd rect (at 4.25 0.65) (size 0.3 1.3)
+      (layers BOT-L6 B.Paste B.Mask)
+    )
+    (pad 25 smd rect (at 4.75 0.65) (size 0.3 1.3)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 251 /USB0P)
+    )
+    (pad 9 smd rect (at -3.25 0.65) (size 0.3 1.3)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 195 /PI13)
+    )
+    (pad 3 smd rect (at -6.25 0.65) (size 0.3 1.3)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 201 /PI19)
+    )
+    (pad 2 smd rect (at -6.75 0.65) (size 0.3 1.3)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 203 /PI20)
+    )
+    (pad 1 smd rect (at -7.25 0.65) (size 0.3 1.3)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 204 /PI21)
+    )
+    (pad 26 smd rect (at 5.25 0.65) (size 0.3 1.3)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 250 /USB0M)
+    )
+    (pad 27 smd rect (at 5.75 0.65) (size 0.3 1.3)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 253 /USB1P)
+    )
+    (pad 28 smd rect (at 6.25 0.65) (size 0.3 1.3)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 252 /USB1M)
+    )
+    (pad 29 smd rect (at 6.75 0.65) (size 0.3 1.3)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 255 /USB2P)
+    )
+    (pad 30 smd rect (at 7.25 0.65) (size 0.3 1.3)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 254 /USB2M)
+    )
+    (pad ~ smd rect (at 8.9 -1.7) (size 2 3)
+      (layers BOT-L6 B.Paste B.Mask)
+    )
+    (pad ~1 smd rect (at -8.9 -1.7) (size 2 3)
+      (layers BOT-L6 B.Paste B.Mask)
+    )
+  )
+
+  (module FPC30-0.5mm (layer TOP-L1) (tedit 51AFEDF3) (tstamp 51AC07F9)
+    (at 48.625 44.2 180)
+    (path /51AC0E1B)
+    (fp_text reference P9 (at 11.325 -0.075 180) (layer F.SilkS)
+      (effects (font (size 0.5 0.5) (thickness 0.05)))
+    )
+    (fp_text value C30 (at 0.04 1.75 180) (layer F.SilkS) hide
+      (effects (font (size 0.5 0.5) (thickness 0.05)))
+    )
+    (fp_line (start -10.5 -2) (end 10.5 -2) (layer F.SilkS) (width 0.15))
+    (fp_line (start 10.5 -2) (end 10.5 8) (layer F.SilkS) (width 0.15))
+    (fp_line (start 10.5 8) (end -10.5 8) (layer F.SilkS) (width 0.15))
+    (fp_line (start -10.5 8) (end -10.5 -2) (layer F.SilkS) (width 0.15))
+    (pad 16 smd rect (at 0.25 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 169 /PH15)
+    )
+    (pad 15 smd rect (at -0.25 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 /PH14)
+    )
+    (pad 17 smd rect (at 0.75 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 170 /PH16)
+    )
+    (pad 19 smd rect (at 1.75 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 172 /PH18)
+    )
+    (pad 18 smd rect (at 1.25 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 171 /PH17)
+    )
+    (pad 20 smd rect (at 2.25 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 173 /PH19)
+    )
+    (pad 21 smd rect (at 2.75 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 175 /PH20)
+    )
+    (pad 22 smd rect (at 3.25 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 176 /PH21)
+    )
+    (pad 10 smd rect (at -2.725 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 189 /PH9)
+    )
+    (pad 11 smd rect (at -2.25 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 /PH10)
+    )
+    (pad 12 smd rect (at -1.75 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 165 /PH11)
+    )
+    (pad 13 smd rect (at -1.25 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 166 /PH12)
+    )
+    (pad 14 smd rect (at -0.75 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 167 /PH13)
+    )
+    (pad 8 smd rect (at -3.75 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 /PH7)
+    )
+    (pad 6 smd rect (at -4.75 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 185 /PH5)
+    )
+    (pad 7 smd rect (at -4.25 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 186 /PH6)
+    )
+    (pad 5 smd rect (at -5.25 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 184 /PH4)
+    )
+    (pad 4 smd rect (at -5.75 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 183 /PH3)
+    )
+    (pad 23 smd rect (at 3.75 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 177 /PH22)
+    )
+    (pad 24 smd rect (at 4.25 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 178 /PH23)
+    )
+    (pad 25 smd rect (at 4.75 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 179 /PH24)
+    )
+    (pad 9 smd rect (at -3.25 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 188 /PH8)
+    )
+    (pad 3 smd rect (at -6.25 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 174 /PH2)
+    )
+    (pad 2 smd rect (at -6.75 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 163 /PH1)
+    )
+    (pad 1 smd rect (at -7.25 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 162 /PH0)
+    )
+    (pad 26 smd rect (at 5.25 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 180 /PH25)
+    )
+    (pad 27 smd rect (at 5.75 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 181 /PH26)
+    )
+    (pad 28 smd rect (at 6.25 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 182 /PH27)
+    )
+    (pad 29 smd rect (at 6.75 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 30 smd rect (at 7.25 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad ~ smd rect (at 8.9 1.7 180) (size 2 3)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad ~1 smd rect (at -8.9 1.7 180) (size 2 3)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+  )
+
+  (module FPC30-0.5mm (layer TOP-L1) (tedit 51AFEDBB) (tstamp 51AC0821)
+    (at 117.625 45.775 90)
+    (path /51AC0E34)
+    (fp_text reference P12 (at 11.6 -0.05 90) (layer F.SilkS)
+      (effects (font (size 0.5 0.5) (thickness 0.05)))
+    )
+    (fp_text value C30 (at 0.04 1.75 90) (layer F.SilkS) hide
+      (effects (font (size 0.5 0.5) (thickness 0.05)))
+    )
+    (fp_line (start -10.5 -2) (end 10.5 -2) (layer F.SilkS) (width 0.15))
+    (fp_line (start 10.5 -2) (end 10.5 8) (layer F.SilkS) (width 0.15))
+    (fp_line (start 10.5 8) (end -10.5 8) (layer F.SilkS) (width 0.15))
+    (fp_line (start -10.5 8) (end -10.5 -2) (layer F.SilkS) (width 0.15))
+    (pad 16 smd rect (at 0.25 -0.65 90) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 120 /PC15)
+    )
+    (pad 15 smd rect (at -0.25 -0.65 90) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 119 /PC14)
+    )
+    (pad 17 smd rect (at 0.75 -0.65 90) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 121 /PC16)
+    )
+    (pad 19 smd rect (at 1.75 -0.65 90) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 123 /PC18)
+    )
+    (pad 18 smd rect (at 1.25 -0.65 90) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 122 /PC17)
+    )
+    (pad 20 smd rect (at 2.25 -0.65 90) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 124 /PC19)
+    )
+    (pad 21 smd rect (at 2.75 -0.65 90) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 126 /PC20)
+    )
+    (pad 22 smd rect (at 3.25 -0.65 90) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 127 /PC21)
+    )
+    (pad 10 smd rect (at -2.725 -0.65 90) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 137 /PC9)
+    )
+    (pad 11 smd rect (at -2.25 -0.65 90) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 115 /PC10)
+    )
+    (pad 12 smd rect (at -1.75 -0.65 90) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 116 /PC11)
+    )
+    (pad 13 smd rect (at -1.25 -0.65 90) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 117 /PC12)
+    )
+    (pad 14 smd rect (at -0.75 -0.65 90) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 118 /PC13)
+    )
+    (pad 8 smd rect (at -3.75 -0.65 90) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 135 /PC7)
+    )
+    (pad 6 smd rect (at -4.75 -0.65 90) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 133 /PC5)
+    )
+    (pad 7 smd rect (at -4.25 -0.65 90) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 134 /PC6)
+    )
+    (pad 5 smd rect (at -5.25 -0.65 90) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 132 /PC4)
+    )
+    (pad 4 smd rect (at -5.75 -0.65 90) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 131 /PC3)
+    )
+    (pad 23 smd rect (at 3.75 -0.65 90) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 128 /PC22)
+    )
+    (pad 24 smd rect (at 4.25 -0.65 90) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 129 /PC23)
+    )
+    (pad 25 smd rect (at 4.75 -0.65 90) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 130 /PC24)
+    )
+    (pad 9 smd rect (at -3.25 -0.65 90) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 136 /PC8)
+    )
+    (pad 3 smd rect (at -6.25 -0.65 90) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 125 /PC2)
+    )
+    (pad 2 smd rect (at -6.75 -0.65 90) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 114 /PC1)
+    )
+    (pad 1 smd rect (at -7.25 -0.65 90) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 113 /PC0)
+    )
+    (pad 26 smd rect (at 5.25 -0.65 90) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 27 smd rect (at 5.75 -0.65 90) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 28 smd rect (at 6.25 -0.65 90) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 29 smd rect (at 6.75 -0.65 90) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 30 smd rect (at 7.25 -0.65 90) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad ~ smd rect (at 8.9 1.7 90) (size 2 3)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad ~1 smd rect (at -8.9 1.7 90) (size 2 3)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+  )
+
+  (module FPC30-0.5mm (layer TOP-L1) (tedit 51AFEDC4) (tstamp 51AC0849)
+    (at 118.25 87.4 90)
+    (path /51AC0E43)
+    (fp_text reference P13 (at -8.725 -2.475 90) (layer F.SilkS)
+      (effects (font (size 0.5 0.5) (thickness 0.05)))
+    )
+    (fp_text value C30 (at 0.04 1.75 90) (layer F.SilkS) hide
+      (effects (font (size 0.5 0.5) (thickness 0.05)))
+    )
+    (fp_line (start -10.5 -2) (end 10.5 -2) (layer F.SilkS) (width 0.15))
+    (fp_line (start 10.5 -2) (end 10.5 8) (layer F.SilkS) (width 0.15))
+    (fp_line (start 10.5 8) (end -10.5 8) (layer F.SilkS) (width 0.15))
+    (fp_line (start -10.5 8) (end -10.5 -2) (layer F.SilkS) (width 0.15))
+    (pad 16 smd rect (at 0.25 -0.65 90) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 46 /LD12)
+    )
+    (pad 15 smd rect (at -0.25 -0.65 90) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 47 /LD13)
+    )
+    (pad 17 smd rect (at 0.75 -0.65 90) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 45 /LD11)
+    )
+    (pad 19 smd rect (at 1.75 -0.65 90) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 65 /LD9)
+    )
+    (pad 18 smd rect (at 1.25 -0.65 90) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 44 /LD10)
+    )
+    (pad 20 smd rect (at 2.25 -0.65 90) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 64 /LD8)
+    )
+    (pad 21 smd rect (at 2.75 -0.65 90) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 63 /LD7)
+    )
+    (pad 22 smd rect (at 3.25 -0.65 90) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 62 /LD6)
+    )
+    (pad 10 smd rect (at -2.725 -0.65 90) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 52 /LD18)
+    )
+    (pad 11 smd rect (at -2.25 -0.65 90) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 51 /LD17)
+    )
+    (pad 12 smd rect (at -1.75 -0.65 90) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 50 /LD16)
+    )
+    (pad 13 smd rect (at -1.25 -0.65 90) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 49 /LD15)
+    )
+    (pad 14 smd rect (at -0.75 -0.65 90) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 48 /LD14)
+    )
+    (pad 8 smd rect (at -3.75 -0.65 90) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 55 /LD20)
+    )
+    (pad 6 smd rect (at -4.75 -0.65 90) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 57 /LD22)
+    )
+    (pad 7 smd rect (at -4.25 -0.65 90) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 56 /LD21)
+    )
+    (pad 5 smd rect (at -5.25 -0.65 90) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 58 /LD23)
+    )
+    (pad 4 smd rect (at -5.75 -0.65 90) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 41 /LCLK)
+    )
+    (pad 23 smd rect (at 3.75 -0.65 90) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 61 /LD5)
+    )
+    (pad 24 smd rect (at 4.25 -0.65 90) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 60 /LD4)
+    )
+    (pad 25 smd rect (at 4.75 -0.65 90) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 59 /LD3)
+    )
+    (pad 9 smd rect (at -3.25 -0.65 90) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 53 /LD19)
+    )
+    (pad 3 smd rect (at -6.25 -0.65 90) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 66 /LDE)
+    )
+    (pad 2 smd rect (at -6.75 -0.65 90) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 67 /LHSYN)
+    )
+    (pad 1 smd rect (at -7.25 -0.65 90) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 70 /LVSYN)
+    )
+    (pad 26 smd rect (at 5.25 -0.65 90) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 54 /LD2)
+    )
+    (pad 27 smd rect (at 5.75 -0.65 90) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 43 /LD1)
+    )
+    (pad 28 smd rect (at 6.25 -0.65 90) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 42 /LD0)
+    )
+    (pad 29 smd rect (at 6.75 -0.65 90) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 30 smd rect (at 7.25 -0.65 90) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad ~ smd rect (at 8.9 1.7 90) (size 2 3)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad ~1 smd rect (at -8.9 1.7 90) (size 2 3)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+  )
+
+  (module FPC30-0.5mm (layer TOP-L1) (tedit 51AFEDE0) (tstamp 51AC2698)
+    (at 73.45 44.1 180)
+    (path /51AC5A05)
+    (fp_text reference P15 (at 11.625 7.25 180) (layer F.SilkS)
+      (effects (font (size 0.5 0.5) (thickness 0.05)))
+    )
+    (fp_text value C30 (at 8.675 6.75 180) (layer F.SilkS) hide
+      (effects (font (size 0.5 0.5) (thickness 0.05)))
+    )
+    (fp_line (start -10.5 -2) (end 10.5 -2) (layer F.SilkS) (width 0.15))
+    (fp_line (start 10.5 -2) (end 10.5 8) (layer F.SilkS) (width 0.15))
+    (fp_line (start 10.5 8) (end -10.5 8) (layer F.SilkS) (width 0.15))
+    (fp_line (start -10.5 8) (end -10.5 -2) (layer F.SilkS) (width 0.15))
+    (pad 16 smd rect (at 0.25 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 98 /PB15/JCK)
+    )
+    (pad 15 smd rect (at -0.25 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 97 /PB14/JMS)
+    )
+    (pad 17 smd rect (at 0.75 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 99 /PB16/JD0)
+    )
+    (pad 19 smd rect (at 1.75 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 101 /PB18)
+    )
+    (pad 18 smd rect (at 1.25 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 100 /PB17/JDI)
+    )
+    (pad 20 smd rect (at 2.25 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 102 /PB19)
+    )
+    (pad 21 smd rect (at 2.75 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 104 /PB20)
+    )
+    (pad 22 smd rect (at 3.25 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 105 /PB21)
+    )
+    (pad 10 smd rect (at -2.725 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 112 /PB9)
+    )
+    (pad 11 smd rect (at -2.25 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 93 /PB10)
+    )
+    (pad 12 smd rect (at -1.75 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 94 /PB11)
+    )
+    (pad 13 smd rect (at -1.25 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 95 /PB12)
+    )
+    (pad 14 smd rect (at -0.75 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 96 /PB13)
+    )
+    (pad 8 smd rect (at -3.75 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 110 /PB7)
+    )
+    (pad 6 smd rect (at -4.75 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 108 /PB5)
+    )
+    (pad 7 smd rect (at -4.25 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 109 /PB6)
+    )
+    (pad 5 smd rect (at -5.25 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 107 /PB4)
+    )
+    (pad 4 smd rect (at -5.75 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 106 /PB3)
+    )
+    (pad 23 smd rect (at 3.75 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 249 /U0Tx/22)
+    )
+    (pad 24 smd rect (at 4.25 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 248 /U0Rx/23)
+    )
+    (pad 25 smd rect (at 4.75 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 9 smd rect (at -3.25 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 111 /PB8)
+    )
+    (pad 3 smd rect (at -6.25 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 103 /PB2)
+    )
+    (pad 2 smd rect (at -6.75 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 247 /TWI0SDA)
+    )
+    (pad 1 smd rect (at -7.25 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 246 /TWI0SCK)
+    )
+    (pad 26 smd rect (at 5.25 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 27 smd rect (at 5.75 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 28 smd rect (at 6.25 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 29 smd rect (at 6.75 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 30 smd rect (at 7.25 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad ~ smd rect (at 8.9 1.7 180) (size 2 3)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad ~1 smd rect (at -8.9 1.7 180) (size 2 3)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+  )
+
+  (module FPC30-0.5mm (layer TOP-L1) (tedit 51AFEDB2) (tstamp 51AC26B1)
+    (at 98.25 44.125 180)
+    (path /51AC3C4E)
+    (fp_text reference P16 (at -11.325 7.55 180) (layer F.SilkS)
+      (effects (font (size 0.5 0.5) (thickness 0.05)))
+    )
+    (fp_text value C30 (at -9.075 7.35 180) (layer F.SilkS) hide
+      (effects (font (size 0.5 0.5) (thickness 0.05)))
+    )
+    (fp_line (start -10.5 -2) (end 10.5 -2) (layer F.SilkS) (width 0.15))
+    (fp_line (start 10.5 -2) (end 10.5 8) (layer F.SilkS) (width 0.15))
+    (fp_line (start 10.5 8) (end -10.5 8) (layer F.SilkS) (width 0.15))
+    (fp_line (start -10.5 8) (end -10.5 -2) (layer F.SilkS) (width 0.15))
+    (pad 16 smd rect (at 0.25 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 15 smd rect (at -0.25 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad 17 smd rect (at 0.75 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 19 smd rect (at 1.75 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 150 /PG0)
+    )
+    (pad 18 smd rect (at 1.25 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 20 smd rect (at 2.25 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 151 /PG1)
+    )
+    (pad 21 smd rect (at 2.75 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 154 /PG2)
+    )
+    (pad 22 smd rect (at 3.25 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 155 /PG3)
+    )
+    (pad 10 smd rect (at -2.725 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 149 /PE9)
+    )
+    (pad 11 smd rect (at -2.25 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 140 /PE10)
+    )
+    (pad 12 smd rect (at -1.75 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 141 /PE11)
+    )
+    (pad 13 smd rect (at -1.25 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 14 smd rect (at -0.75 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 8 smd rect (at -3.75 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 147 /PE7)
+    )
+    (pad 6 smd rect (at -4.75 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 145 /PE5)
+    )
+    (pad 7 smd rect (at -4.25 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 146 /PE6)
+    )
+    (pad 5 smd rect (at -5.25 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 144 /PE4)
+    )
+    (pad 4 smd rect (at -5.75 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 143 /PE3)
+    )
+    (pad 23 smd rect (at 3.75 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 156 /PG4)
+    )
+    (pad 24 smd rect (at 4.25 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 157 /PG5)
+    )
+    (pad 25 smd rect (at 4.75 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 158 /PG6)
+    )
+    (pad 9 smd rect (at -3.25 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 148 /PE8)
+    )
+    (pad 3 smd rect (at -6.25 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 142 /PE2)
+    )
+    (pad 2 smd rect (at -6.75 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 139 /PE1)
+    )
+    (pad 1 smd rect (at -7.25 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 138 /PE0)
+    )
+    (pad 26 smd rect (at 5.25 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 159 /PG7)
+    )
+    (pad 27 smd rect (at 5.75 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 160 /PG8)
+    )
+    (pad 28 smd rect (at 6.25 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 161 /PG9)
+    )
+    (pad 29 smd rect (at 6.75 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 152 /PG10)
+    )
+    (pad 30 smd rect (at 7.25 -0.65 180) (size 0.3 1.3)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 153 /PG11)
+    )
+    (pad ~ smd rect (at 8.9 1.7 180) (size 2 3)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad ~1 smd rect (at -8.9 1.7 180) (size 2 3)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+  )
+
+  (module PIN_ARRAY_5x1 (layer TOP-L1) (tedit 45976D86) (tstamp 5158FFFD)
+    (at 112.45 31.575 270)
+    (descr "Double rangee de contacts 2 x 5 pins")
+    (tags CONN)
+    (path /51AC45C8)
+    (fp_text reference P3 (at 0 -2.54 270) (layer F.SilkS)
+      (effects (font (size 1.016 1.016) (thickness 0.2032)))
+    )
+    (fp_text value CONN_5 (at 0 2.54 270) (layer F.SilkS) hide
+      (effects (font (size 1.016 1.016) (thickness 0.2032)))
+    )
+    (fp_line (start -6.35 -1.27) (end -6.35 1.27) (layer F.SilkS) (width 0.3048))
+    (fp_line (start 6.35 1.27) (end 6.35 -1.27) (layer F.SilkS) (width 0.3048))
+    (fp_line (start -6.35 -1.27) (end 6.35 -1.27) (layer F.SilkS) (width 0.3048))
+    (fp_line (start 6.35 1.27) (end -6.35 1.27) (layer F.SilkS) (width 0.3048))
+    (pad 1 thru_hole rect (at -5.08 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 100 /PB17/JDI)
+    )
+    (pad 2 thru_hole circle (at -2.54 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 99 /PB16/JD0)
+    )
+    (pad 3 thru_hole circle (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 98 /PB15/JCK)
+    )
+    (pad 4 thru_hole circle (at 2.54 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 97 /PB14/JMS)
+    )
+    (pad 5 thru_hole circle (at 5.08 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 267 GND)
+    )
+    (model pin_array/pins_array_5x1.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 50A4E0BA) (tstamp 51ADBD68)
+    (at 115.59 75.67 90)
+    (path /51ADDEE6/51ADDEEE)
+    (attr smd)
+    (fp_text reference R27 (at 0 0 90) (layer F.SilkS)
+      (effects (font (size 0.35052 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 2k2 (at 0.09906 0 90) (layer F.SilkS) hide
+      (effects (font (size 0.35052 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 263 5V_IN)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 271 HSDA)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 50A4E0BA) (tstamp 51ADBD74)
+    (at 114.57 75.67 90)
+    (path /51ADDEE6/51ADDF30)
+    (attr smd)
+    (fp_text reference R26 (at 0 0 90) (layer F.SilkS)
+      (effects (font (size 0.35052 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 2k2 (at 0.09906 0 90) (layer F.SilkS) hide
+      (effects (font (size 0.35052 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 263 5V_IN)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 270 HSCL)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 50A4E0BA) (tstamp 51ADBD80)
+    (at 124.775 71.025)
+    (path /51ADDEE6/51ADDF49)
+    (attr smd)
+    (fp_text reference C23 (at 0 0) (layer B.SilkS)
+      (effects (font (size 0.35052 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0.09906 0) (layer B.SilkS) hide
+      (effects (font (size 0.35052 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 267 GND)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 263 5V_IN)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module RCLAMP (layer TOP-L1) (tedit 51AF1878) (tstamp 51ADBD92)
+    (at 117.475 73.025 270)
+    (descr "Module CMS SOJ 8 pins large")
+    (tags "CMS SOJ")
+    (path /51ADDEE6/51ADDF18)
+    (attr smd)
+    (fp_text reference U8 (at 0.045 2.805 270) (layer F.SilkS)
+      (effects (font (size 1.143 1.016) (thickness 0.127)))
+    )
+    (fp_text value 524P (at 0.005 4.155 270) (layer F.SilkS) hide
+      (effects (font (size 1.016 1.016) (thickness 0.127)))
+    )
+    (fp_line (start -1.35 -1.05) (end 1.4 -1.05) (layer F.SilkS) (width 0.15))
+    (fp_line (start 1.4 -1.05) (end 1.4 1) (layer F.SilkS) (width 0.15))
+    (fp_line (start 1.4 1) (end -1.35 1) (layer F.SilkS) (width 0.15))
+    (fp_line (start -1.35 1) (end -1.35 -1.05) (layer F.SilkS) (width 0.15))
+    (pad 7 smd rect (at 0.5 -0.45 270) (size 0.2 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 324 N-00000427)
+    )
+    (pad 8 smd rect (at 0 -0.45 270) (size 0.4 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad 3 smd rect (at 0 0.45 270) (size 0.4 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad 4 smd rect (at 0.5 0.45 270) (size 0.2 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 271 HSDA)
+    )
+    (pad 6 smd rect (at 1 -0.45 270) (size 0.2 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 325 N-00000428)
+    )
+    (pad 5 smd rect (at 1 0.45 270) (size 0.2 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 269 HHPD)
+    )
+    (pad 9 smd rect (at -0.5 -0.45 270) (size 0.2 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 323 N-00000426)
+    )
+    (pad 2 smd rect (at -0.5 0.45 270) (size 0.2 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 270 HSCL)
+    )
+    (pad 10 smd rect (at -1 -0.45 270) (size 0.2 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 322 N-00000425)
+    )
+    (pad 1 smd rect (at -1 0.45 270) (size 0.2 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 268 HCEC)
+    )
+    (model smd/cms_so8.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.5 0.38 0.5))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module RCLAMP (layer TOP-L1) (tedit 51AF1870) (tstamp 51ADBDA4)
+    (at 115.05 69.975 270)
+    (descr "Module CMS SOJ 8 pins large")
+    (tags "CMS SOJ")
+    (path /51ADDEE6/51ADDF1E)
+    (attr smd)
+    (fp_text reference U6 (at -2.025 0.2 360) (layer F.SilkS)
+      (effects (font (size 1.143 1.016) (thickness 0.127)))
+    )
+    (fp_text value 524P (at -1.775 1.81 270) (layer F.SilkS) hide
+      (effects (font (size 1.016 1.016) (thickness 0.127)))
+    )
+    (fp_line (start -1.35 -1.05) (end 1.4 -1.05) (layer F.SilkS) (width 0.15))
+    (fp_line (start 1.4 -1.05) (end 1.4 1) (layer F.SilkS) (width 0.15))
+    (fp_line (start 1.4 1) (end -1.35 1) (layer F.SilkS) (width 0.15))
+    (fp_line (start -1.35 1) (end -1.35 -1.05) (layer F.SilkS) (width 0.15))
+    (pad 7 smd rect (at 0.5 -0.45 270) (size 0.2 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 320 N-00000423)
+    )
+    (pad 8 smd rect (at 0 -0.45 270) (size 0.4 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad 3 smd rect (at 0 0.45 270) (size 0.4 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad 4 smd rect (at 0.5 0.45 270) (size 0.2 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 279 HTXCP)
+    )
+    (pad 6 smd rect (at 1 -0.45 270) (size 0.2 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 321 N-00000424)
+    )
+    (pad 5 smd rect (at 1 0.45 270) (size 0.2 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 278 HTXCN)
+    )
+    (pad 9 smd rect (at -0.5 -0.45 270) (size 0.2 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 319 N-00000422)
+    )
+    (pad 2 smd rect (at -0.5 0.45 270) (size 0.2 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 272 HTX0N)
+    )
+    (pad 10 smd rect (at -1 -0.45 270) (size 0.2 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 318 N-00000421)
+    )
+    (pad 1 smd rect (at -1 0.45 270) (size 0.2 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 273 HTX0P)
+    )
+    (model smd/cms_so8.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.5 0.38 0.5))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module RCLAMP (layer TOP-L1) (tedit 51AF186C) (tstamp 51ADBDB6)
+    (at 117.475 66.925 270)
+    (descr "Module CMS SOJ 8 pins large")
+    (tags "CMS SOJ")
+    (path /51ADDEE6/51ADDF24)
+    (attr smd)
+    (fp_text reference U4 (at -1.975 0.425 360) (layer F.SilkS)
+      (effects (font (size 1.143 1.016) (thickness 0.127)))
+    )
+    (fp_text value 524P (at -1.765 -2.955 360) (layer F.SilkS) hide
+      (effects (font (size 1.016 1.016) (thickness 0.127)))
+    )
+    (fp_line (start -1.35 -1.05) (end 1.4 -1.05) (layer F.SilkS) (width 0.15))
+    (fp_line (start 1.4 -1.05) (end 1.4 1) (layer F.SilkS) (width 0.15))
+    (fp_line (start 1.4 1) (end -1.35 1) (layer F.SilkS) (width 0.15))
+    (fp_line (start -1.35 1) (end -1.35 -1.05) (layer F.SilkS) (width 0.15))
+    (pad 7 smd rect (at 0.5 -0.45 270) (size 0.2 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 326 N-00000429)
+    )
+    (pad 8 smd rect (at 0 -0.45 270) (size 0.4 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad 3 smd rect (at 0 0.45 270) (size 0.4 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad 4 smd rect (at 0.5 0.45 270) (size 0.2 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 275 HTX1P)
+    )
+    (pad 6 smd rect (at 1 -0.45 270) (size 0.2 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 329 N-00000432)
+    )
+    (pad 5 smd rect (at 1 0.45 270) (size 0.2 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 274 HTX1N)
+    )
+    (pad 9 smd rect (at -0.5 -0.45 270) (size 0.2 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 328 N-00000431)
+    )
+    (pad 2 smd rect (at -0.5 0.45 270) (size 0.2 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 276 HTX2N)
+    )
+    (pad 10 smd rect (at -1 -0.45 270) (size 0.2 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 327 N-00000430)
+    )
+    (pad 1 smd rect (at -1 0.45 270) (size 0.2 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 277 HTX2P)
+    )
+    (model smd/cms_so8.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.5 0.38 0.5))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module HDMI (layer TOP-L1) (tedit 519F38FE) (tstamp 51ADBDD5)
+    (at 121.5 70.275 90)
+    (descr "Cms SOJ 20 pins large")
+    (tags "CMS SOJ")
+    (path /51ADDEE6/51ADDF2A)
+    (attr smd)
+    (fp_text reference J1 (at 5.95 4.75 90) (layer F.SilkS)
+      (effects (font (size 1.524 1.524) (thickness 0.127)))
+    )
+    (fp_text value "HDMI Connector" (at 0 4.9 90) (layer F.SilkS)
+      (effects (font (size 1 1) (thickness 0.1)))
+    )
+    (fp_line (start -3.95 5.65) (end -3.95 -1.9) (layer F.SilkS) (width 0.2))
+    (fp_line (start -3.95 -1.75) (end 4.25 -1.75) (layer F.SilkS) (width 0.2))
+    (fp_line (start 4.25 -1.9) (end 4.25 5.65) (layer F.SilkS) (width 0.2))
+    (fp_line (start 4.25 5.65) (end -3.95 5.65) (layer F.SilkS) (width 0.2))
+    (pad 9 smd rect (at 0.2 -0.68 90) (size 0.23 0.85)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 319 N-00000422)
+    )
+    (pad 7 smd rect (at 0.6 -0.675 90) (size 0.23 0.85)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 318 N-00000421)
+    )
+    (pad 5 smd rect (at 1 -0.675 90) (size 0.23 0.85)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad 3 smd rect (at 1.4 -0.675 90) (size 0.23 0.85)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 328 N-00000431)
+    )
+    (pad 1 smd rect (at 1.8 -0.675 90) (size 0.23 0.85)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 327 N-00000430)
+    )
+    (pad 11 smd rect (at -0.2 -0.675 90) (size 0.23 0.85)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad 15 smd rect (at -1 -0.675 90) (size 0.23 0.85)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 323 N-00000426)
+    )
+    (pad 13 smd rect (at -0.6 -0.675 90) (size 0.23 0.85)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 322 N-00000425)
+    )
+    (pad 17 smd rect (at -1.4 -0.675 90) (size 0.23 0.85)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad 19 smd rect (at -1.8 -0.675 90) (size 0.23 0.85)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 325 N-00000428)
+    )
+    (pad 2 smd rect (at 1.595 0.675 90) (size 0.23 0.85)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad 4 smd rect (at 1.195 0.675 90) (size 0.23 0.85)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 326 N-00000429)
+    )
+    (pad 6 smd rect (at 0.795 0.675 90) (size 0.23 0.85)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 329 N-00000432)
+    )
+    (pad 8 smd rect (at 0.395 0.675 90) (size 0.23 0.85)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad 10 smd rect (at -0.005 0.675 90) (size 0.23 0.85)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 320 N-00000423)
+    )
+    (pad 12 smd rect (at -0.405 0.675 90) (size 0.23 0.85)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 321 N-00000424)
+    )
+    (pad 14 smd rect (at -0.805 0.675 90) (size 0.23 0.85)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad 16 smd rect (at -1.205 0.675 90) (size 0.23 0.85)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 324 N-00000427)
+    )
+    (pad 18 smd rect (at -1.605 0.675 90) (size 0.23 0.85)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 263 5V_IN)
+    )
+    (pad sh1 thru_hole oval (at -3.1 0.75 90) (size 1.5 2.55) (drill oval 0.65 1.699999)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 267 GND)
+    )
+    (pad sh2 thru_hole oval (at 3.1 0.75 90) (size 1.5 2.55) (drill oval 0.65 1.699999)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 267 GND)
+    )
+    (pad sh3 thru_hole oval (at -3.05 4.1 90) (size 1.5 2.55) (drill oval 0.65 1.699999)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 267 GND)
+    )
+    (pad sh4 thru_hole oval (at 3.1 4.1 90) (size 1.5 2.55) (drill oval 0.65 1.699999)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 267 GND)
+    )
+    (model smd/cms_so20.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.5 0.6 0.5))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51AF16E9) (tstamp 51ADDA8E)
+    (at 118 75.45 90)
+    (path /51ADDEE6/51ADE12E)
+    (attr smd)
+    (fp_text reference R34 (at 0.27 0.75 90) (layer F.SilkS)
+      (effects (font (size 0.35052 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 47k (at 0.28 1.26 90) (layer F.SilkS) hide
+      (effects (font (size 0.35052 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 GND)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 325 N-00000428)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module FPC10-0.5mm (layer BOT-L6) (tedit 51AEC25B) (tstamp 51AEBAFF)
+    (at 122.825 82.25 270)
+    (path /51AEBAC0)
+    (fp_text reference P14 (at 0.02 -1 270) (layer B.SilkS)
+      (effects (font (size 0.5 0.5) (thickness 0.05)) (justify mirror))
+    )
+    (fp_text value CONN_10 (at 0.04 -1.75 270) (layer B.SilkS)
+      (effects (font (size 0.5 0.5) (thickness 0.05)) (justify mirror))
+    )
+    (fp_line (start -5.425 2.15) (end 5.45 2.15) (layer B.SilkS) (width 0.15))
+    (fp_line (start 5.45 2.15) (end 5.45 -3.65) (layer B.SilkS) (width 0.15))
+    (fp_line (start 5.45 -3.65) (end -5.375 -3.65) (layer B.SilkS) (width 0.15))
+    (fp_line (start -5.375 -3.65) (end -5.375 2.15) (layer B.SilkS) (width 0.15))
+    (pad 10 smd rect (at 2.275 0.65 270) (size 0.3 1.3)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 267 GND)
+    )
+    (pad 8 smd rect (at 1.25 0.65 270) (size 0.3 1.3)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 257 /VGA_G)
+    )
+    (pad 6 smd rect (at 0.25 0.65 270) (size 0.3 1.3)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 258 /VGA_R)
+    )
+    (pad 7 smd rect (at 0.75 0.65 270) (size 0.3 1.3)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 256 /VGA_B)
+    )
+    (pad 5 smd rect (at -0.25 0.65 270) (size 0.3 1.3)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 71 /MICIN1)
+    )
+    (pad 4 smd rect (at -0.75 0.65 270) (size 0.3 1.3)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 72 /MICIN2)
+    )
+    (pad 9 smd rect (at 1.75 0.65 270) (size 0.3 1.3)
+      (layers BOT-L6 B.Paste B.Mask)
+    )
+    (pad 3 smd rect (at -1.25 0.65 270) (size 0.3 1.3)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 68 /LIN_L)
+    )
+    (pad 2 smd rect (at -1.75 0.65 270) (size 0.3 1.3)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 69 /LIN_R)
+    )
+    (pad 1 smd rect (at -2.25 0.65 270) (size 0.3 1.3)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 259 /VMIC)
+    )
+    (pad ~ smd rect (at 3.975 -1.775 270) (size 2 3)
+      (layers BOT-L6 B.Paste B.Mask)
+    )
+    (pad ~1 smd rect (at -3.95 -1.7 270) (size 2 3)
+      (layers BOT-L6 B.Paste B.Mask)
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51AF1B15) (tstamp 51AF1B0C)
+    (at 80.75 94.475 180)
+    (path /5189E9C5)
+    (attr smd)
+    (fp_text reference R35 (at 0.025 -0.7 180) (layer F.SilkS)
+      (effects (font (size 0.35052 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 4k7 (at 0.125 -1.2 180) (layer F.SilkS) hide
+      (effects (font (size 0.35052 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 212 /RESET#)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 316 N-00000418)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (dimension 80.425 (width 0.25) (layer Edge.Cuts)
+    (gr_text "80.425 mm" (at 132.049999 65.0375 270) (layer Edge.Cuts)
+      (effects (font (size 1 1) (thickness 0.25)))
+    )
+    (feature1 (pts (xy 128.825 105.25) (xy 133.049999 105.25)))
+    (feature2 (pts (xy 128.825 24.825) (xy 133.049999 24.825)))
+    (crossbar (pts (xy 131.049999 24.825) (xy 131.049999 105.25)))
+    (arrow1a (pts (xy 131.049999 105.25) (xy 130.463579 104.123497)))
+    (arrow1b (pts (xy 131.049999 105.25) (xy 131.636419 104.123497)))
+    (arrow2a (pts (xy 131.049999 24.825) (xy 130.463579 25.951503)))
+    (arrow2b (pts (xy 131.049999 24.825) (xy 131.636419 25.951503)))
+  )
+  (dimension 91.975031 (width 0.25) (layer Edge.Cuts)
+    (gr_text "91.975 mm" (at 81.640332 20.61293 359.9532788) (layer Edge.Cuts)
+      (effects (font (size 1 1) (thickness 0.25)))
+    )
+    (feature1 (pts (xy 127.625 24.125) (xy 127.628647 19.650431)))
+    (feature2 (pts (xy 35.65 24.05) (xy 35.653647 19.575431)))
+    (crossbar (pts (xy 35.652017 21.57543) (xy 127.627017 21.65043)))
+    (arrow1a (pts (xy 127.627017 21.65043) (xy 126.500036 22.235931)))
+    (arrow1b (pts (xy 127.627017 21.65043) (xy 126.500992 21.063091)))
+    (arrow2a (pts (xy 35.652017 21.57543) (xy 36.778042 22.162769)))
+    (arrow2b (pts (xy 35.652017 21.57543) (xy 36.778998 20.989929)))
+  )
+  (gr_text "A10 SoC\nPrototyping board" (at 120.5 99.05) (layer F.SilkS)
+    (effects (font (size 1 1) (thickness 0.2)))
+  )
+  (gr_text "PORT A  " (at 62.425 28.725 90) (layer F.SilkS)
+    (effects (font (size 1 1) (thickness 0.2)))
+  )
+  (gr_text "PORT H" (at 48.9 34.375) (layer F.SilkS)
+    (effects (font (size 1 1) (thickness 0.2)))
+  )
+  (gr_line (start 35.45 102.075) (end 35.45 102.05) (angle 90) (layer Edge.Cuts) (width 0.15))
+  (gr_line (start 127.3 105.125) (end 35.575 105.125) (angle 90) (layer Edge.Cuts) (width 0.15))
+  (gr_line (start 127.35 24.85) (end 127.35 105.125) (angle 90) (layer Edge.Cuts) (width 0.15))
+  (gr_line (start 35.65 24.85) (end 127.35 24.85) (angle 90) (layer Edge.Cuts) (width 0.15))
+  (gr_line (start 35.675 104.925) (end 35.675 24.85) (angle 90) (layer Edge.Cuts) (width 0.15))
+  (gr_text "Addr/Ctrl lines 50 mm" (at 37.875 68.65 90) (layer F.SilkS)
+    (effects (font (size 1 1) (thickness 0.2)))
+  )
+
+  (segment (start 64.475 64.025) (end 64.475 63.125) (width 0.1524) (layer Sig-L5) (net 1))
+  (segment (start 66.25 56.55) (end 66.55 56.85) (width 0.1524) (layer Sig-L5) (net 1) (tstamp 51AC4ED6))
+  (segment (start 62.325 56.55) (end 66.25 56.55) (width 0.1524) (layer Sig-L5) (net 1) (tstamp 51AC4ED4))
+  (segment (start 61.525 57.35) (end 62.325 56.55) (width 0.1524) (layer Sig-L5) (net 1) (tstamp 51AC4ED2))
+  (segment (start 61.525 60.175) (end 61.525 57.35) (width 0.1524) (layer Sig-L5) (net 1) (tstamp 51AC4ED0))
+  (segment (start 64.475 63.125) (end 61.525 60.175) (width 0.1524) (layer Sig-L5) (net 1) (tstamp 51AC4ECE))
+  (segment (start 68.85 64.65) (end 67.925 63.725) (width 0.1524) (layer Sig-L5) (net 1))
+  (via (at 69.4 64.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 1))
+  (segment (start 69.8 64.4) (end 69.4 64.8) (width 0.1524) (layer TOP-L1) (net 1))
+  (segment (start 69 64.8) (end 68.85 64.65) (width 0.1524) (layer Sig-L5) (net 1) (tstamp 51A2FA2E))
+  (segment (start 69.4 64.8) (end 69 64.8) (width 0.1524) (layer Sig-L5) (net 1) (tstamp 51A2FA2D))
+  (segment (start 67.925 63.725) (end 67.925 58.225) (width 0.1524) (layer Sig-L5) (net 1) (tstamp 51AC4B78))
+  (segment (start 67.925 58.225) (end 66.55 56.85) (width 0.1524) (layer Sig-L5) (net 1) (tstamp 51AC4B79))
+  (segment (start 50.8 56.55) (end 50.8 56.125) (width 0.1524) (layer Sig1-L3) (net 1))
+  (segment (start 52.6 60) (end 53.2 59.4) (width 0.1524) (layer Sig1-L3) (net 1) (tstamp 51A847D8))
+  (segment (start 52.15 60) (end 52.6 60) (width 0.1524) (layer Sig1-L3) (net 1) (tstamp 51A847D7))
+  (segment (start 51.775 60.375) (end 52.15 60) (width 0.1524) (layer Sig1-L3) (net 1) (tstamp 51A847D6))
+  (segment (start 51.775 63.85) (end 51.775 60.375) (width 0.1524) (layer Sig1-L3) (net 1) (tstamp 51A847D0))
+  (segment (start 52.625 64.7) (end 51.775 63.85) (width 0.1524) (layer Sig1-L3) (net 1) (tstamp 51A847CD))
+  (segment (start 52.95 64.7) (end 52.625 64.7) (width 0.1524) (layer Sig1-L3) (net 1))
+  (segment (start 53.2 59.4) (end 53.2 56.8) (width 0.1524) (layer Sig1-L3) (net 1) (tstamp 51A847D9))
+  (segment (start 50.8 56.55) (end 50.8 57.2) (width 0.1524) (layer Sig1-L3) (net 1) (tstamp 51A8482D))
+  (via (at 50.8 57.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 1))
+  (segment (start 50.8 57.2) (end 51.2 57.6) (width 0.1524) (layer TOP-L1) (net 1) (tstamp 51A847F5))
+  (segment (start 50.8 56.125) (end 51.1 55.825) (width 0.1524) (layer Sig1-L3) (net 1) (tstamp 51A8482F))
+  (segment (start 51.1 55.825) (end 52.55 55.825) (width 0.1524) (layer Sig1-L3) (net 1) (tstamp 51A84830))
+  (segment (start 52.55 55.825) (end 53.2 56.475) (width 0.1524) (layer Sig1-L3) (net 1) (tstamp 51A84831))
+  (segment (start 53.2 56.475) (end 53.2 56.8) (width 0.1524) (layer Sig1-L3) (net 1) (tstamp 51A84832))
+  (segment (start 53.2 77.6) (end 52.8 77.2) (width 0.1524) (layer TOP-L1) (net 1))
+  (segment (start 52.6 64.7) (end 52.95 64.7) (width 0.1524) (layer Sig1-L3) (net 1) (tstamp 51A84882))
+  (segment (start 52.25 65.05) (end 52.6 64.7) (width 0.1524) (layer Sig1-L3) (net 1) (tstamp 51A84880))
+  (segment (start 52.25 65.525) (end 52.25 65.05) (width 0.1524) (layer Sig1-L3) (net 1) (tstamp 51A8487A))
+  (segment (start 51.85 65.925) (end 52.25 65.525) (width 0.1524) (layer Sig1-L3) (net 1) (tstamp 51A84876))
+  (segment (start 51.85 66.825) (end 51.85 65.925) (width 0.1524) (layer Sig1-L3) (net 1) (tstamp 51A84875))
+  (segment (start 51.75 66.925) (end 51.85 66.825) (width 0.1524) (layer Sig1-L3) (net 1) (tstamp 51A84871))
+  (segment (start 51.75 74.95) (end 51.75 66.925) (width 0.1524) (layer Sig1-L3) (net 1) (tstamp 51A8486C))
+  (segment (start 52.8 76) (end 51.75 74.95) (width 0.1524) (layer Sig1-L3) (net 1) (tstamp 51A84857))
+  (segment (start 52.8 77.2) (end 52.8 76) (width 0.1524) (layer Sig1-L3) (net 1) (tstamp 51A84856))
+  (via (at 52.8 77.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 1))
+  (segment (start 54.9 65.125) (end 54.475 64.7) (width 0.1524) (layer Sig-L5) (net 1) (tstamp 51A2FA4F))
+  (segment (start 63.925 65.125) (end 54.9 65.125) (width 0.1524) (layer Sig-L5) (net 1) (tstamp 51A2FA4B))
+  (segment (start 64.475 64.575) (end 63.925 65.125) (width 0.1524) (layer Sig-L5) (net 1) (tstamp 51A2FA47))
+  (segment (start 64.475 64.025) (end 64.475 64.575) (width 0.1524) (layer Sig-L5) (net 1) (tstamp 51AC4ECC))
+  (segment (start 54.475 64.7) (end 53 64.7) (width 0.1524) (layer Sig-L5) (net 1) (tstamp 51A2FA53))
+  (via (at 52.95 64.7) (size 0.4572) (layers TOP-L1 BOT-L6) (net 1))
+  (segment (start 53 64.7) (end 52.95 64.7) (width 0.1524) (layer Sig-L5) (net 1))
+  (segment (start 65.45 63.25) (end 65.45 62.375) (width 0.1524) (layer Sig-L5) (net 2))
+  (segment (start 67.025 59.4) (end 67.025 59.675) (width 0.1524) (layer Sig-L5) (net 2) (tstamp 51AC4F17))
+  (segment (start 66.625 59) (end 67.025 59.4) (width 0.1524) (layer Sig-L5) (net 2) (tstamp 51AC4F16))
+  (segment (start 64.7 59) (end 66.625 59) (width 0.1524) (layer Sig-L5) (net 2) (tstamp 51AC4F15))
+  (segment (start 64.225 59.475) (end 64.7 59) (width 0.1524) (layer Sig-L5) (net 2) (tstamp 51AC4F14))
+  (segment (start 64.225 61.15) (end 64.225 59.475) (width 0.1524) (layer Sig-L5) (net 2) (tstamp 51AC4F12))
+  (segment (start 65.45 62.375) (end 64.225 61.15) (width 0.1524) (layer Sig-L5) (net 2) (tstamp 51AC4F10))
+  (segment (start 68.1 65.425) (end 67.025 64.35) (width 0.1524) (layer Sig-L5) (net 2))
+  (segment (start 68.1 65.425) (end 68.675 66) (width 0.1524) (layer Sig-L5) (net 2) (tstamp 51AC4AF2))
+  (segment (start 68.675 66) (end 69.8 66) (width 0.1524) (layer Sig-L5) (net 2) (tstamp 51A2FDA9))
+  (segment (start 69.8 66) (end 70.2 65.6) (width 0.1524) (layer Sig-L5) (net 2) (tstamp 51A2FDAB))
+  (via (at 70.2 65.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 2))
+  (segment (start 70.2 65.6) (end 70.6 65.2) (width 0.1524) (layer TOP-L1) (net 2) (tstamp 51A2FDB2))
+  (segment (start 67.025 64.35) (end 67.025 59.675) (width 0.1524) (layer Sig-L5) (net 2) (tstamp 51AC4AF4))
+  (segment (start 51.2 76.55) (end 51.2 76.925) (width 0.1524) (layer Sig1-L3) (net 2))
+  (segment (start 52 81.125) (end 52 82) (width 0.1524) (layer Sig1-L3) (net 2) (tstamp 51A32A3D))
+  (segment (start 52.275 80.85) (end 52 81.125) (width 0.1524) (layer Sig1-L3) (net 2) (tstamp 51A32A3B))
+  (segment (start 52.275 78) (end 52.275 80.85) (width 0.1524) (layer Sig1-L3) (net 2) (tstamp 51A32A38))
+  (segment (start 51.2 76.925) (end 52.275 78) (width 0.1524) (layer Sig1-L3) (net 2) (tstamp 51A32A37))
+  (segment (start 44.2 66) (end 43.75 65.55) (width 0.1524) (layer TOP-L1) (net 2) (tstamp 51A04CA9))
+  (segment (start 43.75 65.55) (end 43.75 64.95) (width 0.1524) (layer TOP-L1) (net 2) (tstamp 51A04CAE))
+  (segment (start 43.75 64.95) (end 44.125 64.575) (width 0.1524) (layer TOP-L1) (net 2) (tstamp 51A04CAF))
+  (segment (start 44.125 64.575) (end 47.6 64.575) (width 0.1524) (layer TOP-L1) (net 2) (tstamp 51A04CB0))
+  (segment (start 51.15 66) (end 51.1 66) (width 0.1524) (layer TOP-L1) (net 2))
+  (segment (start 49.59 64.58) (end 47.79 64.58) (width 0.1524) (layer TOP-L1) (net 2) (tstamp 519F1B4D))
+  (segment (start 50 64.17) (end 49.59 64.58) (width 0.1524) (layer TOP-L1) (net 2) (tstamp 519F1B4C))
+  (segment (start 50 63.475) (end 50 63.48) (width 0.1524) (layer TOP-L1) (net 2))
+  (segment (start 50 63.55) (end 50 63.475) (width 0.1524) (layer TOP-L1) (net 2))
+  (segment (start 50 62.8) (end 50 63.55) (width 0.1524) (layer TOP-L1) (net 2) (tstamp 519DB215))
+  (segment (start 50.4 62.4) (end 50 62.8) (width 0.1524) (layer TOP-L1) (net 2))
+  (segment (start 47.79 64.58) (end 47.6 64.58) (width 0.1524) (layer TOP-L1) (net 2))
+  (segment (start 50 63.48) (end 50 64.17) (width 0.1524) (layer TOP-L1) (net 2))
+  (segment (start 51.15 66) (end 50.175 66) (width 0.1524) (layer TOP-L1) (net 2) (tstamp 519F60C5))
+  (segment (start 50.175 66) (end 46.675 66) (width 0.1524) (layer TOP-L1) (net 2) (tstamp 519F506B))
+  (segment (start 47.6 64.575) (end 47.6 64.58) (width 0.1524) (layer TOP-L1) (net 2) (tstamp 51A04CB4))
+  (segment (start 46.675 66) (end 44.2 66) (width 0.1524) (layer TOP-L1) (net 2))
+  (segment (start 51.2 76.55) (end 51.2 75.975) (width 0.1524) (layer Sig1-L3) (net 2) (tstamp 51A32A35))
+  (segment (start 51.1 66) (end 50.925 66.175) (width 0.1524) (layer Sig1-L3) (net 2) (tstamp 519F60C9))
+  (segment (start 50.925 66.175) (end 50.925 66.9) (width 0.1524) (layer Sig1-L3) (net 2) (tstamp 519F60CA))
+  (segment (start 50.925 66.9) (end 51.125 67.1) (width 0.1524) (layer Sig1-L3) (net 2) (tstamp 519F60CB))
+  (segment (start 51.125 67.1) (end 51.125 75.475) (width 0.1524) (layer Sig1-L3) (net 2) (tstamp 519F60CE))
+  (segment (start 51.125 75.625) (end 51.2 75.7) (width 0.1524) (layer Sig1-L3) (net 2) (tstamp 519F60DA))
+  (segment (start 51.2 75.7) (end 51.2 75.975) (width 0.1524) (layer Sig1-L3) (net 2) (tstamp 519F60DB))
+  (segment (start 51.125 75.475) (end 51.125 75.625) (width 0.1524) (layer Sig1-L3) (net 2))
+  (via (at 52 82) (size 0.4572) (layers TOP-L1 BOT-L6) (net 2))
+  (segment (start 52 82) (end 52.4 82.4) (width 0.1524) (layer TOP-L1) (net 2) (tstamp 51A02174))
+  (segment (start 51.1 66) (end 64.55 66) (width 0.1524) (layer Sig-L5) (net 2))
+  (segment (start 64.55 66) (end 65.45 65.1) (width 0.1524) (layer Sig-L5) (net 2) (tstamp 51A2FD93))
+  (segment (start 65.45 65.1) (end 65.45 63.25) (width 0.1524) (layer Sig-L5) (net 2) (tstamp 51A2FD9B))
+  (via (at 51.1 66) (size 0.4572) (layers TOP-L1 BOT-L6) (net 2))
+  (segment (start 64.775 64.05) (end 64.775 62.9) (width 0.1524) (layer Sig-L5) (net 3))
+  (segment (start 66.25 57.35) (end 66.475 57.575) (width 0.1524) (layer Sig-L5) (net 3) (tstamp 51AC4EE7))
+  (segment (start 62.75 57.35) (end 66.25 57.35) (width 0.1524) (layer Sig-L5) (net 3) (tstamp 51AC4EE5))
+  (segment (start 62.1 58) (end 62.75 57.35) (width 0.1524) (layer Sig-L5) (net 3) (tstamp 51AC4EE4))
+  (segment (start 62.1 60.225) (end 62.1 58) (width 0.1524) (layer Sig-L5) (net 3) (tstamp 51AC4EE2))
+  (segment (start 64.775 62.9) (end 62.1 60.225) (width 0.1524) (layer Sig-L5) (net 3) (tstamp 51AC4EE0))
+  (segment (start 54.7 65.425) (end 54.475 65.2) (width 0.1524) (layer Sig-L5) (net 3) (tstamp 51A85EF0))
+  (segment (start 54.475 65.2) (end 51.825 65.2) (width 0.1524) (layer Sig-L5) (net 3) (tstamp 51A85EF5))
+  (segment (start 64.775 64.7) (end 64.05 65.425) (width 0.1524) (layer Sig-L5) (net 3) (tstamp 51A2F3E3))
+  (segment (start 64.775 64.05) (end 64.775 64.7) (width 0.1524) (layer Sig-L5) (net 3) (tstamp 51AC4EDE))
+  (segment (start 64.05 65.425) (end 54.7 65.425) (width 0.1524) (layer Sig-L5) (net 3))
+  (segment (start 67.625 59.475) (end 67.625 58.725) (width 0.1524) (layer Sig-L5) (net 3))
+  (segment (start 67.625 58.725) (end 66.475 57.575) (width 0.1524) (layer Sig-L5) (net 3) (tstamp 51AC4B44))
+  (segment (start 68.675 64.95) (end 67.625 63.9) (width 0.1524) (layer Sig-L5) (net 3))
+  (segment (start 67.625 63.9) (end 67.625 59.475) (width 0.1524) (layer Sig-L5) (net 3) (tstamp 51AC4B2A))
+  (via (at 70.2 64.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 3))
+  (segment (start 68.925 65.2) (end 68.675 64.95) (width 0.1524) (layer Sig-L5) (net 3) (tstamp 51A2F3CD))
+  (segment (start 69.8 65.2) (end 68.925 65.2) (width 0.1524) (layer Sig-L5) (net 3) (tstamp 51A2F3C6))
+  (segment (start 69.8 65.2) (end 70.2 64.8) (width 0.1524) (layer Sig-L5) (net 3))
+  (segment (start 70.6 64.4) (end 70.2 64.8) (width 0.1524) (layer TOP-L1) (net 3))
+  (segment (start 52 76.775) (end 52 77.2) (width 0.1524) (layer Sig1-L3) (net 3))
+  (segment (start 52 75.85) (end 52 76.775) (width 0.1524) (layer Sig1-L3) (net 3) (tstamp 519F0CF3))
+  (segment (start 51.7 75.55) (end 52 75.85) (width 0.1524) (layer Sig1-L3) (net 3) (tstamp 519F60BA))
+  (segment (start 51.525 65.5) (end 51.825 65.2) (width 0.1524) (layer Sig1-L3) (net 3) (tstamp 519F60AE))
+  (segment (start 51.525 66.65) (end 51.425 66.75) (width 0.1524) (layer Sig1-L3) (net 3) (tstamp 519F60B0))
+  (segment (start 51.425 66.75) (end 51.425 75.275) (width 0.1524) (layer Sig1-L3) (net 3) (tstamp 519F60B1))
+  (segment (start 51.425 75.275) (end 51.7 75.55) (width 0.1524) (layer Sig1-L3) (net 3) (tstamp 519F60B2))
+  (segment (start 51.525 65.5) (end 51.525 66.65) (width 0.1524) (layer Sig1-L3) (net 3))
+  (segment (start 52 77.2) (end 52.8 78) (width 0.1524) (layer Sig1-L3) (net 3) (tstamp 51A01DFB))
+  (via (at 52.8 78) (size 0.4572) (layers TOP-L1 BOT-L6) (net 3))
+  (segment (start 52.8 78) (end 53.2 78.4) (width 0.1524) (layer TOP-L1) (net 3) (tstamp 51A01E04))
+  (segment (start 51.75 56.75) (end 51.75 57.85) (width 0.1524) (layer Sig1-L3) (net 3))
+  (segment (start 51.825 65.2) (end 50.8 64.175) (width 0.1524) (layer Sig1-L3) (net 3) (tstamp 519F6080))
+  (segment (start 51.45 62.55) (end 51.45 61.875) (width 0.1524) (layer Sig1-L3) (net 3) (tstamp 519F0BCD))
+  (segment (start 50.8 63.2) (end 51.45 62.55) (width 0.1524) (layer Sig1-L3) (net 3) (tstamp 519F0BCA))
+  (segment (start 50.8 63.75) (end 50.8 63.2) (width 0.1524) (layer Sig1-L3) (net 3) (tstamp 519F6084))
+  (segment (start 50.8 64.175) (end 50.8 63.75) (width 0.1524) (layer Sig1-L3) (net 3) (tstamp 519F6081))
+  (segment (start 52.825 58.85) (end 52.825 56.525) (width 0.1524) (layer Sig1-L3) (net 3) (tstamp 51A01F14))
+  (segment (start 52.825 56.525) (end 52.65 56.35) (width 0.1524) (layer Sig1-L3) (net 3) (tstamp 51A01ED3))
+  (segment (start 52.65 56.35) (end 52.025 56.35) (width 0.1524) (layer Sig1-L3) (net 3) (tstamp 51A01ED4))
+  (segment (start 52.025 56.35) (end 51.75 56.625) (width 0.1524) (layer Sig1-L3) (net 3) (tstamp 51A01ED8))
+  (segment (start 51.75 56.625) (end 51.75 56.75) (width 0.1524) (layer Sig1-L3) (net 3) (tstamp 51A01ED9))
+  (via (at 51.6 58) (size 0.4572) (layers TOP-L1 BOT-L6) (net 3))
+  (segment (start 51.6 58) (end 51.2 58.4) (width 0.1524) (layer TOP-L1) (net 3) (tstamp 51A01EEA))
+  (segment (start 51.45 61.875) (end 51.45 61.65) (width 0.1524) (layer Sig1-L3) (net 3))
+  (segment (start 52.075 59.625) (end 52.375 59.625) (width 0.1524) (layer Sig1-L3) (net 3) (tstamp 51A01F23))
+  (segment (start 52.375 59.625) (end 52.825 59.175) (width 0.1524) (layer Sig1-L3) (net 3) (tstamp 51A01F0F))
+  (segment (start 52.825 59.175) (end 52.825 58.85) (width 0.1524) (layer Sig1-L3) (net 3) (tstamp 51A01F10))
+  (segment (start 51.45 61.65) (end 51.45 61.4) (width 0.1524) (layer Sig1-L3) (net 3))
+  (segment (start 51.45 61.325) (end 51.15 61.025) (width 0.1524) (layer Sig1-L3) (net 3) (tstamp 51A01F1D))
+  (segment (start 51.15 61.025) (end 51.15 60.1) (width 0.1524) (layer Sig1-L3) (net 3) (tstamp 51A01F1E))
+  (segment (start 51.15 60.1) (end 51.625 59.625) (width 0.1524) (layer Sig1-L3) (net 3) (tstamp 51A01F1F))
+  (segment (start 51.625 59.625) (end 52.075 59.625) (width 0.1524) (layer Sig1-L3) (net 3) (tstamp 51A01F20))
+  (segment (start 51.45 61.4) (end 51.45 61.325) (width 0.1524) (layer Sig1-L3) (net 3))
+  (segment (start 51.75 57.85) (end 51.6 58) (width 0.1524) (layer Sig1-L3) (net 3) (tstamp 51A1646D))
+  (segment (start 51.875 65.2) (end 51.825 65.2) (width 0.1524) (layer TOP-L1) (net 3))
+  (via (at 51.825 65.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 3))
+  (segment (start 54.175 80.525) (end 54.4 80.75) (width 0.1524) (layer Sig-L5) (net 4))
+  (segment (start 54.575 80.75) (end 54.7 80.625) (width 0.1524) (layer Sig-L5) (net 4) (tstamp 51A44C42))
+  (segment (start 54.4 80.75) (end 54.575 80.75) (width 0.1524) (layer Sig-L5) (net 4) (tstamp 51A44C40))
+  (segment (start 53.925 80.275) (end 54.175 80.525) (width 0.1524) (layer Sig-L5) (net 4))
+  (segment (start 55.45 80.875) (end 55.15 81.175) (width 0.1524) (layer Sig-L5) (net 4) (tstamp 51A44C29))
+  (segment (start 55.45 80.55) (end 55.45 80.875) (width 0.1524) (layer Sig-L5) (net 4) (tstamp 51A44C28))
+  (segment (start 55.275 80.375) (end 55.45 80.55) (width 0.1524) (layer Sig-L5) (net 4) (tstamp 51A44C27))
+  (segment (start 54.95 80.375) (end 55.275 80.375) (width 0.1524) (layer Sig-L5) (net 4) (tstamp 51A44C25))
+  (segment (start 54.7 80.625) (end 54.95 80.375) (width 0.1524) (layer Sig-L5) (net 4) (tstamp 51A44C46))
+  (segment (start 55.575 75.125) (end 55.35 75.125) (width 0.1524) (layer Sig-L5) (net 4))
+  (segment (start 49.15 79.175) (end 47.975 78) (width 0.1524) (layer Sig-L5) (net 4) (tstamp 51A44BD2))
+  (segment (start 53.625 79.175) (end 49.15 79.175) (width 0.1524) (layer Sig-L5) (net 4) (tstamp 51A44BCF))
+  (segment (start 54.65 78.15) (end 53.625 79.175) (width 0.1524) (layer Sig-L5) (net 4) (tstamp 51A44BCA))
+  (segment (start 54.65 75.825) (end 54.65 78.15) (width 0.1524) (layer Sig-L5) (net 4) (tstamp 51A44BC7))
+  (segment (start 55.35 75.125) (end 54.65 75.825) (width 0.1524) (layer Sig-L5) (net 4) (tstamp 51A44BC4))
+  (segment (start 63.125 71.6) (end 63.65 71.6) (width 0.1524) (layer Sig1-L3) (net 4))
+  (segment (start 63.325 65.675) (end 62.775 65.675) (width 0.1524) (layer Sig1-L3) (net 4) (tstamp 51A44B90))
+  (segment (start 64.575 66.925) (end 63.325 65.675) (width 0.1524) (layer Sig1-L3) (net 4) (tstamp 51A44B8C))
+  (segment (start 64.575 70.675) (end 64.575 66.925) (width 0.1524) (layer Sig1-L3) (net 4) (tstamp 51A44B8B))
+  (segment (start 63.65 71.6) (end 64.575 70.675) (width 0.1524) (layer Sig1-L3) (net 4) (tstamp 51A44B8A))
+  (segment (start 53.925 63.35) (end 53.925 62.25) (width 0.1524) (layer Sig1-L3) (net 4))
+  (segment (start 61.425 65.675) (end 62.775 65.675) (width 0.1524) (layer Sig1-L3) (net 4))
+  (segment (start 53.925 63.35) (end 53.925 64.25) (width 0.1524) (layer Sig1-L3) (net 4) (tstamp 51A44B62))
+  (segment (start 55.35 65.675) (end 61.425 65.675) (width 0.1524) (layer Sig1-L3) (net 4) (tstamp 51A446E6))
+  (segment (start 53.925 64.25) (end 55.35 65.675) (width 0.1524) (layer Sig1-L3) (net 4) (tstamp 51A446E1))
+  (segment (start 54.35 61.6) (end 54.35 61.35) (width 0.1524) (layer Sig1-L3) (net 4) (tstamp 51A44B68))
+  (segment (start 54.35 61.35) (end 54.1 61.1) (width 0.1524) (layer Sig1-L3) (net 4) (tstamp 51A44B38))
+  (segment (start 54.1 61.1) (end 54 61.1) (width 0.1524) (layer Sig1-L3) (net 4) (tstamp 51A44B39))
+  (via (at 54 61.1) (size 0.4572) (layers TOP-L1 BOT-L6) (net 4))
+  (segment (start 54 61.1) (end 53.75 61.1) (width 0.1524) (layer TOP-L1) (net 4) (tstamp 51A44B47))
+  (segment (start 53.75 61.1) (end 53.6 61.25) (width 0.1524) (layer TOP-L1) (net 4) (tstamp 51A44B48))
+  (segment (start 53.6 61.25) (end 53.6 61.6) (width 0.1524) (layer TOP-L1) (net 4) (tstamp 51A44B4E))
+  (segment (start 53.925 62.25) (end 54.35 61.825) (width 0.1524) (layer Sig1-L3) (net 4) (tstamp 51A44B64))
+  (segment (start 54.35 61.825) (end 54.35 61.6) (width 0.1524) (layer Sig1-L3) (net 4) (tstamp 51A44B65))
+  (segment (start 63.125 71.6) (end 60.8 71.6) (width 0.1524) (layer Sig1-L3) (net 4) (tstamp 51A44B88))
+  (segment (start 55.95 75.475) (end 56.125 75.3) (width 0.1524) (layer Sig1-L3) (net 4) (tstamp 51A44710))
+  (segment (start 55.125 75.475) (end 55.95 75.475) (width 0.1524) (layer Sig1-L3) (net 4) (tstamp 51A4470F))
+  (segment (start 53.8 74.15) (end 55.125 75.475) (width 0.1524) (layer Sig1-L3) (net 4) (tstamp 51A44705))
+  (segment (start 53.8 72.375) (end 53.8 74.15) (width 0.1524) (layer Sig1-L3) (net 4) (tstamp 51A44704))
+  (segment (start 54.575 71.6) (end 53.8 72.375) (width 0.1524) (layer Sig1-L3) (net 4) (tstamp 51A446FF))
+  (segment (start 60.8 71.6) (end 54.575 71.6) (width 0.1524) (layer Sig1-L3) (net 4) (tstamp 51A44A3F))
+  (segment (start 57.425 73.775) (end 57.425 72.92458) (width 0.1524) (layer TOP-L1) (net 4))
+  (segment (start 57.425 74.825) (end 57.25 75) (width 0.1524) (layer TOP-L1) (net 4) (tstamp 51A444B4))
+  (segment (start 57.25 75) (end 57.05 75) (width 0.1524) (layer TOP-L1) (net 4) (tstamp 51A444B5))
+  (segment (start 57.425 73.775) (end 57.425 74.825) (width 0.1524) (layer TOP-L1) (net 4))
+  (segment (start 56.425 75) (end 56.125 75.3) (width 0.1524) (layer TOP-L1) (net 4) (tstamp 51A444DD))
+  (via (at 56.125 75.3) (size 0.4572) (layers TOP-L1 BOT-L6) (net 4))
+  (segment (start 57.05 75) (end 56.425 75) (width 0.1524) (layer TOP-L1) (net 4))
+  (segment (start 55.95 75.125) (end 56.125 75.3) (width 0.1524) (layer Sig-L5) (net 4) (tstamp 51A44529))
+  (segment (start 55.575 75.125) (end 55.95 75.125) (width 0.1524) (layer Sig-L5) (net 4) (tstamp 51A44BC2))
+  (segment (start 48.725 80) (end 51.35 80) (width 0.1524) (layer Sig-L5) (net 4) (tstamp 51A339D6))
+  (segment (start 45.725 77) (end 48.725 80) (width 0.1524) (layer Sig-L5) (net 4) (tstamp 51A339D3))
+  (segment (start 42.85 77) (end 45.725 77) (width 0.1524) (layer Sig-L5) (net 4) (tstamp 51A339D2))
+  (segment (start 42.525 76.675) (end 42.85 77) (width 0.1524) (layer Sig-L5) (net 4) (tstamp 51A339D0))
+  (segment (start 42.525 75.75) (end 42.525 76.675) (width 0.1524) (layer Sig-L5) (net 4) (tstamp 51A339CF))
+  (segment (start 42.725 75.55) (end 42.525 75.75) (width 0.1524) (layer Sig-L5) (net 4) (tstamp 51A339CE))
+  (segment (start 45.525 75.55) (end 42.725 75.55) (width 0.1524) (layer Sig-L5) (net 4) (tstamp 51A339CC))
+  (segment (start 47.975 78) (end 45.525 75.55) (width 0.1524) (layer Sig-L5) (net 4) (tstamp 51A44BE9))
+  (segment (start 51.35 80) (end 51.65 80) (width 0.1524) (layer Sig-L5) (net 4) (tstamp 51A339DE))
+  (segment (start 55.575 81.6) (end 55.6 81.6) (width 0.1524) (layer TOP-L1) (net 4) (tstamp 51A3375E))
+  (segment (start 55.15 81.175) (end 55.575 81.6) (width 0.1524) (layer TOP-L1) (net 4) (tstamp 51A3375D))
+  (via (at 55.15 81.175) (size 0.4572) (layers TOP-L1 BOT-L6) (net 4))
+  (segment (start 53.65 80) (end 53.925 80.275) (width 0.1524) (layer Sig-L5) (net 4) (tstamp 51A33752))
+  (segment (start 51.65 80) (end 53.65 80) (width 0.1524) (layer Sig-L5) (net 4) (tstamp 51A33784))
+  (segment (start 55.6 74.8) (end 55.25 74.8) (width 0.1524) (layer Sig-L5) (net 5))
+  (segment (start 49.3 78.875) (end 48.475 78.05) (width 0.1524) (layer Sig-L5) (net 5) (tstamp 51A44BFE))
+  (segment (start 53.5 78.875) (end 49.3 78.875) (width 0.1524) (layer Sig-L5) (net 5) (tstamp 51A44BFB))
+  (segment (start 54.35 78.025) (end 53.5 78.875) (width 0.1524) (layer Sig-L5) (net 5) (tstamp 51A44BF3))
+  (segment (start 54.35 75.7) (end 54.35 78.025) (width 0.1524) (layer Sig-L5) (net 5) (tstamp 51A44BF0))
+  (segment (start 55.25 74.8) (end 54.35 75.7) (width 0.1524) (layer Sig-L5) (net 5) (tstamp 51A44BEE))
+  (segment (start 62.65 65.975) (end 63.175 65.975) (width 0.1524) (layer Sig1-L3) (net 5))
+  (segment (start 63.5 71.3) (end 62.975 71.3) (width 0.1524) (layer Sig1-L3) (net 5) (tstamp 51A44B83))
+  (segment (start 64.275 70.525) (end 63.5 71.3) (width 0.1524) (layer Sig1-L3) (net 5) (tstamp 51A44B82))
+  (segment (start 64.275 67.075) (end 64.275 70.525) (width 0.1524) (layer Sig1-L3) (net 5) (tstamp 51A44B80))
+  (segment (start 63.175 65.975) (end 64.275 67.075) (width 0.1524) (layer Sig1-L3) (net 5) (tstamp 51A44B7F))
+  (segment (start 60.775 65.975) (end 62.65 65.975) (width 0.1524) (layer Sig1-L3) (net 5))
+  (segment (start 60.775 65.975) (end 55.225 65.975) (width 0.1524) (layer Sig1-L3) (net 5) (tstamp 51A44A4A))
+  (segment (start 55.225 65.975) (end 53.625 64.375) (width 0.1524) (layer Sig1-L3) (net 5) (tstamp 51A446BA))
+  (segment (start 53.625 64.375) (end 53.625 62.425) (width 0.1524) (layer Sig1-L3) (net 5) (tstamp 51A446BC))
+  (segment (start 62.975 71.3) (end 62.4 71.3) (width 0.1524) (layer Sig1-L3) (net 5) (tstamp 51A44B86))
+  (segment (start 56.9 75.475) (end 56.65 75.475) (width 0.1524) (layer Sig1-L3) (net 5))
+  (segment (start 56.65 75.475) (end 56.35 75.775) (width 0.1524) (layer Sig1-L3) (net 5) (tstamp 51A446A0))
+  (segment (start 56.35 75.775) (end 54.975 75.775) (width 0.1524) (layer Sig1-L3) (net 5) (tstamp 51A446A2))
+  (segment (start 54.975 75.775) (end 53.5 74.3) (width 0.1524) (layer Sig1-L3) (net 5) (tstamp 51A446A4))
+  (segment (start 53.5 74.3) (end 53.5 72.25) (width 0.1524) (layer Sig1-L3) (net 5) (tstamp 51A446A7))
+  (segment (start 53.5 72.25) (end 54.45 71.3) (width 0.1524) (layer Sig1-L3) (net 5) (tstamp 51A446AA))
+  (segment (start 54.45 71.3) (end 62.4 71.3) (width 0.1524) (layer Sig1-L3) (net 5) (tstamp 51A446AC))
+  (segment (start 53.2 62) (end 52.8 61.6) (width 0.1524) (layer TOP-L1) (net 5) (tstamp 51A446CA))
+  (via (at 53.2 62) (size 0.4572) (layers TOP-L1 BOT-L6) (net 5))
+  (segment (start 53.625 62.425) (end 53.2 62) (width 0.1524) (layer Sig1-L3) (net 5) (tstamp 51A446C3))
+  (segment (start 55.825 74.8) (end 55.6 74.8) (width 0.1524) (layer Sig-L5) (net 5))
+  (segment (start 58.275 73.25) (end 58.05 73.475) (width 0.1524) (layer TOP-L1) (net 5) (tstamp 51A44471))
+  (segment (start 58.05 73.475) (end 57.85 73.475) (width 0.1524) (layer TOP-L1) (net 5) (tstamp 51A44474))
+  (segment (start 57.85 73.475) (end 57.725 73.6) (width 0.1524) (layer TOP-L1) (net 5) (tstamp 51A44475))
+  (segment (start 57.725 73.6) (end 57.725 75) (width 0.1524) (layer TOP-L1) (net 5) (tstamp 51A4447B))
+  (segment (start 57.725 75) (end 57.25 75.475) (width 0.1524) (layer TOP-L1) (net 5) (tstamp 51A4447F))
+  (segment (start 57.25 75.475) (end 56.9 75.475) (width 0.1524) (layer TOP-L1) (net 5) (tstamp 51A444AA))
+  (via (at 56.9 75.475) (size 0.4572) (layers TOP-L1 BOT-L6) (net 5))
+  (segment (start 58.275 73.25) (end 58.275 72.92458) (width 0.1524) (layer TOP-L1) (net 5))
+  (segment (start 56.225 74.8) (end 56.9 75.475) (width 0.1524) (layer Sig-L5) (net 5) (tstamp 51A444F3))
+  (segment (start 55.825 74.8) (end 56.225 74.8) (width 0.1524) (layer Sig-L5) (net 5) (tstamp 51A44503))
+  (segment (start 44.225 75.225) (end 42.575 75.225) (width 0.1524) (layer Sig-L5) (net 5))
+  (segment (start 54.4 81.2) (end 54.8 81.6) (width 0.1524) (layer TOP-L1) (net 5) (tstamp 51A3372F))
+  (segment (start 53.5 80.3) (end 54.4 81.2) (width 0.1524) (layer Sig-L5) (net 5) (tstamp 51A33701))
+  (segment (start 52.05 80.3) (end 53.5 80.3) (width 0.1524) (layer Sig-L5) (net 5) (tstamp 51A33988))
+  (via (at 54.4 81.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 5))
+  (segment (start 48.475 78.05) (end 46.75 76.325) (width 0.1524) (layer Sig-L5) (net 5) (tstamp 51A44C06))
+  (segment (start 47.575 79.3) (end 48.575 80.3) (width 0.1524) (layer Sig-L5) (net 5) (tstamp 51A339AE))
+  (segment (start 48.575 80.3) (end 52.05 80.3) (width 0.1524) (layer Sig-L5) (net 5) (tstamp 51A33983))
+  (segment (start 45.65 75.225) (end 44.35 75.225) (width 0.1524) (layer Sig-L5) (net 5) (tstamp 51A33999))
+  (segment (start 43.625 77.325) (end 45.6 77.325) (width 0.1524) (layer Sig-L5) (net 5) (tstamp 51A339BB))
+  (segment (start 45.6 77.325) (end 47.575 79.3) (width 0.1524) (layer Sig-L5) (net 5) (tstamp 51A339A2))
+  (segment (start 46.75 76.325) (end 45.65 75.225) (width 0.1524) (layer Sig-L5) (net 5))
+  (segment (start 43.25 77.325) (end 43.625 77.325) (width 0.1524) (layer Sig-L5) (net 5) (tstamp 51A339C6))
+  (segment (start 44.35 75.225) (end 44.225 75.225) (width 0.1524) (layer Sig-L5) (net 5))
+  (segment (start 42.575 75.225) (end 42.2 75.6) (width 0.1524) (layer Sig-L5) (net 5) (tstamp 51A339C0))
+  (segment (start 42.2 75.6) (end 42.2 76.825) (width 0.1524) (layer Sig-L5) (net 5) (tstamp 51A339C1))
+  (segment (start 42.2 76.825) (end 42.7 77.325) (width 0.1524) (layer Sig-L5) (net 5) (tstamp 51A339C2))
+  (segment (start 42.7 77.325) (end 43.25 77.325) (width 0.1524) (layer Sig-L5) (net 5) (tstamp 51A339C3))
+  (segment (start 42.05 63.6) (end 42.05 63.45) (width 0.1524) (layer Sig-L5) (net 6))
+  (segment (start 42.525 62.975) (end 42.875 62.975) (width 0.1524) (layer Sig-L5) (net 6) (tstamp 51A86506))
+  (segment (start 42.05 63.45) (end 42.525 62.975) (width 0.1524) (layer Sig-L5) (net 6) (tstamp 51A86505))
+  (segment (start 42.875 64.15) (end 42.325 64.15) (width 0.1524) (layer Sig-L5) (net 6))
+  (segment (start 42.05 63.875) (end 42.05 63.6) (width 0.1524) (layer Sig-L5) (net 6) (tstamp 51A864F8))
+  (segment (start 42.325 64.15) (end 42.05 63.875) (width 0.1524) (layer Sig-L5) (net 6) (tstamp 51A864F7))
+  (segment (start 49.825 82.125) (end 49.225 82.125) (width 0.1524) (layer Sig1-L3) (net 6))
+  (segment (start 49.25 83.075) (end 49.5 83.075) (width 0.1524) (layer Sig1-L3) (net 6) (tstamp 51A864D6))
+  (segment (start 49.025 82.85) (end 49.25 83.075) (width 0.1524) (layer Sig1-L3) (net 6) (tstamp 51A864D5))
+  (segment (start 49.025 82.325) (end 49.025 82.85) (width 0.1524) (layer Sig1-L3) (net 6) (tstamp 51A864D4))
+  (segment (start 49.225 82.125) (end 49.025 82.325) (width 0.1524) (layer Sig1-L3) (net 6) (tstamp 51A864D3))
+  (segment (start 53.7 78.525) (end 53.7 78.65) (width 0.1524) (layer Sig1-L3) (net 6))
+  (segment (start 52.575 67.2) (end 52.35 67.425) (width 0.1524) (layer Sig1-L3) (net 6) (tstamp 519F5F89))
+  (segment (start 52.35 67.425) (end 52.35 73.9) (width 0.1524) (layer Sig1-L3) (net 6) (tstamp 519F5F8A))
+  (segment (start 52.95 67.2) (end 52.575 67.2) (width 0.1524) (layer Sig1-L3) (net 6))
+  (segment (start 52.35 74.45) (end 52.625 74.725) (width 0.1524) (layer Sig1-L3) (net 6) (tstamp 51A027A3))
+  (segment (start 52.625 74.725) (end 52.9 74.725) (width 0.1524) (layer Sig1-L3) (net 6) (tstamp 51A027A4))
+  (segment (start 52.35 73.9) (end 52.35 74.45) (width 0.1524) (layer Sig1-L3) (net 6))
+  (segment (start 53.175 74.725) (end 54.15 75.7) (width 0.1524) (layer Sig1-L3) (net 6) (tstamp 51A0289B))
+  (segment (start 54.15 75.7) (end 54.15 76.25) (width 0.1524) (layer Sig1-L3) (net 6) (tstamp 51A0289C))
+  (segment (start 52.9 74.725) (end 53.175 74.725) (width 0.1524) (layer Sig1-L3) (net 6))
+  (segment (start 54.15 76.9) (end 53.7 77.35) (width 0.1524) (layer Sig1-L3) (net 6) (tstamp 51A028F8))
+  (segment (start 53.7 77.35) (end 53.7 78.525) (width 0.1524) (layer Sig1-L3) (net 6) (tstamp 51A028F9))
+  (segment (start 54.15 76.25) (end 54.15 76.9) (width 0.1524) (layer Sig1-L3) (net 6))
+  (segment (start 53.225 82.275) (end 52.975 82.525) (width 0.1524) (layer Sig1-L3) (net 6) (tstamp 51A33541))
+  (segment (start 52.975 82.525) (end 51.875 82.525) (width 0.1524) (layer Sig1-L3) (net 6) (tstamp 51A21403))
+  (segment (start 49.5 83.075) (end 49.875 83.075) (width 0.1524) (layer Sig1-L3) (net 6) (tstamp 51A864D9))
+  (segment (start 49.875 83.075) (end 50.15 82.8) (width 0.1524) (layer Sig1-L3) (net 6) (tstamp 51A21413))
+  (segment (start 50.15 82.8) (end 53.6 82.8) (width 0.1524) (layer Sig1-L3) (net 6) (tstamp 51A21414))
+  (via (at 53.6 82.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 6))
+  (segment (start 53.6 82.8) (end 54.4 82.8) (width 0.1524) (layer TOP-L1) (net 6) (tstamp 51A2141F))
+  (segment (start 54.4 82.8) (end 54.8 83.2) (width 0.1524) (layer TOP-L1) (net 6) (tstamp 51A21420))
+  (segment (start 51.325 82.525) (end 50.925 82.125) (width 0.1524) (layer Sig1-L3) (net 6) (tstamp 51A21433))
+  (segment (start 50.925 82.125) (end 49.825 82.125) (width 0.1524) (layer Sig1-L3) (net 6) (tstamp 51A21434))
+  (segment (start 51.875 82.525) (end 51.325 82.525) (width 0.1524) (layer Sig1-L3) (net 6))
+  (segment (start 53.7 78.65) (end 52.95 79.4) (width 0.1524) (layer Sig1-L3) (net 6) (tstamp 51A33536))
+  (segment (start 52.95 79.4) (end 52.95 80.325) (width 0.1524) (layer Sig1-L3) (net 6) (tstamp 51A33537))
+  (segment (start 52.95 80.325) (end 53.375 80.75) (width 0.1524) (layer Sig1-L3) (net 6) (tstamp 51A33538))
+  (segment (start 53.375 80.75) (end 53.375 82.125) (width 0.1524) (layer Sig1-L3) (net 6) (tstamp 51A3353A))
+  (segment (start 53.375 82.125) (end 53.225 82.275) (width 0.1524) (layer Sig1-L3) (net 6) (tstamp 51A3353C))
+  (segment (start 42.925 62.975) (end 42.875 62.975) (width 0.1524) (layer Sig-L5) (net 6))
+  (segment (start 42.925 62.975) (end 44.6 62.975) (width 0.1524) (layer Sig-L5) (net 6) (tstamp 51A302CB))
+  (segment (start 44.6 62.975) (end 45.975 64.35) (width 0.1524) (layer Sig-L5) (net 6) (tstamp 51A3029A))
+  (segment (start 45.975 64.35) (end 51.625 64.35) (width 0.1524) (layer Sig-L5) (net 6) (tstamp 51A3029C))
+  (segment (start 51.625 64.35) (end 52.4 63.575) (width 0.1524) (layer Sig-L5) (net 6) (tstamp 51A3029E))
+  (via (at 52.4 63.575) (size 0.4572) (layers TOP-L1 BOT-L6) (net 6))
+  (segment (start 52.4 63.575) (end 52.775 63.2) (width 0.1524) (layer TOP-L1) (net 6) (tstamp 51A302B3))
+  (segment (start 52.775 63.2) (end 52.8 63.2) (width 0.1524) (layer TOP-L1) (net 6) (tstamp 51A302B4))
+  (segment (start 43.25 65.15) (end 42.925 65.15) (width 0.1524) (layer Sig-L5) (net 6) (tstamp 51A302C5))
+  (segment (start 43.575 64.825) (end 43.25 65.15) (width 0.1524) (layer Sig-L5) (net 6) (tstamp 51A302C4))
+  (segment (start 43.575 64.425) (end 43.575 64.825) (width 0.1524) (layer Sig-L5) (net 6) (tstamp 51A302C3))
+  (segment (start 43.3 64.15) (end 43.575 64.425) (width 0.1524) (layer Sig-L5) (net 6) (tstamp 51A302C2))
+  (segment (start 52.825 67.2) (end 49.1 67.2) (width 0.1524) (layer Sig-L5) (net 6))
+  (segment (start 49.1 67.2) (end 49.025 67.275) (width 0.1524) (layer Sig-L5) (net 6) (tstamp 51A3027E))
+  (segment (start 49.025 67.275) (end 48.075 67.275) (width 0.1524) (layer Sig-L5) (net 6) (tstamp 51A30280))
+  (segment (start 48.075 67.275) (end 46.95 66.15) (width 0.1524) (layer Sig-L5) (net 6) (tstamp 51A30283))
+  (segment (start 46.95 66.15) (end 42.15 66.15) (width 0.1524) (layer Sig-L5) (net 6) (tstamp 51A30286))
+  (segment (start 42.15 66.15) (end 41.8 65.8) (width 0.1524) (layer Sig-L5) (net 6) (tstamp 51A30289))
+  (segment (start 41.8 65.8) (end 41.8 65.425) (width 0.1524) (layer Sig-L5) (net 6) (tstamp 51A3028A))
+  (segment (start 41.8 65.425) (end 42.075 65.15) (width 0.1524) (layer Sig-L5) (net 6) (tstamp 51A30290))
+  (segment (start 42.075 65.15) (end 42.925 65.15) (width 0.1524) (layer Sig-L5) (net 6) (tstamp 51A30291))
+  (segment (start 43.125 64.15) (end 42.875 64.15) (width 0.1524) (layer Sig-L5) (net 6) (tstamp 51A302C0))
+  (segment (start 43.125 64.15) (end 43.3 64.15) (width 0.1524) (layer Sig-L5) (net 6))
+  (segment (start 66.85 65.675) (end 66.7 65.675) (width 0.1524) (layer Sig-L5) (net 6))
+  (segment (start 66.55 66.2) (end 66.625 66.275) (width 0.1524) (layer Sig-L5) (net 6) (tstamp 51A30270))
+  (segment (start 66.55 65.825) (end 66.55 66.2) (width 0.1524) (layer Sig-L5) (net 6) (tstamp 51A3026F))
+  (segment (start 66.7 65.675) (end 66.55 65.825) (width 0.1524) (layer Sig-L5) (net 6) (tstamp 51A3026E))
+  (segment (start 67.525 66.6) (end 67.525 66.15) (width 0.1524) (layer Sig-L5) (net 6))
+  (segment (start 66.85 66.5) (end 66.85 66.775) (width 0.1524) (layer Sig-L5) (net 6) (tstamp 51A30263))
+  (segment (start 66.625 66.275) (end 66.85 66.5) (width 0.1524) (layer Sig-L5) (net 6) (tstamp 51A30273))
+  (segment (start 67.05 65.675) (end 66.85 65.675) (width 0.1524) (layer Sig-L5) (net 6) (tstamp 51A3025F))
+  (segment (start 67.525 66.15) (end 67.05 65.675) (width 0.1524) (layer Sig-L5) (net 6) (tstamp 51A3025E))
+  (segment (start 52.85 67.2) (end 52.825 67.2) (width 0.1524) (layer Sig-L5) (net 6))
+  (segment (start 52.825 67.2) (end 65.2 67.2) (width 0.1524) (layer Sig-L5) (net 6) (tstamp 51A3027C))
+  (segment (start 65.2 67.2) (end 65.5 66.9) (width 0.1524) (layer Sig-L5) (net 6) (tstamp 51A301FF))
+  (segment (start 65.5 66.9) (end 65.5 66.425) (width 0.1524) (layer Sig-L5) (net 6) (tstamp 51A30208))
+  (segment (start 65.5 66.425) (end 65.675 66.25) (width 0.1524) (layer Sig-L5) (net 6) (tstamp 51A30209))
+  (segment (start 65.675 66.25) (end 66.025 66.25) (width 0.1524) (layer Sig-L5) (net 6) (tstamp 51A3020B))
+  (segment (start 66.025 66.25) (end 66.225 66.45) (width 0.1524) (layer Sig-L5) (net 6) (tstamp 51A3020C))
+  (segment (start 66.225 66.45) (end 66.225 67.05) (width 0.1524) (layer Sig-L5) (net 6) (tstamp 51A3020D))
+  (segment (start 66.225 67.05) (end 66.4 67.225) (width 0.1524) (layer Sig-L5) (net 6) (tstamp 51A3020E))
+  (segment (start 66.4 67.225) (end 66.7 67.225) (width 0.1524) (layer Sig-L5) (net 6) (tstamp 51A3020F))
+  (segment (start 66.7 67.225) (end 66.85 67.075) (width 0.1524) (layer Sig-L5) (net 6) (tstamp 51A30210))
+  (segment (start 66.85 67.075) (end 66.85 66.775) (width 0.1524) (layer Sig-L5) (net 6) (tstamp 51A30212))
+  (via (at 52.85 67.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 6))
+  (segment (start 67.725 67.2) (end 69.4 67.2) (width 0.1524) (layer Sig-L5) (net 6) (tstamp 51A3021C))
+  (segment (start 67.525 67) (end 67.725 67.2) (width 0.1524) (layer Sig-L5) (net 6) (tstamp 51A3021A))
+  (segment (start 67.525 66.6) (end 67.525 67) (width 0.1524) (layer Sig-L5) (net 6) (tstamp 51A3025C))
+  (segment (start 69.8 66.8) (end 69.4 67.2) (width 0.1524) (layer TOP-L1) (net 6))
+  (via (at 69.4 67.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 6))
+  (segment (start 46.57 56.8) (end 46.57 56.66) (width 0.1524) (layer Sig1-L3) (net 7))
+  (segment (start 46.3 55.08) (end 47.01 55.08) (width 0.1524) (layer Sig1-L3) (net 7) (tstamp 51A871D1))
+  (segment (start 46.08 55.3) (end 46.3 55.08) (width 0.1524) (layer Sig1-L3) (net 7) (tstamp 51A871D0))
+  (segment (start 46.08 56.17) (end 46.08 55.3) (width 0.1524) (layer Sig1-L3) (net 7) (tstamp 51A871CF))
+  (segment (start 46.57 56.66) (end 46.08 56.17) (width 0.1524) (layer Sig1-L3) (net 7) (tstamp 51A871CE))
+  (segment (start 49.57 58.88) (end 49.57 59.41) (width 0.1524) (layer Sig1-L3) (net 7))
+  (segment (start 50.44 60.98) (end 49.82 61.6) (width 0.1524) (layer Sig1-L3) (net 7) (tstamp 51A87198))
+  (segment (start 50.44 60.28) (end 50.44 60.98) (width 0.1524) (layer Sig1-L3) (net 7) (tstamp 51A87196))
+  (segment (start 49.57 59.41) (end 50.44 60.28) (width 0.1524) (layer Sig1-L3) (net 7) (tstamp 51A87195))
+  (segment (start 46.57 56.93) (end 46.57 56.8) (width 0.1524) (layer Sig1-L3) (net 7) (tstamp 51A05911))
+  (segment (start 46.57 57.1) (end 46.57 56.93) (width 0.1524) (layer Sig1-L3) (net 7) (tstamp 51A0590E))
+  (segment (start 48.7 57.54) (end 48.4 57.84) (width 0.1524) (layer Sig1-L3) (net 7) (tstamp 51A8712C))
+  (segment (start 49.23 57.54) (end 48.7 57.54) (width 0.1524) (layer Sig1-L3) (net 7) (tstamp 51A8712B))
+  (segment (start 49.57 57.88) (end 49.23 57.54) (width 0.1524) (layer Sig1-L3) (net 7) (tstamp 51A8712A))
+  (segment (start 49.57 58.88) (end 49.57 57.88) (width 0.1524) (layer Sig1-L3) (net 7) (tstamp 51A87193))
+  (segment (start 49.525 61.895) (end 49.82 61.6) (width 0.1524) (layer Sig1-L3) (net 7) (tstamp 51A8711F))
+  (segment (start 49.2 63.05) (end 49.2 62.775) (width 0.1524) (layer Sig1-L3) (net 7))
+  (segment (start 49.525 62.45) (end 49.525 62.12) (width 0.1524) (layer Sig1-L3) (net 7) (tstamp 519F618A))
+  (segment (start 49.2 62.775) (end 49.525 62.45) (width 0.1524) (layer Sig1-L3) (net 7) (tstamp 519F6189))
+  (segment (start 49.2 64.125) (end 49.2 63.75) (width 0.1524) (layer Sig1-L3) (net 7) (tstamp 519F6124))
+  (segment (start 50.275 65.2) (end 49.2 64.125) (width 0.1524) (layer Sig1-L3) (net 7) (tstamp 519F6121))
+  (segment (start 50.275 67.45) (end 50.275 65.2) (width 0.1524) (layer Sig1-L3) (net 7) (tstamp 519F6120))
+  (segment (start 50.45 67.625) (end 50.275 67.45) (width 0.1524) (layer Sig1-L3) (net 7) (tstamp 519F611E))
+  (segment (start 50.45 70.15) (end 50.45 67.625) (width 0.1524) (layer Sig1-L3) (net 7) (tstamp 519F611D))
+  (segment (start 50.025 70.575) (end 50.45 70.15) (width 0.1524) (layer Sig1-L3) (net 7) (tstamp 519F611C))
+  (segment (start 50.025 70.875) (end 50.025 70.575) (width 0.1524) (layer Sig1-L3) (net 7) (tstamp 519F611B))
+  (segment (start 50.35 71.2) (end 50.025 70.875) (width 0.1524) (layer Sig1-L3) (net 7) (tstamp 519F611A))
+  (segment (start 49.2 63.75) (end 49.2 63.05) (width 0.1524) (layer Sig1-L3) (net 7) (tstamp 519F6127))
+  (segment (start 49.525 62.12) (end 49.525 61.895) (width 0.1524) (layer Sig1-L3) (net 7))
+  (segment (start 46.625 58.01) (end 46.765 58.01) (width 0.1524) (layer Sig1-L3) (net 7))
+  (segment (start 48.59 55.075) (end 47.01 55.075) (width 0.1524) (layer TOP-L1) (net 7) (tstamp 51A154A0))
+  (segment (start 52 57.6) (end 51.6 57.2) (width 0.1524) (layer TOP-L1) (net 7))
+  (segment (start 51.6 57.2) (end 51.6 55.775) (width 0.1524) (layer TOP-L1) (net 7) (tstamp 519EFE67))
+  (segment (start 51.6 55.775) (end 51.6 55.79) (width 0.1524) (layer TOP-L1) (net 7))
+  (via (at 47.01 55.08) (size 0.4572) (layers TOP-L1 BOT-L6) (net 7))
+  (segment (start 47.01 55.08) (end 47.01 55.075) (width 0.1524) (layer TOP-L1) (net 7) (tstamp 519F1D1F))
+  (segment (start 46.625 58.01) (end 46.3 58.01) (width 0.1524) (layer Sig1-L3) (net 7) (tstamp 51A86B07))
+  (segment (start 46.3 58.01) (end 46.14 57.85) (width 0.1524) (layer Sig1-L3) (net 7) (tstamp 51A0590A))
+  (segment (start 46.14 57.85) (end 46.14 57.53) (width 0.1524) (layer Sig1-L3) (net 7) (tstamp 51A0590B))
+  (segment (start 46.14 57.53) (end 46.57 57.1) (width 0.1524) (layer Sig1-L3) (net 7) (tstamp 51A0590D))
+  (segment (start 48.23 58.01) (end 48.4 57.84) (width 0.1524) (layer Sig1-L3) (net 7) (tstamp 51A05918))
+  (segment (start 48.075 58.01) (end 48.23 58.01) (width 0.1524) (layer Sig1-L3) (net 7) (tstamp 51A86B10))
+  (segment (start 49.505 55.075) (end 49.7 55.27) (width 0.1524) (layer TOP-L1) (net 7) (tstamp 51A154A2))
+  (segment (start 49.7 55.27) (end 51.3 55.27) (width 0.1524) (layer TOP-L1) (net 7) (tstamp 51A154A3))
+  (segment (start 51.3 55.27) (end 51.6 55.57) (width 0.1524) (layer TOP-L1) (net 7) (tstamp 51A154A4))
+  (segment (start 51.6 55.57) (end 51.6 55.79) (width 0.1524) (layer TOP-L1) (net 7) (tstamp 51A154A5))
+  (segment (start 48.59 55.075) (end 49.505 55.075) (width 0.1524) (layer TOP-L1) (net 7))
+  (segment (start 46.765 58.01) (end 47.175 57.6) (width 0.1524) (layer Sig1-L3) (net 7) (tstamp 51A86B09))
+  (segment (start 47.175 57.6) (end 47.55 57.6) (width 0.1524) (layer Sig1-L3) (net 7) (tstamp 51A86B0A))
+  (segment (start 47.55 57.6) (end 47.95 58) (width 0.1524) (layer Sig1-L3) (net 7) (tstamp 51A86B0B))
+  (segment (start 47.95 58) (end 48.075 58) (width 0.1524) (layer Sig1-L3) (net 7) (tstamp 51A86B0D))
+  (segment (start 48.075 58) (end 48.075 58.01) (width 0.1524) (layer Sig1-L3) (net 7) (tstamp 51A86B0F))
+  (segment (start 49.275 75.275) (end 48.375 75.275) (width 0.1524) (layer TOP-L1) (net 7))
+  (segment (start 47.875 77.4) (end 47.875 77.395) (width 0.1524) (layer Sig1-L3) (net 7) (tstamp 51A33946))
+  (segment (start 47.425 76.95) (end 47.875 77.4) (width 0.1524) (layer Sig1-L3) (net 7) (tstamp 51A33942))
+  (segment (start 47.425 76.225) (end 47.425 76.95) (width 0.1524) (layer Sig1-L3) (net 7) (tstamp 51A33941))
+  (segment (start 47.85 75.8) (end 47.425 76.225) (width 0.1524) (layer Sig1-L3) (net 7) (tstamp 51A33940))
+  (via (at 47.85 75.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 7))
+  (segment (start 48.375 75.275) (end 47.85 75.8) (width 0.1524) (layer TOP-L1) (net 7) (tstamp 51A33930))
+  (segment (start 50.35 71.2) (end 69.4 71.2) (width 0.1524) (layer Sig-L5) (net 7))
+  (segment (start 69.4 71.2) (end 69.8 70.8) (width 0.1524) (layer TOP-L1) (net 7) (tstamp 51A30995))
+  (via (at 69.4 71.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 7))
+  (segment (start 49.275 75.275) (end 52.925 75.275) (width 0.1524) (layer TOP-L1) (net 7) (tstamp 51A3392E))
+  (segment (start 52.925 75.275) (end 53.425 75.775) (width 0.1524) (layer TOP-L1) (net 7) (tstamp 519F1037))
+  (segment (start 53.6 77.2) (end 53.6 75.95) (width 0.1524) (layer TOP-L1) (net 7) (tstamp 519DC3E6))
+  (segment (start 54 77.6) (end 53.6 77.2) (width 0.1524) (layer TOP-L1) (net 7))
+  (segment (start 53.6 75.95) (end 53.425 75.775) (width 0.1524) (layer TOP-L1) (net 7) (tstamp 519DC3E8))
+  (segment (start 49.6 71.2) (end 47.92 71.2) (width 0.1524) (layer TOP-L1) (net 7) (tstamp 519F5D8F))
+  (segment (start 50.425 71.2) (end 50.35 71.2) (width 0.1524) (layer TOP-L1) (net 7))
+  (segment (start 48.05 69.21) (end 48.05 68.34) (width 0.1524) (layer Sig1-L3) (net 7))
+  (segment (start 47.32 68.31) (end 47.32 69.78) (width 0.1524) (layer Sig1-L3) (net 7) (tstamp 51A058BD))
+  (segment (start 47.49 68.14) (end 47.32 68.31) (width 0.1524) (layer Sig1-L3) (net 7) (tstamp 51A058B8))
+  (segment (start 47.85 68.14) (end 47.49 68.14) (width 0.1524) (layer Sig1-L3) (net 7) (tstamp 51A058B7))
+  (segment (start 48.05 68.34) (end 47.85 68.14) (width 0.1524) (layer Sig1-L3) (net 7) (tstamp 51A058B6))
+  (segment (start 47.55 73.75) (end 47.55 74.7) (width 0.1524) (layer Sig1-L3) (net 7))
+  (segment (start 48.47 77.99) (end 47.875 77.395) (width 0.1524) (layer Sig1-L3) (net 7) (tstamp 51A058A3))
+  (segment (start 48.47 78.36) (end 48.47 77.99) (width 0.1524) (layer Sig1-L3) (net 7) (tstamp 51A058A2))
+  (segment (start 48.17 78.66) (end 48.47 78.36) (width 0.1524) (layer Sig1-L3) (net 7) (tstamp 51A058A1))
+  (segment (start 47.34 78.66) (end 48.17 78.66) (width 0.1524) (layer Sig1-L3) (net 7) (tstamp 51A058A0))
+  (segment (start 47.08 78.4) (end 47.34 78.66) (width 0.1524) (layer Sig1-L3) (net 7) (tstamp 51A0589E))
+  (segment (start 47.08 75.17) (end 47.08 78.4) (width 0.1524) (layer Sig1-L3) (net 7) (tstamp 51A0589D))
+  (segment (start 47.55 74.7) (end 47.08 75.17) (width 0.1524) (layer Sig1-L3) (net 7) (tstamp 51A0589C))
+  (segment (start 47.92 71.2) (end 47.84 71.2) (width 0.1524) (layer TOP-L1) (net 7))
+  (segment (start 47.55 73.46) (end 47.55 73.75) (width 0.1524) (layer Sig1-L3) (net 7) (tstamp 51A05851))
+  (segment (start 47.89 73.12) (end 47.55 73.46) (width 0.1524) (layer Sig1-L3) (net 7) (tstamp 51A05850))
+  (segment (start 47.89 72.02) (end 47.89 73.12) (width 0.1524) (layer Sig1-L3) (net 7) (tstamp 51A0584D))
+  (segment (start 47.32 71.45) (end 47.89 72.02) (width 0.1524) (layer Sig1-L3) (net 7) (tstamp 51A0584A))
+  (segment (start 47.32 69.78) (end 47.32 71.45) (width 0.1524) (layer Sig1-L3) (net 7) (tstamp 51A058C1))
+  (segment (start 48.05 70.11) (end 48.05 69.21) (width 0.1524) (layer Sig1-L3) (net 7) (tstamp 51A05840))
+  (segment (start 47.63 70.53) (end 48.05 70.11) (width 0.1524) (layer Sig1-L3) (net 7) (tstamp 51A05839))
+  (segment (start 47.63 70.99) (end 47.63 70.53) (width 0.1524) (layer Sig1-L3) (net 7) (tstamp 51A05838))
+  (segment (start 47.84 71.2) (end 47.63 70.99) (width 0.1524) (layer Sig1-L3) (net 7) (tstamp 51A05837))
+  (via (at 47.84 71.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 7))
+  (segment (start 50.425 71.2) (end 49.6 71.2) (width 0.1524) (layer TOP-L1) (net 7) (tstamp 519F6116))
+  (via (at 50.35 71.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 7))
+  (segment (start 58.45 80.1) (end 58.2 79.85) (width 0.1524) (layer TOP-L1) (net 8))
+  (segment (start 58.2 79) (end 58.475 78.725) (width 0.1524) (layer TOP-L1) (net 8) (tstamp 51A44192))
+  (segment (start 58.2 79.85) (end 58.2 79) (width 0.1524) (layer TOP-L1) (net 8) (tstamp 51A44191))
+  (segment (start 58.475 78.725) (end 58.6 78.6) (width 0.1524) (layer TOP-L1) (net 8) (tstamp 51A44195))
+  (segment (start 58.75 80.4) (end 58.45 80.1) (width 0.1524) (layer TOP-L1) (net 8))
+  (segment (start 67.5 77.6) (end 65.575 79.525) (width 0.1524) (layer TOP-L1) (net 8))
+  (segment (start 66.1 81.325) (end 65.725 81.325) (width 0.1524) (layer TOP-L1) (net 8) (tstamp 51A44162))
+  (segment (start 66.45 80.975) (end 66.1 81.325) (width 0.1524) (layer TOP-L1) (net 8) (tstamp 51A44160))
+  (segment (start 66.45 80.475) (end 66.45 80.975) (width 0.1524) (layer TOP-L1) (net 8) (tstamp 51A4415F))
+  (segment (start 66.25 80.275) (end 66.45 80.475) (width 0.1524) (layer TOP-L1) (net 8) (tstamp 51A4415D))
+  (segment (start 65.925 80.275) (end 66.25 80.275) (width 0.1524) (layer TOP-L1) (net 8) (tstamp 51A4415A))
+  (segment (start 65.575 79.925) (end 65.925 80.275) (width 0.1524) (layer TOP-L1) (net 8) (tstamp 51A44159))
+  (segment (start 65.575 79.525) (end 65.575 79.925) (width 0.1524) (layer TOP-L1) (net 8) (tstamp 51A44155))
+  (segment (start 58.6 78.6) (end 58.8 78.4) (width 0.1524) (layer TOP-L1) (net 8) (tstamp 51A44176))
+  (via (at 65.725 81.325) (size 0.4572) (layers TOP-L1 BOT-L6) (net 8))
+  (segment (start 65.725 81.325) (end 63.675 81.325) (width 0.1524) (layer Sig1-L3) (net 8) (tstamp 51A440CF))
+  (segment (start 63.675 81.325) (end 63.1 80.75) (width 0.1524) (layer Sig1-L3) (net 8) (tstamp 51A440D0))
+  (segment (start 63.1 80.75) (end 62.15 80.75) (width 0.1524) (layer Sig1-L3) (net 8) (tstamp 51A440D2))
+  (segment (start 62.15 80.75) (end 61.95 80.55) (width 0.1524) (layer Sig1-L3) (net 8) (tstamp 51A440D5))
+  (segment (start 61.95 80.55) (end 60.15 80.55) (width 0.1524) (layer Sig1-L3) (net 8) (tstamp 51A440D7))
+  (segment (start 60.15 80.55) (end 60.025 80.675) (width 0.1524) (layer Sig1-L3) (net 8) (tstamp 51A440D8))
+  (segment (start 60.025 80.675) (end 59.025 80.675) (width 0.1524) (layer Sig1-L3) (net 8) (tstamp 51A440DD))
+  (via (at 59.025 80.675) (size 0.4572) (layers TOP-L1 BOT-L6) (net 8))
+  (segment (start 59.025 80.675) (end 58.75 80.4) (width 0.1524) (layer TOP-L1) (net 8) (tstamp 51A440E3))
+  (segment (start 70.6 74) (end 70.2 74.4) (width 0.1524) (layer TOP-L1) (net 8))
+  (segment (start 68.9 76.2) (end 67.5 77.6) (width 0.1524) (layer TOP-L1) (net 8) (tstamp 51A440C2))
+  (segment (start 69.925 76.2) (end 68.9 76.2) (width 0.1524) (layer TOP-L1) (net 8) (tstamp 51A440C0))
+  (segment (start 70.2 75.925) (end 69.925 76.2) (width 0.1524) (layer TOP-L1) (net 8) (tstamp 51A440BC))
+  (segment (start 70.2 74.4) (end 70.2 75.925) (width 0.1524) (layer TOP-L1) (net 8) (tstamp 51A440B7))
+  (segment (start 58.625 80.25) (end 58.275 80.25) (width 0.1524) (layer Sig1-L3) (net 9))
+  (segment (start 58 80.525) (end 58 80.625) (width 0.1524) (layer Sig1-L3) (net 9) (tstamp 51A43C1B))
+  (segment (start 58.275 80.25) (end 58 80.525) (width 0.1524) (layer Sig1-L3) (net 9) (tstamp 51A43C1A))
+  (segment (start 58.625 80.25) (end 58.575 80.25) (width 0.1524) (layer Sig1-L3) (net 9) (tstamp 51A43C18))
+  (segment (start 67.4 80.8) (end 66.425 80.8) (width 0.1524) (layer Sig1-L3) (net 9))
+  (segment (start 69.975 80.825) (end 69.95 80.8) (width 0.1524) (layer Sig1-L3) (net 9) (tstamp 51A43BCE))
+  (segment (start 69.95 80.8) (end 67.4 80.8) (width 0.1524) (layer Sig1-L3) (net 9) (tstamp 51A43BCF))
+  (segment (start 66.425 80.8) (end 66.275 80.65) (width 0.1524) (layer Sig1-L3) (net 9) (tstamp 51A43BD9))
+  (segment (start 66.275 80.65) (end 65.6 80.65) (width 0.1524) (layer Sig1-L3) (net 9) (tstamp 51A43BDA))
+  (segment (start 65.6 80.65) (end 63.575 80.65) (width 0.1524) (layer Sig1-L3) (net 9))
+  (segment (start 63.575 80.65) (end 63.5 80.575) (width 0.1524) (layer Sig1-L3) (net 9) (tstamp 51A43BE6))
+  (segment (start 73.8 75.925) (end 73.8 77) (width 0.1524) (layer TOP-L1) (net 9))
+  (via (at 69.975 80.825) (size 0.4572) (layers TOP-L1 BOT-L6) (net 9))
+  (segment (start 73.8 77) (end 69.975 80.825) (width 0.1524) (layer TOP-L1) (net 9) (tstamp 51A43BCB))
+  (segment (start 63.175 80.45) (end 63.375 80.45) (width 0.1524) (layer Sig1-L3) (net 9))
+  (segment (start 63.375 80.45) (end 63.5 80.575) (width 0.1524) (layer Sig1-L3) (net 9) (tstamp 51A2125C))
+  (segment (start 58 81.35) (end 58 80.625) (width 0.1524) (layer TOP-L1) (net 9))
+  (segment (start 58 81.6) (end 58 81.35) (width 0.1524) (layer TOP-L1) (net 9))
+  (via (at 58 80.625) (size 0.4572) (layers TOP-L1 BOT-L6) (net 9))
+  (segment (start 62.25 80.45) (end 63.175 80.45) (width 0.1524) (layer Sig1-L3) (net 9) (tstamp 51A2084C))
+  (segment (start 62.05 80.25) (end 62.25 80.45) (width 0.1524) (layer Sig1-L3) (net 9) (tstamp 51A2084B))
+  (segment (start 61.8 80.25) (end 62.05 80.25) (width 0.1524) (layer Sig1-L3) (net 9))
+  (segment (start 61.8 80.25) (end 58.575 80.25) (width 0.1524) (layer Sig1-L3) (net 9) (tstamp 51A20849))
+  (segment (start 73.8 75.925) (end 73.8 75.875) (width 0.1524) (layer TOP-L1) (net 9) (tstamp 51A43BC9))
+  (segment (start 73.8 75.875) (end 73.8 74.8) (width 0.1524) (layer TOP-L1) (net 9) (tstamp 51A2085A))
+  (segment (start 66.9 75.35) (end 66.675 75.35) (width 0.1524) (layer TOP-L1) (net 10))
+  (segment (start 66.45 75.575) (end 66.45 75.75) (width 0.1524) (layer TOP-L1) (net 10) (tstamp 519B280F))
+  (segment (start 66.675 75.35) (end 66.45 75.575) (width 0.1524) (layer TOP-L1) (net 10) (tstamp 519B280E))
+  (segment (start 66.45 75.75) (end 66.45 75.975) (width 0.1524) (layer TOP-L1) (net 10) (tstamp 519B2812))
+  (segment (start 65.575 76.05) (end 65.575 75.675) (width 0.1524) (layer TOP-L1) (net 10) (tstamp 519B2805))
+  (segment (start 65.75 76.225) (end 65.575 76.05) (width 0.1524) (layer TOP-L1) (net 10) (tstamp 519B2804))
+  (segment (start 66.2 76.225) (end 65.75 76.225) (width 0.1524) (layer TOP-L1) (net 10) (tstamp 519B2803))
+  (segment (start 66.45 75.975) (end 66.2 76.225) (width 0.1524) (layer TOP-L1) (net 10) (tstamp 519B2802))
+  (segment (start 67.2 75.35) (end 66.9 75.35) (width 0.1524) (layer TOP-L1) (net 10))
+  (segment (start 65.575 75.675) (end 65.575 75.65) (width 0.1524) (layer TOP-L1) (net 10) (tstamp 519B2808))
+  (segment (start 65.575 75.65) (end 65.575 75.5) (width 0.1524) (layer TOP-L1) (net 10) (tstamp 519B27FA))
+  (segment (start 69 74.8) (end 69 74.925) (width 0.1524) (layer TOP-L1) (net 10))
+  (segment (start 61.6 82.8) (end 61.2 82.4) (width 0.1524) (layer TOP-L1) (net 10) (tstamp 519B27D8))
+  (segment (start 62.9 82.8) (end 61.6 82.8) (width 0.1524) (layer TOP-L1) (net 10) (tstamp 519B27D6))
+  (segment (start 64.5 81.2) (end 62.9 82.8) (width 0.1524) (layer TOP-L1) (net 10) (tstamp 519B27D3))
+  (segment (start 64.5 75.55) (end 64.5 81.2) (width 0.1524) (layer TOP-L1) (net 10) (tstamp 519B27D2))
+  (segment (start 64.775 75.275) (end 64.5 75.55) (width 0.1524) (layer TOP-L1) (net 10) (tstamp 519B27D1))
+  (segment (start 65.35 75.275) (end 64.775 75.275) (width 0.1524) (layer TOP-L1) (net 10) (tstamp 519B27D0))
+  (segment (start 65.575 75.5) (end 65.35 75.275) (width 0.1524) (layer TOP-L1) (net 10) (tstamp 519B27CF))
+  (segment (start 68.575 75.35) (end 67.2 75.35) (width 0.1524) (layer TOP-L1) (net 10) (tstamp 519B27B3))
+  (segment (start 69 74.925) (end 68.575 75.35) (width 0.1524) (layer TOP-L1) (net 10) (tstamp 519B27B2))
+  (segment (start 62.45 72.8) (end 61.85 72.8) (width 0.1524) (layer TOP-L1) (net 11))
+  (segment (start 61.65 73) (end 61.65 73.225) (width 0.1524) (layer TOP-L1) (net 11) (tstamp 51A43811))
+  (segment (start 61.85 72.8) (end 61.65 73) (width 0.1524) (layer TOP-L1) (net 11) (tstamp 51A43810))
+  (segment (start 60.375 73) (end 60.25 73.125) (width 0.1524) (layer TOP-L1) (net 11) (tstamp 51A437F3))
+  (segment (start 60.25 73.125) (end 60.175 73.2) (width 0.1524) (layer TOP-L1) (net 11) (tstamp 51A437F8))
+  (segment (start 60.175 73.2) (end 60.175 73.3) (width 0.1524) (layer TOP-L1) (net 11) (tstamp 519B23BC))
+  (segment (start 60.85 73) (end 60.375 73) (width 0.1524) (layer TOP-L1) (net 11) (tstamp 51A437F2))
+  (segment (start 63.1 72.8) (end 62.45 72.8) (width 0.1524) (layer TOP-L1) (net 11))
+  (segment (start 61.025 73.175) (end 60.85 73) (width 0.1524) (layer TOP-L1) (net 11) (tstamp 51A437F1))
+  (segment (start 61.025 73.475) (end 61.025 73.175) (width 0.1524) (layer TOP-L1) (net 11) (tstamp 51A437EE))
+  (segment (start 61.2 73.65) (end 61.025 73.475) (width 0.1524) (layer TOP-L1) (net 11) (tstamp 51A437EC))
+  (segment (start 61.45 73.65) (end 61.2 73.65) (width 0.1524) (layer TOP-L1) (net 11) (tstamp 51A437EB))
+  (segment (start 61.65 73.45) (end 61.45 73.65) (width 0.1524) (layer TOP-L1) (net 11) (tstamp 51A437E9))
+  (segment (start 61.65 73.225) (end 61.65 73.45) (width 0.1524) (layer TOP-L1) (net 11) (tstamp 51A43814))
+  (segment (start 69 72.4) (end 68.6 72.8) (width 0.1524) (layer TOP-L1) (net 11))
+  (segment (start 68.6 72.8) (end 63.1 72.8) (width 0.1524) (layer TOP-L1) (net 11) (tstamp 519B16B4))
+  (segment (start 60.175 75.425) (end 60.7 75.425) (width 0.1524) (layer TOP-L1) (net 11) (tstamp 519B2384))
+  (segment (start 59.875 75.125) (end 60.175 75.425) (width 0.1524) (layer TOP-L1) (net 11) (tstamp 519B2383))
+  (segment (start 59.875 73.9) (end 59.875 75.125) (width 0.1524) (layer TOP-L1) (net 11) (tstamp 519B237D))
+  (segment (start 60.175 73.6) (end 59.875 73.9) (width 0.1524) (layer TOP-L1) (net 11) (tstamp 519B237B))
+  (segment (start 60.175 73.3) (end 60.175 73.6) (width 0.1524) (layer TOP-L1) (net 11) (tstamp 519B23BF))
+  (segment (start 60.95 75.425) (end 61.525 76) (width 0.1524) (layer TOP-L1) (net 11) (tstamp 519B16EF))
+  (segment (start 60.7 75.425) (end 60.95 75.425) (width 0.1524) (layer TOP-L1) (net 11) (tstamp 519B2388))
+  (segment (start 61.6 77.2) (end 62 77.6) (width 0.1524) (layer TOP-L1) (net 11) (tstamp 519B16DA))
+  (segment (start 61.6 76.075) (end 61.6 77.2) (width 0.1524) (layer TOP-L1) (net 11) (tstamp 519B16D6))
+  (segment (start 61.525 76) (end 61.6 76.075) (width 0.1524) (layer TOP-L1) (net 11) (tstamp 519B16F5))
+  (segment (start 60.2 74.525) (end 60.2 74.7) (width 0.1524) (layer TOP-L1) (net 12))
+  (segment (start 60.575 75.075) (end 60.775 75.075) (width 0.1524) (layer TOP-L1) (net 12) (tstamp 519B396E))
+  (segment (start 60.2 74.7) (end 60.575 75.075) (width 0.1524) (layer TOP-L1) (net 12) (tstamp 519B396D))
+  (segment (start 61.95 74.35) (end 61.95 74.525) (width 0.1524) (layer TOP-L1) (net 12))
+  (segment (start 61.45 74.75) (end 61.325 74.625) (width 0.1524) (layer TOP-L1) (net 12) (tstamp 519B25EA))
+  (segment (start 61.725 74.75) (end 61.45 74.75) (width 0.1524) (layer TOP-L1) (net 12) (tstamp 519B25E9))
+  (segment (start 61.95 74.525) (end 61.725 74.75) (width 0.1524) (layer TOP-L1) (net 12) (tstamp 519B25E8))
+  (segment (start 62.55 73.2) (end 62.35 73.2) (width 0.1524) (layer TOP-L1) (net 12))
+  (segment (start 61.025 73.95) (end 60.75 73.95) (width 0.1524) (layer TOP-L1) (net 12) (tstamp 519B25C7))
+  (segment (start 61.225 74.15) (end 61.025 73.95) (width 0.1524) (layer TOP-L1) (net 12) (tstamp 519B25C5))
+  (segment (start 61.225 74.525) (end 61.225 74.15) (width 0.1524) (layer TOP-L1) (net 12) (tstamp 519B25C4))
+  (segment (start 61.325 74.625) (end 61.225 74.525) (width 0.1524) (layer TOP-L1) (net 12) (tstamp 519B25ED))
+  (segment (start 61.95 73.6) (end 61.95 74.35) (width 0.1524) (layer TOP-L1) (net 12) (tstamp 519B25BE))
+  (segment (start 62.35 73.2) (end 61.95 73.6) (width 0.1524) (layer TOP-L1) (net 12) (tstamp 519B25BA))
+  (segment (start 62 78.4) (end 62.15 78.4) (width 0.1524) (layer TOP-L1) (net 12))
+  (segment (start 62.225 75.825) (end 61.9 75.825) (width 0.1524) (layer TOP-L1) (net 12) (tstamp 519B25A1))
+  (segment (start 62.575 76.175) (end 62.225 75.825) (width 0.1524) (layer TOP-L1) (net 12) (tstamp 519B2597))
+  (segment (start 62.575 77.975) (end 62.575 76.175) (width 0.1524) (layer TOP-L1) (net 12) (tstamp 519B2595))
+  (segment (start 62.15 78.4) (end 62.575 77.975) (width 0.1524) (layer TOP-L1) (net 12) (tstamp 519B2592))
+  (segment (start 68.2 73.2) (end 62.7 73.2) (width 0.1524) (layer TOP-L1) (net 12))
+  (segment (start 62.7 73.2) (end 62.475 73.2) (width 0.1524) (layer TOP-L1) (net 12))
+  (segment (start 61.375 75.45) (end 61.75 75.825) (width 0.1524) (layer TOP-L1) (net 12) (tstamp 519B244D))
+  (segment (start 61.75 75.825) (end 61.9 75.825) (width 0.1524) (layer TOP-L1) (net 12) (tstamp 519B17C3))
+  (segment (start 60.75 73.95) (end 60.475 73.95) (width 0.1524) (layer TOP-L1) (net 12) (tstamp 519B25CA))
+  (segment (start 60.475 73.95) (end 60.2 74.225) (width 0.1524) (layer TOP-L1) (net 12) (tstamp 519B2444))
+  (segment (start 60.2 74.225) (end 60.2 74.525) (width 0.1524) (layer TOP-L1) (net 12) (tstamp 519B2445))
+  (segment (start 60.775 75.075) (end 61 75.075) (width 0.1524) (layer TOP-L1) (net 12) (tstamp 519B3971))
+  (segment (start 61 75.075) (end 61.375 75.45) (width 0.1524) (layer TOP-L1) (net 12) (tstamp 519B2448))
+  (segment (start 62.475 73.2) (end 62.55 73.2) (width 0.1524) (layer TOP-L1) (net 12))
+  (segment (start 60.4 82.4) (end 60.8 82.8) (width 0.1524) (layer TOP-L1) (net 13))
+  (segment (start 69.4 74.4) (end 69.8 74) (width 0.1524) (layer TOP-L1) (net 13) (tstamp 519B1FCF))
+  (segment (start 69.4 74.725) (end 69.4 74.4) (width 0.1524) (layer TOP-L1) (net 13) (tstamp 519B2851))
+  (segment (start 69.4 75.225) (end 69.4 74.725) (width 0.1524) (layer TOP-L1) (net 13) (tstamp 519B284B))
+  (segment (start 65.675 78.95) (end 69.4 75.225) (width 0.1524) (layer TOP-L1) (net 13) (tstamp 51A209ED))
+  (segment (start 65.675 78.95) (end 64.8 79.825) (width 0.1524) (layer TOP-L1) (net 13))
+  (segment (start 64.8 81.85) (end 64.05 82.6) (width 0.1524) (layer TOP-L1) (net 13) (tstamp 51A209F0))
+  (segment (start 64.8 79.825) (end 64.8 81.85) (width 0.1524) (layer TOP-L1) (net 13) (tstamp 51A209EF))
+  (segment (start 62.95 83.7) (end 64.05 82.6) (width 0.1524) (layer TOP-L1) (net 13) (tstamp 519B2867))
+  (segment (start 61.05 83.7) (end 62.125 83.7) (width 0.1524) (layer TOP-L1) (net 13) (tstamp 519B1FC2))
+  (segment (start 62.125 83.7) (end 62.325 83.7) (width 0.1524) (layer TOP-L1) (net 13))
+  (segment (start 62.325 83.7) (end 62.95 83.7) (width 0.1524) (layer TOP-L1) (net 13))
+  (segment (start 60.8 83.45) (end 61.05 83.7) (width 0.1524) (layer TOP-L1) (net 13) (tstamp 519B1FC1))
+  (segment (start 60.8 82.8) (end 60.8 83.45) (width 0.1524) (layer TOP-L1) (net 13) (tstamp 519B1FBE))
+  (segment (start 60 72) (end 60.15 72) (width 0.1524) (layer TOP-L1) (net 14))
+  (segment (start 60.875 72) (end 61 72) (width 0.1524) (layer TOP-L1) (net 14) (tstamp 51A437CA))
+  (segment (start 60.7 72.175) (end 60.875 72) (width 0.1524) (layer TOP-L1) (net 14) (tstamp 51A437C9))
+  (segment (start 60.325 72.175) (end 60.7 72.175) (width 0.1524) (layer TOP-L1) (net 14) (tstamp 51A437C8))
+  (segment (start 60.15 72) (end 60.325 72.175) (width 0.1524) (layer TOP-L1) (net 14) (tstamp 51A437C7))
+  (segment (start 59.875 72) (end 60 72) (width 0.1524) (layer TOP-L1) (net 14))
+  (segment (start 61 72) (end 61.3 72) (width 0.1524) (layer TOP-L1) (net 14) (tstamp 51A437CD))
+  (segment (start 60 76.6) (end 60 76.15) (width 0.1524) (layer TOP-L1) (net 14))
+  (segment (start 59.25 75.4) (end 59.25 75.25) (width 0.1524) (layer TOP-L1) (net 14) (tstamp 519B3C4E))
+  (segment (start 60 76.15) (end 59.25 75.4) (width 0.1524) (layer TOP-L1) (net 14) (tstamp 519B3C4C))
+  (segment (start 59.875 72) (end 59.625 72) (width 0.1524) (layer TOP-L1) (net 14) (tstamp 51A437AB))
+  (segment (start 59.25 72.375) (end 59.25 72.575) (width 0.1524) (layer TOP-L1) (net 14) (tstamp 519B3935))
+  (segment (start 59.625 72) (end 59.25 72.375) (width 0.1524) (layer TOP-L1) (net 14) (tstamp 519B3934))
+  (segment (start 59.25 72.575) (end 59.25 75.25) (width 0.1524) (layer TOP-L1) (net 14) (tstamp 519B3938))
+  (segment (start 62.475 72) (end 61.3 72) (width 0.1524) (layer TOP-L1) (net 14))
+  (segment (start 69 71.6) (end 68.6 72) (width 0.1524) (layer TOP-L1) (net 14))
+  (segment (start 68.6 72) (end 62.475 72) (width 0.1524) (layer TOP-L1) (net 14) (tstamp 519B1588))
+  (segment (start 60 76.6) (end 60 76.55) (width 0.1524) (layer TOP-L1) (net 14) (tstamp 519B3C4A))
+  (segment (start 60 77.2) (end 60.4 77.6) (width 0.1524) (layer TOP-L1) (net 14) (tstamp 519B158F))
+  (segment (start 60 76.55) (end 60 77.2) (width 0.1524) (layer TOP-L1) (net 14) (tstamp 519B2105))
+  (segment (start 64.55 74.7) (end 64.475 74.7) (width 0.1524) (layer TOP-L1) (net 15))
+  (segment (start 64.15 75.025) (end 64.15 75.4) (width 0.1524) (layer TOP-L1) (net 15) (tstamp 519B279C))
+  (segment (start 64.475 74.7) (end 64.15 75.025) (width 0.1524) (layer TOP-L1) (net 15) (tstamp 519B279B))
+  (segment (start 59.6 81.6) (end 60 82) (width 0.1524) (layer TOP-L1) (net 15))
+  (segment (start 64.15 80.7) (end 64.15 75.4) (width 0.1524) (layer TOP-L1) (net 15) (tstamp 519B2785))
+  (segment (start 63.85 81) (end 64.15 80.7) (width 0.1524) (layer TOP-L1) (net 15) (tstamp 519B2782))
+  (segment (start 63.3 81) (end 63.85 81) (width 0.1524) (layer TOP-L1) (net 15) (tstamp 519B2781))
+  (segment (start 62.925 80.625) (end 63.3 81) (width 0.1524) (layer TOP-L1) (net 15) (tstamp 519B2780))
+  (segment (start 62.7 80.625) (end 62.925 80.625) (width 0.1524) (layer TOP-L1) (net 15) (tstamp 519B277F))
+  (segment (start 62.55 80.775) (end 62.7 80.625) (width 0.1524) (layer TOP-L1) (net 15) (tstamp 519B277D))
+  (segment (start 62.55 81.7) (end 62.55 80.775) (width 0.1524) (layer TOP-L1) (net 15) (tstamp 519B2779))
+  (segment (start 62.25 82) (end 62.55 81.7) (width 0.1524) (layer TOP-L1) (net 15) (tstamp 519B2774))
+  (segment (start 60 82) (end 62.25 82) (width 0.1524) (layer TOP-L1) (net 15) (tstamp 519B2771))
+  (segment (start 65.275 74.8) (end 68.2 74.8) (width 0.1524) (layer TOP-L1) (net 15) (tstamp 519B2795))
+  (segment (start 65.175 74.7) (end 65.275 74.8) (width 0.1524) (layer TOP-L1) (net 15) (tstamp 519B278E))
+  (segment (start 64.55 74.7) (end 65.175 74.7) (width 0.1524) (layer TOP-L1) (net 15) (tstamp 519B2799))
+  (segment (start 59.725 59.175) (end 59.125 59.175) (width 0.1524) (layer Sig1-L3) (net 16))
+  (segment (start 57.55 57.075) (end 57.15 57.075) (width 0.1524) (layer Sig1-L3) (net 16) (tstamp 51A161B9))
+  (segment (start 57.775 57.3) (end 57.55 57.075) (width 0.1524) (layer Sig1-L3) (net 16) (tstamp 51A161B8))
+  (segment (start 57.775 58.225) (end 57.775 57.3) (width 0.1524) (layer Sig1-L3) (net 16) (tstamp 51A161B3))
+  (segment (start 58.025 58.475) (end 57.775 58.225) (width 0.1524) (layer Sig1-L3) (net 16) (tstamp 51A161B0))
+  (segment (start 58.425 58.475) (end 58.025 58.475) (width 0.1524) (layer Sig1-L3) (net 16) (tstamp 51A161AC))
+  (segment (start 59.125 59.175) (end 58.425 58.475) (width 0.1524) (layer Sig1-L3) (net 16) (tstamp 51A161A9))
+  (segment (start 65.375 66.325) (end 63.575 64.525) (width 0.1524) (layer TOP-L1) (net 16))
+  (segment (start 63.575 64.525) (end 63.575 64.275) (width 0.1524) (layer TOP-L1) (net 16) (tstamp 51A15C83))
+  (segment (start 65.85 66.8) (end 66.55 66.8) (width 0.1524) (layer TOP-L1) (net 16) (tstamp 51A15C6E))
+  (segment (start 65.375 66.325) (end 65.85 66.8) (width 0.1524) (layer TOP-L1) (net 16) (tstamp 51A15C81))
+  (segment (start 67.125 66.8) (end 66.55 66.8) (width 0.1524) (layer TOP-L1) (net 16))
+  (segment (start 68.2 66.8) (end 67.125 66.8) (width 0.1524) (layer TOP-L1) (net 16))
+  (segment (start 63.15 63.85) (end 63.15 62.75) (width 0.1524) (layer Sig1-L3) (net 16) (tstamp 51A15C42))
+  (segment (start 63.575 64.275) (end 63.15 63.85) (width 0.1524) (layer Sig1-L3) (net 16) (tstamp 51A15C41))
+  (via (at 63.575 64.275) (size 0.4572) (layers TOP-L1 BOT-L6) (net 16))
+  (segment (start 63.15 62.35) (end 62.825 62.025) (width 0.1524) (layer Sig1-L3) (net 16) (tstamp 519C902A))
+  (segment (start 63.15 62.75) (end 63.15 62.35) (width 0.1524) (layer Sig1-L3) (net 16) (tstamp 51A15C46))
+  (segment (start 62.725 61.925) (end 62.5 61.925) (width 0.1524) (layer Sig1-L3) (net 16) (tstamp 519C53BE))
+  (segment (start 62.825 62.025) (end 62.725 61.925) (width 0.1524) (layer Sig1-L3) (net 16) (tstamp 519C902D))
+  (segment (start 62.5 61.925) (end 62.025 61.925) (width 0.1524) (layer Sig1-L3) (net 16) (tstamp 519C53C1))
+  (via (at 56.4 57.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 16))
+  (segment (start 56.525 57.075) (end 56.4 57.2) (width 0.1524) (layer Sig1-L3) (net 16) (tstamp 519C5330))
+  (segment (start 57.15 57.075) (end 56.525 57.075) (width 0.1524) (layer Sig1-L3) (net 16) (tstamp 51A161BC))
+  (segment (start 60.2 59.175) (end 59.725 59.175) (width 0.1524) (layer Sig1-L3) (net 16) (tstamp 519C531E))
+  (segment (start 60.4 59.375) (end 60.2 59.175) (width 0.1524) (layer Sig1-L3) (net 16) (tstamp 519C5316))
+  (segment (start 60.4 60.175) (end 60.4 59.375) (width 0.1524) (layer Sig1-L3) (net 16) (tstamp 519C5312))
+  (segment (start 60.65 60.425) (end 60.4 60.175) (width 0.1524) (layer Sig1-L3) (net 16) (tstamp 519C5311))
+  (segment (start 61.4 60.425) (end 60.65 60.425) (width 0.1524) (layer Sig1-L3) (net 16) (tstamp 519C5310))
+  (segment (start 61.775 60.8) (end 61.4 60.425) (width 0.1524) (layer Sig1-L3) (net 16) (tstamp 519C530F))
+  (segment (start 61.775 61.675) (end 61.775 60.8) (width 0.1524) (layer Sig1-L3) (net 16) (tstamp 519C530D))
+  (segment (start 62.025 61.925) (end 61.775 61.675) (width 0.1524) (layer Sig1-L3) (net 16) (tstamp 519C530C))
+  (segment (start 56.4 57.2) (end 56 57.6) (width 0.1524) (layer TOP-L1) (net 16) (tstamp 519C5353))
+  (segment (start 56.675 65.4) (end 56 64.725) (width 0.1524) (layer TOP-L1) (net 17))
+  (segment (start 56 64.1) (end 56 63.575) (width 0.1524) (layer Sig1-L3) (net 17) (tstamp 519C90A6))
+  (via (at 56 64.1) (size 0.4572) (layers TOP-L1 BOT-L6) (net 17))
+  (segment (start 56 64.725) (end 56 64.1) (width 0.1524) (layer TOP-L1) (net 17) (tstamp 519C9089))
+  (segment (start 59.375 67.75) (end 59.375 67.525) (width 0.1524) (layer TOP-L1) (net 17))
+  (segment (start 58.825 66.975) (end 58.5 66.975) (width 0.1524) (layer TOP-L1) (net 17) (tstamp 519C8D45))
+  (segment (start 59.375 67.525) (end 58.825 66.975) (width 0.1524) (layer TOP-L1) (net 17) (tstamp 519C8D44))
+  (segment (start 58.5 66.975) (end 58.25 66.975) (width 0.1524) (layer TOP-L1) (net 17) (tstamp 519C8D48))
+  (segment (start 60.725 69.175) (end 60.55 69) (width 0.1524) (layer TOP-L1) (net 17))
+  (segment (start 60.55 69) (end 60.55 68.7) (width 0.1524) (layer TOP-L1) (net 17) (tstamp 519C8D1A))
+  (segment (start 60.1 68.25) (end 59.925 68.25) (width 0.1524) (layer TOP-L1) (net 17) (tstamp 519C8D1F))
+  (segment (start 60.55 68.7) (end 60.1 68.25) (width 0.1524) (layer TOP-L1) (net 17) (tstamp 519C8D1E))
+  (segment (start 56 63.575) (end 56 63.55) (width 0.1524) (layer Sig1-L3) (net 17) (tstamp 519C90AA))
+  (segment (start 62.35 69.6) (end 61.15 69.6) (width 0.1524) (layer TOP-L1) (net 17))
+  (segment (start 61.15 69.6) (end 60.725 69.175) (width 0.1524) (layer TOP-L1) (net 17) (tstamp 519C8CDD))
+  (segment (start 58.25 66.975) (end 56.675 65.4) (width 0.1524) (layer TOP-L1) (net 17) (tstamp 519C8CE9))
+  (segment (start 59.375 67.825) (end 59.375 67.75) (width 0.1524) (layer TOP-L1) (net 17) (tstamp 519C8CE4))
+  (segment (start 59.8 68.25) (end 59.375 67.825) (width 0.1524) (layer TOP-L1) (net 17) (tstamp 519C8CE1))
+  (segment (start 59.925 68.25) (end 59.8 68.25) (width 0.1524) (layer TOP-L1) (net 17) (tstamp 519C8D24))
+  (segment (start 55.6 62.125) (end 55.6 62) (width 0.1524) (layer Sig1-L3) (net 17) (tstamp 519C7413))
+  (segment (start 56 62.525) (end 55.6 62.125) (width 0.1524) (layer Sig1-L3) (net 17) (tstamp 519C7400))
+  (segment (start 56 63.55) (end 56 62.525) (width 0.1524) (layer Sig1-L3) (net 17) (tstamp 519C8D08))
+  (segment (start 62.625 69.6) (end 62.35 69.6) (width 0.1524) (layer TOP-L1) (net 17))
+  (segment (start 68.6 69.6) (end 69 69.2) (width 0.1524) (layer TOP-L1) (net 17) (tstamp 519C6C24))
+  (segment (start 62.625 69.6) (end 68.6 69.6) (width 0.1524) (layer TOP-L1) (net 17) (tstamp 519C71FA))
+  (via (at 55.6 62) (size 0.4572) (layers TOP-L1 BOT-L6) (net 17))
+  (segment (start 55.6 62) (end 56 61.6) (width 0.1524) (layer TOP-L1) (net 17) (tstamp 519C6C8E))
+  (segment (start 62.625 63.325) (end 62.625 63.85) (width 0.1524) (layer Sig1-L3) (net 18))
+  (segment (start 62.625 64.15) (end 63.275 64.8) (width 0.1524) (layer TOP-L1) (net 18) (tstamp 519C9012))
+  (segment (start 62.625 63.85) (end 62.625 64.15) (width 0.1524) (layer TOP-L1) (net 18) (tstamp 519C9011))
+  (via (at 62.625 63.85) (size 0.4572) (layers TOP-L1 BOT-L6) (net 18))
+  (segment (start 62.625 63.325) (end 62.625 63.1) (width 0.1524) (layer Sig1-L3) (net 18) (tstamp 519C900C))
+  (segment (start 58.3 58.775) (end 57.45 58.775) (width 0.1524) (layer Sig1-L3) (net 18) (tstamp 519C6E8B))
+  (segment (start 62.625 63.1) (end 58.3 58.775) (width 0.1524) (layer Sig1-L3) (net 18) (tstamp 519C6E8A))
+  (segment (start 66.025 67.2) (end 65.675 67.2) (width 0.1524) (layer TOP-L1) (net 18))
+  (segment (start 65.675 67.2) (end 63.275 64.8) (width 0.1524) (layer TOP-L1) (net 18) (tstamp 519C6E76))
+  (segment (start 69 66.8) (end 68.6 67.2) (width 0.1524) (layer TOP-L1) (net 18))
+  (segment (start 68.6 67.2) (end 66.025 67.2) (width 0.1524) (layer TOP-L1) (net 18) (tstamp 519C5551))
+  (segment (start 57.45 58.775) (end 57.175 58.775) (width 0.1524) (layer Sig1-L3) (net 18) (tstamp 519C6E90))
+  (segment (start 56.975 58.775) (end 57.175 58.775) (width 0.1524) (layer Sig1-L3) (net 18))
+  (segment (start 54.4 58.4) (end 54.775 58.775) (width 0.1524) (layer TOP-L1) (net 18))
+  (segment (start 56.575 58.775) (end 56.975 58.775) (width 0.1524) (layer Sig1-L3) (net 18) (tstamp 519C6E14))
+  (segment (start 54.775 58.775) (end 56.575 58.775) (width 0.1524) (layer Sig1-L3) (net 18) (tstamp 519C6E13))
+  (via (at 54.775 58.775) (size 0.4572) (layers TOP-L1 BOT-L6) (net 18))
+  (segment (start 59.675 68.525) (end 59.4 68.525) (width 0.1524) (layer TOP-L1) (net 19))
+  (segment (start 59.1 68.225) (end 59.1 67.95) (width 0.1524) (layer TOP-L1) (net 19) (tstamp 51A43758))
+  (segment (start 59.4 68.525) (end 59.1 68.225) (width 0.1524) (layer TOP-L1) (net 19) (tstamp 51A43757))
+  (segment (start 61.125 70) (end 60.25 69.125) (width 0.1524) (layer TOP-L1) (net 19) (tstamp 51A43630))
+  (segment (start 60.25 69.125) (end 60.25 69) (width 0.1524) (layer TOP-L1) (net 19) (tstamp 51A43631))
+  (segment (start 55.275 64.45) (end 55.275 64.5) (width 0.1524) (layer TOP-L1) (net 19))
+  (segment (start 58.025 67.25) (end 58.375 67.25) (width 0.1524) (layer TOP-L1) (net 19) (tstamp 51A43675))
+  (segment (start 55.275 64.5) (end 58.025 67.25) (width 0.1524) (layer TOP-L1) (net 19) (tstamp 51A4366E))
+  (segment (start 55.275 64.475) (end 55.275 64.45) (width 0.1524) (layer TOP-L1) (net 19))
+  (segment (start 55.2 63.3) (end 55.2 62.4) (width 0.1524) (layer Sig1-L3) (net 19) (tstamp 519C907F))
+  (segment (start 55.2 62.4) (end 54.8 62) (width 0.1524) (layer Sig1-L3) (net 19) (tstamp 519C72C7))
+  (via (at 54.8 62) (size 0.4572) (layers TOP-L1 BOT-L6) (net 19))
+  (segment (start 54.8 62) (end 54.4 61.6) (width 0.1524) (layer TOP-L1) (net 19) (tstamp 519C72D2))
+  (segment (start 55.275 64.475) (end 55.275 64.1) (width 0.1524) (layer TOP-L1) (net 19) (tstamp 51A435D3))
+  (via (at 55.275 64.1) (size 0.4572) (layers TOP-L1 BOT-L6) (net 19))
+  (segment (start 55.275 64.1) (end 55.275 63.675) (width 0.1524) (layer Sig1-L3) (net 19) (tstamp 519C9078))
+  (segment (start 55.275 63.675) (end 55.2 63.6) (width 0.1524) (layer Sig1-L3) (net 19) (tstamp 519C9079))
+  (segment (start 55.2 63.6) (end 55.2 63.3) (width 0.1524) (layer Sig1-L3) (net 19) (tstamp 519C907A))
+  (segment (start 58.375 67.25) (end 58.7 67.25) (width 0.1524) (layer TOP-L1) (net 19) (tstamp 51A4367A))
+  (segment (start 58.7 67.25) (end 59.1 67.65) (width 0.1524) (layer TOP-L1) (net 19) (tstamp 51A435D9))
+  (segment (start 59.1 67.65) (end 59.1 67.95) (width 0.1524) (layer TOP-L1) (net 19) (tstamp 51A435DA))
+  (segment (start 59.675 68.525) (end 59.725 68.525) (width 0.1524) (layer TOP-L1) (net 19) (tstamp 51A43755))
+  (segment (start 60.25 68.875) (end 59.9 68.525) (width 0.1524) (layer TOP-L1) (net 19) (tstamp 51A4363D))
+  (segment (start 59.9 68.525) (end 59.725 68.525) (width 0.1524) (layer TOP-L1) (net 19) (tstamp 51A4363E))
+  (segment (start 60.25 69) (end 60.25 68.875) (width 0.1524) (layer TOP-L1) (net 19))
+  (segment (start 61.525 70) (end 61.125 70) (width 0.1524) (layer TOP-L1) (net 19))
+  (segment (start 68.2 70) (end 61.525 70) (width 0.1524) (layer TOP-L1) (net 19))
+  (segment (start 71.27 76.96) (end 71.49 77.18) (width 0.1524) (layer Sig1-L3) (net 20))
+  (segment (start 71.49 77.96) (end 71.32 78.13) (width 0.1524) (layer Sig1-L3) (net 20) (tstamp 51A874F9))
+  (segment (start 71.49 77.18) (end 71.49 77.96) (width 0.1524) (layer Sig1-L3) (net 20) (tstamp 51A874F8))
+  (segment (start 70.44 78.59) (end 70.86 78.59) (width 0.1524) (layer Sig1-L3) (net 20))
+  (segment (start 70.86 78.59) (end 71.32 78.13) (width 0.1524) (layer Sig1-L3) (net 20) (tstamp 51A874E7))
+  (segment (start 68.34 77.11) (end 68.61 77.11) (width 0.1524) (layer Sig1-L3) (net 20))
+  (segment (start 70.09 78.59) (end 70.44 78.59) (width 0.1524) (layer Sig1-L3) (net 20) (tstamp 51A874CB))
+  (segment (start 68.61 77.11) (end 70.09 78.59) (width 0.1524) (layer Sig1-L3) (net 20) (tstamp 51A874CA))
+  (segment (start 61.63 75.475) (end 61.755 75.475) (width 0.1524) (layer Sig1-L3) (net 20))
+  (segment (start 67.81 77.11) (end 68.34 77.11) (width 0.1524) (layer Sig1-L3) (net 20) (tstamp 51A8746B))
+  (segment (start 67.1 76.4) (end 67.81 77.11) (width 0.1524) (layer Sig1-L3) (net 20) (tstamp 51A87464))
+  (segment (start 65.13 76.4) (end 67.1 76.4) (width 0.1524) (layer Sig1-L3) (net 20) (tstamp 51A87462))
+  (segment (start 64.28 77.25) (end 65.13 76.4) (width 0.1524) (layer Sig1-L3) (net 20) (tstamp 51A87460))
+  (segment (start 63.53 77.25) (end 64.28 77.25) (width 0.1524) (layer Sig1-L3) (net 20) (tstamp 51A8745C))
+  (segment (start 61.755 75.475) (end 63.53 77.25) (width 0.1524) (layer Sig1-L3) (net 20) (tstamp 51A8745B))
+  (segment (start 58.625 75.55) (end 58.7 75.475) (width 0.1524) (layer Sig1-L3) (net 20))
+  (segment (start 58.7 75.475) (end 61.63 75.475) (width 0.1524) (layer Sig1-L3) (net 20) (tstamp 51A20BD7))
+  (segment (start 58.4 76.325) (end 58.4 75.775) (width 0.1524) (layer TOP-L1) (net 20))
+  (segment (start 58.4 75.775) (end 58.625 75.55) (width 0.1524) (layer Sig1-L3) (net 20) (tstamp 519F01E7))
+  (via (at 58.4 75.775) (size 0.4572) (layers TOP-L1 BOT-L6) (net 20))
+  (segment (start 58.4 77.2) (end 58.4 76.325) (width 0.1524) (layer TOP-L1) (net 20) (tstamp 519B4E1C))
+  (segment (start 58 77.6) (end 58.4 77.2) (width 0.1524) (layer TOP-L1) (net 20))
+  (segment (start 70.61 76.3) (end 70.61 75.68) (width 0.1524) (layer Sig1-L3) (net 20) (tstamp 51A874A6))
+  (segment (start 71.27 76.96) (end 70.61 76.3) (width 0.1524) (layer Sig1-L3) (net 20) (tstamp 51A874F6))
+  (segment (start 70.61 75.4) (end 70.6 75.4) (width 0.1524) (layer Sig1-L3) (net 20) (tstamp 51A8747D))
+  (segment (start 70.61 75.68) (end 70.61 75.4) (width 0.1524) (layer Sig1-L3) (net 20) (tstamp 51A874AA))
+  (segment (start 70.6 75.375) (end 70.6 75.4) (width 0.1524) (layer Sig1-L3) (net 20))
+  (via (at 70.6 75.375) (size 0.4572) (layers TOP-L1 BOT-L6) (net 20))
+  (segment (start 70.6 75.375) (end 70.6 74.8) (width 0.1524) (layer TOP-L1) (net 20) (tstamp 519B4E81))
+  (segment (start 54.8 63.775) (end 54.8 64.75) (width 0.1524) (layer TOP-L1) (net 21))
+  (segment (start 60.45 70.4) (end 60.975 70.4) (width 0.1524) (layer TOP-L1) (net 21) (tstamp 51A43777))
+  (segment (start 54.8 64.75) (end 60.45 70.4) (width 0.1524) (layer TOP-L1) (net 21) (tstamp 51A43775))
+  (segment (start 60.975 70.4) (end 61.775 70.4) (width 0.1524) (layer TOP-L1) (net 21) (tstamp 51A4377C))
+  (segment (start 54.8 63.625) (end 54.8 63.775) (width 0.1524) (layer TOP-L1) (net 21))
+  (segment (start 69 70) (end 68.6 70.4) (width 0.1524) (layer TOP-L1) (net 21))
+  (segment (start 68.6 70.4) (end 61.775 70.4) (width 0.1524) (layer TOP-L1) (net 21) (tstamp 519C6B54))
+  (segment (start 54.8 62.8) (end 54.4 62.4) (width 0.1524) (layer TOP-L1) (net 21) (tstamp 519C6B7C))
+  (segment (start 54.8 63.625) (end 54.8 62.8) (width 0.1524) (layer TOP-L1) (net 21) (tstamp 51A43697))
+  (segment (start 61.825 62.9) (end 62 63.075) (width 0.1524) (layer Sig1-L3) (net 22))
+  (segment (start 62 63.075) (end 62 64.4) (width 0.1524) (layer Sig1-L3) (net 22) (tstamp 51A16541))
+  (segment (start 62.275 66.25) (end 62.525 66.5) (width 0.1524) (layer TOP-L1) (net 22) (tstamp 51A1655F))
+  (segment (start 62.275 64.675) (end 62.275 66.25) (width 0.1524) (layer TOP-L1) (net 22) (tstamp 51A1655E))
+  (via (at 62.275 64.675) (size 0.4572) (layers TOP-L1 BOT-L6) (net 22))
+  (segment (start 62 64.4) (end 62.275 64.675) (width 0.1524) (layer Sig1-L3) (net 22) (tstamp 51A16551))
+  (segment (start 63.625 67.6) (end 64.625 67.6) (width 0.1524) (layer TOP-L1) (net 22) (tstamp 519C93C1))
+  (segment (start 62.525 66.5) (end 63.625 67.6) (width 0.1524) (layer TOP-L1) (net 22) (tstamp 51A16564))
+  (segment (start 61.825 62.9) (end 61.575 62.65) (width 0.1524) (layer Sig1-L3) (net 22) (tstamp 51A1653F))
+  (segment (start 64.625 67.6) (end 65 67.6) (width 0.1524) (layer TOP-L1) (net 22) (tstamp 519C93C7))
+  (segment (start 65.35 67.6) (end 65 67.6) (width 0.1524) (layer TOP-L1) (net 22))
+  (segment (start 68.2 67.6) (end 65.35 67.6) (width 0.1524) (layer TOP-L1) (net 22))
+  (segment (start 58.7 61.825) (end 60.75 61.825) (width 0.1524) (layer Sig1-L3) (net 22) (tstamp 519C6EC8))
+  (segment (start 60.75 61.825) (end 61.575 62.65) (width 0.1524) (layer Sig1-L3) (net 22) (tstamp 519C6ECA))
+  (segment (start 58.75 59.825) (end 58.75 60.25) (width 0.1524) (layer Sig1-L3) (net 22) (tstamp 519C6EBE))
+  (segment (start 58.425 59.5) (end 58.75 59.825) (width 0.1524) (layer Sig1-L3) (net 22) (tstamp 519C6EBD))
+  (segment (start 57.025 59.5) (end 58.425 59.5) (width 0.1524) (layer Sig1-L3) (net 22) (tstamp 519C6EA0))
+  (segment (start 56.8 59.275) (end 57.025 59.5) (width 0.1524) (layer Sig1-L3) (net 22) (tstamp 519C6E9F))
+  (via (at 56.8 59.275) (size 0.4572) (layers TOP-L1 BOT-L6) (net 22))
+  (segment (start 56.8 58.4) (end 56.8 59.275) (width 0.1524) (layer TOP-L1) (net 22))
+  (segment (start 58.3 61.425) (end 58.7 61.825) (width 0.1524) (layer Sig1-L3) (net 22) (tstamp 519C6EC5))
+  (segment (start 58.3 60.7) (end 58.3 61.425) (width 0.1524) (layer Sig1-L3) (net 22) (tstamp 519C6EC1))
+  (segment (start 58.75 60.25) (end 58.3 60.7) (width 0.1524) (layer Sig1-L3) (net 22) (tstamp 519C6EBF))
+  (segment (start 57.825 66) (end 56.65 64.825) (width 0.1524) (layer TOP-L1) (net 23))
+  (segment (start 56.65 63.05) (end 56.6 63) (width 0.1524) (layer Sig1-L3) (net 23) (tstamp 519C90DE))
+  (segment (start 56.65 64.125) (end 56.65 63.05) (width 0.1524) (layer Sig1-L3) (net 23) (tstamp 519C90DD))
+  (via (at 56.65 64.125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 23))
+  (segment (start 56.65 64.825) (end 56.65 64.125) (width 0.1524) (layer TOP-L1) (net 23) (tstamp 519C90C7))
+  (segment (start 61.25 67.8) (end 61.05 67.6) (width 0.1524) (layer TOP-L1) (net 23))
+  (segment (start 60.725 67.6) (end 60.55 67.775) (width 0.1524) (layer TOP-L1) (net 23) (tstamp 519C8CCA))
+  (segment (start 61.05 67.6) (end 60.725 67.6) (width 0.1524) (layer TOP-L1) (net 23) (tstamp 519C8CC9))
+  (segment (start 61.25 67.8) (end 61.325 67.875) (width 0.1524) (layer TOP-L1) (net 23) (tstamp 519C8CC7))
+  (segment (start 60.525 67.8) (end 60.55 67.775) (width 0.1524) (layer TOP-L1) (net 23) (tstamp 519C8C92))
+  (segment (start 59.725 67.1) (end 59.875 66.95) (width 0.1524) (layer TOP-L1) (net 23) (tstamp 519C8C99))
+  (segment (start 59.725 67.525) (end 59.725 67.1) (width 0.1524) (layer TOP-L1) (net 23) (tstamp 519C8C97))
+  (segment (start 60.1 67.9) (end 59.725 67.525) (width 0.1524) (layer TOP-L1) (net 23) (tstamp 519C8C95))
+  (segment (start 60.425 67.9) (end 60.1 67.9) (width 0.1524) (layer TOP-L1) (net 23) (tstamp 519C8C94))
+  (segment (start 57.825 66) (end 58.475 66.65) (width 0.1524) (layer TOP-L1) (net 23))
+  (segment (start 60.075 66.75) (end 59.875 66.95) (width 0.1524) (layer TOP-L1) (net 23) (tstamp 519C8C5F))
+  (segment (start 60.075 66.4) (end 60.075 66.75) (width 0.1524) (layer TOP-L1) (net 23) (tstamp 519C8C5D))
+  (segment (start 59.825 66.15) (end 60.075 66.4) (width 0.1524) (layer TOP-L1) (net 23) (tstamp 519C8C5B))
+  (segment (start 59.4 66.15) (end 59.825 66.15) (width 0.1524) (layer TOP-L1) (net 23) (tstamp 519C8C58))
+  (segment (start 58.9 66.65) (end 59.4 66.15) (width 0.1524) (layer TOP-L1) (net 23) (tstamp 519C8C55))
+  (segment (start 58.475 66.65) (end 58.9 66.65) (width 0.1524) (layer TOP-L1) (net 23) (tstamp 519C8C4F))
+  (segment (start 56 62.4) (end 56.4 62.8) (width 0.1524) (layer TOP-L1) (net 23))
+  (via (at 56.4 62.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 23))
+  (segment (start 56.4 62.8) (end 56.6 63) (width 0.1524) (layer Sig1-L3) (net 23) (tstamp 519C734C))
+  (segment (start 60.525 67.8) (end 60.425 67.9) (width 0.1524) (layer TOP-L1) (net 23))
+  (segment (start 61.275 69.2) (end 61.6 69.2) (width 0.1524) (layer TOP-L1) (net 23) (tstamp 519C8C86))
+  (segment (start 60.925 68.85) (end 61.275 69.2) (width 0.1524) (layer TOP-L1) (net 23) (tstamp 519C8C85))
+  (segment (start 60.925 68.575) (end 60.925 68.85) (width 0.1524) (layer TOP-L1) (net 23) (tstamp 519C8C83))
+  (segment (start 61.325 68.175) (end 60.925 68.575) (width 0.1524) (layer TOP-L1) (net 23) (tstamp 519C8C82))
+  (segment (start 61.325 67.875) (end 61.325 68.175) (width 0.1524) (layer TOP-L1) (net 23) (tstamp 519C8C81))
+  (segment (start 62.625 69.2) (end 61.6 69.2) (width 0.1524) (layer TOP-L1) (net 23))
+  (segment (start 62.625 69.2) (end 68.2 69.2) (width 0.1524) (layer TOP-L1) (net 23) (tstamp 519C769E))
+  (segment (start 63.85 63) (end 63.85 62.425) (width 0.1524) (layer Sig1-L3) (net 24))
+  (segment (start 58.225 56.8) (end 57.225 56.8) (width 0.1524) (layer Sig1-L3) (net 24) (tstamp 519C52DB))
+  (segment (start 63.85 62.425) (end 58.225 56.8) (width 0.1524) (layer Sig1-L3) (net 24) (tstamp 519C52DA))
+  (segment (start 57.225 56.8) (end 57.25 56.8) (width 0.1524) (layer Sig1-L3) (net 24) (tstamp 519C52E1))
+  (segment (start 63.85 63.125) (end 63.85 63) (width 0.1524) (layer Sig1-L3) (net 24))
+  (segment (start 69 66) (end 68.6 66.4) (width 0.1524) (layer TOP-L1) (net 24))
+  (segment (start 55.6 57.2) (end 55.2 57.6) (width 0.1524) (layer TOP-L1) (net 24) (tstamp 519C52A3))
+  (via (at 55.6 57.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 24))
+  (segment (start 56 56.8) (end 55.6 57.2) (width 0.1524) (layer Sig1-L3) (net 24) (tstamp 519C5290))
+  (segment (start 57.25 56.8) (end 56 56.8) (width 0.1524) (layer Sig1-L3) (net 24) (tstamp 519C52C1))
+  (segment (start 63.85 63.425) (end 63.85 63.125) (width 0.1524) (layer Sig1-L3) (net 24) (tstamp 519C5274))
+  (via (at 63.85 63.425) (size 0.4572) (layers TOP-L1 BOT-L6) (net 24))
+  (segment (start 63.85 63.6) (end 63.85 63.425) (width 0.1524) (layer TOP-L1) (net 24) (tstamp 519C5270))
+  (segment (start 66.65 66.4) (end 63.85 63.6) (width 0.1524) (layer TOP-L1) (net 24) (tstamp 519C5263))
+  (segment (start 68.6 66.4) (end 66.65 66.4) (width 0.1524) (layer TOP-L1) (net 24) (tstamp 519C5261))
+  (segment (start 63.1 62.35) (end 62.925 62.35) (width 0.1524) (layer TOP-L1) (net 25))
+  (segment (start 62.275 61.7) (end 62.275 61.45) (width 0.1524) (layer TOP-L1) (net 25) (tstamp 519C46D9))
+  (segment (start 62.925 62.35) (end 62.275 61.7) (width 0.1524) (layer TOP-L1) (net 25) (tstamp 519C46D8))
+  (segment (start 62.125 60.125) (end 61.825 60.125) (width 0.1524) (layer TOP-L1) (net 25) (tstamp 519C46A7))
+  (segment (start 62.275 60.275) (end 62.125 60.125) (width 0.1524) (layer TOP-L1) (net 25) (tstamp 519C46A6))
+  (segment (start 62.275 60.5) (end 62.275 60.275) (width 0.1524) (layer TOP-L1) (net 25))
+  (segment (start 61.825 60.125) (end 61.6 60.35) (width 0.1524) (layer TOP-L1) (net 25) (tstamp 519C46A8))
+  (segment (start 61.6 60.35) (end 61.6 60.525) (width 0.1524) (layer TOP-L1) (net 25) (tstamp 519C46A9))
+  (segment (start 61.6 60.525) (end 61.6 61.15) (width 0.1524) (layer TOP-L1) (net 25) (tstamp 519C46AC))
+  (segment (start 60.375 61.2) (end 60.375 60.7) (width 0.1524) (layer TOP-L1) (net 25))
+  (segment (start 60.975 60.7) (end 60.975 60.925) (width 0.1524) (layer TOP-L1) (net 25) (tstamp 519C4689))
+  (segment (start 60.825 60.55) (end 60.975 60.7) (width 0.1524) (layer TOP-L1) (net 25) (tstamp 519C4688))
+  (segment (start 60.525 60.55) (end 60.825 60.55) (width 0.1524) (layer TOP-L1) (net 25) (tstamp 519C4687))
+  (segment (start 60.375 60.7) (end 60.525 60.55) (width 0.1524) (layer TOP-L1) (net 25) (tstamp 519C4686))
+  (segment (start 62.275 61.45) (end 62.275 60.5) (width 0.1524) (layer TOP-L1) (net 25) (tstamp 519C46DC))
+  (segment (start 60.975 61.1) (end 60.975 60.925) (width 0.1524) (layer TOP-L1) (net 25) (tstamp 519C4674))
+  (segment (start 61.2 61.325) (end 60.975 61.1) (width 0.1524) (layer TOP-L1) (net 25) (tstamp 519C4673))
+  (segment (start 61.425 61.325) (end 61.2 61.325) (width 0.1524) (layer TOP-L1) (net 25) (tstamp 519C4672))
+  (segment (start 61.6 61.15) (end 61.425 61.325) (width 0.1524) (layer TOP-L1) (net 25) (tstamp 519C4670))
+  (segment (start 63.85 60.825) (end 63.85 61.975) (width 0.1524) (layer TOP-L1) (net 25))
+  (segment (start 63.475 62.35) (end 63.1 62.35) (width 0.1524) (layer TOP-L1) (net 25) (tstamp 519B687A))
+  (segment (start 63.85 61.975) (end 63.475 62.35) (width 0.1524) (layer TOP-L1) (net 25) (tstamp 519B6879))
+  (segment (start 68.2 65.2) (end 66.9 65.2) (width 0.1524) (layer TOP-L1) (net 25))
+  (segment (start 63.85 60.475) (end 63.85 60.825) (width 0.1524) (layer TOP-L1) (net 25) (tstamp 519B67BC))
+  (segment (start 64.025 60.3) (end 63.85 60.475) (width 0.1524) (layer TOP-L1) (net 25) (tstamp 519B67BB))
+  (segment (start 64.6 60.3) (end 64.025 60.3) (width 0.1524) (layer TOP-L1) (net 25) (tstamp 519B67BA))
+  (segment (start 65 60.7) (end 64.6 60.3) (width 0.1524) (layer TOP-L1) (net 25) (tstamp 519B67B9))
+  (segment (start 65 63.3) (end 65 60.7) (width 0.1524) (layer TOP-L1) (net 25) (tstamp 519B67B7))
+  (segment (start 66.9 65.2) (end 65 63.3) (width 0.1524) (layer TOP-L1) (net 25) (tstamp 519B67B1))
+  (segment (start 59.2 62.4) (end 59.425 62.4) (width 0.1524) (layer TOP-L1) (net 25))
+  (segment (start 59.425 62.4) (end 59.825 62) (width 0.1524) (layer TOP-L1) (net 25) (tstamp 519C4546))
+  (segment (start 59.825 62) (end 60.225 62) (width 0.1524) (layer TOP-L1) (net 25) (tstamp 519C454F))
+  (segment (start 60.225 62) (end 60.375 61.85) (width 0.1524) (layer TOP-L1) (net 25) (tstamp 519C4554))
+  (segment (start 60.375 61.85) (end 60.375 61.2) (width 0.1524) (layer TOP-L1) (net 25) (tstamp 519C4567))
+  (segment (start 63.875 58.275) (end 63.5 58.275) (width 0.1524) (layer TOP-L1) (net 26))
+  (segment (start 63.2 57.975) (end 63.2 57.575) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 519B6348))
+  (segment (start 63.5 58.275) (end 63.2 57.975) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 519B6347))
+  (segment (start 63.2 57.575) (end 63.2 56.475) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 519B634B))
+  (segment (start 62.6 56.175) (end 62.25 56.525) (width 0.1524) (layer TOP-L1) (net 26))
+  (segment (start 61.6 56.175) (end 61.425 56.175) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 519B631D))
+  (segment (start 61.95 56.525) (end 61.6 56.175) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 519B6314))
+  (segment (start 62.25 56.525) (end 61.95 56.525) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 519B6313))
+  (segment (start 64.3 56.85) (end 64.3 58) (width 0.1524) (layer TOP-L1) (net 26))
+  (segment (start 64.025 58.275) (end 63.875 58.275) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 519B62F9))
+  (segment (start 64.3 58) (end 64.025 58.275) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 519B62F7))
+  (segment (start 64.3 56.675) (end 64.3 56.85) (width 0.1524) (layer TOP-L1) (net 26))
+  (segment (start 68.2 62.8) (end 67.4 62.8) (width 0.1524) (layer TOP-L1) (net 26))
+  (segment (start 64.3 56.375) (end 64.3 56.675) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 519B62AE))
+  (segment (start 64.55 56.125) (end 64.3 56.375) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 519B62AC))
+  (segment (start 65.7 56.125) (end 64.55 56.125) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 519B62A6))
+  (segment (start 65.925 56.35) (end 65.7 56.125) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 519B62A4))
+  (segment (start 65.925 57.075) (end 65.925 56.35) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 519B62A3))
+  (segment (start 66.275 57.425) (end 65.925 57.075) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 519B62A2))
+  (segment (start 66.55 57.425) (end 66.275 57.425) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 519B629F))
+  (segment (start 66.875 57.75) (end 66.55 57.425) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 519B629E))
+  (segment (start 66.875 62.275) (end 66.875 57.75) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 519B6298))
+  (segment (start 67.4 62.8) (end 66.875 62.275) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 519B6295))
+  (segment (start 62.9 56.175) (end 62.6 56.175) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 519B62FF))
+  (segment (start 63.2 56.475) (end 62.9 56.175) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 519B62FE))
+  (segment (start 60.7 57.6) (end 60 57.6) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 519B62C1))
+  (segment (start 60.975 57.325) (end 60.7 57.6) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 519B62BC))
+  (segment (start 60.975 56.475) (end 60.975 57.325) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 519B62BB))
+  (segment (start 61.275 56.175) (end 60.975 56.475) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 519B62BA))
+  (segment (start 61.425 56.175) (end 61.275 56.175) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 519B6321))
+  (segment (start 60.95 63.225) (end 60.95 63.3) (width 0.1524) (layer TOP-L1) (net 27))
+  (segment (start 61.65 63.35) (end 61.65 63.125) (width 0.1524) (layer TOP-L1) (net 27) (tstamp 51A4357F))
+  (segment (start 61.5 63.5) (end 61.65 63.35) (width 0.1524) (layer TOP-L1) (net 27) (tstamp 51A4357E))
+  (segment (start 61.15 63.5) (end 61.5 63.5) (width 0.1524) (layer TOP-L1) (net 27) (tstamp 51A4357D))
+  (segment (start 60.95 63.3) (end 61.15 63.5) (width 0.1524) (layer TOP-L1) (net 27) (tstamp 51A4357C))
+  (segment (start 61.65 63.125) (end 61.65 62.875) (width 0.1524) (layer TOP-L1) (net 27) (tstamp 51A43582))
+  (segment (start 61.9 61.875) (end 62.225 62.2) (width 0.1524) (layer TOP-L1) (net 27) (tstamp 51A4356F))
+  (segment (start 61.4 61.875) (end 61.9 61.875) (width 0.1524) (layer TOP-L1) (net 27) (tstamp 51A4356E))
+  (segment (start 61.2 62.075) (end 61.4 61.875) (width 0.1524) (layer TOP-L1) (net 27) (tstamp 51A4356D))
+  (segment (start 61.2 62.425) (end 61.2 62.075) (width 0.1524) (layer TOP-L1) (net 27) (tstamp 51A4356C))
+  (segment (start 61.65 62.875) (end 61.2 62.425) (width 0.1524) (layer TOP-L1) (net 27) (tstamp 51A4356B))
+  (segment (start 60.4 62.8) (end 60.725 62.8) (width 0.1524) (layer TOP-L1) (net 27))
+  (segment (start 62.225 62.2) (end 62.3 62.275) (width 0.1524) (layer TOP-L1) (net 27) (tstamp 51A43572))
+  (segment (start 60.95 63.025) (end 60.95 63.225) (width 0.1524) (layer TOP-L1) (net 27) (tstamp 51A43553))
+  (segment (start 60.725 62.8) (end 60.95 63.025) (width 0.1524) (layer TOP-L1) (net 27) (tstamp 51A43552))
+  (segment (start 60.4 62.8) (end 60.3 62.8) (width 0.1524) (layer TOP-L1) (net 27) (tstamp 51A43550))
+  (segment (start 58.4 62.4) (end 58.8 62.8) (width 0.1524) (layer TOP-L1) (net 27))
+  (segment (start 58.8 62.8) (end 60.3 62.8) (width 0.1524) (layer TOP-L1) (net 27) (tstamp 519C8ED3))
+  (segment (start 62.3 62.275) (end 62.375 62.35) (width 0.1524) (layer TOP-L1) (net 27) (tstamp 51A43562))
+  (segment (start 62.425 62.4) (end 62.375 62.35) (width 0.1524) (layer TOP-L1) (net 27))
+  (segment (start 62.45 62.425) (end 62.425 62.4) (width 0.1524) (layer TOP-L1) (net 27))
+  (segment (start 64.35 60.7) (end 64.3 60.7) (width 0.1524) (layer TOP-L1) (net 27))
+  (segment (start 64.125 60.875) (end 64.125 61.025) (width 0.1524) (layer TOP-L1) (net 27) (tstamp 519C45C5))
+  (segment (start 64.3 60.7) (end 64.125 60.875) (width 0.1524) (layer TOP-L1) (net 27) (tstamp 519C45C4))
+  (segment (start 64.65 62.775) (end 64.65 60.875) (width 0.1524) (layer TOP-L1) (net 27))
+  (segment (start 63.85 62.675) (end 63.45 62.675) (width 0.1524) (layer TOP-L1) (net 27) (tstamp 519C45BC))
+  (segment (start 64.125 62.4) (end 63.85 62.675) (width 0.1524) (layer TOP-L1) (net 27) (tstamp 519C45B9))
+  (segment (start 64.125 61.025) (end 64.125 62.4) (width 0.1524) (layer TOP-L1) (net 27) (tstamp 519C45C8))
+  (segment (start 64.475 60.7) (end 64.35 60.7) (width 0.1524) (layer TOP-L1) (net 27) (tstamp 519C45B5))
+  (segment (start 64.65 60.875) (end 64.475 60.7) (width 0.1524) (layer TOP-L1) (net 27) (tstamp 519C45B3))
+  (segment (start 69 65.2) (end 68.6 65.6) (width 0.1524) (layer TOP-L1) (net 27))
+  (segment (start 62.7 62.675) (end 62.45 62.425) (width 0.1524) (layer TOP-L1) (net 27) (tstamp 519B68D9))
+  (segment (start 63.45 62.675) (end 62.7 62.675) (width 0.1524) (layer TOP-L1) (net 27) (tstamp 519C45C0))
+  (segment (start 64.65 63.4) (end 64.65 62.775) (width 0.1524) (layer TOP-L1) (net 27) (tstamp 519B68CF))
+  (segment (start 66.85 65.6) (end 64.65 63.4) (width 0.1524) (layer TOP-L1) (net 27) (tstamp 519B68CB))
+  (segment (start 68.6 65.6) (end 66.85 65.6) (width 0.1524) (layer TOP-L1) (net 27) (tstamp 519B68C7))
+  (segment (start 65.625 56.8) (end 65.625 56.675) (width 0.1524) (layer TOP-L1) (net 28))
+  (segment (start 65.4 56.45) (end 65.2 56.45) (width 0.1524) (layer TOP-L1) (net 28) (tstamp 519B63A3))
+  (segment (start 65.625 56.675) (end 65.4 56.45) (width 0.1524) (layer TOP-L1) (net 28) (tstamp 519B63A2))
+  (segment (start 65.025 56.45) (end 64.875 56.45) (width 0.1524) (layer TOP-L1) (net 28))
+  (segment (start 64.625 56.7) (end 64.625 56.875) (width 0.1524) (layer TOP-L1) (net 28) (tstamp 519B639A))
+  (segment (start 64.875 56.45) (end 64.625 56.7) (width 0.1524) (layer TOP-L1) (net 28) (tstamp 519B6399))
+  (segment (start 69 62.8) (end 68.6 63.2) (width 0.1524) (layer TOP-L1) (net 28))
+  (segment (start 60.4 58) (end 60 58.4) (width 0.1524) (layer TOP-L1) (net 28) (tstamp 519B6381))
+  (segment (start 60.925 58) (end 60.4 58) (width 0.1524) (layer TOP-L1) (net 28) (tstamp 519B6379))
+  (segment (start 61.3 57.625) (end 60.925 58) (width 0.1524) (layer TOP-L1) (net 28) (tstamp 519B6376))
+  (segment (start 61.3 57.025) (end 61.3 57.625) (width 0.1524) (layer TOP-L1) (net 28) (tstamp 519B6374))
+  (segment (start 61.5 56.825) (end 61.3 57.025) (width 0.1524) (layer TOP-L1) (net 28) (tstamp 519B6372))
+  (segment (start 62.65 56.825) (end 61.5 56.825) (width 0.1524) (layer TOP-L1) (net 28) (tstamp 519B6370))
+  (segment (start 62.875 57.05) (end 62.65 56.825) (width 0.1524) (layer TOP-L1) (net 28) (tstamp 519B636F))
+  (segment (start 62.875 58.125) (end 62.875 57.05) (width 0.1524) (layer TOP-L1) (net 28) (tstamp 519B636E))
+  (segment (start 63.35 58.6) (end 62.875 58.125) (width 0.1524) (layer TOP-L1) (net 28) (tstamp 519B636D))
+  (segment (start 64.175 58.6) (end 63.35 58.6) (width 0.1524) (layer TOP-L1) (net 28) (tstamp 519B636C))
+  (segment (start 64.625 58.15) (end 64.175 58.6) (width 0.1524) (layer TOP-L1) (net 28) (tstamp 519B6369))
+  (segment (start 64.625 56.875) (end 64.625 58.15) (width 0.1524) (layer TOP-L1) (net 28) (tstamp 519B639D))
+  (segment (start 65.2 56.45) (end 65.025 56.45) (width 0.1524) (layer TOP-L1) (net 28) (tstamp 519B63A6))
+  (segment (start 65.625 57.175) (end 65.625 56.8) (width 0.1524) (layer TOP-L1) (net 28) (tstamp 519B6362))
+  (segment (start 66.15 57.7) (end 65.625 57.175) (width 0.1524) (layer TOP-L1) (net 28) (tstamp 519B635F))
+  (segment (start 66.4 57.7) (end 66.15 57.7) (width 0.1524) (layer TOP-L1) (net 28) (tstamp 519B635E))
+  (segment (start 66.575 57.875) (end 66.4 57.7) (width 0.1524) (layer TOP-L1) (net 28) (tstamp 519B635A))
+  (segment (start 66.575 62.425) (end 66.575 57.875) (width 0.1524) (layer TOP-L1) (net 28) (tstamp 519B6356))
+  (segment (start 67.35 63.2) (end 66.575 62.425) (width 0.1524) (layer TOP-L1) (net 28) (tstamp 519B6354))
+  (segment (start 68.6 63.2) (end 67.35 63.2) (width 0.1524) (layer TOP-L1) (net 28) (tstamp 519B6350))
+  (segment (start 63.55 58.925) (end 63.075 58.925) (width 0.1524) (layer TOP-L1) (net 29))
+  (segment (start 62.575 58.425) (end 62.575 58.075) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 519B63EF))
+  (segment (start 63.075 58.925) (end 62.575 58.425) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 519B63EE))
+  (segment (start 68.2 63.6) (end 67.275 63.6) (width 0.1524) (layer TOP-L1) (net 29))
+  (segment (start 58.4 58.725) (end 58.4 58.4) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 519B63DF))
+  (segment (start 58.625 58.95) (end 58.4 58.725) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 519B63D3))
+  (segment (start 60.3 58.95) (end 58.625 58.95) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 519B63D0))
+  (segment (start 60.925 58.325) (end 60.3 58.95) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 519B63CF))
+  (segment (start 61.225 58.325) (end 60.925 58.325) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 519B63CD))
+  (segment (start 61.6 57.95) (end 61.225 58.325) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 519B63CA))
+  (segment (start 61.6 57.225) (end 61.6 57.95) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 519B63C8))
+  (segment (start 61.725 57.1) (end 61.6 57.225) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 519B63C7))
+  (segment (start 62.45 57.1) (end 61.725 57.1) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 519B63C6))
+  (segment (start 62.575 57.225) (end 62.45 57.1) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 519B63C5))
+  (segment (start 62.575 58.075) (end 62.575 57.225) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 519B63F2))
+  (segment (start 64.3 58.925) (end 63.55 58.925) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 519B63BE))
+  (segment (start 64.95 58.275) (end 64.3 58.925) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 519B63BC))
+  (segment (start 64.95 57.55) (end 64.95 58.275) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 519B63BB))
+  (segment (start 65.075 57.425) (end 64.95 57.55) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 519B63B9))
+  (segment (start 65.425 57.425) (end 65.075 57.425) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 519B63B8))
+  (segment (start 66.275 58.275) (end 65.425 57.425) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 519B63B6))
+  (segment (start 66.275 62.6) (end 66.275 58.275) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 519B63B2))
+  (segment (start 67.275 63.6) (end 66.275 62.6) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 519B63AF))
+  (segment (start 62.3 63.325) (end 61.725 63.9) (width 0.1524) (layer TOP-L1) (net 30))
+  (segment (start 61.025 65.525) (end 60.9 65.4) (width 0.1524) (layer TOP-L1) (net 30) (tstamp 51A43518))
+  (segment (start 61.55 65.525) (end 61.025 65.525) (width 0.1524) (layer TOP-L1) (net 30) (tstamp 51A43514))
+  (segment (start 61.725 65.35) (end 61.55 65.525) (width 0.1524) (layer TOP-L1) (net 30) (tstamp 51A43512))
+  (segment (start 61.725 63.9) (end 61.725 65.35) (width 0.1524) (layer TOP-L1) (net 30) (tstamp 51A43511))
+  (segment (start 62.675 62.95) (end 63.525 62.95) (width 0.1524) (layer TOP-L1) (net 30) (tstamp 51A43505))
+  (segment (start 62.3 63.325) (end 62.675 62.95) (width 0.1524) (layer TOP-L1) (net 30) (tstamp 51A4350F))
+  (segment (start 60.8 64.425) (end 60.8 65.3) (width 0.1524) (layer TOP-L1) (net 30))
+  (segment (start 60.8 65.3) (end 60.9 65.4) (width 0.1524) (layer TOP-L1) (net 30) (tstamp 51A434F4))
+  (segment (start 60.8 64.4) (end 60.8 64.425) (width 0.1524) (layer TOP-L1) (net 30))
+  (segment (start 60.275 63.7) (end 60.45 63.7) (width 0.1524) (layer TOP-L1) (net 30))
+  (segment (start 60.8 64.05) (end 60.8 64.4) (width 0.1524) (layer TOP-L1) (net 30) (tstamp 51A434CD))
+  (segment (start 60.45 63.7) (end 60.8 64.05) (width 0.1524) (layer TOP-L1) (net 30) (tstamp 51A434CC))
+  (segment (start 58 63.3) (end 58 63.975) (width 0.1524) (layer TOP-L1) (net 30))
+  (segment (start 59.05 64.125) (end 59.325 63.85) (width 0.1524) (layer TOP-L1) (net 30) (tstamp 51A1630C))
+  (segment (start 59.05 64.7) (end 59.05 64.125) (width 0.1524) (layer TOP-L1) (net 30) (tstamp 51A16306))
+  (segment (start 58.9 64.85) (end 59.05 64.7) (width 0.1524) (layer TOP-L1) (net 30) (tstamp 51A16304))
+  (segment (start 58.5 64.85) (end 58.9 64.85) (width 0.1524) (layer TOP-L1) (net 30) (tstamp 51A16303))
+  (segment (start 58.35 64.7) (end 58.5 64.85) (width 0.1524) (layer TOP-L1) (net 30) (tstamp 51A16301))
+  (segment (start 58.35 64.325) (end 58.35 64.7) (width 0.1524) (layer TOP-L1) (net 30) (tstamp 51A16300))
+  (segment (start 58 63.975) (end 58.35 64.325) (width 0.1524) (layer TOP-L1) (net 30) (tstamp 51A162FF))
+  (segment (start 59.475 63.7) (end 59.325 63.85) (width 0.1524) (layer TOP-L1) (net 30) (tstamp 519C940B))
+  (segment (start 60.275 63.7) (end 59.475 63.7) (width 0.1524) (layer TOP-L1) (net 30) (tstamp 51A434CA))
+  (segment (start 58 62) (end 57.6 61.6) (width 0.1524) (layer TOP-L1) (net 30) (tstamp 519C9418))
+  (segment (start 58 63.3) (end 58 62) (width 0.1524) (layer TOP-L1) (net 30) (tstamp 51A162FD))
+  (segment (start 68.2 66) (end 66.775 66) (width 0.1524) (layer TOP-L1) (net 30))
+  (segment (start 66.775 66) (end 64.375 63.6) (width 0.1524) (layer TOP-L1) (net 30) (tstamp 519C93D6))
+  (segment (start 64.375 63.6) (end 64.375 63.25) (width 0.1524) (layer TOP-L1) (net 30) (tstamp 519C93D8))
+  (segment (start 64.375 63.25) (end 64.075 62.95) (width 0.1524) (layer TOP-L1) (net 30) (tstamp 519C93E1))
+  (segment (start 64.075 62.95) (end 63.525 62.95) (width 0.1524) (layer TOP-L1) (net 30) (tstamp 519C93E2))
+  (segment (start 73.4 76.15) (end 73.4 76.6) (width 0.1524) (layer TOP-L1) (net 31))
+  (segment (start 67.2 82.8) (end 66.825 82.8) (width 0.1524) (layer TOP-L1) (net 31) (tstamp 51A43C67))
+  (segment (start 73.4 76.6) (end 67.2 82.8) (width 0.1524) (layer TOP-L1) (net 31) (tstamp 51A43C64))
+  (segment (start 66.825 82.8) (end 66.6 82.8) (width 0.1524) (layer TOP-L1) (net 31) (tstamp 51A43C6D))
+  (segment (start 73.4 75.325) (end 73.4 76.15) (width 0.1524) (layer TOP-L1) (net 31))
+  (segment (start 66.625 82.8) (end 66.6 82.8) (width 0.1524) (layer TOP-L1) (net 31))
+  (segment (start 66.475 82.8) (end 66.625 82.8) (width 0.1524) (layer TOP-L1) (net 31))
+  (segment (start 66.475 82.8) (end 66.45 82.8) (width 0.1524) (layer TOP-L1) (net 31) (tstamp 51A438D5))
+  (segment (start 65.975 82.8) (end 65.975 82.75) (width 0.1524) (layer TOP-L1) (net 31) (tstamp 519B3DCF))
+  (segment (start 66.45 82.8) (end 65.975 82.8) (width 0.1524) (layer TOP-L1) (net 31) (tstamp 51A20842))
+  (segment (start 65.975 82.75) (end 65.925 82.8) (width 0.1524) (layer TOP-L1) (net 31) (tstamp 519B3DD0))
+  (segment (start 58.4 82.8) (end 58 82.4) (width 0.1524) (layer TOP-L1) (net 31) (tstamp 519B3D49))
+  (via (at 65.925 82.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 31))
+  (segment (start 65.925 82.8) (end 58.4 82.8) (width 0.1524) (layer Sig1-L3) (net 31) (tstamp 519B3D3D))
+  (via (at 58.4 82.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 31))
+  (segment (start 73.4 75.175) (end 73.4 75.325) (width 0.1524) (layer TOP-L1) (net 31))
+  (segment (start 73.4 74.4) (end 73.4 74.825) (width 0.1524) (layer TOP-L1) (net 31) (tstamp 519B3D19))
+  (segment (start 73.8 74) (end 73.4 74.4) (width 0.1524) (layer TOP-L1) (net 31))
+  (segment (start 73.4 74.825) (end 73.4 75.15) (width 0.1524) (layer TOP-L1) (net 31))
+  (segment (start 73.4 75.175) (end 73.4 75.15) (width 0.1524) (layer TOP-L1) (net 31) (tstamp 51A2083B))
+  (segment (start 67.2 57.575) (end 67.2 57.4) (width 0.1524) (layer TOP-L1) (net 32))
+  (segment (start 66.85 57.05) (end 66.675 57.05) (width 0.1524) (layer TOP-L1) (net 32) (tstamp 519B627D))
+  (segment (start 67.2 57.4) (end 66.85 57.05) (width 0.1524) (layer TOP-L1) (net 32) (tstamp 519B627C))
+  (segment (start 58.8 56.35) (end 58.8 57.2) (width 0.1524) (layer TOP-L1) (net 32) (tstamp 519B6236))
+  (segment (start 58.8 55.95) (end 58.8 56.35) (width 0.1524) (layer TOP-L1) (net 32) (tstamp 519B6232))
+  (segment (start 66.425 57.05) (end 66.675 57.05) (width 0.1524) (layer TOP-L1) (net 32) (tstamp 519B6267))
+  (segment (start 67.2 57.625) (end 67.2 57.575) (width 0.1524) (layer TOP-L1) (net 32))
+  (segment (start 67.5 62.4) (end 67.2 62.1) (width 0.1524) (layer TOP-L1) (net 32) (tstamp 519B6218))
+  (segment (start 67.2 62.1) (end 67.2 57.625) (width 0.1524) (layer TOP-L1) (net 32) (tstamp 519B6219))
+  (segment (start 68.6 62.4) (end 67.5 62.4) (width 0.1524) (layer TOP-L1) (net 32) (tstamp 519B6217))
+  (segment (start 69 62) (end 68.6 62.4) (width 0.1524) (layer TOP-L1) (net 32))
+  (segment (start 58.8 57.2) (end 58.4 57.6) (width 0.1524) (layer TOP-L1) (net 32) (tstamp 519B6226))
+  (segment (start 58.8 57.2) (end 58.4 57.6) (width 0.1524) (layer TOP-L1) (net 32) (tstamp 519B5FD1))
+  (segment (start 66.8 55.825) (end 59.7 55.825) (width 0.1524) (layer TOP-L1) (net 32) (tstamp 519B6223))
+  (segment (start 58.925 55.825) (end 58.8 55.95) (width 0.1524) (layer TOP-L1) (net 32) (tstamp 519B6230))
+  (segment (start 59.7 55.825) (end 58.925 55.825) (width 0.1524) (layer TOP-L1) (net 32))
+  (segment (start 66.625 56.4) (end 66.45 56.4) (width 0.1524) (layer TOP-L1) (net 32))
+  (segment (start 66.775 56.4) (end 66.9 56.4) (width 0.1524) (layer TOP-L1) (net 32) (tstamp 519B6247))
+  (segment (start 66.9 56.4) (end 67.075 56.225) (width 0.1524) (layer TOP-L1) (net 32) (tstamp 519B6220))
+  (segment (start 67.075 56.225) (end 67.075 56.1) (width 0.1524) (layer TOP-L1) (net 32) (tstamp 519B6221))
+  (segment (start 67.075 56.1) (end 66.8 55.825) (width 0.1524) (layer TOP-L1) (net 32) (tstamp 519B6222))
+  (segment (start 66.675 56.4) (end 66.775 56.4) (width 0.1524) (layer TOP-L1) (net 32) (tstamp 519B6261))
+  (segment (start 66.25 56.675) (end 66.25 56.875) (width 0.1524) (layer TOP-L1) (net 32) (tstamp 519B6275))
+  (segment (start 66.25 56.875) (end 66.425 57.05) (width 0.1524) (layer TOP-L1) (net 32) (tstamp 519B6265))
+  (segment (start 66.675 56.4) (end 66.625 56.4) (width 0.1524) (layer TOP-L1) (net 32))
+  (segment (start 66.45 56.4) (end 66.25 56.6) (width 0.1524) (layer TOP-L1) (net 32) (tstamp 519B6271))
+  (segment (start 66.25 56.6) (end 66.25 56.675) (width 0.1524) (layer TOP-L1) (net 32) (tstamp 519B6272))
+  (segment (start 63 61.625) (end 62.875 61.625) (width 0.1524) (layer TOP-L1) (net 33))
+  (segment (start 62.6 61.35) (end 62.6 61.175) (width 0.1524) (layer TOP-L1) (net 33) (tstamp 519B679D))
+  (segment (start 62.875 61.625) (end 62.6 61.35) (width 0.1524) (layer TOP-L1) (net 33) (tstamp 519B679C))
+  (segment (start 63.525 60.9) (end 63.525 61.4) (width 0.1524) (layer TOP-L1) (net 33))
+  (segment (start 62.6 61.175) (end 62.6 61.05) (width 0.1524) (layer TOP-L1) (net 33) (tstamp 519B67A0))
+  (segment (start 63.3 61.625) (end 63 61.625) (width 0.1524) (layer TOP-L1) (net 33) (tstamp 519B678F))
+  (segment (start 63.525 61.4) (end 63.3 61.625) (width 0.1524) (layer TOP-L1) (net 33) (tstamp 519B678E))
+  (segment (start 63.525 60.875) (end 63.525 60.9) (width 0.1524) (layer TOP-L1) (net 33))
+  (segment (start 64.35 59.975) (end 63.725 59.975) (width 0.1524) (layer TOP-L1) (net 33))
+  (segment (start 62.6 60) (end 62.375 59.775) (width 0.1524) (layer TOP-L1) (net 33) (tstamp 519B6775))
+  (segment (start 62.6 61.05) (end 62.6 60) (width 0.1524) (layer TOP-L1) (net 33) (tstamp 519B6789))
+  (segment (start 63.525 60.175) (end 63.525 60.875) (width 0.1524) (layer TOP-L1) (net 33) (tstamp 519B6770))
+  (segment (start 63.725 59.975) (end 63.525 60.175) (width 0.1524) (layer TOP-L1) (net 33) (tstamp 519B676F))
+  (segment (start 69 64.4) (end 68.6 64.8) (width 0.1524) (layer TOP-L1) (net 33))
+  (segment (start 60 60.975) (end 60 61.6) (width 0.1524) (layer TOP-L1) (net 33) (tstamp 519B6759))
+  (segment (start 59.725 60.7) (end 60 60.975) (width 0.1524) (layer TOP-L1) (net 33) (tstamp 519B6756))
+  (segment (start 59.425 60.7) (end 59.725 60.7) (width 0.1524) (layer TOP-L1) (net 33) (tstamp 519B6754))
+  (segment (start 59.075 60.35) (end 59.425 60.7) (width 0.1524) (layer TOP-L1) (net 33) (tstamp 519B6753))
+  (segment (start 59.075 60.15) (end 59.075 60.35) (width 0.1524) (layer TOP-L1) (net 33) (tstamp 519B6752))
+  (segment (start 59.275 59.95) (end 59.075 60.15) (width 0.1524) (layer TOP-L1) (net 33) (tstamp 519B674C))
+  (segment (start 61.125 59.95) (end 59.275 59.95) (width 0.1524) (layer TOP-L1) (net 33) (tstamp 519B674B))
+  (segment (start 61.65 59.425) (end 61.125 59.95) (width 0.1524) (layer TOP-L1) (net 33) (tstamp 519B674A))
+  (segment (start 62.025 59.425) (end 61.65 59.425) (width 0.1524) (layer TOP-L1) (net 33) (tstamp 519B6749))
+  (segment (start 62.375 59.775) (end 62.025 59.425) (width 0.1524) (layer TOP-L1) (net 33) (tstamp 519B6778))
+  (segment (start 64.725 59.975) (end 64.35 59.975) (width 0.1524) (layer TOP-L1) (net 33) (tstamp 519B6733))
+  (segment (start 65.3 60.55) (end 64.725 59.975) (width 0.1524) (layer TOP-L1) (net 33) (tstamp 519B672F))
+  (segment (start 65.3 63.1) (end 65.3 60.55) (width 0.1524) (layer TOP-L1) (net 33) (tstamp 519B672D))
+  (segment (start 67 64.8) (end 65.3 63.1) (width 0.1524) (layer TOP-L1) (net 33) (tstamp 519B6728))
+  (segment (start 68.6 64.8) (end 67 64.8) (width 0.1524) (layer TOP-L1) (net 33) (tstamp 519B6725))
+  (segment (start 73 74.8) (end 73 75.275) (width 0.1524) (layer TOP-L1) (net 34))
+  (segment (start 56.4 81.05) (end 56.4 80.775) (width 0.1524) (layer Sig1-L3) (net 34))
+  (segment (start 56.4 80.775) (end 57.225 79.95) (width 0.1524) (layer Sig1-L3) (net 34) (tstamp 51A21288))
+  (segment (start 56.4 81.05) (end 56.4 81.07) (width 0.1524) (layer Sig1-L3) (net 34) (tstamp 51A21286))
+  (segment (start 56.4 81.07) (end 56.4 81.6) (width 0.1524) (layer TOP-L1) (net 34) (tstamp 519B575C))
+  (via (at 56.4 81.07) (size 0.4572) (layers TOP-L1 BOT-L6) (net 34))
+  (segment (start 68.975 80.15) (end 69.325 80.15) (width 0.1524) (layer TOP-L1) (net 34))
+  (segment (start 73 76.475) (end 73 76.25) (width 0.1524) (layer TOP-L1) (net 34) (tstamp 51A43CE8))
+  (segment (start 69.325 80.15) (end 73 76.475) (width 0.1524) (layer TOP-L1) (net 34) (tstamp 51A43CE5))
+  (segment (start 68.975 80.15) (end 65.7 80.15) (width 0.1524) (layer Sig1-L3) (net 34) (tstamp 51A43CC4))
+  (via (at 68.975 80.15) (size 0.4572) (layers TOP-L1 BOT-L6) (net 34))
+  (segment (start 65.7 80.15) (end 65.15 80.15) (width 0.1524) (layer Sig1-L3) (net 34) (tstamp 51A43CC7))
+  (segment (start 73 75.275) (end 73 76.25) (width 0.1524) (layer TOP-L1) (net 34))
+  (segment (start 65.15 80.15) (end 62.375 80.15) (width 0.1524) (layer Sig1-L3) (net 34) (tstamp 51A43CB4))
+  (segment (start 57.225 79.95) (end 57.75 79.95) (width 0.1524) (layer Sig1-L3) (net 34) (tstamp 51A2128C))
+  (segment (start 62.175 79.95) (end 61.325 79.95) (width 0.1524) (layer Sig1-L3) (net 34) (tstamp 51A208D5))
+  (segment (start 62.375 80.15) (end 62.175 79.95) (width 0.1524) (layer Sig1-L3) (net 34) (tstamp 51A208D3))
+  (segment (start 61.325 79.95) (end 57.75 79.95) (width 0.1524) (layer Sig1-L3) (net 34) (tstamp 51A208D9))
+  (segment (start 71 75.025) (end 71 75.7) (width 0.1524) (layer TOP-L1) (net 35))
+  (segment (start 70.1 76.6) (end 69.6 76.6) (width 0.1524) (layer TOP-L1) (net 35) (tstamp 51A20CDA))
+  (segment (start 71 75.7) (end 70.1 76.6) (width 0.1524) (layer TOP-L1) (net 35) (tstamp 51A20CD8))
+  (segment (start 67.2 80.125) (end 67.2 80.65) (width 0.1524) (layer TOP-L1) (net 35))
+  (segment (start 65.75 82.1) (end 65.6 82.1) (width 0.1524) (layer TOP-L1) (net 35) (tstamp 51A20C7C))
+  (segment (start 67.2 80.65) (end 65.75 82.1) (width 0.1524) (layer TOP-L1) (net 35) (tstamp 51A20C7B))
+  (segment (start 62.55 81.6) (end 62.85 81.6) (width 0.1524) (layer Sig1-L3) (net 35))
+  (segment (start 63.35 82.1) (end 64.025 82.1) (width 0.1524) (layer Sig1-L3) (net 35) (tstamp 51A20C5C))
+  (segment (start 62.85 81.6) (end 63.35 82.1) (width 0.1524) (layer Sig1-L3) (net 35) (tstamp 51A20C5B))
+  (segment (start 65.225 82.1) (end 64.025 82.1) (width 0.1524) (layer Sig1-L3) (net 35) (tstamp 51A20C4B))
+  (via (at 65.225 82.1) (size 0.4572) (layers TOP-L1 BOT-L6) (net 35))
+  (segment (start 65.6 82.1) (end 65.225 82.1) (width 0.1524) (layer TOP-L1) (net 35) (tstamp 51A20C7F))
+  (segment (start 67.2 78.4) (end 67.2 80.125) (width 0.1524) (layer TOP-L1) (net 35) (tstamp 51A20C3B))
+  (segment (start 69 76.6) (end 67.2 78.4) (width 0.1524) (layer TOP-L1) (net 35) (tstamp 51A20C3A))
+  (segment (start 69.6 76.6) (end 69 76.6) (width 0.1524) (layer TOP-L1) (net 35) (tstamp 51A20CDE))
+  (segment (start 71 75) (end 71 75.025) (width 0.1524) (layer TOP-L1) (net 35))
+  (segment (start 71.4 74) (end 71 74.4) (width 0.1524) (layer TOP-L1) (net 35))
+  (segment (start 71 74.4) (end 71 75) (width 0.1524) (layer TOP-L1) (net 35) (tstamp 519B4A08))
+  (segment (start 57.2 81.6) (end 56.8 82) (width 0.1524) (layer Sig1-L3) (net 35) (tstamp 519D9D05))
+  (segment (start 62.55 81.6) (end 57.2 81.6) (width 0.1524) (layer Sig1-L3) (net 35) (tstamp 51A20C59))
+  (via (at 56.8 82) (size 0.4572) (layers TOP-L1 BOT-L6) (net 35))
+  (segment (start 56.8 82) (end 56.4 82.4) (width 0.1524) (layer TOP-L1) (net 35) (tstamp 519B4A5C))
+  (segment (start 66.4 79.575) (end 66.6 79.575) (width 0.1524) (layer Sig1-L3) (net 36))
+  (segment (start 67.525 79.575) (end 67.8 79.575) (width 0.1524) (layer Sig1-L3) (net 36) (tstamp 51A43D48))
+  (segment (start 67.35 79.75) (end 67.525 79.575) (width 0.1524) (layer Sig1-L3) (net 36) (tstamp 51A43D47))
+  (segment (start 66.775 79.75) (end 67.35 79.75) (width 0.1524) (layer Sig1-L3) (net 36) (tstamp 51A43D46))
+  (segment (start 66.6 79.575) (end 66.775 79.75) (width 0.1524) (layer Sig1-L3) (net 36) (tstamp 51A43D45))
+  (segment (start 64.025 79.825) (end 62.625 79.825) (width 0.1524) (layer Sig1-L3) (net 36))
+  (segment (start 62.475 79.675) (end 60.875 79.675) (width 0.1524) (layer Sig1-L3) (net 36) (tstamp 51A43D25))
+  (segment (start 62.625 79.825) (end 62.475 79.675) (width 0.1524) (layer Sig1-L3) (net 36) (tstamp 51A43D24))
+  (segment (start 72.6 75.15) (end 72.6 76.325) (width 0.1524) (layer TOP-L1) (net 36))
+  (segment (start 72.6 74.4) (end 72.6 75.15) (width 0.1524) (layer TOP-L1) (net 36) (tstamp 519B560F))
+  (segment (start 73 74) (end 72.6 74.4) (width 0.1524) (layer TOP-L1) (net 36))
+  (segment (start 68 79.575) (end 67.8 79.575) (width 0.1524) (layer Sig1-L3) (net 36) (tstamp 51A43D19))
+  (segment (start 72.6 76.325) (end 69.35 79.575) (width 0.1524) (layer TOP-L1) (net 36) (tstamp 51A43CFD))
+  (segment (start 69.35 79.575) (end 68.55 79.575) (width 0.1524) (layer TOP-L1) (net 36) (tstamp 51A43D06))
+  (via (at 68.55 79.575) (size 0.4572) (layers TOP-L1 BOT-L6) (net 36))
+  (segment (start 68.55 79.575) (end 68 79.575) (width 0.1524) (layer Sig1-L3) (net 36) (tstamp 51A43D16))
+  (segment (start 66.4 79.575) (end 65.15 79.575) (width 0.1524) (layer Sig1-L3) (net 36) (tstamp 51A43D43))
+  (segment (start 65.15 79.575) (end 65 79.725) (width 0.1524) (layer Sig1-L3) (net 36) (tstamp 51A438FD))
+  (segment (start 65 79.725) (end 65.05 79.675) (width 0.1524) (layer Sig1-L3) (net 36) (tstamp 51A43900))
+  (segment (start 64.9 79.825) (end 64.025 79.825) (width 0.1524) (layer Sig1-L3) (net 36) (tstamp 519B564F))
+  (segment (start 65.05 79.675) (end 64.9 79.825) (width 0.1524) (layer Sig1-L3) (net 36) (tstamp 51A20924))
+  (segment (start 56.4 78.4) (end 56.8 78.8) (width 0.1524) (layer TOP-L1) (net 36))
+  (segment (start 59.05 79.675) (end 59.55 79.675) (width 0.1524) (layer Sig1-L3) (net 36) (tstamp 51A210C3))
+  (segment (start 58.325 78.95) (end 59.05 79.675) (width 0.1524) (layer Sig1-L3) (net 36) (tstamp 51A210C1))
+  (segment (start 56.95 78.95) (end 58.325 78.95) (width 0.1524) (layer Sig1-L3) (net 36) (tstamp 51A210BF))
+  (segment (start 56.8 78.8) (end 56.95 78.95) (width 0.1524) (layer Sig1-L3) (net 36) (tstamp 51A210BE))
+  (via (at 56.8 78.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 36))
+  (segment (start 60.875 79.675) (end 60.75 79.675) (width 0.1524) (layer Sig1-L3) (net 36) (tstamp 51A43D28))
+  (segment (start 60.75 79.675) (end 59.55 79.675) (width 0.1524) (layer Sig1-L3) (net 36) (tstamp 519B568D))
+  (segment (start 70.37 78.06) (end 70.59 78.06) (width 0.1524) (layer Sig1-L3) (net 37))
+  (segment (start 70.89 77.11) (end 70.55 76.77) (width 0.1524) (layer Sig1-L3) (net 37) (tstamp 51A87562))
+  (segment (start 70.89 77.76) (end 70.89 77.11) (width 0.1524) (layer Sig1-L3) (net 37) (tstamp 51A87561))
+  (segment (start 70.59 78.06) (end 70.89 77.76) (width 0.1524) (layer Sig1-L3) (net 37) (tstamp 51A8755F))
+  (segment (start 68.41 76.73) (end 68.8 76.73) (width 0.1524) (layer Sig1-L3) (net 37))
+  (segment (start 69.81 76.03) (end 69.81 75.78) (width 0.1524) (layer Sig1-L3) (net 37) (tstamp 51A87548))
+  (segment (start 70.55 76.77) (end 69.81 76.03) (width 0.1524) (layer Sig1-L3) (net 37) (tstamp 51A87566))
+  (segment (start 70.13 78.06) (end 70.37 78.06) (width 0.1524) (layer Sig1-L3) (net 37) (tstamp 51A87543))
+  (segment (start 68.8 76.73) (end 70.13 78.06) (width 0.1524) (layer Sig1-L3) (net 37) (tstamp 51A87542))
+  (segment (start 57.6 75.86) (end 57.6 75.82) (width 0.1524) (layer Sig1-L3) (net 37))
+  (segment (start 69.81 75.31) (end 69.81 75.29) (width 0.1524) (layer Sig1-L3) (net 37) (tstamp 51A87536))
+  (segment (start 69.81 75.78) (end 69.81 75.31) (width 0.1524) (layer Sig1-L3) (net 37) (tstamp 51A8754C))
+  (segment (start 68.1 76.73) (end 68.41 76.73) (width 0.1524) (layer Sig1-L3) (net 37) (tstamp 51A8752F))
+  (segment (start 67.33 75.96) (end 68.1 76.73) (width 0.1524) (layer Sig1-L3) (net 37) (tstamp 51A8752D))
+  (segment (start 63.27 75.96) (end 67.33 75.96) (width 0.1524) (layer Sig1-L3) (net 37) (tstamp 51A8752A))
+  (segment (start 61.58 74.27) (end 63.27 75.96) (width 0.1524) (layer Sig1-L3) (net 37) (tstamp 51A87528))
+  (segment (start 59.15 74.27) (end 61.58 74.27) (width 0.1524) (layer Sig1-L3) (net 37) (tstamp 51A8751C))
+  (segment (start 57.6 75.82) (end 59.15 74.27) (width 0.1524) (layer Sig1-L3) (net 37) (tstamp 51A8751A))
+  (segment (start 57.6 76.525) (end 57.6 75.86) (width 0.1524) (layer Sig1-L3) (net 37))
+  (segment (start 57.2 77.6) (end 57.6 77.2) (width 0.1524) (layer TOP-L1) (net 37))
+  (segment (start 57.6 77.2) (end 57.6 76.525) (width 0.1524) (layer Sig1-L3) (net 37) (tstamp 519B4D0E))
+  (via (at 57.6 77.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 37))
+  (segment (start 69.81 75.29) (end 69.8 75.3) (width 0.1524) (layer Sig1-L3) (net 37) (tstamp 51A87537))
+  (segment (start 69.8 75.3) (end 69.8 74.8) (width 0.1524) (layer TOP-L1) (net 37) (tstamp 519B4D86))
+  (via (at 69.8 75.3) (size 0.4572) (layers TOP-L1 BOT-L6) (net 37))
+  (segment (start 62.625 79.875) (end 62.45 79.875) (width 0.1524) (layer TOP-L1) (net 38))
+  (segment (start 62.2 80.125) (end 62.2 80.275) (width 0.1524) (layer TOP-L1) (net 38) (tstamp 519B444E))
+  (segment (start 62.45 79.875) (end 62.2 80.125) (width 0.1524) (layer TOP-L1) (net 38) (tstamp 519B444D))
+  (segment (start 62.2 80.275) (end 62.2 81.4) (width 0.1524) (layer TOP-L1) (net 38) (tstamp 519B4451))
+  (segment (start 69 74) (end 68.6 74.4) (width 0.1524) (layer TOP-L1) (net 38))
+  (segment (start 62.2 81.4) (end 62 81.6) (width 0.1524) (layer TOP-L1) (net 38) (tstamp 519B4436))
+  (segment (start 63.05 79.875) (end 62.625 79.875) (width 0.1524) (layer TOP-L1) (net 38) (tstamp 519B4433))
+  (segment (start 63.25 80.075) (end 63.05 79.875) (width 0.1524) (layer TOP-L1) (net 38) (tstamp 519B4424))
+  (segment (start 63.25 80.45) (end 63.25 80.075) (width 0.1524) (layer TOP-L1) (net 38) (tstamp 519B4413))
+  (segment (start 63.425 80.625) (end 63.25 80.45) (width 0.1524) (layer TOP-L1) (net 38) (tstamp 519B4412))
+  (segment (start 63.65 80.625) (end 63.425 80.625) (width 0.1524) (layer TOP-L1) (net 38) (tstamp 519B4411))
+  (segment (start 63.825 80.45) (end 63.65 80.625) (width 0.1524) (layer TOP-L1) (net 38) (tstamp 519B440D))
+  (segment (start 63.825 75.45) (end 63.825 80.45) (width 0.1524) (layer TOP-L1) (net 38) (tstamp 519B440B))
+  (segment (start 63.225 74.85) (end 63.825 75.45) (width 0.1524) (layer TOP-L1) (net 38) (tstamp 519B4409))
+  (segment (start 63.225 74.625) (end 63.225 74.85) (width 0.1524) (layer TOP-L1) (net 38) (tstamp 519B4407))
+  (segment (start 63.45 74.4) (end 63.225 74.625) (width 0.1524) (layer TOP-L1) (net 38) (tstamp 519B4402))
+  (segment (start 68.6 74.4) (end 63.45 74.4) (width 0.1524) (layer TOP-L1) (net 38) (tstamp 519B4400))
+  (segment (start 60.25 72.55) (end 60.025 72.325) (width 0.1524) (layer TOP-L1) (net 39))
+  (segment (start 59.8 72.325) (end 59.675 72.45) (width 0.1524) (layer TOP-L1) (net 39) (tstamp 519B3947))
+  (segment (start 60.025 72.325) (end 59.8 72.325) (width 0.1524) (layer TOP-L1) (net 39) (tstamp 519B3946))
+  (segment (start 61.15 72.4) (end 61 72.4) (width 0.1524) (layer TOP-L1) (net 39))
+  (segment (start 60.35 72.65) (end 60.25 72.55) (width 0.1524) (layer TOP-L1) (net 39) (tstamp 519B235B))
+  (segment (start 60.75 72.65) (end 60.35 72.65) (width 0.1524) (layer TOP-L1) (net 39) (tstamp 519B2359))
+  (segment (start 61 72.4) (end 60.75 72.65) (width 0.1524) (layer TOP-L1) (net 39) (tstamp 519B2358))
+  (segment (start 59.55 72.675) (end 59.55 72.825) (width 0.1524) (layer TOP-L1) (net 39))
+  (segment (start 59.875 73.475) (end 59.675 73.675) (width 0.1524) (layer TOP-L1) (net 39) (tstamp 519B2348))
+  (segment (start 59.875 73.15) (end 59.875 73.475) (width 0.1524) (layer TOP-L1) (net 39) (tstamp 519B2347))
+  (segment (start 59.55 72.825) (end 59.875 73.15) (width 0.1524) (layer TOP-L1) (net 39) (tstamp 519B2344))
+  (segment (start 61.575 72.4) (end 61.15 72.4) (width 0.1524) (layer TOP-L1) (net 39))
+  (segment (start 60.05 75.775) (end 60.275 75.775) (width 0.1524) (layer TOP-L1) (net 39) (tstamp 519B232D))
+  (segment (start 59.575 75.3) (end 60.05 75.775) (width 0.1524) (layer TOP-L1) (net 39) (tstamp 519B2329))
+  (segment (start 59.575 73.775) (end 59.575 75.3) (width 0.1524) (layer TOP-L1) (net 39) (tstamp 519B2327))
+  (segment (start 59.675 73.675) (end 59.575 73.775) (width 0.1524) (layer TOP-L1) (net 39) (tstamp 519B234C))
+  (segment (start 59.55 72.575) (end 59.55 72.675) (width 0.1524) (layer TOP-L1) (net 39) (tstamp 519B2318))
+  (segment (start 59.675 72.45) (end 59.55 72.575) (width 0.1524) (layer TOP-L1) (net 39) (tstamp 519B394A))
+  (segment (start 68.2 72.4) (end 61.575 72.4) (width 0.1524) (layer TOP-L1) (net 39))
+  (segment (start 60.8 78) (end 60.4 78.4) (width 0.1524) (layer TOP-L1) (net 39) (tstamp 519B1692))
+  (segment (start 60.8 76.075) (end 60.8 78) (width 0.1524) (layer TOP-L1) (net 39) (tstamp 519B168A))
+  (segment (start 60.5 75.775) (end 60.8 76.075) (width 0.1524) (layer TOP-L1) (net 39) (tstamp 519B1689))
+  (segment (start 60.275 75.775) (end 60.5 75.775) (width 0.1524) (layer TOP-L1) (net 39) (tstamp 519B2330))
+  (segment (start 91.575 94.3) (end 85.875 88.6) (width 0.5) (layer Sig-L5) (net 40))
+  (via (at 91.575 94.3) (size 0.4572) (layers TOP-L1 BOT-L6) (net 40))
+  (segment (start 91.575 94.3) (end 91.6145 94.3395) (width 0.2) (layer TOP-L1) (net 40) (tstamp 51A73EEF))
+  (segment (start 92.47344 94.3395) (end 91.6145 94.3395) (width 0.2) (layer TOP-L1) (net 40) (tstamp 51A73EF0))
+  (segment (start 67.4475 90.4) (end 67.42 90.4275) (width 0.3) (layer TOP-L1) (net 40) (tstamp 51AF241A))
+  (segment (start 68.3 90.4) (end 67.4475 90.4) (width 0.3) (layer TOP-L1) (net 40) (tstamp 51AF2419))
+  (via (at 68.3 90.4) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 40))
+  (segment (start 70.1 88.6) (end 68.3 90.4) (width 0.5) (layer Sig-L5) (net 40) (tstamp 51AF2415))
+  (segment (start 85.875 88.6) (end 70.1 88.6) (width 0.5) (layer Sig-L5) (net 40) (tstamp 51AF240C))
+  (segment (start 112.025 93.15) (end 110.475 93.15) (width 0.1524) (layer Sig1-L3) (net 41))
+  (segment (start 75.4 77.775) (end 75.4 75.125) (width 0.1524) (layer Sig1-L3) (net 41) (tstamp 51AEC041))
+  (segment (start 78.45 80.825) (end 75.4 77.775) (width 0.1524) (layer Sig1-L3) (net 41) (tstamp 51AEC039))
+  (segment (start 103.8 80.825) (end 78.45 80.825) (width 0.1524) (layer Sig1-L3) (net 41) (tstamp 51AEC037))
+  (segment (start 108.35 85.375) (end 103.8 80.825) (width 0.1524) (layer Sig1-L3) (net 41) (tstamp 51AEC035))
+  (segment (start 108.35 91.025) (end 108.35 85.375) (width 0.1524) (layer Sig1-L3) (net 41) (tstamp 51AEC033))
+  (segment (start 110.475 93.15) (end 108.35 91.025) (width 0.1524) (layer Sig1-L3) (net 41) (tstamp 51AEC031))
+  (segment (start 117.6 93.15) (end 115.275 93.15) (width 0.1524) (layer TOP-L1) (net 41))
+  (segment (start 75 72.8) (end 74.6 72.4) (width 0.1524) (layer TOP-L1) (net 41) (tstamp 51AEB769))
+  (via (at 75 72.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 41))
+  (segment (start 75.4 73.2) (end 75 72.8) (width 0.1524) (layer Sig1-L3) (net 41) (tstamp 51AEB763))
+  (segment (start 75.4 75.125) (end 75.4 73.2) (width 0.1524) (layer Sig1-L3) (net 41) (tstamp 51AEC047))
+  (segment (start 115.275 93.15) (end 112.025 93.15) (width 0.1524) (layer Sig1-L3) (net 41) (tstamp 51AEB750))
+  (via (at 115.275 93.15) (size 0.4572) (layers TOP-L1 BOT-L6) (net 41))
+  (segment (start 79.8 74.75) (end 79.8 76.025) (width 0.1524) (layer TOP-L1) (net 42))
+  (segment (start 111.8 81.15) (end 115.925 81.15) (width 0.1524) (layer TOP-L1) (net 42) (tstamp 51AEBFE1))
+  (segment (start 108.4 77.75) (end 111.8 81.15) (width 0.1524) (layer TOP-L1) (net 42) (tstamp 51AEBFDF))
+  (segment (start 81.525 77.75) (end 108.4 77.75) (width 0.1524) (layer TOP-L1) (net 42) (tstamp 51AEBFDD))
+  (segment (start 79.8 76.025) (end 81.525 77.75) (width 0.1524) (layer TOP-L1) (net 42) (tstamp 51AEBFDB))
+  (segment (start 79.4 74) (end 79.8 74.4) (width 0.1524) (layer TOP-L1) (net 42))
+  (segment (start 115.925 81.15) (end 117.6 81.15) (width 0.1524) (layer TOP-L1) (net 42) (tstamp 51AEBFE5))
+  (segment (start 79.8 74.4) (end 79.8 74.75) (width 0.1524) (layer TOP-L1) (net 42) (tstamp 51ADE0D9))
+  (segment (start 116.025 81.65) (end 111.825 81.65) (width 0.1524) (layer TOP-L1) (net 43))
+  (segment (start 79.4 76.05) (end 79.4 75.175) (width 0.1524) (layer TOP-L1) (net 43) (tstamp 51AEBFAE))
+  (segment (start 81.4 78.05) (end 79.4 76.05) (width 0.1524) (layer TOP-L1) (net 43) (tstamp 51AEBFAC))
+  (segment (start 108.225 78.05) (end 81.4 78.05) (width 0.1524) (layer TOP-L1) (net 43) (tstamp 51AEBFAA))
+  (segment (start 111.825 81.65) (end 108.225 78.05) (width 0.1524) (layer TOP-L1) (net 43) (tstamp 51AEBFA8))
+  (segment (start 117.6 81.65) (end 116.025 81.65) (width 0.1524) (layer TOP-L1) (net 43))
+  (segment (start 79.4 75.175) (end 79.4 74.8) (width 0.1524) (layer TOP-L1) (net 43) (tstamp 51AEBFB2))
+  (segment (start 114.35 86.15) (end 113.15 86.15) (width 0.1524) (layer Sig1-L3) (net 44))
+  (segment (start 80.2 75.85) (end 80.2 73.9) (width 0.1524) (layer Sig1-L3) (net 44) (tstamp 51AEC13B))
+  (segment (start 81.775 77.425) (end 80.2 75.85) (width 0.1524) (layer Sig1-L3) (net 44) (tstamp 51AEC139))
+  (segment (start 106.6 77.425) (end 81.775 77.425) (width 0.1524) (layer Sig1-L3) (net 44) (tstamp 51AEC137))
+  (segment (start 112.3 83.125) (end 106.6 77.425) (width 0.1524) (layer Sig1-L3) (net 44) (tstamp 51AEC135))
+  (segment (start 112.3 85.3) (end 112.3 83.125) (width 0.1524) (layer Sig1-L3) (net 44) (tstamp 51AEC133))
+  (segment (start 113.15 86.15) (end 112.3 85.3) (width 0.1524) (layer Sig1-L3) (net 44) (tstamp 51AEC131))
+  (segment (start 117.6 86.15) (end 114.925 86.15) (width 0.1524) (layer TOP-L1) (net 44))
+  (segment (start 79.8 72.8) (end 79.4 72.4) (width 0.1524) (layer TOP-L1) (net 44) (tstamp 51AEB914))
+  (via (at 79.8 72.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 44))
+  (segment (start 80.2 73.2) (end 79.8 72.8) (width 0.1524) (layer Sig1-L3) (net 44) (tstamp 51AEB90F))
+  (segment (start 80.2 73.9) (end 80.2 73.2) (width 0.1524) (layer Sig1-L3) (net 44) (tstamp 51AEC13F))
+  (segment (start 114.925 86.15) (end 114.35 86.15) (width 0.1524) (layer Sig1-L3) (net 44) (tstamp 51AEB8F3))
+  (via (at 114.925 86.15) (size 0.4572) (layers TOP-L1 BOT-L6) (net 44))
+  (segment (start 79.8 74) (end 79.8 76.025) (width 0.1524) (layer Sig1-L3) (net 45))
+  (segment (start 112.925 86.65) (end 113.95 86.65) (width 0.1524) (layer Sig1-L3) (net 45) (tstamp 51AEC129))
+  (segment (start 112 85.725) (end 112.925 86.65) (width 0.1524) (layer Sig1-L3) (net 45) (tstamp 51AEC127))
+  (segment (start 112 83.325) (end 112 85.725) (width 0.1524) (layer Sig1-L3) (net 45) (tstamp 51AEC125))
+  (segment (start 106.425 77.75) (end 112 83.325) (width 0.1524) (layer Sig1-L3) (net 45) (tstamp 51AEC123))
+  (segment (start 81.525 77.75) (end 106.425 77.75) (width 0.1524) (layer Sig1-L3) (net 45) (tstamp 51AEC121))
+  (segment (start 79.8 76.025) (end 81.525 77.75) (width 0.1524) (layer Sig1-L3) (net 45) (tstamp 51AEC11F))
+  (segment (start 79.4 73.2) (end 79.8 73.6) (width 0.1524) (layer TOP-L1) (net 45))
+  (segment (start 115.85 86.65) (end 117.6 86.65) (width 0.1524) (layer TOP-L1) (net 45) (tstamp 51AEB8EE))
+  (via (at 115.85 86.65) (size 0.4572) (layers TOP-L1 BOT-L6) (net 45))
+  (segment (start 113.95 86.65) (end 115.85 86.65) (width 0.1524) (layer Sig1-L3) (net 45) (tstamp 51AEC12D))
+  (segment (start 79.8 73.6) (end 79.8 74) (width 0.1524) (layer Sig1-L3) (net 45) (tstamp 51AEB8DA))
+  (via (at 79.8 73.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 45))
+  (segment (start 113.825 87.15) (end 112.325 87.15) (width 0.1524) (layer Sig1-L3) (net 46))
+  (segment (start 79.4 76.05) (end 79.4 74.05) (width 0.1524) (layer Sig1-L3) (net 46) (tstamp 51AEC117))
+  (segment (start 81.4 78.05) (end 79.4 76.05) (width 0.1524) (layer Sig1-L3) (net 46) (tstamp 51AEC115))
+  (segment (start 106.15 78.05) (end 81.4 78.05) (width 0.1524) (layer Sig1-L3) (net 46) (tstamp 51AEC113))
+  (segment (start 111.675 83.575) (end 106.15 78.05) (width 0.1524) (layer Sig1-L3) (net 46) (tstamp 51AEC111))
+  (segment (start 111.675 86.5) (end 111.675 83.575) (width 0.1524) (layer Sig1-L3) (net 46) (tstamp 51AEC10F))
+  (segment (start 112.325 87.15) (end 111.675 86.5) (width 0.1524) (layer Sig1-L3) (net 46) (tstamp 51AEC10E))
+  (segment (start 117.6 87.15) (end 115.125 87.15) (width 0.1524) (layer TOP-L1) (net 46))
+  (segment (start 79 72.8) (end 78.6 72.4) (width 0.1524) (layer TOP-L1) (net 46) (tstamp 51AEB8BE))
+  (via (at 79 72.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 46))
+  (segment (start 79.4 73.2) (end 79 72.8) (width 0.1524) (layer Sig1-L3) (net 46) (tstamp 51AEB8BA))
+  (segment (start 79.4 74.05) (end 79.4 73.2) (width 0.1524) (layer Sig1-L3) (net 46) (tstamp 51AEC11B))
+  (segment (start 115.125 87.15) (end 113.825 87.15) (width 0.1524) (layer Sig1-L3) (net 46) (tstamp 51AEB8A1))
+  (via (at 115.125 87.15) (size 0.4572) (layers TOP-L1 BOT-L6) (net 46))
+  (segment (start 79 74.025) (end 79 76.2) (width 0.1524) (layer Sig1-L3) (net 47))
+  (segment (start 111.95 87.65) (end 113.3 87.65) (width 0.1524) (layer Sig1-L3) (net 47) (tstamp 51AEC106))
+  (segment (start 111.3 87) (end 111.95 87.65) (width 0.1524) (layer Sig1-L3) (net 47) (tstamp 51AEC101))
+  (segment (start 111.3 83.75) (end 111.3 87) (width 0.1524) (layer Sig1-L3) (net 47) (tstamp 51AEC0FD))
+  (segment (start 105.875 78.325) (end 111.3 83.75) (width 0.1524) (layer Sig1-L3) (net 47) (tstamp 51AEC0FA))
+  (segment (start 81.125 78.325) (end 105.875 78.325) (width 0.1524) (layer Sig1-L3) (net 47) (tstamp 51AEC0F8))
+  (segment (start 79 76.2) (end 81.125 78.325) (width 0.1524) (layer Sig1-L3) (net 47) (tstamp 51AEC0F6))
+  (segment (start 78.6 73.2) (end 79 73.6) (width 0.1524) (layer TOP-L1) (net 47))
+  (segment (start 115.9 87.65) (end 117.6 87.65) (width 0.1524) (layer TOP-L1) (net 47) (tstamp 51AEB89C))
+  (via (at 115.9 87.65) (size 0.4572) (layers TOP-L1 BOT-L6) (net 47))
+  (segment (start 113.3 87.65) (end 115.9 87.65) (width 0.1524) (layer Sig1-L3) (net 47) (tstamp 51AEC10A))
+  (segment (start 79 73.6) (end 79 74.025) (width 0.1524) (layer Sig1-L3) (net 47) (tstamp 51AEB88A))
+  (via (at 79 73.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 47))
+  (segment (start 113.225 88.15) (end 111.725 88.15) (width 0.1524) (layer Sig1-L3) (net 48))
+  (segment (start 78.6 76.25) (end 78.6 74.2) (width 0.1524) (layer Sig1-L3) (net 48) (tstamp 51AEC0EE))
+  (segment (start 80.95 78.6) (end 78.6 76.25) (width 0.1524) (layer Sig1-L3) (net 48) (tstamp 51AEC0EC))
+  (segment (start 105.625 78.6) (end 80.95 78.6) (width 0.1524) (layer Sig1-L3) (net 48) (tstamp 51AEC0EA))
+  (segment (start 111 83.975) (end 105.625 78.6) (width 0.1524) (layer Sig1-L3) (net 48) (tstamp 51AEC0E8))
+  (segment (start 111 87.425) (end 111 83.975) (width 0.1524) (layer Sig1-L3) (net 48) (tstamp 51AEC0E7))
+  (segment (start 111.725 88.15) (end 111 87.425) (width 0.1524) (layer Sig1-L3) (net 48) (tstamp 51AEC0E5))
+  (segment (start 117.6 88.15) (end 115.025 88.15) (width 0.1524) (layer TOP-L1) (net 48))
+  (segment (start 78.2 72.8) (end 77.8 72.4) (width 0.1524) (layer TOP-L1) (net 48) (tstamp 51AEB87F))
+  (via (at 78.2 72.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 48))
+  (segment (start 78.6 73.2) (end 78.2 72.8) (width 0.1524) (layer Sig1-L3) (net 48) (tstamp 51AEB877))
+  (segment (start 78.6 74.2) (end 78.6 73.2) (width 0.1524) (layer Sig1-L3) (net 48) (tstamp 51AEC0F2))
+  (segment (start 115.025 88.15) (end 113.225 88.15) (width 0.1524) (layer Sig1-L3) (net 48) (tstamp 51AEB860))
+  (via (at 115.025 88.15) (size 0.4572) (layers TOP-L1 BOT-L6) (net 48))
+  (segment (start 78.2 74.375) (end 78.2 76.35) (width 0.1524) (layer Sig1-L3) (net 49))
+  (segment (start 111.6 88.65) (end 112.825 88.65) (width 0.1524) (layer Sig1-L3) (net 49) (tstamp 51AEC0DD))
+  (segment (start 110.675 87.725) (end 111.6 88.65) (width 0.1524) (layer Sig1-L3) (net 49) (tstamp 51AEC0DB))
+  (segment (start 110.675 84.175) (end 110.675 87.725) (width 0.1524) (layer Sig1-L3) (net 49) (tstamp 51AEC0D9))
+  (segment (start 105.375 78.875) (end 110.675 84.175) (width 0.1524) (layer Sig1-L3) (net 49) (tstamp 51AEC0D7))
+  (segment (start 80.725 78.875) (end 105.375 78.875) (width 0.1524) (layer Sig1-L3) (net 49) (tstamp 51AEC0D5))
+  (segment (start 78.2 76.35) (end 80.725 78.875) (width 0.1524) (layer Sig1-L3) (net 49) (tstamp 51AEC0D4))
+  (segment (start 77.8 73.2) (end 78.2 73.6) (width 0.1524) (layer TOP-L1) (net 49))
+  (segment (start 115.875 88.65) (end 117.6 88.65) (width 0.1524) (layer TOP-L1) (net 49) (tstamp 51AEB85B))
+  (via (at 115.875 88.65) (size 0.4572) (layers TOP-L1 BOT-L6) (net 49))
+  (segment (start 112.825 88.65) (end 115.875 88.65) (width 0.1524) (layer Sig1-L3) (net 49) (tstamp 51AEC0E1))
+  (segment (start 78.2 73.6) (end 78.2 74.375) (width 0.1524) (layer Sig1-L3) (net 49) (tstamp 51AEB833))
+  (via (at 78.2 73.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 49))
+  (segment (start 112.725 89.15) (end 111.3 89.15) (width 0.1524) (layer Sig1-L3) (net 50))
+  (segment (start 77.8 76.425) (end 77.8 74.475) (width 0.1524) (layer Sig1-L3) (net 50) (tstamp 51AEC0CC))
+  (segment (start 80.525 79.15) (end 77.8 76.425) (width 0.1524) (layer Sig1-L3) (net 50) (tstamp 51AEC0C9))
+  (segment (start 105.1 79.15) (end 80.525 79.15) (width 0.1524) (layer Sig1-L3) (net 50) (tstamp 51AEC0C7))
+  (segment (start 110.35 84.4) (end 105.1 79.15) (width 0.1524) (layer Sig1-L3) (net 50) (tstamp 51AEC0C6))
+  (segment (start 110.35 88.2) (end 110.35 84.4) (width 0.1524) (layer Sig1-L3) (net 50) (tstamp 51AEC0C4))
+  (segment (start 111.3 89.15) (end 110.35 88.2) (width 0.1524) (layer Sig1-L3) (net 50) (tstamp 51AEC0C2))
+  (segment (start 117.6 89.15) (end 115.075 89.15) (width 0.1524) (layer TOP-L1) (net 50))
+  (segment (start 77.4 72.8) (end 77 72.4) (width 0.1524) (layer TOP-L1) (net 50) (tstamp 51AEB82A))
+  (via (at 77.4 72.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 50))
+  (segment (start 77.8 73.2) (end 77.4 72.8) (width 0.1524) (layer Sig1-L3) (net 50) (tstamp 51AEB827))
+  (segment (start 77.8 74.475) (end 77.8 73.2) (width 0.1524) (layer Sig1-L3) (net 50) (tstamp 51AEC0D0))
+  (segment (start 115.075 89.15) (end 112.725 89.15) (width 0.1524) (layer Sig1-L3) (net 50) (tstamp 51AEB819))
+  (via (at 115.075 89.15) (size 0.4572) (layers TOP-L1 BOT-L6) (net 50))
+  (segment (start 77.4 74.675) (end 77.4 76.8) (width 0.1524) (layer Sig1-L3) (net 51))
+  (segment (start 111.35 89.65) (end 112.4 89.65) (width 0.1524) (layer Sig1-L3) (net 51) (tstamp 51AEC0BA))
+  (segment (start 110.075 88.375) (end 111.35 89.65) (width 0.1524) (layer Sig1-L3) (net 51) (tstamp 51AEC0B7))
+  (segment (start 110.075 84.525) (end 110.075 88.375) (width 0.1524) (layer Sig1-L3) (net 51) (tstamp 51AEC0B5))
+  (segment (start 104.975 79.425) (end 110.075 84.525) (width 0.1524) (layer Sig1-L3) (net 51) (tstamp 51AEC0A8))
+  (segment (start 80.025 79.425) (end 104.975 79.425) (width 0.1524) (layer Sig1-L3) (net 51) (tstamp 51AEC0A6))
+  (segment (start 77.4 76.8) (end 80.025 79.425) (width 0.1524) (layer Sig1-L3) (net 51) (tstamp 51AEC0A4))
+  (segment (start 77 73.2) (end 77.4 73.6) (width 0.1524) (layer TOP-L1) (net 51))
+  (segment (start 115.875 89.65) (end 117.6 89.65) (width 0.1524) (layer TOP-L1) (net 51) (tstamp 51AEB814))
+  (via (at 115.875 89.65) (size 0.4572) (layers TOP-L1 BOT-L6) (net 51))
+  (segment (start 112.4 89.65) (end 115.875 89.65) (width 0.1524) (layer Sig1-L3) (net 51) (tstamp 51AEC0BE))
+  (segment (start 77.4 73.6) (end 77.4 74.675) (width 0.1524) (layer Sig1-L3) (net 51) (tstamp 51AEB7FD))
+  (via (at 77.4 73.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 51))
+  (segment (start 112.75 90.125) (end 111.175 90.125) (width 0.1524) (layer Sig1-L3) (net 52))
+  (segment (start 77 76.9) (end 77 74.45) (width 0.1524) (layer Sig1-L3) (net 52) (tstamp 51AEC09B))
+  (segment (start 79.825 79.725) (end 77 76.9) (width 0.1524) (layer Sig1-L3) (net 52) (tstamp 51AEC098))
+  (segment (start 104.725 79.725) (end 79.825 79.725) (width 0.1524) (layer Sig1-L3) (net 52) (tstamp 51AEC096))
+  (segment (start 109.7 84.7) (end 104.725 79.725) (width 0.1524) (layer Sig1-L3) (net 52) (tstamp 51AEC094))
+  (segment (start 109.7 88.65) (end 109.7 84.7) (width 0.1524) (layer Sig1-L3) (net 52) (tstamp 51AEC092))
+  (segment (start 111.175 90.125) (end 109.7 88.65) (width 0.1524) (layer Sig1-L3) (net 52) (tstamp 51AEC090))
+  (segment (start 117.6 90.125) (end 115.075 90.125) (width 0.1524) (layer TOP-L1) (net 52))
+  (segment (start 76.6 72.8) (end 76.2 72.4) (width 0.1524) (layer TOP-L1) (net 52) (tstamp 51AEB7F1))
+  (via (at 76.6 72.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 52))
+  (segment (start 77 73.2) (end 76.6 72.8) (width 0.1524) (layer Sig1-L3) (net 52) (tstamp 51AEB7EB))
+  (segment (start 77 74.45) (end 77 73.2) (width 0.1524) (layer Sig1-L3) (net 52) (tstamp 51AEC0A0))
+  (segment (start 115.075 90.125) (end 112.75 90.125) (width 0.1524) (layer Sig1-L3) (net 52) (tstamp 51AEB7D9))
+  (via (at 115.075 90.125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 52))
+  (segment (start 76.6 74.875) (end 76.6 77) (width 0.1524) (layer Sig1-L3) (net 53))
+  (segment (start 111.2 90.65) (end 112.725 90.65) (width 0.1524) (layer Sig1-L3) (net 53) (tstamp 51AEC088))
+  (segment (start 109.4 88.85) (end 111.2 90.65) (width 0.1524) (layer Sig1-L3) (net 53) (tstamp 51AEC083))
+  (segment (start 109.4 84.95) (end 109.4 88.85) (width 0.1524) (layer Sig1-L3) (net 53) (tstamp 51AEC081))
+  (segment (start 104.45 80) (end 109.4 84.95) (width 0.1524) (layer Sig1-L3) (net 53) (tstamp 51AEC07F))
+  (segment (start 79.6 80) (end 104.45 80) (width 0.1524) (layer Sig1-L3) (net 53) (tstamp 51AEC07D))
+  (segment (start 76.6 77) (end 79.6 80) (width 0.1524) (layer Sig1-L3) (net 53) (tstamp 51AEC07B))
+  (segment (start 76.2 73.2) (end 76.6 73.6) (width 0.1524) (layer TOP-L1) (net 53))
+  (segment (start 115.925 90.65) (end 117.6 90.65) (width 0.1524) (layer TOP-L1) (net 53) (tstamp 51AEB7D4))
+  (via (at 115.925 90.65) (size 0.4572) (layers TOP-L1 BOT-L6) (net 53))
+  (segment (start 112.725 90.65) (end 115.925 90.65) (width 0.1524) (layer Sig1-L3) (net 53) (tstamp 51AEC08C))
+  (segment (start 76.6 74.825) (end 76.6 74.875) (width 0.1524) (layer Sig1-L3) (net 53) (tstamp 51AEB7B9))
+  (segment (start 76.6 73.6) (end 76.6 74.825) (width 0.1524) (layer Sig1-L3) (net 53) (tstamp 51AEB7B8))
+  (via (at 76.6 73.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 53))
+  (segment (start 79 75.025) (end 79 76.2) (width 0.1524) (layer TOP-L1) (net 54))
+  (segment (start 111.85 82.15) (end 115.975 82.15) (width 0.1524) (layer TOP-L1) (net 54) (tstamp 51AEBFA0))
+  (segment (start 108.025 78.325) (end 111.85 82.15) (width 0.1524) (layer TOP-L1) (net 54) (tstamp 51AEBF9E))
+  (segment (start 81.125 78.325) (end 108.025 78.325) (width 0.1524) (layer TOP-L1) (net 54) (tstamp 51AEBF9C))
+  (segment (start 79 76.2) (end 81.125 78.325) (width 0.1524) (layer TOP-L1) (net 54) (tstamp 51AEBF9B))
+  (segment (start 78.6 74) (end 79 74.4) (width 0.1524) (layer TOP-L1) (net 54))
+  (segment (start 115.975 82.15) (end 117.6 82.15) (width 0.1524) (layer TOP-L1) (net 54) (tstamp 51AEBFA4))
+  (segment (start 79 74.4) (end 79 75.025) (width 0.1524) (layer TOP-L1) (net 54) (tstamp 51ADE0F8))
+  (segment (start 112.875 91.15) (end 110.8 91.15) (width 0.1524) (layer Sig1-L3) (net 55))
+  (segment (start 76.2 77.15) (end 76.2 75.025) (width 0.1524) (layer Sig1-L3) (net 55) (tstamp 51AEC072))
+  (segment (start 79.325 80.275) (end 76.2 77.15) (width 0.1524) (layer Sig1-L3) (net 55) (tstamp 51AEC06F))
+  (segment (start 104.275 80.275) (end 79.325 80.275) (width 0.1524) (layer Sig1-L3) (net 55) (tstamp 51AEC06E))
+  (segment (start 109.075 85.075) (end 104.275 80.275) (width 0.1524) (layer Sig1-L3) (net 55) (tstamp 51AEC06C))
+  (segment (start 109.075 89.425) (end 109.075 85.075) (width 0.1524) (layer Sig1-L3) (net 55) (tstamp 51AEC06A))
+  (segment (start 110.8 91.15) (end 109.075 89.425) (width 0.1524) (layer Sig1-L3) (net 55) (tstamp 51AEC068))
+  (segment (start 117.6 91.15) (end 115.175 91.15) (width 0.1524) (layer TOP-L1) (net 55))
+  (segment (start 75.8 72.8) (end 75.4 72.4) (width 0.1524) (layer TOP-L1) (net 55) (tstamp 51AEB7AD))
+  (via (at 75.8 72.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 55))
+  (segment (start 76.2 73.2) (end 75.8 72.8) (width 0.1524) (layer Sig1-L3) (net 55) (tstamp 51AEB7A7))
+  (segment (start 76.2 75.025) (end 76.2 73.2) (width 0.1524) (layer Sig1-L3) (net 55) (tstamp 51AEC077))
+  (segment (start 115.175 91.15) (end 112.875 91.15) (width 0.1524) (layer Sig1-L3) (net 55) (tstamp 51AEB793))
+  (via (at 115.175 91.15) (size 0.4572) (layers TOP-L1 BOT-L6) (net 55))
+  (segment (start 75.8 75.075) (end 75.8 77.35) (width 0.1524) (layer Sig1-L3) (net 56))
+  (segment (start 110.75 91.65) (end 112.8 91.65) (width 0.1524) (layer Sig1-L3) (net 56) (tstamp 51AEC060))
+  (segment (start 108.7 89.6) (end 110.75 91.65) (width 0.1524) (layer Sig1-L3) (net 56) (tstamp 51AEC058))
+  (segment (start 108.7 85.175) (end 108.7 89.6) (width 0.1524) (layer Sig1-L3) (net 56) (tstamp 51AEC057))
+  (segment (start 104.075 80.55) (end 108.7 85.175) (width 0.1524) (layer Sig1-L3) (net 56) (tstamp 51AEC052))
+  (segment (start 79 80.55) (end 104.075 80.55) (width 0.1524) (layer Sig1-L3) (net 56) (tstamp 51AEC050))
+  (segment (start 75.8 77.35) (end 79 80.55) (width 0.1524) (layer Sig1-L3) (net 56) (tstamp 51AEC04B))
+  (segment (start 75.4 73.2) (end 75.8 73.6) (width 0.1524) (layer TOP-L1) (net 56))
+  (segment (start 115.825 91.65) (end 117.6 91.65) (width 0.1524) (layer TOP-L1) (net 56) (tstamp 51AEB78E))
+  (via (at 115.825 91.65) (size 0.4572) (layers TOP-L1 BOT-L6) (net 56))
+  (segment (start 112.8 91.65) (end 115.825 91.65) (width 0.1524) (layer Sig1-L3) (net 56) (tstamp 51AEC064))
+  (segment (start 75.8 73.6) (end 75.8 75.075) (width 0.1524) (layer Sig1-L3) (net 56) (tstamp 51AEB772))
+  (via (at 75.8 73.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 56))
+  (segment (start 114.25 92.15) (end 111.8 92.15) (width 0.1524) (layer TOP-L1) (net 57))
+  (segment (start 75.8 77.35) (end 75.8 75.425) (width 0.1524) (layer TOP-L1) (net 57) (tstamp 51AEBF0A))
+  (segment (start 79 80.55) (end 75.8 77.35) (width 0.1524) (layer TOP-L1) (net 57) (tstamp 51AEBF08))
+  (segment (start 105.55 80.55) (end 79 80.55) (width 0.1524) (layer TOP-L1) (net 57) (tstamp 51AEBF06))
+  (segment (start 109.7 84.7) (end 105.55 80.55) (width 0.1524) (layer TOP-L1) (net 57) (tstamp 51AEBF04))
+  (segment (start 109.7 90.05) (end 109.7 84.7) (width 0.1524) (layer TOP-L1) (net 57) (tstamp 51AEBF02))
+  (segment (start 111.8 92.15) (end 109.7 90.05) (width 0.1524) (layer TOP-L1) (net 57) (tstamp 51AEBF00))
+  (segment (start 75.4 74) (end 75.8 74.4) (width 0.1524) (layer TOP-L1) (net 57))
+  (segment (start 75.8 74.4) (end 75.8 75.425) (width 0.1524) (layer TOP-L1) (net 57) (tstamp 51ADE179))
+  (segment (start 114.25 92.15) (end 117.6 92.15) (width 0.1524) (layer TOP-L1) (net 57) (tstamp 51AEBEFE))
+  (segment (start 75.4 75.85) (end 75.4 77.775) (width 0.1524) (layer TOP-L1) (net 58))
+  (segment (start 111.825 92.65) (end 114.425 92.65) (width 0.1524) (layer TOP-L1) (net 58) (tstamp 51AEBEF8))
+  (segment (start 109.4 90.225) (end 111.825 92.65) (width 0.1524) (layer TOP-L1) (net 58) (tstamp 51AEBEF6))
+  (segment (start 109.4 84.95) (end 109.4 90.225) (width 0.1524) (layer TOP-L1) (net 58) (tstamp 51AEBEF4))
+  (segment (start 105.275 80.825) (end 109.4 84.95) (width 0.1524) (layer TOP-L1) (net 58) (tstamp 51AEBEF2))
+  (segment (start 78.45 80.825) (end 105.275 80.825) (width 0.1524) (layer TOP-L1) (net 58) (tstamp 51AEBEF0))
+  (segment (start 75.4 77.775) (end 78.45 80.825) (width 0.1524) (layer TOP-L1) (net 58) (tstamp 51AEBEEE))
+  (segment (start 75.4 74.8) (end 75.4 75.85) (width 0.1524) (layer TOP-L1) (net 58))
+  (segment (start 114.425 92.65) (end 117.6 92.65) (width 0.1524) (layer TOP-L1) (net 58) (tstamp 51AEBEFC))
+  (segment (start 116 82.65) (end 111.825 82.65) (width 0.1524) (layer TOP-L1) (net 59))
+  (segment (start 78.6 76.25) (end 78.6 75.325) (width 0.1524) (layer TOP-L1) (net 59) (tstamp 51AEBF93))
+  (segment (start 80.95 78.6) (end 78.6 76.25) (width 0.1524) (layer TOP-L1) (net 59) (tstamp 51AEBF91))
+  (segment (start 107.775 78.6) (end 80.95 78.6) (width 0.1524) (layer TOP-L1) (net 59) (tstamp 51AEBF8F))
+  (segment (start 111.825 82.65) (end 107.775 78.6) (width 0.1524) (layer TOP-L1) (net 59) (tstamp 51AEBF8D))
+  (segment (start 117.6 82.65) (end 116 82.65) (width 0.1524) (layer TOP-L1) (net 59))
+  (segment (start 78.6 75.325) (end 78.6 74.8) (width 0.1524) (layer TOP-L1) (net 59) (tstamp 51AEBF97))
+  (segment (start 78.2 75.2) (end 78.2 76.35) (width 0.1524) (layer TOP-L1) (net 60))
+  (segment (start 111.825 83.15) (end 115.95 83.15) (width 0.1524) (layer TOP-L1) (net 60) (tstamp 51AEBF85))
+  (segment (start 107.55 78.875) (end 111.825 83.15) (width 0.1524) (layer TOP-L1) (net 60) (tstamp 51AEBF83))
+  (segment (start 80.725 78.875) (end 107.55 78.875) (width 0.1524) (layer TOP-L1) (net 60) (tstamp 51AEBF81))
+  (segment (start 78.2 76.35) (end 80.725 78.875) (width 0.1524) (layer TOP-L1) (net 60) (tstamp 51AEBF80))
+  (segment (start 77.8 74) (end 78.2 74.4) (width 0.1524) (layer TOP-L1) (net 60))
+  (segment (start 115.95 83.15) (end 117.6 83.15) (width 0.1524) (layer TOP-L1) (net 60) (tstamp 51AEBF89))
+  (segment (start 78.2 74.4) (end 78.2 75.2) (width 0.1524) (layer TOP-L1) (net 60) (tstamp 51ADE129))
+  (segment (start 116.025 83.65) (end 111.75 83.65) (width 0.1524) (layer TOP-L1) (net 61))
+  (segment (start 77.8 76.425) (end 77.8 75.25) (width 0.1524) (layer TOP-L1) (net 61) (tstamp 51AEBF78))
+  (segment (start 80.525 79.15) (end 77.8 76.425) (width 0.1524) (layer TOP-L1) (net 61) (tstamp 51AEBF76))
+  (segment (start 107.25 79.15) (end 80.525 79.15) (width 0.1524) (layer TOP-L1) (net 61) (tstamp 51AEBF74))
+  (segment (start 111.75 83.65) (end 107.25 79.15) (width 0.1524) (layer TOP-L1) (net 61) (tstamp 51AEBF72))
+  (segment (start 117.6 83.65) (end 116.025 83.65) (width 0.1524) (layer TOP-L1) (net 61))
+  (segment (start 77.8 75.25) (end 77.8 74.8) (width 0.1524) (layer TOP-L1) (net 61) (tstamp 51AEBF7C))
+  (segment (start 77.4 75.175) (end 77.4 76.8) (width 0.1524) (layer TOP-L1) (net 62))
+  (segment (start 111.7 84.15) (end 116.1 84.15) (width 0.1524) (layer TOP-L1) (net 62) (tstamp 51AEBF68))
+  (segment (start 106.975 79.425) (end 111.7 84.15) (width 0.1524) (layer TOP-L1) (net 62) (tstamp 51AEBF64))
+  (segment (start 80.025 79.425) (end 106.975 79.425) (width 0.1524) (layer TOP-L1) (net 62) (tstamp 51AEBF62))
+  (segment (start 77.4 76.8) (end 80.025 79.425) (width 0.1524) (layer TOP-L1) (net 62) (tstamp 51AEBF61))
+  (segment (start 77 74) (end 77.4 74.4) (width 0.1524) (layer TOP-L1) (net 62))
+  (segment (start 116.1 84.15) (end 117.6 84.15) (width 0.1524) (layer TOP-L1) (net 62) (tstamp 51AEBF6E))
+  (segment (start 77.4 74.4) (end 77.4 75.175) (width 0.1524) (layer TOP-L1) (net 62) (tstamp 51ADE141))
+  (segment (start 77 75.4) (end 77 76.9) (width 0.1524) (layer TOP-L1) (net 63))
+  (segment (start 111.7 84.65) (end 116.1 84.65) (width 0.1524) (layer TOP-L1) (net 63) (tstamp 51AEBF3A))
+  (segment (start 106.775 79.725) (end 111.7 84.65) (width 0.1524) (layer TOP-L1) (net 63) (tstamp 51AEBF38))
+  (segment (start 79.825 79.725) (end 106.775 79.725) (width 0.1524) (layer TOP-L1) (net 63) (tstamp 51AEBF36))
+  (segment (start 77 76.9) (end 79.825 79.725) (width 0.1524) (layer TOP-L1) (net 63) (tstamp 51AEBF35))
+  (segment (start 117.6 84.65) (end 116.1 84.65) (width 0.1524) (layer TOP-L1) (net 63))
+  (segment (start 77 75.4) (end 77 74.8) (width 0.1524) (layer TOP-L1) (net 63) (tstamp 51AEBF33))
+  (segment (start 116.1 85.15) (end 111.6 85.15) (width 0.1524) (layer TOP-L1) (net 64))
+  (segment (start 76.6 77) (end 76.6 75.775) (width 0.1524) (layer TOP-L1) (net 64) (tstamp 51AEBF2D))
+  (segment (start 79.6 80) (end 76.6 77) (width 0.1524) (layer TOP-L1) (net 64) (tstamp 51AEBF2B))
+  (segment (start 106.45 80) (end 79.6 80) (width 0.1524) (layer TOP-L1) (net 64) (tstamp 51AEBF29))
+  (segment (start 111.6 85.15) (end 106.45 80) (width 0.1524) (layer TOP-L1) (net 64) (tstamp 51AEBF27))
+  (segment (start 76.2 74) (end 76.6 74.4) (width 0.1524) (layer TOP-L1) (net 64))
+  (segment (start 76.6 74.4) (end 76.6 75.775) (width 0.1524) (layer TOP-L1) (net 64) (tstamp 51ADE15D))
+  (segment (start 116.1 85.15) (end 117.6 85.15) (width 0.1524) (layer TOP-L1) (net 64) (tstamp 51AEBF25))
+  (segment (start 76.2 75.35) (end 76.2 77.15) (width 0.1524) (layer TOP-L1) (net 65))
+  (segment (start 111.6 85.65) (end 116.125 85.65) (width 0.1524) (layer TOP-L1) (net 65) (tstamp 51AEBF1E))
+  (segment (start 106.225 80.275) (end 111.6 85.65) (width 0.1524) (layer TOP-L1) (net 65) (tstamp 51AEBF16))
+  (segment (start 79.325 80.275) (end 106.225 80.275) (width 0.1524) (layer TOP-L1) (net 65) (tstamp 51AEBF14))
+  (segment (start 76.2 77.15) (end 79.325 80.275) (width 0.1524) (layer TOP-L1) (net 65) (tstamp 51AEBF12))
+  (segment (start 117.6 85.65) (end 116.125 85.65) (width 0.1524) (layer TOP-L1) (net 65))
+  (segment (start 76.2 75.35) (end 76.2 74.8) (width 0.1524) (layer TOP-L1) (net 65) (tstamp 51AEBF10))
+  (segment (start 75 74.925) (end 75 77.875) (width 0.1524) (layer Sig1-L3) (net 66))
+  (segment (start 110.425 93.65) (end 112.275 93.65) (width 0.1524) (layer Sig1-L3) (net 66) (tstamp 51AEC029))
+  (segment (start 107.925 91.15) (end 110.425 93.65) (width 0.1524) (layer Sig1-L3) (net 66) (tstamp 51AEC027))
+  (segment (start 107.925 85.5) (end 107.925 91.15) (width 0.1524) (layer Sig1-L3) (net 66) (tstamp 51AEC025))
+  (segment (start 103.525 81.1) (end 107.925 85.5) (width 0.1524) (layer Sig1-L3) (net 66) (tstamp 51AEC021))
+  (segment (start 78.225 81.1) (end 103.525 81.1) (width 0.1524) (layer Sig1-L3) (net 66) (tstamp 51AEC01F))
+  (segment (start 75 77.875) (end 78.225 81.1) (width 0.1524) (layer Sig1-L3) (net 66) (tstamp 51AEC018))
+  (segment (start 74.6 73.2) (end 75 73.6) (width 0.1524) (layer TOP-L1) (net 66))
+  (segment (start 115.85 93.65) (end 117.6 93.65) (width 0.1524) (layer TOP-L1) (net 66) (tstamp 51AEB74B))
+  (via (at 115.85 93.65) (size 0.4572) (layers TOP-L1 BOT-L6) (net 66))
+  (segment (start 112.275 93.65) (end 115.85 93.65) (width 0.1524) (layer Sig1-L3) (net 66) (tstamp 51AEC02D))
+  (segment (start 75 73.6) (end 75 74.925) (width 0.1524) (layer Sig1-L3) (net 66) (tstamp 51AEB727))
+  (via (at 75 73.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 66))
+  (segment (start 114.525 94.15) (end 112.125 94.15) (width 0.1524) (layer TOP-L1) (net 67))
+  (segment (start 75 77.875) (end 75 75.75) (width 0.1524) (layer TOP-L1) (net 67) (tstamp 51AEBEE6))
+  (segment (start 78.225 81.1) (end 75 77.875) (width 0.1524) (layer TOP-L1) (net 67) (tstamp 51AEBEE1))
+  (segment (start 105.1 81.1) (end 78.225 81.1) (width 0.1524) (layer TOP-L1) (net 67) (tstamp 51AEBEDF))
+  (segment (start 109.075 85.075) (end 105.1 81.1) (width 0.1524) (layer TOP-L1) (net 67) (tstamp 51AEBEDD))
+  (segment (start 109.075 91.1) (end 109.075 85.075) (width 0.1524) (layer TOP-L1) (net 67) (tstamp 51AEBEDB))
+  (segment (start 112.125 94.15) (end 109.075 91.1) (width 0.1524) (layer TOP-L1) (net 67) (tstamp 51AEBED9))
+  (segment (start 74.6 74) (end 75 74.4) (width 0.1524) (layer TOP-L1) (net 67))
+  (segment (start 75 74.4) (end 75 75.75) (width 0.1524) (layer TOP-L1) (net 67) (tstamp 51ADE1BC))
+  (segment (start 114.525 94.15) (end 117.6 94.15) (width 0.1524) (layer TOP-L1) (net 67) (tstamp 51AEBED7))
+  (segment (start 85.525 74.4) (end 86.25 74.4) (width 0.1524) (layer TOP-L1) (net 68))
+  (segment (start 99.725 75.3) (end 99.95 75.525) (width 0.1524) (layer TOP-L1) (net 68) (tstamp 51AED7E7))
+  (segment (start 87.15 75.3) (end 99.725 75.3) (width 0.1524) (layer TOP-L1) (net 68) (tstamp 51AED7E5))
+  (segment (start 86.25 74.4) (end 87.15 75.3) (width 0.1524) (layer TOP-L1) (net 68) (tstamp 51AED7E2))
+  (segment (start 120.4 81) (end 116.775 81) (width 0.1524) (layer BOT-L6) (net 68))
+  (segment (start 100.075 75.65) (end 99.95 75.525) (width 0.1524) (layer TOP-L1) (net 68) (tstamp 51AECFD6))
+  (segment (start 111.425 75.65) (end 100.075 75.65) (width 0.1524) (layer TOP-L1) (net 68) (tstamp 51AECFD4))
+  (segment (start 113.325 77.55) (end 111.425 75.65) (width 0.1524) (layer TOP-L1) (net 68) (tstamp 51AECFD3))
+  (via (at 113.325 77.55) (size 0.4572) (layers TOP-L1 BOT-L6) (net 68))
+  (segment (start 116.775 81) (end 113.325 77.55) (width 0.1524) (layer BOT-L6) (net 68) (tstamp 51AECFD0))
+  (segment (start 120.4 81) (end 122.175 81) (width 0.1524) (layer BOT-L6) (net 68) (tstamp 51AECFCE))
+  (segment (start 83.4 74) (end 83.8 74.4) (width 0.1524) (layer TOP-L1) (net 68))
+  (segment (start 83.8 74.4) (end 85.525 74.4) (width 0.1524) (layer TOP-L1) (net 68) (tstamp 51AECC91))
+  (segment (start 110.6 75) (end 111.66 75) (width 0.1524) (layer TOP-L1) (net 69))
+  (segment (start 117.16 80.5) (end 121.02 80.5) (width 0.1524) (layer BOT-L6) (net 69) (tstamp 51AF180F))
+  (segment (start 114.63 77.97) (end 117.16 80.5) (width 0.1524) (layer BOT-L6) (net 69) (tstamp 51AF180E))
+  (via (at 114.63 77.97) (size 0.4572) (layers TOP-L1 BOT-L6) (net 69))
+  (segment (start 111.66 75) (end 114.63 77.97) (width 0.1524) (layer TOP-L1) (net 69) (tstamp 51AF1802))
+  (segment (start 85.675 73.6) (end 86.25 73.6) (width 0.1524) (layer TOP-L1) (net 69))
+  (segment (start 87.65 75) (end 101.675 75) (width 0.1524) (layer TOP-L1) (net 69) (tstamp 51AED7F2))
+  (segment (start 86.25 73.6) (end 87.65 75) (width 0.1524) (layer TOP-L1) (net 69) (tstamp 51AED7F1))
+  (segment (start 101.675 75) (end 110.6 75) (width 0.1524) (layer TOP-L1) (net 69) (tstamp 51AED7F8))
+  (segment (start 84.2 74) (end 84.6 73.6) (width 0.1524) (layer TOP-L1) (net 69))
+  (segment (start 84.6 73.6) (end 85.675 73.6) (width 0.1524) (layer TOP-L1) (net 69) (tstamp 51AECC86))
+  (segment (start 121.02 80.5) (end 122.175 80.5) (width 0.1524) (layer BOT-L6) (net 69) (tstamp 51AF1813))
+  (segment (start 74.6 75.825) (end 74.6 78.275) (width 0.1524) (layer TOP-L1) (net 70))
+  (segment (start 112.075 94.65) (end 114.475 94.65) (width 0.1524) (layer TOP-L1) (net 70) (tstamp 51AEBED1))
+  (segment (start 108.7 91.275) (end 112.075 94.65) (width 0.1524) (layer TOP-L1) (net 70) (tstamp 51AEBECF))
+  (segment (start 108.7 85.175) (end 108.7 91.275) (width 0.1524) (layer TOP-L1) (net 70) (tstamp 51AEBECD))
+  (segment (start 104.9 81.375) (end 108.7 85.175) (width 0.1524) (layer TOP-L1) (net 70) (tstamp 51AEBEC2))
+  (segment (start 77.7 81.375) (end 104.9 81.375) (width 0.1524) (layer TOP-L1) (net 70) (tstamp 51AEBEB5))
+  (segment (start 74.6 78.275) (end 77.7 81.375) (width 0.1524) (layer TOP-L1) (net 70) (tstamp 51AEBEB1))
+  (segment (start 74.6 74.8) (end 74.6 75.825) (width 0.1524) (layer TOP-L1) (net 70))
+  (segment (start 114.475 94.65) (end 117.6 94.65) (width 0.1524) (layer TOP-L1) (net 70) (tstamp 51AEBED5))
+  (segment (start 83.825 75.225) (end 84.5 75.9) (width 0.1524) (layer TOP-L1) (net 71))
+  (segment (start 98.95 75.9) (end 99.125 76.075) (width 0.1524) (layer TOP-L1) (net 71) (tstamp 51AED7C4))
+  (segment (start 84.5 75.9) (end 98.95 75.9) (width 0.1524) (layer TOP-L1) (net 71) (tstamp 51AED7C2))
+  (segment (start 109.775 76.275) (end 110.35 76.275) (width 0.1524) (layer TOP-L1) (net 71))
+  (segment (start 116.075 82) (end 120.025 82) (width 0.1524) (layer BOT-L6) (net 71) (tstamp 51AECFAF))
+  (segment (start 112.475 78.4) (end 116.075 82) (width 0.1524) (layer BOT-L6) (net 71) (tstamp 51AECFAE))
+  (via (at 112.475 78.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 71))
+  (segment (start 110.35 76.275) (end 112.475 78.4) (width 0.1524) (layer TOP-L1) (net 71) (tstamp 51AECFAC))
+  (segment (start 120.025 82) (end 122.175 82) (width 0.1524) (layer BOT-L6) (net 71) (tstamp 51AECFB3))
+  (segment (start 99.325 76.275) (end 109.775 76.275) (width 0.1524) (layer TOP-L1) (net 71) (tstamp 51AECCC5))
+  (segment (start 99.125 76.075) (end 99.325 76.275) (width 0.1524) (layer TOP-L1) (net 71) (tstamp 51AED7CC))
+  (segment (start 83.4 74.8) (end 83.825 75.225) (width 0.1524) (layer TOP-L1) (net 71))
+  (segment (start 84.475 75.075) (end 85 75.6) (width 0.1524) (layer TOP-L1) (net 72))
+  (segment (start 99.3 75.6) (end 99.55 75.85) (width 0.1524) (layer TOP-L1) (net 72) (tstamp 51AED7D4))
+  (segment (start 85 75.6) (end 99.3 75.6) (width 0.1524) (layer TOP-L1) (net 72) (tstamp 51AED7D2))
+  (segment (start 116.5 81.5) (end 120.5 81.5) (width 0.1524) (layer BOT-L6) (net 72) (tstamp 51AECFC8))
+  (segment (start 113.475 78.475) (end 116.5 81.5) (width 0.1524) (layer BOT-L6) (net 72) (tstamp 51AECFC7))
+  (via (at 113.475 78.475) (size 0.4572) (layers TOP-L1 BOT-L6) (net 72))
+  (segment (start 110.975 75.975) (end 113.475 78.475) (width 0.1524) (layer TOP-L1) (net 72) (tstamp 51AECFC3))
+  (segment (start 99.675 75.975) (end 110.975 75.975) (width 0.1524) (layer TOP-L1) (net 72) (tstamp 51AECFC1))
+  (segment (start 99.55 75.85) (end 99.675 75.975) (width 0.1524) (layer TOP-L1) (net 72) (tstamp 51AED7DA))
+  (segment (start 122.175 81.5) (end 120.5 81.5) (width 0.1524) (layer BOT-L6) (net 72))
+  (segment (start 84.2 74.8) (end 84.475 75.075) (width 0.1524) (layer TOP-L1) (net 72))
+  (segment (start 78.65 61.4) (end 78.225 61.4) (width 0.1524) (layer Sig1-L3) (net 73))
+  (segment (start 72.25 60.5) (end 71.85 60.9) (width 0.1524) (layer Sig1-L3) (net 73) (tstamp 51AFF40D))
+  (segment (start 77.325 60.5) (end 72.25 60.5) (width 0.1524) (layer Sig1-L3) (net 73) (tstamp 51AFF40B))
+  (segment (start 78.225 61.4) (end 77.325 60.5) (width 0.1524) (layer Sig1-L3) (net 73) (tstamp 51AFF409))
+  (segment (start 81.65 68.025) (end 81.65 68.475) (width 0.1524) (layer Sig1-L3) (net 73))
+  (segment (start 87.225 74.05) (end 88.875 74.05) (width 0.1524) (layer Sig1-L3) (net 73) (tstamp 51AF2285))
+  (segment (start 81.65 68.475) (end 87.225 74.05) (width 0.1524) (layer Sig1-L3) (net 73) (tstamp 51AF2284))
+  (segment (start 99.27302 90.84192) (end 99.85808 90.84192) (width 0.1524) (layer TOP-L1) (net 73))
+  (segment (start 95.475 74.05) (end 93.425 74.05) (width 0.1524) (layer Sig1-L3) (net 73) (tstamp 51AF1EB2))
+  (via (at 95.475 74.05) (size 0.4572) (layers TOP-L1 BOT-L6) (net 73))
+  (segment (start 99.55 78.125) (end 95.475 74.05) (width 0.1524) (layer BOT-L6) (net 73) (tstamp 51AF1EA7))
+  (segment (start 99.55 89.025) (end 99.55 78.125) (width 0.1524) (layer BOT-L6) (net 73) (tstamp 51AF1EA3))
+  (segment (start 100.3 89.775) (end 99.55 89.025) (width 0.1524) (layer BOT-L6) (net 73) (tstamp 51AF1EA1))
+  (segment (start 100.3 90.4) (end 100.3 89.775) (width 0.1524) (layer BOT-L6) (net 73) (tstamp 51AF1E9C))
+  (segment (start 100.1 90.6) (end 100.3 90.4) (width 0.1524) (layer BOT-L6) (net 73) (tstamp 51AF1E9B))
+  (via (at 100.1 90.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 73))
+  (segment (start 99.85808 90.84192) (end 100.1 90.6) (width 0.1524) (layer TOP-L1) (net 73) (tstamp 51AF1E98))
+  (segment (start 88.875 74.05) (end 93.425 74.05) (width 0.1524) (layer Sig1-L3) (net 73) (tstamp 51AF2289))
+  (segment (start 93.425 74.05) (end 93.475 74.05) (width 0.1524) (layer Sig1-L3) (net 73) (tstamp 51AF1EB5))
+  (segment (start 81.65 62.275) (end 81.65 68.025) (width 0.1524) (layer Sig1-L3) (net 73) (tstamp 51AED916))
+  (segment (start 80.775 61.4) (end 81.65 62.275) (width 0.1524) (layer Sig1-L3) (net 73) (tstamp 51AED913))
+  (segment (start 78.65 61.4) (end 80.775 61.4) (width 0.1524) (layer Sig1-L3) (net 73) (tstamp 51AFF407))
+  (segment (start 71.4 61.2) (end 71.55 61.2) (width 0.1524) (layer TOP-L1) (net 73))
+  (via (at 71.85 60.9) (size 0.4572) (layers TOP-L1 BOT-L6) (net 73))
+  (segment (start 71.55 61.2) (end 71.85 60.9) (width 0.1524) (layer TOP-L1) (net 73) (tstamp 51AED5B1))
+  (segment (start 39.74 73.91) (end 39.74 74.66) (width 0.1524) (layer TOP-L1) (net 74))
+  (segment (start 40.02 74.94) (end 40.48 74.94) (width 0.1524) (layer TOP-L1) (net 74) (tstamp 51A872D4))
+  (segment (start 39.74 74.66) (end 40.02 74.94) (width 0.1524) (layer TOP-L1) (net 74) (tstamp 51A872D3))
+  (segment (start 39.74 73.91) (end 39.74 73.44) (width 0.1524) (layer TOP-L1) (net 74) (tstamp 51A872D1))
+  (segment (start 40.01 73.17) (end 40.49 73.17) (width 0.1524) (layer TOP-L1) (net 74) (tstamp 51A872CB))
+  (segment (start 39.74 73.44) (end 40.01 73.17) (width 0.1524) (layer TOP-L1) (net 74) (tstamp 51A872CA))
+  (segment (start 40.48 74.94) (end 40.53 74.94) (width 0.1524) (layer TOP-L1) (net 74) (tstamp 51A872D7))
+  (segment (start 50.725 74) (end 41.52 74) (width 0.1524) (layer TOP-L1) (net 74))
+  (segment (start 41.52 74) (end 40.69 73.17) (width 0.1524) (layer TOP-L1) (net 74) (tstamp 51A8727B))
+  (segment (start 40.69 73.17) (end 40.49 73.17) (width 0.1524) (layer TOP-L1) (net 74) (tstamp 51A87297))
+  (segment (start 54.8 75.96) (end 54.8 76.8) (width 0.1524) (layer TOP-L1) (net 74) (tstamp 51A872AB))
+  (segment (start 53.78 74.94) (end 54.8 75.96) (width 0.1524) (layer TOP-L1) (net 74) (tstamp 51A872A8))
+  (segment (start 40.53 74.94) (end 53.78 74.94) (width 0.1524) (layer TOP-L1) (net 74) (tstamp 51A872C4))
+  (segment (start 70.625 74) (end 50.725 74) (width 0.1524) (layer Sig-L5) (net 74) (tstamp 51A87042))
+  (segment (start 48.47 57.05) (end 47.38 57.05) (width 0.1524) (layer Sig1-L3) (net 74))
+  (segment (start 47.79 55.13) (end 48.43 55.13) (width 0.1524) (layer Sig1-L3) (net 74) (tstamp 51A87243))
+  (segment (start 46.95 55.97) (end 47.79 55.13) (width 0.1524) (layer Sig1-L3) (net 74) (tstamp 51A8723F))
+  (segment (start 46.95 56.62) (end 46.95 55.97) (width 0.1524) (layer Sig1-L3) (net 74) (tstamp 51A8723E))
+  (segment (start 47.38 57.05) (end 46.95 56.62) (width 0.1524) (layer Sig1-L3) (net 74) (tstamp 51A8723D))
+  (segment (start 48.43 55.13) (end 48.57 55.13) (width 0.1524) (layer Sig1-L3) (net 74) (tstamp 51A87248))
+  (segment (start 50 58.49) (end 50 57.69) (width 0.1524) (layer Sig1-L3) (net 74))
+  (segment (start 52.08 55.3) (end 52.075 55.3) (width 0.1524) (layer Sig1-L3) (net 74) (tstamp 51A87219))
+  (segment (start 51.91 55.13) (end 52.08 55.3) (width 0.1524) (layer Sig1-L3) (net 74) (tstamp 51A87217))
+  (segment (start 48.57 55.13) (end 51.91 55.13) (width 0.1524) (layer Sig1-L3) (net 74) (tstamp 51A8722F))
+  (segment (start 49.36 57.05) (end 48.47 57.05) (width 0.1524) (layer Sig1-L3) (net 74) (tstamp 51A87208))
+  (segment (start 50 57.69) (end 49.36 57.05) (width 0.1524) (layer Sig1-L3) (net 74) (tstamp 51A87207))
+  (segment (start 52.425 56.425) (end 52.8 56.8) (width 0.1524) (layer TOP-L1) (net 74) (tstamp 51A870C1))
+  (via (at 52.075 55.3) (size 0.4572) (layers TOP-L1 BOT-L6) (net 74))
+  (segment (start 52.075 55.3) (end 52.425 55.65) (width 0.1524) (layer TOP-L1) (net 74) (tstamp 51A870B3))
+  (segment (start 52.425 55.65) (end 52.425 56.425) (width 0.1524) (layer TOP-L1) (net 74) (tstamp 51A870B4))
+  (segment (start 50 59.2) (end 50 58.49) (width 0.1524) (layer Sig1-L3) (net 74) (tstamp 51A8709F))
+  (segment (start 50.75 59.95) (end 50 59.2) (width 0.1524) (layer Sig1-L3) (net 74) (tstamp 51A8709D))
+  (segment (start 50.75 61.325) (end 50.75 59.95) (width 0.1524) (layer Sig1-L3) (net 74) (tstamp 51A87098))
+  (segment (start 50 62.075) (end 50.75 61.325) (width 0.1524) (layer Sig1-L3) (net 74) (tstamp 51A87082))
+  (segment (start 50 64.2) (end 50 62.075) (width 0.1524) (layer Sig1-L3) (net 74) (tstamp 51A87081))
+  (segment (start 50.625 64.825) (end 50 64.2) (width 0.1524) (layer Sig1-L3) (net 74) (tstamp 51A8707F))
+  (segment (start 50.625 67.125) (end 50.625 64.825) (width 0.1524) (layer Sig1-L3) (net 74) (tstamp 51A87078))
+  (segment (start 50.825 67.325) (end 50.625 67.125) (width 0.1524) (layer Sig1-L3) (net 74) (tstamp 51A87072))
+  (segment (start 50.825 71.575) (end 50.825 67.325) (width 0.1524) (layer Sig1-L3) (net 74) (tstamp 51A87070))
+  (segment (start 50.475 71.925) (end 50.825 71.575) (width 0.1524) (layer Sig1-L3) (net 74) (tstamp 51A8706D))
+  (segment (start 50.475 73.75) (end 50.475 71.925) (width 0.1524) (layer Sig1-L3) (net 74) (tstamp 51A8706A))
+  (segment (start 50.725 74) (end 50.475 73.75) (width 0.1524) (layer Sig1-L3) (net 74) (tstamp 51A87069))
+  (via (at 50.725 74) (size 0.4572) (layers TOP-L1 BOT-L6) (net 74))
+  (segment (start 71 73.625) (end 70.625 74) (width 0.1524) (layer Sig-L5) (net 74) (tstamp 51A87041))
+  (via (at 71 73.625) (size 0.4572) (layers TOP-L1 BOT-L6) (net 74))
+  (segment (start 71.4 73.225) (end 71 73.625) (width 0.1524) (layer TOP-L1) (net 74) (tstamp 51A8703A))
+  (segment (start 71.4 73.2) (end 71.4 73.225) (width 0.1524) (layer TOP-L1) (net 74))
+  (segment (start 71.4 58.425) (end 71.4 53.175) (width 0.1524) (layer BOT-L6) (net 75))
+  (segment (start 66.4 48.175) (end 66.4 34.175) (width 0.1524) (layer BOT-L6) (net 75) (tstamp 51AD49AE))
+  (segment (start 71.4 53.175) (end 66.4 48.175) (width 0.1524) (layer BOT-L6) (net 75) (tstamp 51AD49AC))
+  (segment (start 71.4 59.6) (end 71.8 59.2) (width 0.1524) (layer TOP-L1) (net 75))
+  (segment (start 71.4 58.8) (end 71.4 58.425) (width 0.1524) (layer BOT-L6) (net 75) (tstamp 51AD459E))
+  (segment (start 71.8 59.2) (end 71.4 58.8) (width 0.1524) (layer BOT-L6) (net 75) (tstamp 51AD459D))
+  (via (at 71.8 59.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 75))
+  (segment (start 72.2 58.55) (end 72.2 53.425) (width 0.1524) (layer Sig-L5) (net 76))
+  (segment (start 66.9 37.4) (end 66.9 34.175) (width 0.1524) (layer BOT-L6) (net 76) (tstamp 51AD49A6))
+  (via (at 66.9 37.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 76))
+  (segment (start 66.95 37.45) (end 66.9 37.4) (width 0.1524) (layer Sig-L5) (net 76) (tstamp 51AD49A2))
+  (segment (start 66.95 48.175) (end 66.95 37.45) (width 0.1524) (layer Sig-L5) (net 76) (tstamp 51AD49A0))
+  (segment (start 72.2 53.425) (end 66.95 48.175) (width 0.1524) (layer Sig-L5) (net 76) (tstamp 51AD499E))
+  (segment (start 71.4 60.4) (end 71.8 60) (width 0.1524) (layer TOP-L1) (net 76))
+  (segment (start 72.2 59.6) (end 72.2 58.55) (width 0.1524) (layer Sig-L5) (net 76) (tstamp 51AD45E6))
+  (segment (start 71.8 60) (end 72.2 59.6) (width 0.1524) (layer Sig-L5) (net 76) (tstamp 51AD45E5))
+  (via (at 71.8 60) (size 0.4572) (layers TOP-L1 BOT-L6) (net 76))
+  (segment (start 71.4 34.175) (end 71.4 46.85) (width 0.1524) (layer BOT-L6) (net 77))
+  (segment (start 75.8 59.2) (end 75.4 59.6) (width 0.1524) (layer TOP-L1) (net 77) (tstamp 51AD4AFA))
+  (via (at 75.8 59.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 77))
+  (segment (start 75.4 58.8) (end 75.8 59.2) (width 0.1524) (layer BOT-L6) (net 77) (tstamp 51AD4AF4))
+  (segment (start 75.4 50.85) (end 75.4 58.8) (width 0.1524) (layer BOT-L6) (net 77) (tstamp 51AD4AEC))
+  (segment (start 71.4 46.85) (end 75.4 50.85) (width 0.1524) (layer BOT-L6) (net 77) (tstamp 51AD4AE8))
+  (segment (start 75.4 60.4) (end 75.8 60) (width 0.1524) (layer TOP-L1) (net 78))
+  (segment (start 71.9 36.575) (end 71.9 34.175) (width 0.1524) (layer BOT-L6) (net 78) (tstamp 51AD4AE5))
+  (via (at 71.9 36.575) (size 0.4572) (layers TOP-L1 BOT-L6) (net 78))
+  (segment (start 71.9 47.575) (end 71.9 36.575) (width 0.1524) (layer Sig-L5) (net 78) (tstamp 51AD4AD7))
+  (segment (start 76.2 51.875) (end 71.9 47.575) (width 0.1524) (layer Sig-L5) (net 78) (tstamp 51AD4AD1))
+  (segment (start 76.2 59.6) (end 76.2 51.875) (width 0.1524) (layer Sig-L5) (net 78) (tstamp 51AD4ACE))
+  (segment (start 75.8 60) (end 76.2 59.6) (width 0.1524) (layer Sig-L5) (net 78) (tstamp 51AD4ACD))
+  (via (at 75.8 60) (size 0.4572) (layers TOP-L1 BOT-L6) (net 78))
+  (segment (start 76.2 59.6) (end 76.6 59.2) (width 0.1524) (layer TOP-L1) (net 79))
+  (segment (start 72.4 47.325) (end 72.4 34.175) (width 0.1524) (layer BOT-L6) (net 79) (tstamp 51AD4B0F))
+  (segment (start 76.2 51.125) (end 72.4 47.325) (width 0.1524) (layer BOT-L6) (net 79) (tstamp 51AD4B0B))
+  (segment (start 76.2 58.8) (end 76.2 51.125) (width 0.1524) (layer BOT-L6) (net 79) (tstamp 51AD4B0A))
+  (segment (start 76.6 59.2) (end 76.2 58.8) (width 0.1524) (layer BOT-L6) (net 79) (tstamp 51AD4B09))
+  (via (at 76.6 59.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 79))
+  (segment (start 72.9 34.175) (end 72.9 36.875) (width 0.1524) (layer BOT-L6) (net 80))
+  (segment (start 76.6 60) (end 76.2 60.4) (width 0.1524) (layer TOP-L1) (net 80) (tstamp 51AD4B2E))
+  (via (at 76.6 60) (size 0.4572) (layers TOP-L1 BOT-L6) (net 80))
+  (segment (start 77 59.6) (end 76.6 60) (width 0.1524) (layer Sig-L5) (net 80) (tstamp 51AD4B29))
+  (segment (start 77 52.075) (end 77 59.6) (width 0.1524) (layer Sig-L5) (net 80) (tstamp 51AD4B1E))
+  (segment (start 72.9 47.975) (end 77 52.075) (width 0.1524) (layer Sig-L5) (net 80) (tstamp 51AD4B17))
+  (segment (start 72.9 36.875) (end 72.9 47.975) (width 0.1524) (layer Sig-L5) (net 80) (tstamp 51AD4B16))
+  (via (at 72.9 36.875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 80))
+  (segment (start 73.4 43.75) (end 73.4 47.825) (width 0.1524) (layer BOT-L6) (net 81))
+  (segment (start 77 51.425) (end 77 52.05) (width 0.1524) (layer BOT-L6) (net 81) (tstamp 51AD54BB))
+  (segment (start 73.4 47.825) (end 77 51.425) (width 0.1524) (layer BOT-L6) (net 81) (tstamp 51AD54B9))
+  (segment (start 73.4 34.175) (end 73.4 43.75) (width 0.1524) (layer BOT-L6) (net 81))
+  (segment (start 77.4 59.2) (end 77 59.6) (width 0.1524) (layer TOP-L1) (net 81) (tstamp 51AD4B6B))
+  (via (at 77.4 59.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 81))
+  (segment (start 77 58.8) (end 77.4 59.2) (width 0.1524) (layer BOT-L6) (net 81) (tstamp 51AD4B64))
+  (segment (start 77 52.05) (end 77 58.8) (width 0.1524) (layer BOT-L6) (net 81) (tstamp 51AD54C0))
+  (segment (start 77.8 53.675) (end 77.8 52.175) (width 0.1524) (layer Sig-L5) (net 82))
+  (segment (start 73.9 48.275) (end 73.9 43.95) (width 0.1524) (layer Sig-L5) (net 82) (tstamp 51AD5472))
+  (segment (start 77.8 52.175) (end 73.9 48.275) (width 0.1524) (layer Sig-L5) (net 82) (tstamp 51AD5470))
+  (segment (start 77 60.4) (end 77.4 60) (width 0.1524) (layer TOP-L1) (net 82))
+  (segment (start 73.9 36.35) (end 73.9 34.175) (width 0.1524) (layer BOT-L6) (net 82) (tstamp 51AD4B4B))
+  (via (at 73.9 36.35) (size 0.4572) (layers TOP-L1 BOT-L6) (net 82))
+  (segment (start 73.9 43.95) (end 73.9 36.35) (width 0.1524) (layer Sig-L5) (net 82) (tstamp 51AD5478))
+  (segment (start 77.8 59.6) (end 77.8 53.675) (width 0.1524) (layer Sig-L5) (net 82) (tstamp 51AD4B39))
+  (segment (start 77.4 60) (end 77.8 59.6) (width 0.1524) (layer Sig-L5) (net 82) (tstamp 51AD4B38))
+  (via (at 77.4 60) (size 0.4572) (layers TOP-L1 BOT-L6) (net 82))
+  (segment (start 78.6 53.725) (end 78.6 52.35) (width 0.1524) (layer Sig-L5) (net 83))
+  (segment (start 74.4 43.7) (end 74.4 43.525) (width 0.1524) (layer Sig-L5) (net 83) (tstamp 51AD5486))
+  (segment (start 74.2 43.9) (end 74.4 43.7) (width 0.1524) (layer Sig-L5) (net 83) (tstamp 51AD5482))
+  (segment (start 74.2 47.95) (end 74.2 43.9) (width 0.1524) (layer Sig-L5) (net 83) (tstamp 51AD547E))
+  (segment (start 78.6 52.35) (end 74.2 47.95) (width 0.1524) (layer Sig-L5) (net 83) (tstamp 51AD547C))
+  (segment (start 78.6 58.275) (end 78.6 58.8) (width 0.1524) (layer Sig-L5) (net 83))
+  (segment (start 78.6 58.8) (end 78.2 59.2) (width 0.1524) (layer Sig-L5) (net 83) (tstamp 51AD4D7E))
+  (segment (start 74.4 34.175) (end 74.4 37.15) (width 0.1524) (layer BOT-L6) (net 83))
+  (segment (start 74.4 37.15) (end 74.4 43.525) (width 0.1524) (layer Sig-L5) (net 83) (tstamp 51AD4BB7))
+  (via (at 74.4 37.15) (size 0.4572) (layers TOP-L1 BOT-L6) (net 83))
+  (segment (start 77.825 59.6) (end 77.8 59.6) (width 0.1524) (layer TOP-L1) (net 83) (tstamp 51AD4BCA))
+  (via (at 78.2 59.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 83))
+  (segment (start 78.2 59.2) (end 77.825 59.6) (width 0.1524) (layer TOP-L1) (net 83) (tstamp 51AD4BC9))
+  (segment (start 78.6 53.725) (end 78.6 58.275) (width 0.1524) (layer Sig-L5) (net 83) (tstamp 51AD547A))
+  (segment (start 74.9 36.975) (end 74.9 37.875) (width 0.1524) (layer BOT-L6) (net 84))
+  (segment (start 78.2 52.075) (end 78.2 52.75) (width 0.1524) (layer BOT-L6) (net 84) (tstamp 51AD54CA))
+  (segment (start 73.8 47.675) (end 78.2 52.075) (width 0.1524) (layer BOT-L6) (net 84) (tstamp 51AD54C8))
+  (segment (start 73.8 38.975) (end 73.8 47.675) (width 0.1524) (layer BOT-L6) (net 84) (tstamp 51AD54C6))
+  (segment (start 74.9 37.875) (end 73.8 38.975) (width 0.1524) (layer BOT-L6) (net 84) (tstamp 51AD54C4))
+  (segment (start 77.8 58.8) (end 78.2 58.4) (width 0.1524) (layer TOP-L1) (net 84))
+  (segment (start 78.2 58.4) (end 78.2 52.75) (width 0.1524) (layer BOT-L6) (net 84) (tstamp 51AD4BA4))
+  (via (at 78.2 58.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 84))
+  (segment (start 74.9 36.975) (end 74.9 34.175) (width 0.1524) (layer BOT-L6) (net 84) (tstamp 51AD54C2))
+  (segment (start 72.2 59.6) (end 72.6 59.2) (width 0.1524) (layer TOP-L1) (net 85))
+  (segment (start 67.4 48.575) (end 67.4 34.175) (width 0.1524) (layer BOT-L6) (net 85) (tstamp 51AD49EC))
+  (segment (start 72.2 53.375) (end 67.4 48.575) (width 0.1524) (layer BOT-L6) (net 85) (tstamp 51AD49E8))
+  (segment (start 72.2 58.8) (end 72.2 53.375) (width 0.1524) (layer BOT-L6) (net 85) (tstamp 51AD49E7))
+  (segment (start 72.6 59.2) (end 72.2 58.8) (width 0.1524) (layer BOT-L6) (net 85) (tstamp 51AD49E6))
+  (via (at 72.6 59.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 85))
+  (segment (start 67.9 34.175) (end 67.9 36.625) (width 0.1524) (layer BOT-L6) (net 86))
+  (segment (start 72.6 60) (end 72.2 60.4) (width 0.1524) (layer TOP-L1) (net 86) (tstamp 51AD4A16))
+  (via (at 72.6 60) (size 0.4572) (layers TOP-L1 BOT-L6) (net 86))
+  (segment (start 73 59.6) (end 72.6 60) (width 0.1524) (layer Sig-L5) (net 86) (tstamp 51AD4A07))
+  (segment (start 73 53.45) (end 73 59.6) (width 0.1524) (layer Sig-L5) (net 86) (tstamp 51AD49FE))
+  (segment (start 67.9 48.35) (end 73 53.45) (width 0.1524) (layer Sig-L5) (net 86) (tstamp 51AD49FA))
+  (segment (start 67.9 36.625) (end 67.9 48.35) (width 0.1524) (layer Sig-L5) (net 86) (tstamp 51AD49F9))
+  (via (at 67.9 36.625) (size 0.4572) (layers TOP-L1 BOT-L6) (net 86))
+  (segment (start 68.4 34.175) (end 68.4 48.975) (width 0.1524) (layer BOT-L6) (net 87))
+  (segment (start 73.4 59.2) (end 73 59.6) (width 0.1524) (layer TOP-L1) (net 87) (tstamp 51AD4A4E))
+  (via (at 73.4 59.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 87))
+  (segment (start 73 58.8) (end 73.4 59.2) (width 0.1524) (layer BOT-L6) (net 87) (tstamp 51AD4A47))
+  (segment (start 73 53.575) (end 73 58.8) (width 0.1524) (layer BOT-L6) (net 87) (tstamp 51AD4A42))
+  (segment (start 68.4 48.975) (end 73 53.575) (width 0.1524) (layer BOT-L6) (net 87) (tstamp 51AD4A3D))
+  (segment (start 73 60.4) (end 73.4 60) (width 0.1524) (layer TOP-L1) (net 88))
+  (segment (start 68.9 37.375) (end 68.9 34.175) (width 0.1524) (layer BOT-L6) (net 88) (tstamp 51AD4A3A))
+  (segment (start 68.875 37.4) (end 68.9 37.375) (width 0.1524) (layer BOT-L6) (net 88) (tstamp 51AD4A39))
+  (via (at 68.875 37.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 88))
+  (segment (start 68.875 48.825) (end 68.875 37.4) (width 0.1524) (layer Sig-L5) (net 88) (tstamp 51AD4A2B))
+  (segment (start 73.8 53.75) (end 68.875 48.825) (width 0.1524) (layer Sig-L5) (net 88) (tstamp 51AD4A26))
+  (segment (start 73.8 59.6) (end 73.8 53.75) (width 0.1524) (layer Sig-L5) (net 88) (tstamp 51AD4A24))
+  (segment (start 73.4 60) (end 73.8 59.6) (width 0.1524) (layer Sig-L5) (net 88) (tstamp 51AD4A23))
+  (via (at 73.4 60) (size 0.4572) (layers TOP-L1 BOT-L6) (net 88))
+  (segment (start 73.8 59.6) (end 74.2 59.2) (width 0.1524) (layer TOP-L1) (net 89))
+  (segment (start 69.4 49.325) (end 69.4 34.175) (width 0.1524) (layer BOT-L6) (net 89) (tstamp 51AD4A60))
+  (segment (start 73.8 53.725) (end 69.4 49.325) (width 0.1524) (layer BOT-L6) (net 89) (tstamp 51AD4A5C))
+  (segment (start 73.8 58.8) (end 73.8 53.725) (width 0.1524) (layer BOT-L6) (net 89) (tstamp 51AD4A5B))
+  (segment (start 74.2 59.2) (end 73.8 58.8) (width 0.1524) (layer BOT-L6) (net 89) (tstamp 51AD4A5A))
+  (via (at 74.2 59.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 89))
+  (segment (start 69.9 34.175) (end 69.9 36.875) (width 0.1524) (layer BOT-L6) (net 90))
+  (segment (start 74.2 60) (end 73.8 60.4) (width 0.1524) (layer TOP-L1) (net 90) (tstamp 51AD4A88))
+  (via (at 74.2 60) (size 0.4572) (layers TOP-L1 BOT-L6) (net 90))
+  (segment (start 74.6 59.6) (end 74.2 60) (width 0.1524) (layer Sig-L5) (net 90) (tstamp 51AD4A7F))
+  (segment (start 74.6 53.775) (end 74.6 59.6) (width 0.1524) (layer Sig-L5) (net 90) (tstamp 51AD4A7A))
+  (segment (start 69.375 48.55) (end 74.6 53.775) (width 0.1524) (layer Sig-L5) (net 90) (tstamp 51AD4A78))
+  (segment (start 69.375 39.175) (end 69.375 48.55) (width 0.1524) (layer Sig-L5) (net 90) (tstamp 51AD4A74))
+  (segment (start 69.9 38.65) (end 69.375 39.175) (width 0.1524) (layer Sig-L5) (net 90) (tstamp 51AD4A68))
+  (segment (start 69.9 36.875) (end 69.9 38.65) (width 0.1524) (layer Sig-L5) (net 90) (tstamp 51AD4A67))
+  (via (at 69.9 36.875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 90))
+  (segment (start 74.6 59.6) (end 75 59.2) (width 0.1524) (layer TOP-L1) (net 91))
+  (segment (start 70.4 46.4) (end 70.4 34.175) (width 0.1524) (layer BOT-L6) (net 91) (tstamp 51AD4A9D))
+  (segment (start 74.6 50.6) (end 70.4 46.4) (width 0.1524) (layer BOT-L6) (net 91) (tstamp 51AD4A98))
+  (segment (start 74.6 58.8) (end 74.6 50.6) (width 0.1524) (layer BOT-L6) (net 91) (tstamp 51AD4A97))
+  (segment (start 75 59.2) (end 74.6 58.8) (width 0.1524) (layer BOT-L6) (net 91) (tstamp 51AD4A96))
+  (via (at 75 59.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 91))
+  (segment (start 70.925 34.175) (end 70.925 37.075) (width 0.1524) (layer BOT-L6) (net 92))
+  (segment (start 75 60) (end 74.6 60.4) (width 0.1524) (layer TOP-L1) (net 92) (tstamp 51AD4ABB))
+  (via (at 75 60) (size 0.4572) (layers TOP-L1 BOT-L6) (net 92))
+  (segment (start 75.4 59.6) (end 75 60) (width 0.1524) (layer Sig-L5) (net 92) (tstamp 51AD4AB6))
+  (segment (start 75.4 51.5) (end 75.4 59.6) (width 0.1524) (layer Sig-L5) (net 92) (tstamp 51AD4AAD))
+  (segment (start 70.925 47.025) (end 75.4 51.5) (width 0.1524) (layer Sig-L5) (net 92) (tstamp 51AD4AA8))
+  (segment (start 70.925 37.075) (end 70.925 47.025) (width 0.1524) (layer Sig-L5) (net 92) (tstamp 51AD4AA7))
+  (via (at 70.925 37.075) (size 0.4572) (layers TOP-L1 BOT-L6) (net 92))
+  (segment (start 75.7 45.85) (end 75.7 46.075) (width 0.1524) (layer TOP-L1) (net 93))
+  (segment (start 75.7 48.125) (end 75.7 48.6) (width 0.1524) (layer Sig1-L3) (net 93) (tstamp 51AD54E1))
+  (segment (start 75.7 46.075) (end 75.7 48.125) (width 0.1524) (layer Sig1-L3) (net 93) (tstamp 51AD54E0))
+  (via (at 75.7 46.075) (size 0.4572) (layers TOP-L1 BOT-L6) (net 93))
+  (segment (start 75.7 48.6) (end 76.075 48.975) (width 0.1524) (layer Sig1-L3) (net 93) (tstamp 51AD54E2))
+  (segment (start 75.7 45.85) (end 75.7 44.75) (width 0.1524) (layer TOP-L1) (net 93) (tstamp 51AD54DB))
+  (segment (start 76.6 51.55) (end 76.6 49.5) (width 0.1524) (layer Sig1-L3) (net 93) (tstamp 51AD542A))
+  (segment (start 76.6 49.5) (end 76.075 48.975) (width 0.1524) (layer Sig1-L3) (net 93) (tstamp 51AD4840))
+  (segment (start 76.075 48.975) (end 75.7 48.6) (width 0.1524) (layer Sig1-L3) (net 93) (tstamp 51AD54E8))
+  (segment (start 76.2 58.8) (end 76.6 58.4) (width 0.1524) (layer TOP-L1) (net 93))
+  (segment (start 76.6 58.4) (end 76.6 51.55) (width 0.1524) (layer Sig1-L3) (net 93) (tstamp 51AD483F))
+  (via (at 76.6 58.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 93))
+  (segment (start 75.8 52.1) (end 75.8 50.725) (width 0.1524) (layer Sig1-L3) (net 94))
+  (segment (start 75.2 46.925) (end 75.2 46.5) (width 0.1524) (layer TOP-L1) (net 94) (tstamp 51AD53E8))
+  (via (at 75.2 46.925) (size 0.4572) (layers TOP-L1 BOT-L6) (net 94))
+  (segment (start 75.2 50.125) (end 75.2 46.925) (width 0.1524) (layer Sig1-L3) (net 94) (tstamp 51AD53E5))
+  (segment (start 75.8 50.725) (end 75.2 50.125) (width 0.1524) (layer Sig1-L3) (net 94) (tstamp 51AD53E4))
+  (segment (start 75.4 58.8) (end 75.8 58.4) (width 0.1524) (layer TOP-L1) (net 94))
+  (segment (start 75.2 46.5) (end 75.2 44.75) (width 0.1524) (layer TOP-L1) (net 94) (tstamp 51AD53EB))
+  (segment (start 75.8 58.4) (end 75.8 52.1) (width 0.1524) (layer Sig1-L3) (net 94) (tstamp 51AD481F))
+  (via (at 75.8 58.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 94))
+  (segment (start 74.6 58.8) (end 75 58.4) (width 0.1524) (layer TOP-L1) (net 95))
+  (segment (start 74.675 53.85) (end 74.675 46.5) (width 0.1524) (layer Sig1-L3) (net 95) (tstamp 51AD47BC))
+  (segment (start 75 54.175) (end 74.675 53.85) (width 0.1524) (layer Sig1-L3) (net 95) (tstamp 51AD47AC))
+  (segment (start 75 58.4) (end 75 54.175) (width 0.1524) (layer Sig1-L3) (net 95) (tstamp 51AD47AB))
+  (via (at 75 58.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 95))
+  (segment (start 74.7 46.475) (end 74.7 44.75) (width 0.1524) (layer TOP-L1) (net 95) (tstamp 51AD47CF))
+  (segment (start 74.675 46.5) (end 74.7 46.475) (width 0.1524) (layer TOP-L1) (net 95) (tstamp 51AD47CE))
+  (via (at 74.675 46.5) (size 0.4572) (layers TOP-L1 BOT-L6) (net 95))
+  (segment (start 74.2 44.75) (end 74.2 49.1) (width 0.1524) (layer TOP-L1) (net 96))
+  (segment (start 75.8 57.6) (end 76.2 58) (width 0.1524) (layer TOP-L1) (net 96) (tstamp 51AD47F8))
+  (segment (start 75.8 56.35) (end 75.8 57.6) (width 0.1524) (layer TOP-L1) (net 96) (tstamp 51AD47F6))
+  (segment (start 74.825 55.375) (end 75.8 56.35) (width 0.1524) (layer TOP-L1) (net 96) (tstamp 51AD47F2))
+  (segment (start 74.825 49.725) (end 74.825 55.375) (width 0.1524) (layer TOP-L1) (net 96) (tstamp 51AD47F1))
+  (segment (start 74.2 49.1) (end 74.825 49.725) (width 0.1524) (layer TOP-L1) (net 96) (tstamp 51AD47EC))
+  (segment (start 105.55 32.715) (end 108.99 32.715) (width 0.1524) (layer TOP-L1) (net 97))
+  (segment (start 110.39 34.115) (end 112.45 34.115) (width 0.1524) (layer TOP-L1) (net 97) (tstamp 51AEB98F))
+  (segment (start 108.99 32.715) (end 110.39 34.115) (width 0.1524) (layer TOP-L1) (net 97) (tstamp 51AEB98D))
+  (segment (start 73.7 44.75) (end 73.7 42.575) (width 0.1524) (layer TOP-L1) (net 97))
+  (segment (start 79.86 32.715) (end 105.55 32.715) (width 0.1524) (layer TOP-L1) (net 97) (tstamp 51AD9B9D))
+  (segment (start 77.225 35.35) (end 79.86 32.715) (width 0.1524) (layer TOP-L1) (net 97) (tstamp 51AD9B9B))
+  (segment (start 77.225 39.05) (end 77.225 35.35) (width 0.1524) (layer TOP-L1) (net 97) (tstamp 51AD9B99))
+  (segment (start 73.7 42.575) (end 77.225 39.05) (width 0.1524) (layer TOP-L1) (net 97) (tstamp 51AD9B97))
+  (segment (start 75.4 57.2) (end 75.4 56.5) (width 0.1524) (layer TOP-L1) (net 97))
+  (segment (start 73.7 49.1) (end 73.7 44.75) (width 0.1524) (layer TOP-L1) (net 97) (tstamp 51AD47E8))
+  (segment (start 74.475 49.875) (end 73.7 49.1) (width 0.1524) (layer TOP-L1) (net 97) (tstamp 51AD47E6))
+  (segment (start 74.475 55.575) (end 74.475 49.875) (width 0.1524) (layer TOP-L1) (net 97) (tstamp 51AD47E4))
+  (segment (start 75.4 56.5) (end 74.475 55.575) (width 0.1524) (layer TOP-L1) (net 97) (tstamp 51AD47E2))
+  (segment (start 108.4 30.175) (end 109.125 30.175) (width 0.1524) (layer TOP-L1) (net 98))
+  (segment (start 110.525 31.575) (end 112.45 31.575) (width 0.1524) (layer TOP-L1) (net 98) (tstamp 51AEB998))
+  (segment (start 109.125 30.175) (end 110.525 31.575) (width 0.1524) (layer TOP-L1) (net 98) (tstamp 51AEB996))
+  (segment (start 108.4 30.175) (end 81.25 30.175) (width 0.1524) (layer TOP-L1) (net 98) (tstamp 51AEB994))
+  (segment (start 73.2 42.5) (end 73.2 44.75) (width 0.1524) (layer TOP-L1) (net 98) (tstamp 51AD9B93))
+  (segment (start 76.725 38.975) (end 73.2 42.5) (width 0.1524) (layer TOP-L1) (net 98) (tstamp 51AD9B91))
+  (segment (start 76.725 34.7) (end 76.725 38.975) (width 0.1524) (layer TOP-L1) (net 98) (tstamp 51AD9B8F))
+  (segment (start 81.25 30.175) (end 76.725 34.7) (width 0.1524) (layer TOP-L1) (net 98) (tstamp 51AD9B8D))
+  (segment (start 73.2 44.75) (end 73.2 49.025) (width 0.1524) (layer TOP-L1) (net 98))
+  (segment (start 75 57.6) (end 75.4 58) (width 0.1524) (layer TOP-L1) (net 98) (tstamp 51AD47DF))
+  (segment (start 75 56.525) (end 75 57.6) (width 0.1524) (layer TOP-L1) (net 98) (tstamp 51AD47DD))
+  (segment (start 74.2 55.725) (end 75 56.525) (width 0.1524) (layer TOP-L1) (net 98) (tstamp 51AD47D9))
+  (segment (start 74.2 50.025) (end 74.2 55.725) (width 0.1524) (layer TOP-L1) (net 98) (tstamp 51AD47D7))
+  (segment (start 73.2 49.025) (end 74.2 50.025) (width 0.1524) (layer TOP-L1) (net 98) (tstamp 51AD47D2))
+  (segment (start 112.45 29.035) (end 109.96 29.035) (width 0.1524) (layer TOP-L1) (net 99))
+  (segment (start 107.075 27.625) (end 107.075 27.635) (width 0.1524) (layer TOP-L1) (net 99) (tstamp 51AEB9A2))
+  (segment (start 108.55 27.625) (end 107.075 27.625) (width 0.1524) (layer TOP-L1) (net 99) (tstamp 51AEB99F))
+  (segment (start 109.96 29.035) (end 108.55 27.625) (width 0.1524) (layer TOP-L1) (net 99) (tstamp 51AEB99D))
+  (segment (start 72.7 44.75) (end 72.7 42.3) (width 0.1524) (layer TOP-L1) (net 99))
+  (segment (start 82.215 27.635) (end 107.075 27.635) (width 0.1524) (layer TOP-L1) (net 99) (tstamp 51AD9B89))
+  (segment (start 76.075 33.775) (end 82.215 27.635) (width 0.1524) (layer TOP-L1) (net 99) (tstamp 51AD9B87))
+  (segment (start 76.075 38.925) (end 76.075 33.775) (width 0.1524) (layer TOP-L1) (net 99) (tstamp 51AD9B85))
+  (segment (start 72.7 42.3) (end 76.075 38.925) (width 0.1524) (layer TOP-L1) (net 99) (tstamp 51AD9B83))
+  (segment (start 74.6 57.2) (end 74.6 56.675) (width 0.1524) (layer TOP-L1) (net 99))
+  (segment (start 72.7 49.025) (end 72.7 44.75) (width 0.1524) (layer TOP-L1) (net 99) (tstamp 51AD47A5))
+  (segment (start 73.875 50.2) (end 72.7 49.025) (width 0.1524) (layer TOP-L1) (net 99) (tstamp 51AD47A3))
+  (segment (start 73.875 55.95) (end 73.875 50.2) (width 0.1524) (layer TOP-L1) (net 99) (tstamp 51AD47A2))
+  (segment (start 74.6 56.675) (end 73.875 55.95) (width 0.1524) (layer TOP-L1) (net 99) (tstamp 51AD47A1))
+  (segment (start 81.55 27.35) (end 82.405 26.495) (width 0.1524) (layer TOP-L1) (net 100))
+  (segment (start 82.405 26.495) (end 112.45 26.495) (width 0.1524) (layer TOP-L1) (net 100) (tstamp 51AEB9AB))
+  (segment (start 72.2 42.075) (end 72.2 44.75) (width 0.1524) (layer TOP-L1) (net 100) (tstamp 51AD9B7F))
+  (segment (start 75.7 38.575) (end 72.2 42.075) (width 0.1524) (layer TOP-L1) (net 100) (tstamp 51AD9B7D))
+  (segment (start 75.7 33.2) (end 75.7 38.575) (width 0.1524) (layer TOP-L1) (net 100) (tstamp 51AD9B7B))
+  (segment (start 81.55 27.35) (end 75.7 33.2) (width 0.1524) (layer TOP-L1) (net 100) (tstamp 51AEB9A9))
+  (segment (start 74.6 58) (end 74.2 57.6) (width 0.1524) (layer TOP-L1) (net 100))
+  (segment (start 72.2 49.225) (end 72.2 44.75) (width 0.1524) (layer TOP-L1) (net 100) (tstamp 51AD4799))
+  (segment (start 73.6 50.625) (end 72.2 49.225) (width 0.1524) (layer TOP-L1) (net 100) (tstamp 51AD4797))
+  (segment (start 73.6 56.075) (end 73.6 50.625) (width 0.1524) (layer TOP-L1) (net 100) (tstamp 51AD4796))
+  (segment (start 74.2 56.675) (end 73.6 56.075) (width 0.1524) (layer TOP-L1) (net 100) (tstamp 51AD4795))
+  (segment (start 74.2 57.6) (end 74.2 56.675) (width 0.1524) (layer TOP-L1) (net 100) (tstamp 51AD4793))
+  (segment (start 71.7 44.75) (end 71.7 49.2) (width 0.1524) (layer TOP-L1) (net 101))
+  (segment (start 73.8 56.675) (end 73.8 57.2) (width 0.1524) (layer TOP-L1) (net 101) (tstamp 51AD4790))
+  (segment (start 73.3 56.175) (end 73.8 56.675) (width 0.1524) (layer TOP-L1) (net 101) (tstamp 51AD478F))
+  (segment (start 73.3 50.8) (end 73.3 56.175) (width 0.1524) (layer TOP-L1) (net 101) (tstamp 51AD478E))
+  (segment (start 71.7 49.2) (end 73.3 50.8) (width 0.1524) (layer TOP-L1) (net 101) (tstamp 51AD478C))
+  (segment (start 73.8 58) (end 73.4 57.6) (width 0.1524) (layer TOP-L1) (net 102))
+  (segment (start 71.2 49.175) (end 71.2 44.75) (width 0.1524) (layer TOP-L1) (net 102) (tstamp 51AD476B))
+  (segment (start 72.975 50.95) (end 71.2 49.175) (width 0.1524) (layer TOP-L1) (net 102) (tstamp 51AD4769))
+  (segment (start 72.975 56.4) (end 72.975 50.95) (width 0.1524) (layer TOP-L1) (net 102) (tstamp 51AD4768))
+  (segment (start 73.4 56.825) (end 72.975 56.4) (width 0.1524) (layer TOP-L1) (net 102) (tstamp 51AD4767))
+  (segment (start 73.4 57.6) (end 73.4 56.825) (width 0.1524) (layer TOP-L1) (net 102) (tstamp 51AD4766))
+  (segment (start 78.6 51.2) (end 78.6 48.675) (width 0.1524) (layer TOP-L1) (net 103))
+  (segment (start 79.7 47.575) (end 79.7 46.6) (width 0.1524) (layer TOP-L1) (net 103) (tstamp 51AD5537))
+  (segment (start 78.6 48.675) (end 79.7 47.575) (width 0.1524) (layer TOP-L1) (net 103) (tstamp 51AD5535))
+  (segment (start 79.7 44.75) (end 79.7 46.6) (width 0.1524) (layer TOP-L1) (net 103))
+  (segment (start 78.6 51.2) (end 78.6 57.2) (width 0.1524) (layer TOP-L1) (net 103) (tstamp 51AD5533))
+  (segment (start 73.8 58.8) (end 74.2 58.4) (width 0.1524) (layer TOP-L1) (net 104))
+  (segment (start 70.7 47.525) (end 70.7 44.75) (width 0.1524) (layer TOP-L1) (net 104) (tstamp 51AD4789))
+  (via (at 70.7 47.525) (size 0.4572) (layers TOP-L1 BOT-L6) (net 104))
+  (segment (start 74.2 51.025) (end 70.7 47.525) (width 0.1524) (layer Sig1-L3) (net 104) (tstamp 51AD4786))
+  (segment (start 74.2 58.4) (end 74.2 51.025) (width 0.1524) (layer Sig1-L3) (net 104) (tstamp 51AD4785))
+  (via (at 74.2 58.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 104))
+  (segment (start 73 58.8) (end 73.4 58.4) (width 0.1524) (layer TOP-L1) (net 105))
+  (segment (start 70.2 48.025) (end 70.2 44.75) (width 0.1524) (layer TOP-L1) (net 105) (tstamp 51AD4779))
+  (via (at 70.2 48.025) (size 0.4572) (layers TOP-L1 BOT-L6) (net 105))
+  (segment (start 71.825 49.65) (end 70.2 48.025) (width 0.1524) (layer Sig1-L3) (net 105) (tstamp 51AD4776))
+  (segment (start 71.825 56.425) (end 71.825 49.65) (width 0.1524) (layer Sig1-L3) (net 105) (tstamp 51AD4774))
+  (segment (start 73.4 58) (end 71.825 56.425) (width 0.1524) (layer Sig1-L3) (net 105) (tstamp 51AD4773))
+  (segment (start 73.4 58.4) (end 73.4 58) (width 0.1524) (layer Sig1-L3) (net 105) (tstamp 51AD4772))
+  (via (at 73.4 58.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 105))
+  (segment (start 78.2 51.2) (end 78.2 48.525) (width 0.1524) (layer TOP-L1) (net 106))
+  (segment (start 79.2 47.525) (end 79.2 46.325) (width 0.1524) (layer TOP-L1) (net 106) (tstamp 51AD552D))
+  (segment (start 78.2 48.525) (end 79.2 47.525) (width 0.1524) (layer TOP-L1) (net 106) (tstamp 51AD552B))
+  (segment (start 78.6 58) (end 78.2 57.6) (width 0.1524) (layer TOP-L1) (net 106))
+  (segment (start 79.2 46.325) (end 79.2 44.75) (width 0.1524) (layer TOP-L1) (net 106) (tstamp 51AD5531))
+  (segment (start 78.2 57.6) (end 78.2 51.2) (width 0.1524) (layer TOP-L1) (net 106) (tstamp 51AD489C))
+  (segment (start 77.8 50.9) (end 77.8 48.325) (width 0.1524) (layer TOP-L1) (net 107))
+  (segment (start 78.7 47.425) (end 78.7 46.625) (width 0.1524) (layer TOP-L1) (net 107) (tstamp 51AD5523))
+  (segment (start 77.8 48.325) (end 78.7 47.425) (width 0.1524) (layer TOP-L1) (net 107) (tstamp 51AD5521))
+  (segment (start 78.7 44.75) (end 78.7 46.625) (width 0.1524) (layer TOP-L1) (net 107))
+  (segment (start 77.8 50.9) (end 77.8 57.2) (width 0.1524) (layer TOP-L1) (net 107) (tstamp 51AD551F))
+  (segment (start 77.4 50.75) (end 77.4 48.1) (width 0.1524) (layer TOP-L1) (net 108))
+  (segment (start 78.2 47.3) (end 78.2 46.575) (width 0.1524) (layer TOP-L1) (net 108) (tstamp 51AD5519))
+  (segment (start 77.4 48.1) (end 78.2 47.3) (width 0.1524) (layer TOP-L1) (net 108) (tstamp 51AD5517))
+  (segment (start 77.8 58) (end 77.4 57.6) (width 0.1524) (layer TOP-L1) (net 108))
+  (segment (start 78.2 46.575) (end 78.2 44.75) (width 0.1524) (layer TOP-L1) (net 108) (tstamp 51AD551D))
+  (segment (start 77.4 57.6) (end 77.4 50.75) (width 0.1524) (layer TOP-L1) (net 108) (tstamp 51AD488A))
+  (segment (start 77 50.45) (end 77 48) (width 0.1524) (layer TOP-L1) (net 109))
+  (segment (start 77.7 47.3) (end 77.7 46.5) (width 0.1524) (layer TOP-L1) (net 109) (tstamp 51AD550F))
+  (segment (start 77 48) (end 77.7 47.3) (width 0.1524) (layer TOP-L1) (net 109) (tstamp 51AD550E))
+  (segment (start 77 57.2) (end 77 50.45) (width 0.1524) (layer TOP-L1) (net 109))
+  (segment (start 77.7 46.5) (end 77.7 44.75) (width 0.1524) (layer TOP-L1) (net 109) (tstamp 51AD5513))
+  (segment (start 76.6 50.2) (end 76.6 47.925) (width 0.1524) (layer TOP-L1) (net 110))
+  (segment (start 77.2 47.325) (end 77.2 46.325) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 51AD5507))
+  (segment (start 76.6 47.925) (end 77.2 47.325) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 51AD5506))
+  (segment (start 77.2 44.75) (end 77.2 46.325) (width 0.1524) (layer TOP-L1) (net 110))
+  (segment (start 76.6 57.6) (end 77 58) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 51AD4870))
+  (segment (start 76.6 50.2) (end 76.6 57.6) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 51AD5504))
+  (segment (start 76.2 49.525) (end 76.2 47.825) (width 0.1524) (layer TOP-L1) (net 111))
+  (segment (start 76.7 47.325) (end 76.7 46.95) (width 0.1524) (layer TOP-L1) (net 111) (tstamp 51AD54FF))
+  (segment (start 76.2 47.825) (end 76.7 47.325) (width 0.1524) (layer TOP-L1) (net 111) (tstamp 51AD54FE))
+  (segment (start 76.2 57.2) (end 76.2 49.525) (width 0.1524) (layer TOP-L1) (net 111))
+  (segment (start 76.7 46.95) (end 76.7 44.75) (width 0.1524) (layer TOP-L1) (net 111) (tstamp 51AD5502))
+  (segment (start 77.4 50.75) (end 77.4 49.725) (width 0.1524) (layer Sig1-L3) (net 112))
+  (segment (start 76.175 46.925) (end 76.175 46.6) (width 0.1524) (layer TOP-L1) (net 112) (tstamp 51AD54F2))
+  (via (at 76.175 46.925) (size 0.4572) (layers TOP-L1 BOT-L6) (net 112))
+  (segment (start 76.175 48.5) (end 76.175 46.925) (width 0.1524) (layer Sig1-L3) (net 112) (tstamp 51AD54EE))
+  (segment (start 77.4 49.725) (end 76.175 48.5) (width 0.1524) (layer Sig1-L3) (net 112) (tstamp 51AD54EC))
+  (segment (start 77 58.8) (end 77.4 58.4) (width 0.1524) (layer TOP-L1) (net 112))
+  (segment (start 76.175 46.6) (end 76.175 44.75) (width 0.1524) (layer TOP-L1) (net 112) (tstamp 51AD54F5))
+  (segment (start 77.4 58.4) (end 77.4 50.75) (width 0.1524) (layer Sig1-L3) (net 112) (tstamp 51AD4855))
+  (via (at 77.4 58.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 112))
+  (segment (start 92.25 60.575) (end 92.45 60.375) (width 0.1524) (layer TOP-L1) (net 113))
+  (segment (start 108.1 53.025) (end 116.975 53.025) (width 0.1524) (layer TOP-L1) (net 113) (tstamp 51AD98E3))
+  (segment (start 104.825 56.3) (end 108.1 53.025) (width 0.1524) (layer TOP-L1) (net 113) (tstamp 51AD98E1))
+  (segment (start 93.4 56.3) (end 104.825 56.3) (width 0.1524) (layer TOP-L1) (net 113) (tstamp 51AD98DB))
+  (segment (start 92.45 57.25) (end 93.4 56.3) (width 0.1524) (layer TOP-L1) (net 113) (tstamp 51AD98D9))
+  (segment (start 92.45 60.375) (end 92.45 57.25) (width 0.1524) (layer TOP-L1) (net 113) (tstamp 51AD98D8))
+  (segment (start 86.825 66) (end 85.8 66) (width 0.1524) (layer TOP-L1) (net 113) (tstamp 51AD96B2))
+  (segment (start 92.25 60.575) (end 86.825 66) (width 0.1524) (layer TOP-L1) (net 113) (tstamp 51AD98D6))
+  (segment (start 92.175 58.25) (end 92.175 60.2) (width 0.1524) (layer TOP-L1) (net 114))
+  (segment (start 92.175 60.2) (end 91.7 60.675) (width 0.1524) (layer TOP-L1) (net 114) (tstamp 51AD98D0))
+  (segment (start 116.975 52.525) (end 108.15 52.525) (width 0.1524) (layer TOP-L1) (net 114))
+  (segment (start 92.175 57.1) (end 92.175 58.25) (width 0.1524) (layer TOP-L1) (net 114) (tstamp 51AD98C9))
+  (segment (start 92.175 58.25) (end 92.175 58.475) (width 0.1524) (layer TOP-L1) (net 114) (tstamp 51AD98CE))
+  (segment (start 93.25 56.025) (end 92.175 57.1) (width 0.1524) (layer TOP-L1) (net 114) (tstamp 51AD98C6))
+  (segment (start 104.65 56.025) (end 93.25 56.025) (width 0.1524) (layer TOP-L1) (net 114) (tstamp 51AD98C4))
+  (segment (start 108.15 52.525) (end 104.65 56.025) (width 0.1524) (layer TOP-L1) (net 114) (tstamp 51AD98C2))
+  (segment (start 85 66) (end 85.4 65.6) (width 0.1524) (layer TOP-L1) (net 114))
+  (segment (start 86.775 65.6) (end 91.7 60.675) (width 0.1524) (layer TOP-L1) (net 114) (tstamp 51AD969C))
+  (segment (start 85.4 65.6) (end 86.775 65.6) (width 0.1524) (layer TOP-L1) (net 114) (tstamp 51AD9698))
+  (segment (start 89.55 56.4) (end 89.55 58.175) (width 0.1524) (layer TOP-L1) (net 115))
+  (segment (start 116.975 48.025) (end 108.55 48.025) (width 0.1524) (layer TOP-L1) (net 115))
+  (segment (start 89.55 55.7) (end 89.55 56.4) (width 0.1524) (layer TOP-L1) (net 115) (tstamp 51AD982D))
+  (segment (start 89.55 56.4) (end 89.55 56.425) (width 0.1524) (layer TOP-L1) (net 115) (tstamp 51AD9832))
+  (segment (start 91.825 53.425) (end 89.55 55.7) (width 0.1524) (layer TOP-L1) (net 115) (tstamp 51AD982B))
+  (segment (start 103.15 53.425) (end 91.825 53.425) (width 0.1524) (layer TOP-L1) (net 115) (tstamp 51AD9829))
+  (segment (start 108.55 48.025) (end 103.15 53.425) (width 0.1524) (layer TOP-L1) (net 115) (tstamp 51AD9827))
+  (segment (start 86.6 62) (end 85.8 62) (width 0.1524) (layer TOP-L1) (net 115) (tstamp 51AD95E2))
+  (segment (start 89.55 59.05) (end 86.6 62) (width 0.1524) (layer TOP-L1) (net 115) (tstamp 51AD95E1))
+  (segment (start 89.55 58.175) (end 89.55 59.05) (width 0.1524) (layer TOP-L1) (net 115) (tstamp 51AD9836))
+  (segment (start 89.25 58.375) (end 89.25 55.55) (width 0.1524) (layer TOP-L1) (net 116))
+  (segment (start 108.625 47.525) (end 116.975 47.525) (width 0.1524) (layer TOP-L1) (net 116) (tstamp 51AD9823))
+  (segment (start 103 53.15) (end 108.625 47.525) (width 0.1524) (layer TOP-L1) (net 116) (tstamp 51AD9821))
+  (segment (start 91.65 53.15) (end 103 53.15) (width 0.1524) (layer TOP-L1) (net 116) (tstamp 51AD981F))
+  (segment (start 89.25 55.55) (end 91.65 53.15) (width 0.1524) (layer TOP-L1) (net 116) (tstamp 51AD981D))
+  (segment (start 85 62) (end 85.4 61.6) (width 0.1524) (layer TOP-L1) (net 116))
+  (segment (start 89.25 58.975) (end 89.25 58.375) (width 0.1524) (layer TOP-L1) (net 116) (tstamp 51AD95C0))
+  (segment (start 86.625 61.6) (end 89.25 58.975) (width 0.1524) (layer TOP-L1) (net 116) (tstamp 51AD95BD))
+  (segment (start 85.4 61.6) (end 86.625 61.6) (width 0.1524) (layer TOP-L1) (net 116) (tstamp 51AD95BA))
+  (segment (start 84.2 62.8) (end 84.6 62.4) (width 0.1524) (layer TOP-L1) (net 117))
+  (segment (start 110.5 47.025) (end 116.975 47.025) (width 0.1524) (layer TOP-L1) (net 117) (tstamp 51AD9A67))
+  (via (at 110.5 47.025) (size 0.4572) (layers TOP-L1 BOT-L6) (net 117))
+  (segment (start 99.975 47.025) (end 110.5 47.025) (width 0.1524) (layer Sig1-L3) (net 117) (tstamp 51AD9A5C))
+  (segment (start 84.6 62.4) (end 99.975 47.025) (width 0.1524) (layer Sig1-L3) (net 117) (tstamp 51AD9A5B))
+  (via (at 84.6 62.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 117))
+  (segment (start 83.4 62.8) (end 83.8 62.4) (width 0.1524) (layer TOP-L1) (net 118))
+  (segment (start 109.8 46.525) (end 116.975 46.525) (width 0.1524) (layer TOP-L1) (net 118) (tstamp 51AD9A4D))
+  (via (at 109.8 46.525) (size 0.4572) (layers TOP-L1 BOT-L6) (net 118))
+  (segment (start 100.425 46.525) (end 109.8 46.525) (width 0.1524) (layer Sig-L5) (net 118) (tstamp 51AD9A40))
+  (segment (start 84.95 62) (end 100.425 46.525) (width 0.1524) (layer Sig-L5) (net 118) (tstamp 51AD9A3B))
+  (segment (start 84.2 62) (end 84.95 62) (width 0.1524) (layer Sig-L5) (net 118) (tstamp 51AD9A3A))
+  (segment (start 83.8 62.4) (end 84.2 62) (width 0.1524) (layer Sig-L5) (net 118) (tstamp 51AD9A39))
+  (via (at 83.8 62.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 118))
+  (segment (start 84.2 62) (end 84.6 61.6) (width 0.1524) (layer TOP-L1) (net 119))
+  (segment (start 110.5 46.025) (end 116.975 46.025) (width 0.1524) (layer TOP-L1) (net 119) (tstamp 51AD9A27))
+  (via (at 110.5 46.025) (size 0.4572) (layers TOP-L1 BOT-L6) (net 119))
+  (segment (start 100.55 46.025) (end 110.5 46.025) (width 0.1524) (layer Sig1-L3) (net 119) (tstamp 51AD9A15))
+  (segment (start 84.975 61.6) (end 100.55 46.025) (width 0.1524) (layer Sig1-L3) (net 119) (tstamp 51AD9A0E))
+  (segment (start 84.6 61.6) (end 84.975 61.6) (width 0.1524) (layer Sig1-L3) (net 119) (tstamp 51AD9A0D))
+  (via (at 84.6 61.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 119))
+  (segment (start 83.8 61.6) (end 84.2 61.2) (width 0.1524) (layer Sig-L5) (net 120))
+  (segment (start 84.775 61.2) (end 100.375 45.6) (width 0.1524) (layer Sig-L5) (net 120) (tstamp 51AD99EE))
+  (segment (start 84.2 61.2) (end 84.775 61.2) (width 0.1524) (layer Sig-L5) (net 120) (tstamp 51AD99EA))
+  (segment (start 83.4 62) (end 83.8 61.6) (width 0.1524) (layer TOP-L1) (net 120))
+  (segment (start 111.15 45.525) (end 116.975 45.525) (width 0.1524) (layer TOP-L1) (net 120) (tstamp 51AD99C6))
+  (via (at 111.15 45.525) (size 0.4572) (layers TOP-L1 BOT-L6) (net 120))
+  (segment (start 100.45 45.525) (end 111.15 45.525) (width 0.1524) (layer Sig-L5) (net 120) (tstamp 51AD99B4))
+  (segment (start 100.375 45.6) (end 100.45 45.525) (width 0.1524) (layer Sig-L5) (net 120) (tstamp 51AD9A00))
+  (segment (start 83.8 61.6) (end 83.8 61.6) (width 0.1524) (layer Sig-L5) (net 120) (tstamp 51AD99B2))
+  (via (at 83.8 61.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 120))
+  (segment (start 84.2 66) (end 84.6 66.4) (width 0.1524) (layer TOP-L1) (net 121))
+  (segment (start 115.025 45.025) (end 116.975 45.025) (width 0.1524) (layer TOP-L1) (net 121) (tstamp 51AE197D))
+  (via (at 115.025 45.025) (size 0.4572) (layers TOP-L1 BOT-L6) (net 121))
+  (segment (start 111.125 48.925) (end 115.025 45.025) (width 0.1524) (layer Sig-L5) (net 121) (tstamp 51AE1979))
+  (segment (start 102.175 48.925) (end 111.125 48.925) (width 0.1524) (layer Sig-L5) (net 121) (tstamp 51AE1977))
+  (segment (start 93.35 57.75) (end 102.175 48.925) (width 0.1524) (layer Sig-L5) (net 121) (tstamp 51AE1976))
+  (via (at 93.35 57.75) (size 0.4572) (layers TOP-L1 BOT-L6) (net 121))
+  (segment (start 93.35 60) (end 93.35 57.75) (width 0.1524) (layer TOP-L1) (net 121) (tstamp 51AE1973))
+  (segment (start 86.95 66.4) (end 93.35 60) (width 0.1524) (layer TOP-L1) (net 121) (tstamp 51AE196F))
+  (segment (start 84.6 66.4) (end 86.95 66.4) (width 0.1524) (layer TOP-L1) (net 121) (tstamp 51AE196E))
+  (segment (start 88.975 57.875) (end 88.975 55.35) (width 0.1524) (layer TOP-L1) (net 122))
+  (segment (start 111.175 44.525) (end 116.975 44.525) (width 0.1524) (layer TOP-L1) (net 122) (tstamp 51AD9807))
+  (segment (start 102.875 52.825) (end 111.175 44.525) (width 0.1524) (layer TOP-L1) (net 122) (tstamp 51AD9805))
+  (segment (start 91.5 52.825) (end 102.875 52.825) (width 0.1524) (layer TOP-L1) (net 122) (tstamp 51AD9803))
+  (segment (start 88.975 55.35) (end 91.5 52.825) (width 0.1524) (layer TOP-L1) (net 122) (tstamp 51AD9801))
+  (segment (start 88.5 59.275) (end 88.975 58.8) (width 0.1524) (layer TOP-L1) (net 122))
+  (segment (start 88.975 58.8) (end 88.975 57.875) (width 0.1524) (layer TOP-L1) (net 122) (tstamp 51AD95A4))
+  (segment (start 85.8 61.2) (end 86.575 61.2) (width 0.1524) (layer TOP-L1) (net 122))
+  (segment (start 86.575 61.2) (end 88.5 59.275) (width 0.1524) (layer TOP-L1) (net 122) (tstamp 51AD8ECD))
+  (segment (start 113.8 44.025) (end 116.625 44.025) (width 0.1524) (layer TOP-L1) (net 123))
+  (segment (start 88.625 57.825) (end 88.625 55.275) (width 0.1524) (layer TOP-L1) (net 123))
+  (segment (start 111.2 44.025) (end 113.8 44.025) (width 0.1524) (layer TOP-L1) (net 123) (tstamp 51AD97A4))
+  (segment (start 113.8 44.025) (end 114.275 44.025) (width 0.1524) (layer TOP-L1) (net 123) (tstamp 51AD97D1))
+  (segment (start 102.675 52.55) (end 111.2 44.025) (width 0.1524) (layer TOP-L1) (net 123) (tstamp 51AD979C))
+  (segment (start 91.35 52.55) (end 102.675 52.55) (width 0.1524) (layer TOP-L1) (net 123) (tstamp 51AD9799))
+  (segment (start 88.625 55.275) (end 91.35 52.55) (width 0.1524) (layer TOP-L1) (net 123) (tstamp 51AD9797))
+  (segment (start 88.625 58.35) (end 88.625 57.825) (width 0.1524) (layer TOP-L1) (net 123))
+  (segment (start 85 61.2) (end 85.4 60.8) (width 0.1524) (layer TOP-L1) (net 123))
+  (segment (start 88.625 58.575) (end 88.625 58.35) (width 0.1524) (layer TOP-L1) (net 123) (tstamp 51AD8E9C))
+  (segment (start 86.4 60.8) (end 88.625 58.575) (width 0.1524) (layer TOP-L1) (net 123) (tstamp 51AD8E9A))
+  (segment (start 85.4 60.8) (end 86.4 60.8) (width 0.1524) (layer TOP-L1) (net 123) (tstamp 51AD8E99))
+  (segment (start 116.975 43.525) (end 114.175 43.525) (width 0.1524) (layer TOP-L1) (net 124))
+  (segment (start 84.6 64.8) (end 84.2 65.2) (width 0.1524) (layer TOP-L1) (net 124) (tstamp 51ADB1A5))
+  (via (at 84.6 64.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 124))
+  (segment (start 85 64.4) (end 84.6 64.8) (width 0.1524) (layer Sig-L5) (net 124) (tstamp 51ADB1A3))
+  (segment (start 85 63.575) (end 85 64.4) (width 0.1524) (layer Sig-L5) (net 124) (tstamp 51ADB1A1))
+  (segment (start 100.1 48.475) (end 85 63.575) (width 0.1524) (layer Sig-L5) (net 124) (tstamp 51ADB19F))
+  (segment (start 110.75 48.475) (end 100.1 48.475) (width 0.1524) (layer Sig-L5) (net 124) (tstamp 51ADB19D))
+  (segment (start 112.275 46.95) (end 110.75 48.475) (width 0.1524) (layer Sig-L5) (net 124) (tstamp 51ADB19B))
+  (segment (start 112.275 44.45) (end 112.275 46.95) (width 0.1524) (layer Sig-L5) (net 124) (tstamp 51ADB199))
+  (segment (start 113.2 43.525) (end 112.275 44.45) (width 0.1524) (layer Sig-L5) (net 124) (tstamp 51ADB197))
+  (segment (start 114.175 43.525) (end 113.2 43.525) (width 0.1524) (layer Sig-L5) (net 124) (tstamp 51ADB196))
+  (via (at 114.175 43.525) (size 0.4572) (layers TOP-L1 BOT-L6) (net 124))
+  (segment (start 91.575 60.425) (end 91.875 60.125) (width 0.1524) (layer TOP-L1) (net 125))
+  (segment (start 108.125 52.025) (end 116.975 52.025) (width 0.1524) (layer TOP-L1) (net 125) (tstamp 51AD98BE))
+  (segment (start 104.425 55.725) (end 108.125 52.025) (width 0.1524) (layer TOP-L1) (net 125) (tstamp 51AD98BA))
+  (segment (start 93.125 55.725) (end 104.425 55.725) (width 0.1524) (layer TOP-L1) (net 125) (tstamp 51AD98B6))
+  (segment (start 91.875 56.975) (end 93.125 55.725) (width 0.1524) (layer TOP-L1) (net 125) (tstamp 51AD98B4))
+  (segment (start 91.875 60.125) (end 91.875 56.975) (width 0.1524) (layer TOP-L1) (net 125) (tstamp 51AD98B3))
+  (segment (start 85.8 65.2) (end 86.8 65.2) (width 0.1524) (layer TOP-L1) (net 125))
+  (segment (start 86.8 65.2) (end 91.575 60.425) (width 0.1524) (layer TOP-L1) (net 125) (tstamp 51AD9687))
+  (segment (start 84.2 64.4) (end 84.6 64) (width 0.1524) (layer TOP-L1) (net 126))
+  (segment (start 113.7 43.025) (end 116.975 43.025) (width 0.1524) (layer TOP-L1) (net 126) (tstamp 51ADB191))
+  (via (at 113.7 43.025) (size 0.4572) (layers TOP-L1 BOT-L6) (net 126))
+  (segment (start 112.275 44.45) (end 113.7 43.025) (width 0.1524) (layer Sig1-L3) (net 126) (tstamp 51ADB18E))
+  (segment (start 112.275 46.95) (end 112.275 44.45) (width 0.1524) (layer Sig1-L3) (net 126) (tstamp 51ADB18C))
+  (segment (start 110.75 48.475) (end 112.275 46.95) (width 0.1524) (layer Sig1-L3) (net 126) (tstamp 51ADB18A))
+  (segment (start 100.125 48.475) (end 110.75 48.475) (width 0.1524) (layer Sig1-L3) (net 126) (tstamp 51ADB188))
+  (segment (start 84.6 64) (end 100.125 48.475) (width 0.1524) (layer Sig1-L3) (net 126) (tstamp 51ADB187))
+  (via (at 84.6 64) (size 0.4572) (layers TOP-L1 BOT-L6) (net 126))
+  (segment (start 116.975 42.525) (end 113.15 42.525) (width 0.1524) (layer TOP-L1) (net 127))
+  (segment (start 84.6 63.2) (end 84.2 63.6) (width 0.1524) (layer TOP-L1) (net 127) (tstamp 51AD9AC3))
+  (via (at 84.6 63.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 127))
+  (segment (start 99.8 48) (end 84.6 63.2) (width 0.1524) (layer Sig1-L3) (net 127) (tstamp 51AD9AB7))
+  (segment (start 110.675 48) (end 99.8 48) (width 0.1524) (layer Sig1-L3) (net 127) (tstamp 51AD9AB5))
+  (segment (start 111.875 46.8) (end 110.675 48) (width 0.1524) (layer Sig1-L3) (net 127) (tstamp 51AD9AB1))
+  (segment (start 111.875 43.8) (end 111.875 46.8) (width 0.1524) (layer Sig1-L3) (net 127) (tstamp 51AD9AAF))
+  (segment (start 112.85 42.825) (end 111.875 43.8) (width 0.1524) (layer Sig1-L3) (net 127) (tstamp 51AD9AAE))
+  (via (at 112.85 42.825) (size 0.4572) (layers TOP-L1 BOT-L6) (net 127))
+  (segment (start 113.15 42.525) (end 112.85 42.825) (width 0.1524) (layer TOP-L1) (net 127) (tstamp 51AD9A8D))
+  (segment (start 83.4 63.6) (end 83.8 63.2) (width 0.1524) (layer TOP-L1) (net 128))
+  (segment (start 113.075 42.025) (end 116.975 42.025) (width 0.1524) (layer TOP-L1) (net 128) (tstamp 51AD9A8A))
+  (via (at 113.075 42.025) (size 0.4572) (layers TOP-L1 BOT-L6) (net 128))
+  (segment (start 111.875 43.225) (end 113.075 42.025) (width 0.1524) (layer Sig-L5) (net 128) (tstamp 51AD9A84))
+  (segment (start 111.875 46.8) (end 111.875 43.225) (width 0.1524) (layer Sig-L5) (net 128) (tstamp 51AD9A81))
+  (segment (start 110.675 48) (end 111.875 46.8) (width 0.1524) (layer Sig-L5) (net 128) (tstamp 51AD9A7F))
+  (segment (start 99.75 48) (end 110.675 48) (width 0.1524) (layer Sig-L5) (net 128) (tstamp 51AD9A78))
+  (segment (start 84.95 62.8) (end 99.75 48) (width 0.1524) (layer Sig-L5) (net 128) (tstamp 51AD9A76))
+  (segment (start 84.2 62.8) (end 84.95 62.8) (width 0.1524) (layer Sig-L5) (net 128) (tstamp 51AD9A75))
+  (segment (start 83.8 63.2) (end 84.2 62.8) (width 0.1524) (layer Sig-L5) (net 128) (tstamp 51AD9A74))
+  (via (at 83.8 63.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 128))
+  (segment (start 82.6 62) (end 83 61.6) (width 0.1524) (layer TOP-L1) (net 129))
+  (segment (start 115.475 41.525) (end 116.975 41.525) (width 0.1524) (layer TOP-L1) (net 129) (tstamp 51AD9993))
+  (segment (start 115.425 41.575) (end 115.475 41.525) (width 0.1524) (layer TOP-L1) (net 129) (tstamp 51AD9992))
+  (via (at 115.425 41.575) (size 0.4572) (layers TOP-L1 BOT-L6) (net 129))
+  (segment (start 104.475 41.575) (end 115.425 41.575) (width 0.1524) (layer Sig1-L3) (net 129) (tstamp 51AD998A))
+  (segment (start 84.85 61.2) (end 104.475 41.575) (width 0.1524) (layer Sig1-L3) (net 129) (tstamp 51AD9982))
+  (segment (start 83.4 61.2) (end 84.85 61.2) (width 0.1524) (layer Sig1-L3) (net 129) (tstamp 51AD997D))
+  (segment (start 83 61.6) (end 83.4 61.2) (width 0.1524) (layer Sig1-L3) (net 129) (tstamp 51AD997C))
+  (via (at 83 61.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 129))
+  (segment (start 116.975 41.025) (end 114.975 41.025) (width 0.1524) (layer TOP-L1) (net 130))
+  (segment (start 84.6 60.8) (end 84.2 61.2) (width 0.1524) (layer TOP-L1) (net 130) (tstamp 51AD99A9))
+  (via (at 84.6 60.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 130))
+  (segment (start 104.375 41.025) (end 84.6 60.8) (width 0.1524) (layer Sig-L5) (net 130) (tstamp 51AD9999))
+  (segment (start 114.975 41.025) (end 104.375 41.025) (width 0.1524) (layer Sig-L5) (net 130) (tstamp 51AD9998))
+  (via (at 114.975 41.025) (size 0.4572) (layers TOP-L1 BOT-L6) (net 130))
+  (segment (start 91.35 60.225) (end 91.6 59.975) (width 0.1524) (layer TOP-L1) (net 131))
+  (segment (start 108.15 51.525) (end 116.975 51.525) (width 0.1524) (layer TOP-L1) (net 131) (tstamp 51AD98AA))
+  (segment (start 104.25 55.425) (end 108.15 51.525) (width 0.1524) (layer TOP-L1) (net 131) (tstamp 51AD98A6))
+  (segment (start 92.925 55.425) (end 104.25 55.425) (width 0.1524) (layer TOP-L1) (net 131) (tstamp 51AD98A4))
+  (segment (start 91.6 56.75) (end 92.925 55.425) (width 0.1524) (layer TOP-L1) (net 131) (tstamp 51AD98A2))
+  (segment (start 91.6 59.975) (end 91.6 56.75) (width 0.1524) (layer TOP-L1) (net 131) (tstamp 51AD98A1))
+  (segment (start 85 65.2) (end 85.4 64.8) (width 0.1524) (layer TOP-L1) (net 131))
+  (segment (start 86.775 64.8) (end 91.35 60.225) (width 0.1524) (layer TOP-L1) (net 131) (tstamp 51AD9676))
+  (segment (start 85.4 64.8) (end 86.775 64.8) (width 0.1524) (layer TOP-L1) (net 131) (tstamp 51AD9673))
+  (segment (start 91.3 57.45) (end 91.3 59.85) (width 0.1524) (layer TOP-L1) (net 132))
+  (segment (start 91.3 59.85) (end 91 60.15) (width 0.1524) (layer TOP-L1) (net 132) (tstamp 51AD9899))
+  (segment (start 116.975 51.025) (end 108.225 51.025) (width 0.1524) (layer TOP-L1) (net 132))
+  (segment (start 91.3 56.6) (end 91.3 57.45) (width 0.1524) (layer TOP-L1) (net 132) (tstamp 51AD9891))
+  (segment (start 91.3 57.45) (end 91.3 57.825) (width 0.1524) (layer TOP-L1) (net 132) (tstamp 51AD9897))
+  (segment (start 92.775 55.125) (end 91.3 56.6) (width 0.1524) (layer TOP-L1) (net 132) (tstamp 51AD988F))
+  (segment (start 104.125 55.125) (end 92.775 55.125) (width 0.1524) (layer TOP-L1) (net 132) (tstamp 51AD988D))
+  (segment (start 108.225 51.025) (end 104.125 55.125) (width 0.1524) (layer TOP-L1) (net 132) (tstamp 51AD988B))
+  (segment (start 85.8 64.4) (end 86.75 64.4) (width 0.1524) (layer TOP-L1) (net 132))
+  (segment (start 86.75 64.4) (end 91 60.15) (width 0.1524) (layer TOP-L1) (net 132) (tstamp 51AD9663))
+  (segment (start 90.625 60.075) (end 90.975 59.725) (width 0.1524) (layer TOP-L1) (net 133))
+  (segment (start 108.225 50.525) (end 116.975 50.525) (width 0.1524) (layer TOP-L1) (net 133) (tstamp 51AD9887))
+  (segment (start 103.9 54.85) (end 108.225 50.525) (width 0.1524) (layer TOP-L1) (net 133) (tstamp 51AD9884))
+  (segment (start 92.575 54.85) (end 103.9 54.85) (width 0.1524) (layer TOP-L1) (net 133) (tstamp 51AD9880))
+  (segment (start 90.975 56.45) (end 92.575 54.85) (width 0.1524) (layer TOP-L1) (net 133) (tstamp 51AD987E))
+  (segment (start 90.975 59.725) (end 90.975 56.45) (width 0.1524) (layer TOP-L1) (net 133) (tstamp 51AD987D))
+  (segment (start 85 64.4) (end 85.4 64) (width 0.1524) (layer TOP-L1) (net 133))
+  (segment (start 86.7 64) (end 90.625 60.075) (width 0.1524) (layer TOP-L1) (net 133) (tstamp 51AD964B))
+  (segment (start 85.4 64) (end 86.7 64) (width 0.1524) (layer TOP-L1) (net 133) (tstamp 51AD9649))
+  (segment (start 90.45 59.875) (end 90.675 59.65) (width 0.1524) (layer TOP-L1) (net 134))
+  (segment (start 108.275 50.025) (end 116.975 50.025) (width 0.1524) (layer TOP-L1) (net 134) (tstamp 51AD9874))
+  (segment (start 103.75 54.55) (end 108.275 50.025) (width 0.1524) (layer TOP-L1) (net 134) (tstamp 51AD9871))
+  (segment (start 92.375 54.55) (end 103.75 54.55) (width 0.1524) (layer TOP-L1) (net 134) (tstamp 51AD986F))
+  (segment (start 90.675 56.25) (end 92.375 54.55) (width 0.1524) (layer TOP-L1) (net 134) (tstamp 51AD986D))
+  (segment (start 90.675 59.65) (end 90.675 56.25) (width 0.1524) (layer TOP-L1) (net 134) (tstamp 51AD986C))
+  (segment (start 85.8 63.6) (end 86.725 63.6) (width 0.1524) (layer TOP-L1) (net 134))
+  (segment (start 86.725 63.6) (end 90.45 59.875) (width 0.1524) (layer TOP-L1) (net 134) (tstamp 51AD963C))
+  (segment (start 90.4 58.025) (end 90.4 59.5) (width 0.1524) (layer TOP-L1) (net 135))
+  (segment (start 90.4 59.5) (end 90.125 59.775) (width 0.1524) (layer TOP-L1) (net 135) (tstamp 51AD9864))
+  (segment (start 116.975 49.525) (end 108.35 49.525) (width 0.1524) (layer TOP-L1) (net 135))
+  (segment (start 90.4 56.1) (end 90.4 58.025) (width 0.1524) (layer TOP-L1) (net 135) (tstamp 51AD985C))
+  (segment (start 90.4 58.025) (end 90.4 58.15) (width 0.1524) (layer TOP-L1) (net 135) (tstamp 51AD9862))
+  (segment (start 92.225 54.275) (end 90.4 56.1) (width 0.1524) (layer TOP-L1) (net 135) (tstamp 51AD9858))
+  (segment (start 103.6 54.275) (end 92.225 54.275) (width 0.1524) (layer TOP-L1) (net 135) (tstamp 51AD9856))
+  (segment (start 108.35 49.525) (end 103.6 54.275) (width 0.1524) (layer TOP-L1) (net 135) (tstamp 51AD9854))
+  (segment (start 85 63.6) (end 85.4 63.2) (width 0.1524) (layer TOP-L1) (net 135))
+  (segment (start 86.7 63.2) (end 90.125 59.775) (width 0.1524) (layer TOP-L1) (net 135) (tstamp 51AD962E))
+  (segment (start 85.4 63.2) (end 86.7 63.2) (width 0.1524) (layer TOP-L1) (net 135) (tstamp 51AD962B))
+  (segment (start 89.825 59.65) (end 90.125 59.35) (width 0.1524) (layer TOP-L1) (net 136))
+  (segment (start 108.4 49.025) (end 116.975 49.025) (width 0.1524) (layer TOP-L1) (net 136) (tstamp 51AD9850))
+  (segment (start 103.425 54) (end 108.4 49.025) (width 0.1524) (layer TOP-L1) (net 136) (tstamp 51AD984D))
+  (segment (start 92.075 54) (end 103.425 54) (width 0.1524) (layer TOP-L1) (net 136) (tstamp 51AD984B))
+  (segment (start 90.125 55.95) (end 92.075 54) (width 0.1524) (layer TOP-L1) (net 136) (tstamp 51AD9849))
+  (segment (start 90.125 59.35) (end 90.125 55.95) (width 0.1524) (layer TOP-L1) (net 136) (tstamp 51AD9848))
+  (segment (start 85.8 62.8) (end 86.675 62.8) (width 0.1524) (layer TOP-L1) (net 136))
+  (segment (start 86.675 62.8) (end 89.825 59.65) (width 0.1524) (layer TOP-L1) (net 136) (tstamp 51AD961E))
+  (segment (start 89.825 58.375) (end 89.825 55.85) (width 0.1524) (layer TOP-L1) (net 137))
+  (segment (start 108.5 48.5) (end 116.975 48.5) (width 0.1524) (layer TOP-L1) (net 137) (tstamp 51AD9841))
+  (segment (start 103.3 53.7) (end 108.5 48.5) (width 0.1524) (layer TOP-L1) (net 137) (tstamp 51AD983F))
+  (segment (start 91.975 53.7) (end 103.3 53.7) (width 0.1524) (layer TOP-L1) (net 137) (tstamp 51AD983D))
+  (segment (start 89.825 55.85) (end 91.975 53.7) (width 0.1524) (layer TOP-L1) (net 137) (tstamp 51AD983B))
+  (segment (start 85 62.8) (end 85.4 62.4) (width 0.1524) (layer TOP-L1) (net 137))
+  (segment (start 89.825 59.175) (end 89.825 58.375) (width 0.1524) (layer TOP-L1) (net 137) (tstamp 51AD9606))
+  (segment (start 86.6 62.4) (end 89.825 59.175) (width 0.1524) (layer TOP-L1) (net 137) (tstamp 51AD9604))
+  (segment (start 85.4 62.4) (end 86.6 62.4) (width 0.1524) (layer TOP-L1) (net 137) (tstamp 51AD9601))
+  (segment (start 86.175 60.4) (end 86.35 60.4) (width 0.1524) (layer TOP-L1) (net 138))
+  (segment (start 100.75 51.775) (end 101.45 51.075) (width 0.1524) (layer TOP-L1) (net 138) (tstamp 51AD8E6B))
+  (segment (start 91.375 51.775) (end 100.75 51.775) (width 0.1524) (layer TOP-L1) (net 138) (tstamp 51AD8E69))
+  (segment (start 88.325 54.825) (end 91.375 51.775) (width 0.1524) (layer TOP-L1) (net 138) (tstamp 51AD8E67))
+  (segment (start 88.325 58.425) (end 88.325 54.825) (width 0.1524) (layer TOP-L1) (net 138) (tstamp 51AD8E65))
+  (segment (start 86.35 60.4) (end 88.325 58.425) (width 0.1524) (layer TOP-L1) (net 138) (tstamp 51AD8E64))
+  (segment (start 85.8 60.4) (end 86.175 60.4) (width 0.1524) (layer TOP-L1) (net 138))
+  (segment (start 105.5 47.025) (end 105.5 44.775) (width 0.1524) (layer TOP-L1) (net 138) (tstamp 51AD8A8E))
+  (segment (start 101.45 51.075) (end 105.5 47.025) (width 0.1524) (layer TOP-L1) (net 138) (tstamp 51AD8E6F))
+  (segment (start 101.075 50.925) (end 100.55 51.45) (width 0.1524) (layer TOP-L1) (net 139))
+  (segment (start 86.325 60) (end 85.725 60) (width 0.1524) (layer TOP-L1) (net 139) (tstamp 51AD8E5D))
+  (segment (start 88.05 58.275) (end 86.325 60) (width 0.1524) (layer TOP-L1) (net 139) (tstamp 51AD8E5B))
+  (segment (start 88.05 54.65) (end 88.05 58.275) (width 0.1524) (layer TOP-L1) (net 139) (tstamp 51AD8E59))
+  (segment (start 91.25 51.45) (end 88.05 54.65) (width 0.1524) (layer TOP-L1) (net 139) (tstamp 51AD8E57))
+  (segment (start 100.55 51.45) (end 91.25 51.45) (width 0.1524) (layer TOP-L1) (net 139) (tstamp 51AD8E56))
+  (segment (start 105 44.775) (end 105 47) (width 0.1524) (layer TOP-L1) (net 139))
+  (segment (start 85.4 60) (end 85 60.4) (width 0.1524) (layer TOP-L1) (net 139) (tstamp 51AD8A96))
+  (segment (start 85.725 60) (end 85.4 60) (width 0.1524) (layer TOP-L1) (net 139) (tstamp 51AD8E60))
+  (segment (start 105 47) (end 101.075 50.925) (width 0.1524) (layer TOP-L1) (net 139) (tstamp 51AD8A92))
+  (segment (start 100.5 46.35) (end 100.5 46.875) (width 0.1524) (layer TOP-L1) (net 140))
+  (segment (start 98.725 48.65) (end 97.875 48.65) (width 0.1524) (layer TOP-L1) (net 140) (tstamp 51AD8AC4))
+  (segment (start 100.5 46.875) (end 98.725 48.65) (width 0.1524) (layer TOP-L1) (net 140) (tstamp 51AD8AC3))
+  (segment (start 100.5 44.775) (end 100.5 46.35) (width 0.1524) (layer TOP-L1) (net 140))
+  (segment (start 84.625 57.575) (end 84.2 58) (width 0.1524) (layer TOP-L1) (net 140) (tstamp 51AD89CD))
+  (segment (start 84.625 52.75) (end 84.625 57.575) (width 0.1524) (layer TOP-L1) (net 140) (tstamp 51AD89CB))
+  (segment (start 88.725 48.65) (end 84.625 52.75) (width 0.1524) (layer TOP-L1) (net 140) (tstamp 51AD89C9))
+  (segment (start 97.875 48.65) (end 88.725 48.65) (width 0.1524) (layer TOP-L1) (net 140) (tstamp 51AD8AC8))
+  (segment (start 97.9 48.375) (end 98.425 48.375) (width 0.1524) (layer TOP-L1) (net 141))
+  (segment (start 100 46.8) (end 100 46.3) (width 0.1524) (layer TOP-L1) (net 141) (tstamp 51AD8ABC))
+  (segment (start 98.425 48.375) (end 100 46.8) (width 0.1524) (layer TOP-L1) (net 141) (tstamp 51AD8ABB))
+  (segment (start 84.2 57.2) (end 84.2 52.325) (width 0.1524) (layer TOP-L1) (net 141))
+  (segment (start 100 46.3) (end 100 44.775) (width 0.1524) (layer TOP-L1) (net 141) (tstamp 51AD8ABF))
+  (segment (start 88.15 48.375) (end 97.9 48.375) (width 0.1524) (layer TOP-L1) (net 141) (tstamp 51AD89B9))
+  (segment (start 84.2 52.325) (end 88.15 48.375) (width 0.1524) (layer TOP-L1) (net 141) (tstamp 51AD89B3))
+  (segment (start 86.125 59.6) (end 86.275 59.6) (width 0.1524) (layer TOP-L1) (net 142))
+  (segment (start 100.375 51.15) (end 100.875 50.65) (width 0.1524) (layer TOP-L1) (net 142) (tstamp 51AD8E4F))
+  (segment (start 91.05 51.15) (end 100.375 51.15) (width 0.1524) (layer TOP-L1) (net 142) (tstamp 51AD8E4D))
+  (segment (start 87.75 54.45) (end 91.05 51.15) (width 0.1524) (layer TOP-L1) (net 142) (tstamp 51AD8E4B))
+  (segment (start 87.75 58.125) (end 87.75 54.45) (width 0.1524) (layer TOP-L1) (net 142) (tstamp 51AD8E49))
+  (segment (start 86.275 59.6) (end 87.75 58.125) (width 0.1524) (layer TOP-L1) (net 142) (tstamp 51AD8E48))
+  (segment (start 85.8 59.6) (end 86.125 59.6) (width 0.1524) (layer TOP-L1) (net 142))
+  (segment (start 104.5 47.025) (end 104.5 44.775) (width 0.1524) (layer TOP-L1) (net 142) (tstamp 51AD8A9B))
+  (segment (start 100.875 50.65) (end 104.5 47.025) (width 0.1524) (layer TOP-L1) (net 142) (tstamp 51AD8E52))
+  (segment (start 100.55 50.475) (end 100.175 50.85) (width 0.1524) (layer TOP-L1) (net 143))
+  (segment (start 86.25 59.2) (end 85.775 59.2) (width 0.1524) (layer TOP-L1) (net 143) (tstamp 51AD8E3E))
+  (segment (start 87.45 58) (end 86.25 59.2) (width 0.1524) (layer TOP-L1) (net 143) (tstamp 51AD8E3C))
+  (segment (start 87.45 54.275) (end 87.45 58) (width 0.1524) (layer TOP-L1) (net 143) (tstamp 51AD8E3A))
+  (segment (start 90.875 50.85) (end 87.45 54.275) (width 0.1524) (layer TOP-L1) (net 143) (tstamp 51AD8E38))
+  (segment (start 100.175 50.85) (end 90.875 50.85) (width 0.1524) (layer TOP-L1) (net 143) (tstamp 51AD8E37))
+  (segment (start 104 44.775) (end 104 47.025) (width 0.1524) (layer TOP-L1) (net 143))
+  (segment (start 85.4 59.2) (end 85 59.6) (width 0.1524) (layer TOP-L1) (net 143) (tstamp 51AD8AA3))
+  (segment (start 85.775 59.2) (end 85.4 59.2) (width 0.1524) (layer TOP-L1) (net 143) (tstamp 51AD8E41))
+  (segment (start 104 47.025) (end 100.55 50.475) (width 0.1524) (layer TOP-L1) (net 143) (tstamp 51AD8A9F))
+  (segment (start 86.225 58.8) (end 86.25 58.8) (width 0.1524) (layer TOP-L1) (net 144))
+  (segment (start 100.05 50.575) (end 100.35 50.275) (width 0.1524) (layer TOP-L1) (net 144) (tstamp 51AD8E30))
+  (segment (start 90.675 50.575) (end 100.05 50.575) (width 0.1524) (layer TOP-L1) (net 144) (tstamp 51AD8E2E))
+  (segment (start 87.15 54.1) (end 90.675 50.575) (width 0.1524) (layer TOP-L1) (net 144) (tstamp 51AD8E2C))
+  (segment (start 87.15 57.9) (end 87.15 54.1) (width 0.1524) (layer TOP-L1) (net 144) (tstamp 51AD8E2B))
+  (segment (start 86.25 58.8) (end 87.15 57.9) (width 0.1524) (layer TOP-L1) (net 144) (tstamp 51AD8E2A))
+  (segment (start 85.8 58.8) (end 86.225 58.8) (width 0.1524) (layer TOP-L1) (net 144))
+  (segment (start 103.5 47.125) (end 103.5 44.775) (width 0.1524) (layer TOP-L1) (net 144) (tstamp 51AD8AA8))
+  (segment (start 100.35 50.275) (end 103.5 47.125) (width 0.1524) (layer TOP-L1) (net 144) (tstamp 51AD8E33))
+  (segment (start 100.7 49.5) (end 99.95 50.25) (width 0.1524) (layer TOP-L1) (net 145))
+  (segment (start 86.225 58.4) (end 86 58.4) (width 0.1524) (layer TOP-L1) (net 145) (tstamp 51AD8E23))
+  (segment (start 86.875 57.75) (end 86.225 58.4) (width 0.1524) (layer TOP-L1) (net 145) (tstamp 51AD8E22))
+  (segment (start 86.875 53.925) (end 86.875 57.75) (width 0.1524) (layer TOP-L1) (net 145) (tstamp 51AD8E21))
+  (segment (start 90.55 50.25) (end 86.875 53.925) (width 0.1524) (layer TOP-L1) (net 145) (tstamp 51AD8E1F))
+  (segment (start 99.95 50.25) (end 90.55 50.25) (width 0.1524) (layer TOP-L1) (net 145) (tstamp 51AD8E1D))
+  (segment (start 103 44.775) (end 103 47.2) (width 0.1524) (layer TOP-L1) (net 145))
+  (segment (start 85.4 58.4) (end 85 58.8) (width 0.1524) (layer TOP-L1) (net 145) (tstamp 51AD8AB0))
+  (segment (start 86 58.4) (end 85.4 58.4) (width 0.1524) (layer TOP-L1) (net 145) (tstamp 51AD8E26))
+  (segment (start 103 47.2) (end 100.7 49.5) (width 0.1524) (layer TOP-L1) (net 145) (tstamp 51AD8AAC))
+  (segment (start 86.125 58) (end 86.2 58) (width 0.1524) (layer TOP-L1) (net 146))
+  (segment (start 99.85 49.95) (end 100.725 49.075) (width 0.1524) (layer TOP-L1) (net 146) (tstamp 51AD8E15))
+  (segment (start 90.425 49.95) (end 99.85 49.95) (width 0.1524) (layer TOP-L1) (net 146) (tstamp 51AD8E13))
+  (segment (start 86.6 53.775) (end 90.425 49.95) (width 0.1524) (layer TOP-L1) (net 146) (tstamp 51AD8E11))
+  (segment (start 86.6 57.6) (end 86.6 53.775) (width 0.1524) (layer TOP-L1) (net 146) (tstamp 51AD8E10))
+  (segment (start 86.2 58) (end 86.6 57.6) (width 0.1524) (layer TOP-L1) (net 146) (tstamp 51AD8E0F))
+  (segment (start 85.8 58) (end 86.125 58) (width 0.1524) (layer TOP-L1) (net 146))
+  (segment (start 102.5 47.3) (end 102.5 44.775) (width 0.1524) (layer TOP-L1) (net 146) (tstamp 51AD8AB5))
+  (segment (start 100.725 49.075) (end 102.5 47.3) (width 0.1524) (layer TOP-L1) (net 146) (tstamp 51AD8E19))
+  (segment (start 100.45 48.7) (end 99.525 49.625) (width 0.1524) (layer TOP-L1) (net 147))
+  (segment (start 86.075 57.6) (end 86 57.6) (width 0.1524) (layer TOP-L1) (net 147) (tstamp 51AD8E08))
+  (segment (start 86.325 57.35) (end 86.075 57.6) (width 0.1524) (layer TOP-L1) (net 147) (tstamp 51AD8E07))
+  (segment (start 86.325 53.5) (end 86.325 57.35) (width 0.1524) (layer TOP-L1) (net 147) (tstamp 51AD8E05))
+  (segment (start 90.2 49.625) (end 86.325 53.5) (width 0.1524) (layer TOP-L1) (net 147) (tstamp 51AD8E03))
+  (segment (start 99.525 49.625) (end 90.2 49.625) (width 0.1524) (layer TOP-L1) (net 147) (tstamp 51AD8E01))
+  (segment (start 85 58) (end 85.4 57.6) (width 0.1524) (layer TOP-L1) (net 147))
+  (segment (start 85.4 57.6) (end 86 57.6) (width 0.1524) (layer TOP-L1) (net 147) (tstamp 51AD8ADC))
+  (segment (start 102 47.15) (end 102 44.775) (width 0.1524) (layer TOP-L1) (net 147) (tstamp 51AD8ADF))
+  (segment (start 100.45 48.7) (end 102 47.15) (width 0.1524) (layer TOP-L1) (net 147) (tstamp 51AD8DFF))
+  (segment (start 101.5 46.5) (end 101.5 46.975) (width 0.1524) (layer TOP-L1) (net 148))
+  (segment (start 99.125 49.35) (end 98.075 49.35) (width 0.1524) (layer TOP-L1) (net 148) (tstamp 51AD8AD6))
+  (segment (start 101.5 46.975) (end 99.125 49.35) (width 0.1524) (layer TOP-L1) (net 148) (tstamp 51AD8AD5))
+  (segment (start 101.5 44.775) (end 101.5 46.5) (width 0.1524) (layer TOP-L1) (net 148))
+  (segment (start 85.8 52.9) (end 85.8 57.2) (width 0.1524) (layer TOP-L1) (net 148) (tstamp 51AD89E0))
+  (segment (start 89.35 49.35) (end 85.8 52.9) (width 0.1524) (layer TOP-L1) (net 148) (tstamp 51AD89DE))
+  (segment (start 98.075 49.35) (end 89.35 49.35) (width 0.1524) (layer TOP-L1) (net 148) (tstamp 51AD8ADA))
+  (segment (start 97.8 48.95) (end 98.95 48.95) (width 0.1524) (layer TOP-L1) (net 149))
+  (segment (start 100.975 46.925) (end 100.975 46.4) (width 0.1524) (layer TOP-L1) (net 149) (tstamp 51AD8ACE))
+  (segment (start 98.95 48.95) (end 100.975 46.925) (width 0.1524) (layer TOP-L1) (net 149) (tstamp 51AD8ACC))
+  (segment (start 85 57.2) (end 85 53.025) (width 0.1524) (layer TOP-L1) (net 149))
+  (segment (start 100.975 46.4) (end 100.975 44.775) (width 0.1524) (layer TOP-L1) (net 149) (tstamp 51AD8AD1))
+  (segment (start 89.075 48.95) (end 97.8 48.95) (width 0.1524) (layer TOP-L1) (net 149) (tstamp 51AD89D2))
+  (segment (start 85 53.025) (end 89.075 48.95) (width 0.1524) (layer TOP-L1) (net 149) (tstamp 51AD89D0))
+  (segment (start 83.4 61.2) (end 83.8 60.8) (width 0.1524) (layer TOP-L1) (net 150))
+  (segment (start 96.5 47.275) (end 96.5 44.775) (width 0.1524) (layer TOP-L1) (net 150) (tstamp 51AD8BFF))
+  (via (at 96.5 47.275) (size 0.4572) (layers TOP-L1 BOT-L6) (net 150))
+  (segment (start 96.5 47.55) (end 96.5 47.275) (width 0.1524) (layer Sig1-L3) (net 150) (tstamp 51AD8BFB))
+  (segment (start 93.375 50.675) (end 96.5 47.55) (width 0.1524) (layer Sig1-L3) (net 150) (tstamp 51AD8BF9))
+  (segment (start 88.775 50.675) (end 93.375 50.675) (width 0.1524) (layer Sig1-L3) (net 150) (tstamp 51AD8BF7))
+  (segment (start 85.475 53.975) (end 88.775 50.675) (width 0.1524) (layer Sig1-L3) (net 150) (tstamp 51AD8BF3))
+  (segment (start 85.475 59.925) (end 85.475 53.975) (width 0.1524) (layer Sig1-L3) (net 150) (tstamp 51AD8BE6))
+  (segment (start 85 60.4) (end 85.475 59.925) (width 0.1524) (layer Sig1-L3) (net 150) (tstamp 51AD8BE2))
+  (segment (start 84.2 60.4) (end 85 60.4) (width 0.1524) (layer Sig1-L3) (net 150) (tstamp 51AD8BD9))
+  (segment (start 83.8 60.8) (end 84.2 60.4) (width 0.1524) (layer Sig1-L3) (net 150) (tstamp 51AD8BD8))
+  (via (at 83.8 60.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 150))
+  (segment (start 84.2 60.4) (end 84.6 60) (width 0.1524) (layer TOP-L1) (net 151))
+  (segment (start 96 46.35) (end 96 44.775) (width 0.1524) (layer TOP-L1) (net 151) (tstamp 51AD8BC5))
+  (via (at 96 46.35) (size 0.4572) (layers TOP-L1 BOT-L6) (net 151))
+  (segment (start 96 48.525) (end 96 46.35) (width 0.1524) (layer BOT-L6) (net 151) (tstamp 51AD8BC1))
+  (segment (start 93.8 50.725) (end 96 48.525) (width 0.1524) (layer BOT-L6) (net 151) (tstamp 51AD8BBF))
+  (segment (start 87.875 50.725) (end 93.8 50.725) (width 0.1524) (layer BOT-L6) (net 151) (tstamp 51AD8BBD))
+  (segment (start 85 53.6) (end 87.875 50.725) (width 0.1524) (layer BOT-L6) (net 151) (tstamp 51AD8BBA))
+  (segment (start 85 59.6) (end 85 53.6) (width 0.1524) (layer BOT-L6) (net 151) (tstamp 51AD8BB9))
+  (segment (start 84.6 60) (end 85 59.6) (width 0.1524) (layer BOT-L6) (net 151) (tstamp 51AD8BB8))
+  (via (at 84.6 60) (size 0.4572) (layers TOP-L1 BOT-L6) (net 151))
+  (segment (start 81.8 59.6) (end 82.2 59.2) (width 0.1524) (layer TOP-L1) (net 152))
+  (segment (start 91.5 46.95) (end 91.5 44.775) (width 0.1524) (layer TOP-L1) (net 152) (tstamp 51AD88FE))
+  (via (at 91.5 46.95) (size 0.4572) (layers TOP-L1 BOT-L6) (net 152))
+  (segment (start 90.775 47.675) (end 91.5 46.95) (width 0.1524) (layer Sig-L5) (net 152) (tstamp 51AD88F9))
+  (segment (start 87.4 47.675) (end 90.775 47.675) (width 0.1524) (layer Sig-L5) (net 152) (tstamp 51AD88F6))
+  (segment (start 82.6 52.475) (end 87.4 47.675) (width 0.1524) (layer Sig-L5) (net 152) (tstamp 51AD88EF))
+  (segment (start 82.6 58.8) (end 82.6 52.475) (width 0.1524) (layer Sig-L5) (net 152) (tstamp 51AD88ED))
+  (segment (start 82.2 59.2) (end 82.6 58.8) (width 0.1524) (layer Sig-L5) (net 152) (tstamp 51AD88EC))
+  (via (at 82.2 59.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 152))
+  (segment (start 81.8 58.8) (end 82.2 58.4) (width 0.1524) (layer TOP-L1) (net 153))
+  (segment (start 91 46.475) (end 91 44.775) (width 0.1524) (layer TOP-L1) (net 153) (tstamp 51AD88CE))
+  (via (at 91 46.475) (size 0.4572) (layers TOP-L1 BOT-L6) (net 153))
+  (segment (start 89.125 48.35) (end 91 46.475) (width 0.1524) (layer Sig1-L3) (net 153) (tstamp 51AD88C8))
+  (segment (start 86.725 48.35) (end 89.125 48.35) (width 0.1524) (layer Sig1-L3) (net 153) (tstamp 51AD88C3))
+  (segment (start 82.2 52.875) (end 86.725 48.35) (width 0.1524) (layer Sig1-L3) (net 153) (tstamp 51AD88BB))
+  (segment (start 82.2 58.4) (end 82.2 52.875) (width 0.1524) (layer Sig1-L3) (net 153) (tstamp 51AD88BA))
+  (via (at 82.2 58.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 153))
+  (segment (start 83.4 60.4) (end 83.8 60) (width 0.1524) (layer TOP-L1) (net 154))
+  (segment (start 95.5 47.3) (end 95.5 44.775) (width 0.1524) (layer TOP-L1) (net 154) (tstamp 51AD8B85))
+  (via (at 95.5 47.3) (size 0.4572) (layers TOP-L1 BOT-L6) (net 154))
+  (segment (start 95.5 47.9) (end 95.5 47.3) (width 0.1524) (layer BOT-L6) (net 154) (tstamp 51AD8B83))
+  (segment (start 93.175 50.225) (end 95.5 47.9) (width 0.1524) (layer BOT-L6) (net 154) (tstamp 51AD8B81))
+  (segment (start 87.5 50.225) (end 93.175 50.225) (width 0.1524) (layer BOT-L6) (net 154) (tstamp 51AD8B7F))
+  (segment (start 84.2 53.525) (end 87.5 50.225) (width 0.1524) (layer BOT-L6) (net 154) (tstamp 51AD8B7D))
+  (segment (start 84.2 59.6) (end 84.2 53.525) (width 0.1524) (layer BOT-L6) (net 154) (tstamp 51AD8B7C))
+  (segment (start 83.8 60) (end 84.2 59.6) (width 0.1524) (layer BOT-L6) (net 154) (tstamp 51AD8B7B))
+  (via (at 83.8 60) (size 0.4572) (layers TOP-L1 BOT-L6) (net 154))
+  (segment (start 84.2 59.6) (end 84.6 59.2) (width 0.1524) (layer TOP-L1) (net 155))
+  (segment (start 95 45.975) (end 95 44.775) (width 0.1524) (layer TOP-L1) (net 155) (tstamp 51AD8BA5))
+  (via (at 95 45.975) (size 0.4572) (layers TOP-L1 BOT-L6) (net 155))
+  (segment (start 95 48.975) (end 95 45.975) (width 0.1524) (layer Sig-L5) (net 155) (tstamp 51AD8B9C))
+  (segment (start 93.825 50.15) (end 95 48.975) (width 0.1524) (layer Sig-L5) (net 155) (tstamp 51AD8B98))
+  (segment (start 87.575 50.15) (end 93.825 50.15) (width 0.1524) (layer Sig-L5) (net 155) (tstamp 51AD8B96))
+  (segment (start 85 52.725) (end 87.575 50.15) (width 0.1524) (layer Sig-L5) (net 155) (tstamp 51AD8B92))
+  (segment (start 85 58.8) (end 85 52.725) (width 0.1524) (layer Sig-L5) (net 155) (tstamp 51AD8B91))
+  (segment (start 84.6 59.2) (end 85 58.8) (width 0.1524) (layer Sig-L5) (net 155) (tstamp 51AD8B90))
+  (via (at 84.6 59.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 155))
+  (segment (start 94.5 44.775) (end 94.5 46.425) (width 0.1524) (layer TOP-L1) (net 156))
+  (segment (start 83.8 59.2) (end 83.4 59.6) (width 0.1524) (layer TOP-L1) (net 156) (tstamp 51AD8B76))
+  (via (at 83.8 59.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 156))
+  (segment (start 84.2 58.8) (end 83.8 59.2) (width 0.1524) (layer Sig-L5) (net 156) (tstamp 51AD8B74))
+  (segment (start 84.2 52.5) (end 84.2 58.8) (width 0.1524) (layer Sig-L5) (net 156) (tstamp 51AD8B72))
+  (segment (start 87.1 49.6) (end 84.2 52.5) (width 0.1524) (layer Sig-L5) (net 156) (tstamp 51AD8B70))
+  (segment (start 92.425 49.6) (end 87.1 49.6) (width 0.1524) (layer Sig-L5) (net 156) (tstamp 51AD8B6E))
+  (segment (start 94.5 47.525) (end 92.425 49.6) (width 0.1524) (layer Sig-L5) (net 156) (tstamp 51AD8B6C))
+  (segment (start 94.5 46.425) (end 94.5 47.525) (width 0.1524) (layer Sig-L5) (net 156) (tstamp 51AD8B6B))
+  (via (at 94.5 46.425) (size 0.4572) (layers TOP-L1 BOT-L6) (net 156))
+  (segment (start 91.475 50.1) (end 92.25 50.1) (width 0.1524) (layer Sig1-L3) (net 157))
+  (segment (start 94 47.15) (end 94 44.775) (width 0.1524) (layer TOP-L1) (net 157) (tstamp 51AD8B65))
+  (via (at 94 47.15) (size 0.4572) (layers TOP-L1 BOT-L6) (net 157))
+  (segment (start 94 48.35) (end 94 47.15) (width 0.1524) (layer Sig1-L3) (net 157) (tstamp 51AD8B62))
+  (segment (start 92.25 50.1) (end 94 48.35) (width 0.1524) (layer Sig1-L3) (net 157) (tstamp 51AD8B60))
+  (segment (start 84.2 58.8) (end 84.6 58.4) (width 0.1524) (layer TOP-L1) (net 157))
+  (segment (start 87.2 50.1) (end 91.475 50.1) (width 0.1524) (layer Sig1-L3) (net 157) (tstamp 51AD8B54))
+  (segment (start 85 52.3) (end 87.2 50.1) (width 0.1524) (layer Sig1-L3) (net 157) (tstamp 51AD8B52))
+  (segment (start 85 58) (end 85 52.3) (width 0.1524) (layer Sig1-L3) (net 157) (tstamp 51AD8B51))
+  (segment (start 84.6 58.4) (end 85 58) (width 0.1524) (layer Sig1-L3) (net 157) (tstamp 51AD8B50))
+  (via (at 84.6 58.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 157))
+  (segment (start 82.6 60.4) (end 83 60) (width 0.1524) (layer TOP-L1) (net 158))
+  (segment (start 93.5 46.475) (end 93.5 44.775) (width 0.1524) (layer TOP-L1) (net 158) (tstamp 51AD899A))
+  (via (at 93.5 46.475) (size 0.4572) (layers TOP-L1 BOT-L6) (net 158))
+  (segment (start 93.5 47.65) (end 93.5 46.475) (width 0.1524) (layer BOT-L6) (net 158) (tstamp 51AD8996))
+  (segment (start 92.25 48.9) (end 93.5 47.65) (width 0.1524) (layer BOT-L6) (net 158) (tstamp 51AD8994))
+  (segment (start 87.25 48.9) (end 92.25 48.9) (width 0.1524) (layer BOT-L6) (net 158) (tstamp 51AD8992))
+  (segment (start 83.4 52.75) (end 87.25 48.9) (width 0.1524) (layer BOT-L6) (net 158) (tstamp 51AD8982))
+  (segment (start 83.4 59.6) (end 83.4 52.75) (width 0.1524) (layer BOT-L6) (net 158) (tstamp 51AD8981))
+  (segment (start 83 60) (end 83.4 59.6) (width 0.1524) (layer BOT-L6) (net 158) (tstamp 51AD8980))
+  (via (at 83 60) (size 0.4572) (layers TOP-L1 BOT-L6) (net 158))
+  (segment (start 83.4 58.8) (end 83.8 58.4) (width 0.1524) (layer TOP-L1) (net 159))
+  (segment (start 93 47.2) (end 93 44.775) (width 0.1524) (layer TOP-L1) (net 159) (tstamp 51AD895F))
+  (segment (start 92.425 47.775) (end 93 47.2) (width 0.1524) (layer TOP-L1) (net 159) (tstamp 51AD895E))
+  (via (at 92.425 47.775) (size 0.4572) (layers TOP-L1 BOT-L6) (net 159))
+  (segment (start 90.975 49.225) (end 92.425 47.775) (width 0.1524) (layer Sig1-L3) (net 159) (tstamp 51AD8957))
+  (segment (start 86.95 49.225) (end 90.975 49.225) (width 0.1524) (layer Sig1-L3) (net 159) (tstamp 51AD8955))
+  (segment (start 83.825 52.35) (end 86.95 49.225) (width 0.1524) (layer Sig1-L3) (net 159) (tstamp 51AD8951))
+  (segment (start 83.825 58.375) (end 83.825 52.35) (width 0.1524) (layer Sig1-L3) (net 159) (tstamp 51AD8950))
+  (segment (start 83.8 58.4) (end 83.825 58.375) (width 0.1524) (layer Sig1-L3) (net 159) (tstamp 51AD894F))
+  (via (at 83.8 58.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 159))
+  (segment (start 92.5 44.775) (end 92.5 46.975) (width 0.1524) (layer TOP-L1) (net 160))
+  (segment (start 83 59.2) (end 82.6 59.6) (width 0.1524) (layer TOP-L1) (net 160) (tstamp 51AD8943))
+  (via (at 83 59.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 160))
+  (segment (start 83.4 58.8) (end 83 59.2) (width 0.1524) (layer Sig-L5) (net 160) (tstamp 51AD893E))
+  (segment (start 83.4 52.225) (end 83.4 58.8) (width 0.1524) (layer Sig-L5) (net 160) (tstamp 51AD8939))
+  (segment (start 87.4 48.225) (end 83.4 52.225) (width 0.1524) (layer Sig-L5) (net 160) (tstamp 51AD8937))
+  (segment (start 91.25 48.225) (end 87.4 48.225) (width 0.1524) (layer Sig-L5) (net 160) (tstamp 51AD8934))
+  (segment (start 92.5 46.975) (end 91.25 48.225) (width 0.1524) (layer Sig-L5) (net 160) (tstamp 51AD8933))
+  (via (at 92.5 46.975) (size 0.4572) (layers TOP-L1 BOT-L6) (net 160))
+  (segment (start 82.6 58.8) (end 83 58.4) (width 0.1524) (layer TOP-L1) (net 161))
+  (segment (start 92 46.275) (end 92 44.775) (width 0.1524) (layer TOP-L1) (net 161) (tstamp 51AD892C))
+  (segment (start 92.025 46.3) (end 92 46.275) (width 0.1524) (layer TOP-L1) (net 161) (tstamp 51AD892B))
+  (via (at 92.025 46.3) (size 0.4572) (layers TOP-L1 BOT-L6) (net 161))
+  (segment (start 92.025 47.225) (end 92.025 46.3) (width 0.1524) (layer Sig1-L3) (net 161) (tstamp 51AD8926))
+  (segment (start 90.525 48.725) (end 92.025 47.225) (width 0.1524) (layer Sig1-L3) (net 161) (tstamp 51AD8921))
+  (segment (start 86.825 48.725) (end 90.525 48.725) (width 0.1524) (layer Sig1-L3) (net 161) (tstamp 51AD891D))
+  (segment (start 83 52.55) (end 86.825 48.725) (width 0.1524) (layer Sig1-L3) (net 161) (tstamp 51AD8914))
+  (segment (start 83 58.4) (end 83 52.55) (width 0.1524) (layer Sig1-L3) (net 161) (tstamp 51AD8913))
+  (via (at 83 58.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 161))
+  (segment (start 55.875 44.85) (end 55.875 48.1) (width 0.1524) (layer TOP-L1) (net 162))
+  (segment (start 72.075 57.075) (end 72.2 57.2) (width 0.1524) (layer TOP-L1) (net 162) (tstamp 51AC4C6C))
+  (segment (start 72.075 51.525) (end 72.075 57.075) (width 0.1524) (layer TOP-L1) (net 162) (tstamp 51AC4C6A))
+  (segment (start 69.025 48.475) (end 72.075 51.525) (width 0.1524) (layer TOP-L1) (net 162) (tstamp 51AC4C68))
+  (segment (start 56.25 48.475) (end 69.025 48.475) (width 0.1524) (layer TOP-L1) (net 162) (tstamp 51AC4C67))
+  (segment (start 55.875 48.1) (end 56.25 48.475) (width 0.1524) (layer TOP-L1) (net 162) (tstamp 51AC4C66))
+  (segment (start 72.2 58) (end 71.8 57.6) (width 0.1524) (layer TOP-L1) (net 163))
+  (segment (start 55.375 48.225) (end 55.375 44.85) (width 0.1524) (layer TOP-L1) (net 163) (tstamp 51AC4C63))
+  (segment (start 55.9 48.75) (end 55.375 48.225) (width 0.1524) (layer TOP-L1) (net 163) (tstamp 51AC4C62))
+  (segment (start 68.9 48.75) (end 55.9 48.75) (width 0.1524) (layer TOP-L1) (net 163) (tstamp 51AC4C61))
+  (segment (start 71.8 51.65) (end 68.9 48.75) (width 0.1524) (layer TOP-L1) (net 163) (tstamp 51AC4C5F))
+  (segment (start 71.8 57.6) (end 71.8 51.65) (width 0.1524) (layer TOP-L1) (net 163) (tstamp 51AC4C5E))
+  (segment (start 69.8 57.2) (end 69.8 51.725) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 50.875 48.875) (end 50.875 44.85) (width 0.1524) (layer TOP-L1) (net 164) (tstamp 51AC4C20))
+  (segment (start 52.125 50.125) (end 50.875 48.875) (width 0.1524) (layer TOP-L1) (net 164) (tstamp 51AC4C1E))
+  (segment (start 68.2 50.125) (end 52.125 50.125) (width 0.1524) (layer TOP-L1) (net 164) (tstamp 51AC4C1C))
+  (segment (start 69.8 51.725) (end 68.2 50.125) (width 0.1524) (layer TOP-L1) (net 164) (tstamp 51AC4C1A))
+  (segment (start 69.8 58) (end 69.4 57.6) (width 0.1524) (layer TOP-L1) (net 165))
+  (segment (start 50.375 49.25) (end 50.375 44.85) (width 0.1524) (layer TOP-L1) (net 165) (tstamp 51AC4C16))
+  (segment (start 51.525 50.4) (end 50.375 49.25) (width 0.1524) (layer TOP-L1) (net 165) (tstamp 51AC4C14))
+  (segment (start 68.025 50.4) (end 51.525 50.4) (width 0.1524) (layer TOP-L1) (net 165) (tstamp 51AC4C12))
+  (segment (start 69.4 51.775) (end 68.025 50.4) (width 0.1524) (layer TOP-L1) (net 165) (tstamp 51AC4C10))
+  (segment (start 69.4 57.6) (end 69.4 51.775) (width 0.1524) (layer TOP-L1) (net 165) (tstamp 51AC4C0F))
+  (segment (start 69.8 58.8) (end 70.2 58.4) (width 0.1524) (layer TOP-L1) (net 166))
+  (segment (start 49.875 49.575) (end 49.875 44.85) (width 0.1524) (layer TOP-L1) (net 166) (tstamp 51AD4172))
+  (via (at 49.875 49.575) (size 0.4572) (layers TOP-L1 BOT-L6) (net 166))
+  (segment (start 52.55 52.25) (end 49.875 49.575) (width 0.1524) (layer BOT-L6) (net 166) (tstamp 51AD4169))
+  (segment (start 66.725 52.25) (end 52.55 52.25) (width 0.1524) (layer BOT-L6) (net 166) (tstamp 51AD4162))
+  (segment (start 69.3 54.825) (end 66.725 52.25) (width 0.1524) (layer BOT-L6) (net 166) (tstamp 51AD415E))
+  (segment (start 69.3 57.5) (end 69.3 54.825) (width 0.1524) (layer BOT-L6) (net 166) (tstamp 51AD4156))
+  (segment (start 70.2 58.4) (end 69.3 57.5) (width 0.1524) (layer BOT-L6) (net 166) (tstamp 51AD4155))
+  (via (at 70.2 58.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 166))
+  (segment (start 69 57.2) (end 69 51.85) (width 0.1524) (layer TOP-L1) (net 167))
+  (segment (start 49.375 49.9) (end 49.375 44.85) (width 0.1524) (layer TOP-L1) (net 167) (tstamp 51AC4BFF))
+  (segment (start 50.15 50.675) (end 49.375 49.9) (width 0.1524) (layer TOP-L1) (net 167) (tstamp 51AC4BFD))
+  (segment (start 67.825 50.675) (end 50.15 50.675) (width 0.1524) (layer TOP-L1) (net 167) (tstamp 51AC4BFB))
+  (segment (start 69 51.85) (end 67.825 50.675) (width 0.1524) (layer TOP-L1) (net 167) (tstamp 51AC4BF9))
+  (segment (start 69 58) (end 68.6 57.6) (width 0.1524) (layer TOP-L1) (net 168))
+  (segment (start 48.875 50.25) (end 48.875 44.85) (width 0.1524) (layer TOP-L1) (net 168) (tstamp 51AC4BF5))
+  (segment (start 49.6 50.975) (end 48.875 50.25) (width 0.1524) (layer TOP-L1) (net 168) (tstamp 51AC4BF3))
+  (segment (start 67.675 50.975) (end 49.6 50.975) (width 0.1524) (layer TOP-L1) (net 168) (tstamp 51AC4BF2))
+  (segment (start 68.6 51.9) (end 67.675 50.975) (width 0.1524) (layer TOP-L1) (net 168) (tstamp 51AC4BF0))
+  (segment (start 68.6 57.6) (end 68.6 51.9) (width 0.1524) (layer TOP-L1) (net 168) (tstamp 51AC4BEF))
+  (segment (start 68.2 57.2) (end 68.2 51.925) (width 0.1524) (layer TOP-L1) (net 169))
+  (segment (start 48.375 50.5) (end 48.375 44.85) (width 0.1524) (layer TOP-L1) (net 169) (tstamp 51AC4BB7))
+  (segment (start 49.15 51.275) (end 48.375 50.5) (width 0.1524) (layer TOP-L1) (net 169) (tstamp 51AC4BB5))
+  (segment (start 67.55 51.275) (end 49.15 51.275) (width 0.1524) (layer TOP-L1) (net 169) (tstamp 51AC4BB3))
+  (segment (start 68.2 51.925) (end 67.55 51.275) (width 0.1524) (layer TOP-L1) (net 169) (tstamp 51AC4BB2))
+  (segment (start 68.2 58) (end 67.793842 57.593842) (width 0.1524) (layer TOP-L1) (net 170))
+  (segment (start 47.875 50.475) (end 47.875 44.85) (width 0.1524) (layer TOP-L1) (net 170) (tstamp 51AC4BEB))
+  (segment (start 48.95 51.55) (end 47.875 50.475) (width 0.1524) (layer TOP-L1) (net 170) (tstamp 51AC4BE9))
+  (segment (start 67.425 51.55) (end 48.95 51.55) (width 0.1524) (layer TOP-L1) (net 170) (tstamp 51AC4BE8))
+  (segment (start 67.925 52.05) (end 67.425 51.55) (width 0.1524) (layer TOP-L1) (net 170) (tstamp 51AC4BE7))
+  (segment (start 67.925 54.406158) (end 67.925 52.05) (width 0.1524) (layer TOP-L1) (net 170) (tstamp 51AC4BE6))
+  (segment (start 67.793842 54.537316) (end 67.925 54.406158) (width 0.1524) (layer TOP-L1) (net 170) (tstamp 51AC4BE5))
+  (segment (start 67.793842 57.593842) (end 67.793842 54.537316) (width 0.1524) (layer TOP-L1) (net 170) (tstamp 51AC4BE4))
+  (segment (start 68.2 58.8) (end 68.6 58.4) (width 0.1524) (layer TOP-L1) (net 171))
+  (segment (start 47.375 52.3) (end 47.375 44.85) (width 0.1524) (layer TOP-L1) (net 171) (tstamp 51AC4C80))
+  (via (at 47.375 52.3) (size 0.4572) (layers TOP-L1 BOT-L6) (net 171))
+  (segment (start 48.05 52.975) (end 47.375 52.3) (width 0.1524) (layer Sig1-L3) (net 171) (tstamp 51AC4C7D))
+  (segment (start 67.225 52.975) (end 48.05 52.975) (width 0.1524) (layer Sig1-L3) (net 171) (tstamp 51AC4C7B))
+  (segment (start 68.6 54.35) (end 67.225 52.975) (width 0.1524) (layer Sig1-L3) (net 171) (tstamp 51AC4C77))
+  (segment (start 68.6 58.4) (end 68.6 54.35) (width 0.1524) (layer Sig1-L3) (net 171) (tstamp 51AC4C76))
+  (via (at 68.6 58.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 171))
+  (segment (start 69 58.8) (end 69.425 58.375) (width 0.1524) (layer TOP-L1) (net 172))
+  (segment (start 46.875 51.05) (end 46.875 44.85) (width 0.1524) (layer TOP-L1) (net 172) (tstamp 51AD3FF8))
+  (via (at 46.875 51.05) (size 0.4572) (layers TOP-L1 BOT-L6) (net 172))
+  (segment (start 47.325 51.5) (end 46.875 51.05) (width 0.1524) (layer Sig1-L3) (net 172) (tstamp 51AD3FF3))
+  (segment (start 47.7 51.5) (end 47.325 51.5) (width 0.1524) (layer Sig1-L3) (net 172) (tstamp 51AD3FF2))
+  (segment (start 48.625 52.425) (end 47.7 51.5) (width 0.1524) (layer Sig1-L3) (net 172) (tstamp 51AD3FE7))
+  (segment (start 67.45 52.425) (end 48.625 52.425) (width 0.1524) (layer Sig1-L3) (net 172) (tstamp 51AD3FE4))
+  (segment (start 69.4 54.375) (end 67.45 52.425) (width 0.1524) (layer Sig1-L3) (net 172) (tstamp 51AD3FE0))
+  (segment (start 69.4 58.4) (end 69.4 54.375) (width 0.1524) (layer Sig1-L3) (net 172) (tstamp 51AD3FDF))
+  (via (at 69.4 58.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 172))
+  (segment (start 69.425 58.375) (end 69.4 58.4) (width 0.1524) (layer TOP-L1) (net 172) (tstamp 51AD3FCB))
+  (segment (start 68.75 54.1) (end 69 54.35) (width 0.1524) (layer Sig1-L3) (net 173))
+  (segment (start 69 58.8) (end 68.85 58.95) (width 0.1524) (layer Sig1-L3) (net 173) (tstamp 51AD3FA7))
+  (segment (start 69 54.35) (end 69 58.8) (width 0.1524) (layer Sig1-L3) (net 173) (tstamp 51AD3FA6))
+  (segment (start 68.2 59.6) (end 68.6 59.2) (width 0.1524) (layer TOP-L1) (net 173))
+  (segment (start 68.6 59.2) (end 68.85 58.95) (width 0.1524) (layer Sig1-L3) (net 173) (tstamp 51AC4C85))
+  (via (at 68.6 59.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 173))
+  (segment (start 46.375 51.5) (end 46.375 44.85) (width 0.1524) (layer TOP-L1) (net 173) (tstamp 51AC4C91))
+  (via (at 46.375 51.5) (size 0.4572) (layers TOP-L1 BOT-L6) (net 173))
+  (segment (start 46.725 51.85) (end 46.375 51.5) (width 0.1524) (layer Sig1-L3) (net 173) (tstamp 51AC4C8F))
+  (segment (start 47.675 51.85) (end 46.725 51.85) (width 0.1524) (layer Sig1-L3) (net 173) (tstamp 51AC4C8D))
+  (segment (start 48.525 52.7) (end 47.675 51.85) (width 0.1524) (layer Sig1-L3) (net 173) (tstamp 51AC4C8B))
+  (segment (start 67.35 52.7) (end 48.525 52.7) (width 0.1524) (layer Sig1-L3) (net 173) (tstamp 51AC4C89))
+  (segment (start 68.75 54.1) (end 67.35 52.7) (width 0.1524) (layer Sig1-L3) (net 173) (tstamp 51AD3FA4))
+  (segment (start 54.875 44.85) (end 54.875 48.475) (width 0.1524) (layer TOP-L1) (net 174))
+  (segment (start 71.475 57.275) (end 72.025 57.825) (width 0.1524) (layer Sig1-L3) (net 174) (tstamp 51AD4538))
+  (segment (start 71.475 54.775) (end 71.475 57.275) (width 0.1524) (layer Sig1-L3) (net 174) (tstamp 51AD4532))
+  (segment (start 67.95 51.25) (end 71.475 54.775) (width 0.1524) (layer Sig1-L3) (net 174) (tstamp 51AD452E))
+  (segment (start 57.65 51.25) (end 67.95 51.25) (width 0.1524) (layer Sig1-L3) (net 174) (tstamp 51AD452B))
+  (segment (start 54.875 48.475) (end 57.65 51.25) (width 0.1524) (layer Sig1-L3) (net 174) (tstamp 51AD452A))
+  (via (at 54.875 48.475) (size 0.4572) (layers TOP-L1 BOT-L6) (net 174))
+  (segment (start 72.2 58.8) (end 72.6 58.4) (width 0.1524) (layer TOP-L1) (net 174))
+  (segment (start 72.6 58.4) (end 72.025 57.825) (width 0.1524) (layer Sig1-L3) (net 174) (tstamp 51AD449B))
+  (via (at 72.6 58.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 174))
+  (segment (start 45.875 51.5) (end 45.875 51.925) (width 0.1524) (layer Sig-L5) (net 175))
+  (segment (start 67.125 52.9) (end 68.55 54.325) (width 0.1524) (layer Sig-L5) (net 175) (tstamp 51AD4056))
+  (segment (start 46.85 52.9) (end 67.125 52.9) (width 0.1524) (layer Sig-L5) (net 175) (tstamp 51AD4054))
+  (segment (start 45.875 51.925) (end 46.85 52.9) (width 0.1524) (layer Sig-L5) (net 175) (tstamp 51AD4053))
+  (segment (start 69 59.6) (end 69.4 59.2) (width 0.1524) (layer TOP-L1) (net 175))
+  (segment (start 69 54.775) (end 68.55 54.325) (width 0.1524) (layer Sig-L5) (net 175) (tstamp 51AD4015))
+  (segment (start 69 58.8) (end 69 54.775) (width 0.1524) (layer Sig-L5) (net 175) (tstamp 51AD4011))
+  (segment (start 69.4 59.2) (end 69 58.8) (width 0.1524) (layer Sig-L5) (net 175) (tstamp 51AD4010))
+  (via (at 69.4 59.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 175))
+  (segment (start 45.875 50.925) (end 45.875 44.85) (width 0.1524) (layer TOP-L1) (net 175) (tstamp 51AD4034))
+  (via (at 45.875 50.925) (size 0.4572) (layers TOP-L1 BOT-L6) (net 175))
+  (segment (start 45.875 51.5) (end 45.875 50.925) (width 0.1524) (layer Sig-L5) (net 175) (tstamp 51AD4051))
+  (segment (start 69.8 59.6) (end 70.2 59.2) (width 0.1524) (layer TOP-L1) (net 176))
+  (segment (start 45.375 49.85) (end 45.375 44.85) (width 0.1524) (layer TOP-L1) (net 176) (tstamp 51AD4143))
+  (via (at 45.375 49.85) (size 0.4572) (layers TOP-L1 BOT-L6) (net 176))
+  (segment (start 45.875 50.35) (end 45.375 49.85) (width 0.1524) (layer Sig-L5) (net 176) (tstamp 51AD413F))
+  (segment (start 47 50.35) (end 45.875 50.35) (width 0.1524) (layer Sig-L5) (net 176) (tstamp 51AD413B))
+  (segment (start 49.25 52.6) (end 47 50.35) (width 0.1524) (layer Sig-L5) (net 176) (tstamp 51AD4137))
+  (segment (start 67.325 52.6) (end 49.25 52.6) (width 0.1524) (layer Sig-L5) (net 176) (tstamp 51AD4135))
+  (segment (start 69.8 55.075) (end 67.325 52.6) (width 0.1524) (layer Sig-L5) (net 176) (tstamp 51AD412D))
+  (segment (start 69.8 58.8) (end 69.8 55.075) (width 0.1524) (layer Sig-L5) (net 176) (tstamp 51AD412C))
+  (segment (start 70.2 59.2) (end 69.8 58.8) (width 0.1524) (layer Sig-L5) (net 176) (tstamp 51AD412B))
+  (via (at 70.2 59.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 176))
+  (segment (start 46.125 52.65) (end 46.725 53.25) (width 0.1524) (layer Sig-L5) (net 177))
+  (segment (start 68.2 54.6) (end 68.2 54.85) (width 0.1524) (layer Sig-L5) (net 177) (tstamp 51AD4045))
+  (segment (start 66.85 53.25) (end 68.2 54.6) (width 0.1524) (layer Sig-L5) (net 177) (tstamp 51AD403E))
+  (segment (start 46.725 53.25) (end 66.85 53.25) (width 0.1524) (layer Sig-L5) (net 177) (tstamp 51AD403D))
+  (segment (start 68.2 60.4) (end 68.6 60) (width 0.1524) (layer TOP-L1) (net 177))
+  (segment (start 68.2 59.6) (end 68.2 54.85) (width 0.1524) (layer Sig-L5) (net 177) (tstamp 51AC4C97))
+  (segment (start 68.6 60) (end 68.2 59.6) (width 0.1524) (layer Sig-L5) (net 177) (tstamp 51AC4C96))
+  (via (at 68.6 60) (size 0.4572) (layers TOP-L1 BOT-L6) (net 177))
+  (segment (start 44.875 51.4) (end 44.875 44.85) (width 0.1524) (layer TOP-L1) (net 177) (tstamp 51AC4CA0))
+  (segment (start 44.9 51.425) (end 44.875 51.4) (width 0.1524) (layer TOP-L1) (net 177) (tstamp 51AC4C9F))
+  (via (at 44.9 51.425) (size 0.4572) (layers TOP-L1 BOT-L6) (net 177))
+  (segment (start 46.125 52.65) (end 44.9 51.425) (width 0.1524) (layer Sig-L5) (net 177) (tstamp 51AD403B))
+  (segment (start 55.8 53.05) (end 45.025 53.05) (width 0.1524) (layer BOT-L6) (net 178))
+  (segment (start 44.375 52.4) (end 44.375 44.85) (width 0.1524) (layer TOP-L1) (net 178) (tstamp 51AD40A3))
+  (via (at 44.375 52.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 178))
+  (segment (start 45.025 53.05) (end 44.375 52.4) (width 0.1524) (layer BOT-L6) (net 178) (tstamp 51AD4099))
+  (segment (start 69 60.4) (end 69.4 60) (width 0.1524) (layer TOP-L1) (net 178))
+  (segment (start 66.975 53.05) (end 55.8 53.05) (width 0.1524) (layer BOT-L6) (net 178) (tstamp 51AD4087))
+  (segment (start 69 55.075) (end 66.975 53.05) (width 0.1524) (layer BOT-L6) (net 178) (tstamp 51AD4083))
+  (segment (start 69 59.6) (end 69 55.075) (width 0.1524) (layer BOT-L6) (net 178) (tstamp 51AD4073))
+  (segment (start 69.4 60) (end 69 59.6) (width 0.1524) (layer BOT-L6) (net 178) (tstamp 51AD4072))
+  (via (at 69.4 60) (size 0.4572) (layers TOP-L1 BOT-L6) (net 178))
+  (segment (start 69.8 60.4) (end 70.2 60) (width 0.1524) (layer TOP-L1) (net 179))
+  (segment (start 43.875 50.1) (end 43.875 44.85) (width 0.1524) (layer TOP-L1) (net 179) (tstamp 51AD4116))
+  (via (at 43.875 50.1) (size 0.4572) (layers TOP-L1 BOT-L6) (net 179))
+  (segment (start 44.15 50.375) (end 43.875 50.1) (width 0.1524) (layer Sig1-L3) (net 179) (tstamp 51AD410F))
+  (segment (start 47 50.375) (end 44.15 50.375) (width 0.1524) (layer Sig1-L3) (net 179) (tstamp 51AD410A))
+  (segment (start 48.75 52.125) (end 47 50.375) (width 0.1524) (layer Sig1-L3) (net 179) (tstamp 51AD4106))
+  (segment (start 67.625 52.125) (end 48.75 52.125) (width 0.1524) (layer Sig1-L3) (net 179) (tstamp 51AD40FF))
+  (segment (start 69.8 54.3) (end 67.625 52.125) (width 0.1524) (layer Sig1-L3) (net 179) (tstamp 51AD40F9))
+  (segment (start 69.8 59.6) (end 69.8 54.3) (width 0.1524) (layer Sig1-L3) (net 179) (tstamp 51AD40F7))
+  (segment (start 70.2 60) (end 69.8 59.6) (width 0.1524) (layer Sig1-L3) (net 179) (tstamp 51AD40F6))
+  (via (at 70.2 60) (size 0.4572) (layers TOP-L1 BOT-L6) (net 179))
+  (segment (start 70.6 60.4) (end 71 60) (width 0.1524) (layer TOP-L1) (net 180))
+  (segment (start 43.375 48.875) (end 43.375 44.85) (width 0.1524) (layer TOP-L1) (net 180) (tstamp 51AD42EA))
+  (via (at 43.375 48.875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 180))
+  (segment (start 43.775 49.275) (end 43.375 48.875) (width 0.1524) (layer Sig1-L3) (net 180) (tstamp 51AD42E5))
+  (segment (start 46.55 49.275) (end 43.775 49.275) (width 0.1524) (layer Sig1-L3) (net 180) (tstamp 51AD42E3))
+  (segment (start 49.125 51.85) (end 46.55 49.275) (width 0.1524) (layer Sig1-L3) (net 180) (tstamp 51AD42DD))
+  (segment (start 67.75 51.85) (end 49.125 51.85) (width 0.1524) (layer Sig1-L3) (net 180) (tstamp 51AD42D8))
+  (segment (start 70.6 54.7) (end 67.75 51.85) (width 0.1524) (layer Sig1-L3) (net 180) (tstamp 51AD42D3))
+  (segment (start 70.6 59.6) (end 70.6 54.7) (width 0.1524) (layer Sig1-L3) (net 180) (tstamp 51AD42D2))
+  (segment (start 71 60) (end 70.6 59.6) (width 0.1524) (layer Sig1-L3) (net 180) (tstamp 51AD42D1))
+  (via (at 71 60) (size 0.4572) (layers TOP-L1 BOT-L6) (net 180))
+  (segment (start 69.8 61.2) (end 69.4 61.6) (width 0.1524) (layer TOP-L1) (net 181))
+  (segment (start 42.875 53.05) (end 42.875 51.975) (width 0.1524) (layer Sig1-L3) (net 181) (tstamp 51AD4280))
+  (segment (start 43.45 53.625) (end 42.875 53.05) (width 0.1524) (layer Sig1-L3) (net 181) (tstamp 51AD427D))
+  (segment (start 60.2 53.625) (end 43.45 53.625) (width 0.1524) (layer Sig1-L3) (net 181) (tstamp 51AD427A))
+  (segment (start 67.3 60.725) (end 60.2 53.625) (width 0.1524) (layer Sig1-L3) (net 181) (tstamp 51AD4274))
+  (segment (start 67.3 61) (end 67.3 60.725) (width 0.1524) (layer Sig1-L3) (net 181) (tstamp 51AD426D))
+  (segment (start 68.35 62.05) (end 67.3 61) (width 0.1524) (layer Sig1-L3) (net 181) (tstamp 51AD426B))
+  (segment (start 68.95 62.05) (end 68.35 62.05) (width 0.1524) (layer Sig1-L3) (net 181) (tstamp 51AD41F6))
+  (segment (start 69.4 61.6) (end 68.95 62.05) (width 0.1524) (layer Sig1-L3) (net 181) (tstamp 51AD41F5))
+  (via (at 69.4 61.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 181))
+  (segment (start 42.875 51.975) (end 42.875 44.85) (width 0.1524) (layer TOP-L1) (net 181) (tstamp 51AD40E2))
+  (via (at 42.875 51.975) (size 0.4572) (layers TOP-L1 BOT-L6) (net 181))
+  (segment (start 70.6 61.2) (end 70.2 60.8) (width 0.1524) (layer TOP-L1) (net 182))
+  (segment (start 42.375 50.875) (end 42.375 44.85) (width 0.1524) (layer TOP-L1) (net 182) (tstamp 51AD42BA))
+  (via (at 42.375 50.875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 182))
+  (segment (start 42.75 51.25) (end 42.375 50.875) (width 0.1524) (layer Sig1-L3) (net 182) (tstamp 51AD42B4))
+  (segment (start 43.975 51.25) (end 42.75 51.25) (width 0.1524) (layer Sig1-L3) (net 182) (tstamp 51AD42B2))
+  (segment (start 46.05 53.325) (end 43.975 51.25) (width 0.1524) (layer Sig1-L3) (net 182) (tstamp 51AD42A6))
+  (segment (start 60.375 53.325) (end 46.05 53.325) (width 0.1524) (layer Sig1-L3) (net 182) (tstamp 51AD42A4))
+  (segment (start 67.45 60.4) (end 60.375 53.325) (width 0.1524) (layer Sig1-L3) (net 182) (tstamp 51AD429E))
+  (segment (start 69.075 60.4) (end 67.45 60.4) (width 0.1524) (layer Sig1-L3) (net 182) (tstamp 51AD429D))
+  (segment (start 69.475 60.8) (end 69.075 60.4) (width 0.1524) (layer Sig1-L3) (net 182) (tstamp 51AD429C))
+  (segment (start 70.2 60.8) (end 69.475 60.8) (width 0.1524) (layer Sig1-L3) (net 182) (tstamp 51AD429B))
+  (via (at 70.2 60.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 182))
+  (segment (start 71.4 57.2) (end 71.4 51.65) (width 0.1524) (layer TOP-L1) (net 183))
+  (segment (start 54.375 48.75) (end 54.375 44.85) (width 0.1524) (layer TOP-L1) (net 183) (tstamp 51AC4C5B))
+  (segment (start 54.65 49.025) (end 54.375 48.75) (width 0.1524) (layer TOP-L1) (net 183) (tstamp 51AC4C5A))
+  (segment (start 68.775 49.025) (end 54.65 49.025) (width 0.1524) (layer TOP-L1) (net 183) (tstamp 51AC4C59))
+  (segment (start 71.4 51.65) (end 68.775 49.025) (width 0.1524) (layer TOP-L1) (net 183) (tstamp 51AC4C57))
+  (segment (start 71.4 58) (end 71 57.6) (width 0.1524) (layer TOP-L1) (net 184))
+  (segment (start 53.875 48.9) (end 53.875 44.85) (width 0.1524) (layer TOP-L1) (net 184) (tstamp 51AC4C3F))
+  (segment (start 54.275 49.3) (end 53.875 48.9) (width 0.1524) (layer TOP-L1) (net 184) (tstamp 51AC4C3D))
+  (segment (start 68.6 49.3) (end 54.275 49.3) (width 0.1524) (layer TOP-L1) (net 184) (tstamp 51AC4C3B))
+  (segment (start 71 51.7) (end 68.6 49.3) (width 0.1524) (layer TOP-L1) (net 184) (tstamp 51AC4C39))
+  (segment (start 71 57.6) (end 71 51.7) (width 0.1524) (layer TOP-L1) (net 184) (tstamp 51AC4C38))
+  (segment (start 71.4 58.8) (end 71.8 58.4) (width 0.1524) (layer TOP-L1) (net 185))
+  (segment (start 53.375 48.675) (end 53.375 44.85) (width 0.1524) (layer TOP-L1) (net 185) (tstamp 51AD4372))
+  (via (at 53.375 48.675) (size 0.4572) (layers TOP-L1 BOT-L6) (net 185))
+  (segment (start 53.375 50.25) (end 53.375 48.675) (width 0.1524) (layer Sig-L5) (net 185) (tstamp 51AD436D))
+  (segment (start 55.125 52) (end 53.375 50.25) (width 0.1524) (layer Sig-L5) (net 185) (tstamp 51AD4369))
+  (segment (start 67.675 52) (end 55.125 52) (width 0.1524) (layer Sig-L5) (net 185) (tstamp 51AD4367))
+  (segment (start 70.925 55.25) (end 67.675 52) (width 0.1524) (layer Sig-L5) (net 185) (tstamp 51AD4362))
+  (segment (start 70.925 57.525) (end 70.925 55.25) (width 0.1524) (layer Sig-L5) (net 185) (tstamp 51AD4360))
+  (segment (start 71.8 58.4) (end 70.925 57.525) (width 0.1524) (layer Sig-L5) (net 185) (tstamp 51AD435F))
+  (via (at 71.8 58.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 185))
+  (segment (start 70.6 57.2) (end 70.6 51.725) (width 0.1524) (layer TOP-L1) (net 186))
+  (segment (start 52.875 48.9) (end 52.875 44.85) (width 0.1524) (layer TOP-L1) (net 186) (tstamp 51AC4C34))
+  (segment (start 53.55 49.575) (end 52.875 48.9) (width 0.1524) (layer TOP-L1) (net 186) (tstamp 51AC4C32))
+  (segment (start 68.45 49.575) (end 53.55 49.575) (width 0.1524) (layer TOP-L1) (net 186) (tstamp 51AC4C30))
+  (segment (start 70.6 51.725) (end 68.45 49.575) (width 0.1524) (layer TOP-L1) (net 186) (tstamp 51AC4C2E))
+  (segment (start 70.6 58) (end 70.2 57.6) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 52.375 49) (end 52.375 44.85) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51AC4C2A))
+  (segment (start 53.225 49.85) (end 52.375 49) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51AC4C28))
+  (segment (start 68.325 49.85) (end 53.225 49.85) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51AC4C27))
+  (segment (start 70.2 51.725) (end 68.325 49.85) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51AC4C25))
+  (segment (start 70.2 57.6) (end 70.2 51.725) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51AC4C24))
+  (segment (start 70.6 58.8) (end 71 58.4) (width 0.1524) (layer TOP-L1) (net 188))
+  (segment (start 51.875 49.225) (end 51.875 44.85) (width 0.1524) (layer TOP-L1) (net 188) (tstamp 51AD4345))
+  (via (at 51.875 49.225) (size 0.4572) (layers TOP-L1 BOT-L6) (net 188))
+  (segment (start 51.875 50.05) (end 51.875 49.225) (width 0.1524) (layer Sig1-L3) (net 188) (tstamp 51AD433D))
+  (segment (start 53.375 51.55) (end 51.875 50.05) (width 0.1524) (layer Sig1-L3) (net 188) (tstamp 51AD4337))
+  (segment (start 67.85 51.55) (end 53.375 51.55) (width 0.1524) (layer Sig1-L3) (net 188) (tstamp 51AD4332))
+  (segment (start 70.925 54.625) (end 67.85 51.55) (width 0.1524) (layer Sig1-L3) (net 188) (tstamp 51AD4331))
+  (segment (start 70.925 58.325) (end 70.925 54.625) (width 0.1524) (layer Sig1-L3) (net 188) (tstamp 51AD432C))
+  (segment (start 71 58.4) (end 70.925 58.325) (width 0.1524) (layer Sig1-L3) (net 188) (tstamp 51AD432B))
+  (via (at 71 58.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 188))
+  (segment (start 70.6 59.6) (end 71 59.2) (width 0.1524) (layer TOP-L1) (net 189))
+  (segment (start 51.35 48.55) (end 51.35 44.85) (width 0.1524) (layer TOP-L1) (net 189) (tstamp 51AD4311))
+  (via (at 51.35 48.55) (size 0.4572) (layers TOP-L1 BOT-L6) (net 189))
+  (segment (start 51.35 50.25) (end 51.35 48.55) (width 0.1524) (layer Sig-L5) (net 189) (tstamp 51AD430A))
+  (segment (start 53.4 52.3) (end 51.35 50.25) (width 0.1524) (layer Sig-L5) (net 189) (tstamp 51AD4306))
+  (segment (start 67.5 52.3) (end 53.4 52.3) (width 0.1524) (layer Sig-L5) (net 189) (tstamp 51AD4304))
+  (segment (start 70.6 55.4) (end 67.5 52.3) (width 0.1524) (layer Sig-L5) (net 189) (tstamp 51AD42FB))
+  (segment (start 70.6 58.8) (end 70.6 55.4) (width 0.1524) (layer Sig-L5) (net 189) (tstamp 51AD42FA))
+  (segment (start 71 59.2) (end 70.6 58.8) (width 0.1524) (layer Sig-L5) (net 189) (tstamp 51AD42F9))
+  (via (at 71 59.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 189))
+  (segment (start 83.4 51.2) (end 83.4 50.475) (width 0.1524) (layer TOP-L1) (net 190))
+  (segment (start 86.1 43.45) (end 86.95 42.6) (width 0.1524) (layer BOT-L6) (net 190) (tstamp 51ADE402))
+  (segment (start 86.1 44.45) (end 86.1 43.45) (width 0.1524) (layer BOT-L6) (net 190) (tstamp 51ADE401))
+  (via (at 86.1 44.45) (size 0.4572) (layers TOP-L1 BOT-L6) (net 190))
+  (segment (start 86.1 47.775) (end 86.1 44.45) (width 0.1524) (layer TOP-L1) (net 190) (tstamp 51ADE3F8))
+  (segment (start 83.4 50.475) (end 86.1 47.775) (width 0.1524) (layer TOP-L1) (net 190) (tstamp 51ADE3F3))
+  (segment (start 101.5 35.55) (end 101.5 37.225) (width 0.1524) (layer BOT-L6) (net 190))
+  (segment (start 101.5 34.125) (end 101.5 35.55) (width 0.1524) (layer BOT-L6) (net 190))
+  (segment (start 101.5 37.225) (end 97.6 41.125) (width 0.1524) (layer BOT-L6) (net 190) (tstamp 51AD8848))
+  (segment (start 97.6 41.125) (end 88.425 41.125) (width 0.1524) (layer BOT-L6) (net 190) (tstamp 51AD884A))
+  (segment (start 88.425 41.125) (end 86.95 42.6) (width 0.1524) (layer BOT-L6) (net 190) (tstamp 51AD884C))
+  (segment (start 83.4 51.2) (end 83.4 51.7) (width 0.1524) (layer TOP-L1) (net 190) (tstamp 51ADE3F1))
+  (segment (start 83.4 54.4) (end 83.4 51.7) (width 0.1524) (layer TOP-L1) (net 190))
+  (segment (start 83.4 54.4) (end 83.4 57.2) (width 0.1524) (layer TOP-L1) (net 190) (tstamp 51AD55E7))
+  (segment (start 83 51.325) (end 83 50.325) (width 0.1524) (layer TOP-L1) (net 191))
+  (segment (start 85.55 43.375) (end 85.95 42.975) (width 0.1524) (layer BOT-L6) (net 191) (tstamp 51ADE417))
+  (segment (start 85.55 45.125) (end 85.55 43.375) (width 0.1524) (layer BOT-L6) (net 191) (tstamp 51ADE416))
+  (via (at 85.55 45.125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 191))
+  (segment (start 85.55 47.775) (end 85.55 45.125) (width 0.1524) (layer TOP-L1) (net 191) (tstamp 51ADE40E))
+  (segment (start 83 50.325) (end 85.55 47.775) (width 0.1524) (layer TOP-L1) (net 191) (tstamp 51ADE40B))
+  (segment (start 101 37.025) (end 101 35.275) (width 0.1524) (layer BOT-L6) (net 191) (tstamp 51AD8840))
+  (segment (start 97.2 40.825) (end 101 37.025) (width 0.1524) (layer BOT-L6) (net 191) (tstamp 51AD883E))
+  (segment (start 88.1 40.825) (end 97.2 40.825) (width 0.1524) (layer BOT-L6) (net 191) (tstamp 51AD8839))
+  (segment (start 85.95 42.975) (end 88.1 40.825) (width 0.1524) (layer BOT-L6) (net 191) (tstamp 51ADE41A))
+  (segment (start 83 51.325) (end 83 54.25) (width 0.1524) (layer TOP-L1) (net 191) (tstamp 51ADE409))
+  (segment (start 83.4 58) (end 83 57.6) (width 0.1524) (layer TOP-L1) (net 191))
+  (segment (start 83 57.6) (end 83 54.25) (width 0.1524) (layer TOP-L1) (net 191) (tstamp 51AD535C))
+  (segment (start 101 35.275) (end 101 34.125) (width 0.1524) (layer BOT-L6) (net 191) (tstamp 51AD8844))
+  (segment (start 81.4 58.4) (end 81.8 58) (width 0.1524) (layer Sig1-L3) (net 192))
+  (segment (start 96.5 37.8) (end 96.5 36.8) (width 0.1524) (layer Sig1-L3) (net 192) (tstamp 51ADE445))
+  (segment (start 81.8 52.5) (end 96.5 37.8) (width 0.1524) (layer Sig1-L3) (net 192) (tstamp 51ADE43E))
+  (segment (start 81.8 58) (end 81.8 52.5) (width 0.1524) (layer Sig1-L3) (net 192) (tstamp 51ADE43C))
+  (segment (start 81 58.8) (end 81.4 58.4) (width 0.1524) (layer TOP-L1) (net 192))
+  (segment (start 96.5 36.45) (end 96.5 34.125) (width 0.1524) (layer BOT-L6) (net 192) (tstamp 51AD52BD))
+  (via (at 96.5 36.45) (size 0.4572) (layers TOP-L1 BOT-L6) (net 192))
+  (segment (start 96.5 36.8) (end 96.5 36.45) (width 0.1524) (layer Sig1-L3) (net 192) (tstamp 51ADE44C))
+  (via (at 81.4 58.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 192))
+  (segment (start 81.8 58.3) (end 81.8 48.5) (width 0.1524) (layer Sig-L5) (net 193))
+  (segment (start 79.925 46.625) (end 79.925 46.35) (width 0.1524) (layer Sig-L5) (net 193) (tstamp 51ADE2A5))
+  (segment (start 81.8 48.5) (end 79.925 46.625) (width 0.1524) (layer Sig-L5) (net 193) (tstamp 51ADE2A2))
+  (segment (start 96 36.525) (end 96 36.85) (width 0.1524) (layer Sig-L5) (net 193))
+  (segment (start 79.925 42.325) (end 79.925 46.35) (width 0.1524) (layer Sig-L5) (net 193) (tstamp 51AD86E7))
+  (segment (start 84.275 37.975) (end 79.925 42.325) (width 0.1524) (layer Sig-L5) (net 193) (tstamp 51AD86E5))
+  (segment (start 94.875 37.975) (end 84.275 37.975) (width 0.1524) (layer Sig-L5) (net 193) (tstamp 51AD86E3))
+  (segment (start 96 36.85) (end 94.875 37.975) (width 0.1524) (layer Sig-L5) (net 193) (tstamp 51AD86E2))
+  (segment (start 96 36.45) (end 96 36.525) (width 0.1524) (layer Sig-L5) (net 193))
+  (segment (start 96 35.625) (end 96 34.125) (width 0.1524) (layer BOT-L6) (net 193) (tstamp 51AD527A))
+  (via (at 96 35.625) (size 0.4572) (layers TOP-L1 BOT-L6) (net 193))
+  (segment (start 96 36.45) (end 96 35.625) (width 0.1524) (layer Sig-L5) (net 193) (tstamp 51AD85E6))
+  (segment (start 81.8 58.3) (end 81.8 58.4) (width 0.1524) (layer Sig-L5) (net 193) (tstamp 51ADE2A0))
+  (segment (start 81.4 59.175) (end 81.8 58.775) (width 0.1524) (layer Sig-L5) (net 193))
+  (via (at 81.4 59.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 193))
+  (segment (start 81.4 59.2) (end 81.4 59.175) (width 0.1524) (layer Sig-L5) (net 193) (tstamp 51AD5257))
+  (segment (start 81 59.6) (end 81.4 59.2) (width 0.1524) (layer TOP-L1) (net 193))
+  (segment (start 81.8 58.775) (end 81.8 58.4) (width 0.1524) (layer Sig-L5) (net 193) (tstamp 51AD5294))
+  (segment (start 95.525 36.75) (end 95.525 38.275) (width 0.1524) (layer Sig1-L3) (net 194))
+  (segment (start 81.425 57.55) (end 80.85 58.125) (width 0.1524) (layer Sig1-L3) (net 194) (tstamp 51ADE454))
+  (segment (start 81.425 52.375) (end 81.425 57.55) (width 0.1524) (layer Sig1-L3) (net 194) (tstamp 51ADE450))
+  (segment (start 95.525 38.275) (end 81.425 52.375) (width 0.1524) (layer Sig1-L3) (net 194) (tstamp 51ADE44E))
+  (segment (start 80.85 58.125) (end 80.6 58.375) (width 0.1524) (layer Sig1-L3) (net 194) (tstamp 51ADE458))
+  (segment (start 95.525 36.75) (end 95.525 36.75) (width 0.1524) (layer Sig1-L3) (net 194))
+  (segment (start 80.2 58.8) (end 80.6 58.4) (width 0.1524) (layer TOP-L1) (net 194))
+  (via (at 80.6 58.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 194))
+  (segment (start 80.6 58.4) (end 80.6 58.375) (width 0.1524) (layer Sig1-L3) (net 194) (tstamp 51AD51E6))
+  (segment (start 95.525 36.3) (end 95.525 34.125) (width 0.1524) (layer BOT-L6) (net 194) (tstamp 51AD51FA))
+  (via (at 95.525 36.3) (size 0.4572) (layers TOP-L1 BOT-L6) (net 194))
+  (segment (start 95.525 36.75) (end 95.525 36.3) (width 0.1524) (layer Sig1-L3) (net 194) (tstamp 51AD8603))
+  (segment (start 81 58.425) (end 81 57.675) (width 0.1524) (layer Sig-L5) (net 195))
+  (segment (start 79.575 46.9) (end 79.575 46.55) (width 0.1524) (layer Sig-L5) (net 195) (tstamp 51ADE31C))
+  (segment (start 81.425 48.75) (end 79.575 46.9) (width 0.1524) (layer Sig-L5) (net 195) (tstamp 51ADE318))
+  (segment (start 81.425 57.25) (end 81.425 48.75) (width 0.1524) (layer Sig-L5) (net 195) (tstamp 51ADE317))
+  (segment (start 81 57.675) (end 81.425 57.25) (width 0.1524) (layer Sig-L5) (net 195) (tstamp 51ADE316))
+  (segment (start 81 58.425) (end 81 58.425) (width 0.1524) (layer Sig-L5) (net 195))
+  (segment (start 95 37.125) (end 95 36.925) (width 0.1524) (layer Sig-L5) (net 195) (tstamp 51AD86DB))
+  (segment (start 94.475 37.65) (end 95 37.125) (width 0.1524) (layer Sig-L5) (net 195) (tstamp 51AD86DA))
+  (segment (start 83.975 37.65) (end 94.475 37.65) (width 0.1524) (layer Sig-L5) (net 195) (tstamp 51AD86D7))
+  (segment (start 79.575 42.05) (end 83.975 37.65) (width 0.1524) (layer Sig-L5) (net 195) (tstamp 51AD86D2))
+  (segment (start 79.575 46.55) (end 79.575 42.05) (width 0.1524) (layer Sig-L5) (net 195) (tstamp 51ADE329))
+  (segment (start 80.2 59.6) (end 80.6 59.2) (width 0.1524) (layer TOP-L1) (net 195))
+  (segment (start 95 35.75) (end 95 34.125) (width 0.1524) (layer BOT-L6) (net 195) (tstamp 51AD5230))
+  (via (at 95 35.75) (size 0.4572) (layers TOP-L1 BOT-L6) (net 195))
+  (segment (start 95 36.925) (end 95 35.75) (width 0.1524) (layer Sig-L5) (net 195) (tstamp 51AD86DE))
+  (segment (start 81 58.8) (end 81 58.425) (width 0.1524) (layer Sig-L5) (net 195) (tstamp 51AD521D))
+  (segment (start 80.6 59.2) (end 81 58.8) (width 0.1524) (layer Sig-L5) (net 195) (tstamp 51AD521C))
+  (via (at 80.6 59.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 195))
+  (segment (start 79.8 58.375) (end 81 57.175) (width 0.1524) (layer Sig1-L3) (net 196))
+  (segment (start 94.5 38.575) (end 94.5 36.8) (width 0.1524) (layer Sig1-L3) (net 196) (tstamp 51ADE463))
+  (segment (start 81 52.075) (end 94.5 38.575) (width 0.1524) (layer Sig1-L3) (net 196) (tstamp 51ADE45E))
+  (segment (start 81 57.175) (end 81 52.075) (width 0.1524) (layer Sig1-L3) (net 196) (tstamp 51ADE45C))
+  (segment (start 79.4 58.8) (end 79.8 58.4) (width 0.1524) (layer TOP-L1) (net 196))
+  (segment (start 94.5 36.8) (end 94.5 34.125) (width 0.1524) (layer BOT-L6) (net 196) (tstamp 51AD50C4))
+  (via (at 94.5 36.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 196))
+  (segment (start 79.8 58.4) (end 79.8 58.375) (width 0.1524) (layer Sig1-L3) (net 196) (tstamp 51AD509F))
+  (via (at 79.8 58.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 196))
+  (segment (start 79.275 46.475) (end 79.275 47.3) (width 0.1524) (layer Sig-L5) (net 197))
+  (segment (start 81 57.075) (end 80.2 57.875) (width 0.1524) (layer Sig-L5) (net 197) (tstamp 51ADE333))
+  (segment (start 81 49.025) (end 81 57.075) (width 0.1524) (layer Sig-L5) (net 197) (tstamp 51ADE32E))
+  (segment (start 79.275 47.3) (end 81 49.025) (width 0.1524) (layer Sig-L5) (net 197) (tstamp 51ADE32D))
+  (segment (start 80.2 57.875) (end 80.2 58.425) (width 0.1524) (layer Sig-L5) (net 197) (tstamp 51ADE335))
+  (segment (start 87.05 37.1) (end 83.875 37.1) (width 0.1524) (layer Sig-L5) (net 197))
+  (segment (start 80.2 57.875) (end 80.2 58.075) (width 0.1524) (layer Sig-L5) (net 197) (tstamp 51AD86C1))
+  (segment (start 80.2 58.425) (end 80.2 58.075) (width 0.1524) (layer Sig-L5) (net 197) (tstamp 51ADE338))
+  (segment (start 79.275 41.7) (end 79.275 46.475) (width 0.1524) (layer Sig-L5) (net 197) (tstamp 51AD86BD))
+  (segment (start 83.875 37.1) (end 79.275 41.7) (width 0.1524) (layer Sig-L5) (net 197) (tstamp 51AD86BB))
+  (segment (start 93.075 37.1) (end 93.3 36.875) (width 0.1524) (layer Sig-L5) (net 197) (tstamp 51AD85D4))
+  (segment (start 87.05 37.1) (end 93.075 37.1) (width 0.1524) (layer Sig-L5) (net 197) (tstamp 51AD86B9))
+  (segment (start 79.4 59.6) (end 79.8 59.2) (width 0.1524) (layer TOP-L1) (net 197))
+  (segment (start 80.2 58.8) (end 80.2 58.425) (width 0.1524) (layer Sig-L5) (net 197) (tstamp 51AD50F7))
+  (segment (start 79.8 59.2) (end 80.2 58.8) (width 0.1524) (layer Sig-L5) (net 197) (tstamp 51AD50F6))
+  (via (at 79.8 59.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 197))
+  (segment (start 94 36.175) (end 94 34.125) (width 0.1524) (layer BOT-L6) (net 197) (tstamp 51AD5105))
+  (via (at 94 36.175) (size 0.4572) (layers TOP-L1 BOT-L6) (net 197))
+  (segment (start 93.3 36.875) (end 94 36.175) (width 0.1524) (layer Sig-L5) (net 197) (tstamp 51AD85D7))
+  (segment (start 81.8 59.15) (end 81.8 48.5) (width 0.1524) (layer BOT-L6) (net 198))
+  (segment (start 79.825 46.525) (end 79.825 46.325) (width 0.1524) (layer BOT-L6) (net 198) (tstamp 51ADE2BE))
+  (segment (start 81.8 48.5) (end 79.825 46.525) (width 0.1524) (layer BOT-L6) (net 198) (tstamp 51ADE2BB))
+  (segment (start 82.1 41.575) (end 79.825 43.85) (width 0.1524) (layer BOT-L6) (net 198))
+  (segment (start 93.5 34.125) (end 93.5 35.75) (width 0.1524) (layer BOT-L6) (net 198))
+  (segment (start 82.1 41.575) (end 85.6 38.075) (width 0.1524) (layer BOT-L6) (net 198) (tstamp 51AD868A))
+  (segment (start 85.6 38.075) (end 91.825 38.075) (width 0.1524) (layer BOT-L6) (net 198) (tstamp 51AD85B7))
+  (segment (start 91.825 38.075) (end 93.5 36.4) (width 0.1524) (layer BOT-L6) (net 198) (tstamp 51AD85B9))
+  (segment (start 93.5 36.4) (end 93.5 35.75) (width 0.1524) (layer BOT-L6) (net 198) (tstamp 51AD85BB))
+  (segment (start 79.825 43.85) (end 79.825 46.325) (width 0.1524) (layer BOT-L6) (net 198) (tstamp 51AD868C))
+  (segment (start 81.4 60) (end 81 60.4) (width 0.1524) (layer TOP-L1) (net 198) (tstamp 51AD524D))
+  (via (at 81.4 60) (size 0.4572) (layers TOP-L1 BOT-L6) (net 198))
+  (segment (start 81.8 59.6) (end 81.4 60) (width 0.1524) (layer BOT-L6) (net 198) (tstamp 51AD5248))
+  (segment (start 81.8 59.15) (end 81.8 59.6) (width 0.1524) (layer BOT-L6) (net 198) (tstamp 51ADE2B9))
+  (segment (start 81 59.2) (end 81 57.675) (width 0.1524) (layer BOT-L6) (net 199))
+  (segment (start 79.525 46.85) (end 79.525 44.75) (width 0.1524) (layer BOT-L6) (net 199) (tstamp 51ADE2D4))
+  (segment (start 81.425 48.75) (end 79.525 46.85) (width 0.1524) (layer BOT-L6) (net 199) (tstamp 51ADE2D0))
+  (segment (start 81.425 57.25) (end 81.425 48.75) (width 0.1524) (layer BOT-L6) (net 199) (tstamp 51ADE2CF))
+  (segment (start 81 57.675) (end 81.425 57.25) (width 0.1524) (layer BOT-L6) (net 199) (tstamp 51ADE2C7))
+  (segment (start 79.525 43.475) (end 81.2 41.8) (width 0.1524) (layer BOT-L6) (net 199) (tstamp 51AD8683))
+  (segment (start 79.525 44.75) (end 79.525 43.475) (width 0.1524) (layer BOT-L6) (net 199) (tstamp 51ADE2DE))
+  (segment (start 92.325 37.15) (end 91.85 37.625) (width 0.1524) (layer BOT-L6) (net 199))
+  (segment (start 85.375 37.625) (end 81.2 41.8) (width 0.1524) (layer BOT-L6) (net 199) (tstamp 51AD85A7))
+  (segment (start 91.85 37.625) (end 85.375 37.625) (width 0.1524) (layer BOT-L6) (net 199) (tstamp 51AD85A6))
+  (segment (start 93 34.125) (end 93 36.475) (width 0.1524) (layer BOT-L6) (net 199))
+  (segment (start 93 36.475) (end 92.325 37.15) (width 0.1524) (layer BOT-L6) (net 199) (tstamp 51AD5108))
+  (segment (start 80.6 60) (end 81 59.6) (width 0.1524) (layer BOT-L6) (net 199))
+  (segment (start 81 59.6) (end 81 59.2) (width 0.1524) (layer BOT-L6) (net 199) (tstamp 51AD5124))
+  (segment (start 80.6 60) (end 80.2 60.4) (width 0.1524) (layer TOP-L1) (net 199) (tstamp 51AD5119))
+  (via (at 80.6 60) (size 0.4572) (layers TOP-L1 BOT-L6) (net 199))
+  (segment (start 80.2 59.175) (end 80.2 57.875) (width 0.1524) (layer BOT-L6) (net 200))
+  (segment (start 80.2 57.875) (end 81 57.075) (width 0.1524) (layer BOT-L6) (net 200) (tstamp 51ADE2E3))
+  (segment (start 79.175 47.2) (end 79.175 46.675) (width 0.1524) (layer BOT-L6) (net 200) (tstamp 51ADE2E8))
+  (segment (start 81 49.025) (end 79.175 47.2) (width 0.1524) (layer BOT-L6) (net 200) (tstamp 51ADE2E6))
+  (segment (start 81 57.075) (end 81 49.025) (width 0.1524) (layer BOT-L6) (net 200) (tstamp 51ADE2E5))
+  (segment (start 80.675 41.6) (end 79.175 43.1) (width 0.1524) (layer BOT-L6) (net 200))
+  (segment (start 79.175 43.1) (end 79.175 46.675) (width 0.1524) (layer BOT-L6) (net 200) (tstamp 51AD866E))
+  (segment (start 91.6 37.275) (end 92.1 36.775) (width 0.1524) (layer BOT-L6) (net 200) (tstamp 51AD859E))
+  (segment (start 85 37.275) (end 91.6 37.275) (width 0.1524) (layer BOT-L6) (net 200) (tstamp 51AD859C))
+  (segment (start 80.675 41.6) (end 85 37.275) (width 0.1524) (layer BOT-L6) (net 200) (tstamp 51AD866C))
+  (segment (start 92.5 34.125) (end 92.5 36.375) (width 0.1524) (layer BOT-L6) (net 200))
+  (segment (start 92.5 36.375) (end 92.1 36.775) (width 0.1524) (layer BOT-L6) (net 200) (tstamp 51AD50C8))
+  (segment (start 79.8 60) (end 79.4 60.4) (width 0.1524) (layer TOP-L1) (net 200) (tstamp 51AD50EC))
+  (via (at 79.8 60) (size 0.4572) (layers TOP-L1 BOT-L6) (net 200))
+  (segment (start 80.2 59.6) (end 79.8 60) (width 0.1524) (layer BOT-L6) (net 200) (tstamp 51AD50D5))
+  (segment (start 80.2 59.175) (end 80.2 59.6) (width 0.1524) (layer BOT-L6) (net 200) (tstamp 51ADE2E1))
+  (segment (start 79.4 58.325) (end 79.4 58.125) (width 0.1524) (layer Sig-L5) (net 201))
+  (segment (start 78.925 47.5) (end 78.925 47.275) (width 0.1524) (layer Sig-L5) (net 201) (tstamp 51ADE343))
+  (segment (start 80.6 49.175) (end 78.925 47.5) (width 0.1524) (layer Sig-L5) (net 201) (tstamp 51ADE33F))
+  (segment (start 80.6 56.925) (end 80.6 49.175) (width 0.1524) (layer Sig-L5) (net 201) (tstamp 51ADE33D))
+  (segment (start 79.4 58.125) (end 80.6 56.925) (width 0.1524) (layer Sig-L5) (net 201) (tstamp 51ADE33C))
+  (segment (start 83.775 36.675) (end 86.25 36.675) (width 0.1524) (layer Sig-L5) (net 201) (tstamp 51AD86B3))
+  (segment (start 78.925 41.525) (end 83.775 36.675) (width 0.1524) (layer Sig-L5) (net 201) (tstamp 51AD86AB))
+  (segment (start 78.925 47.275) (end 78.925 41.525) (width 0.1524) (layer Sig-L5) (net 201) (tstamp 51ADE346))
+  (segment (start 86.25 36.675) (end 91.1 36.675) (width 0.1524) (layer Sig-L5) (net 201) (tstamp 51AD86B7))
+  (segment (start 92 36.075) (end 92 34.125) (width 0.1524) (layer BOT-L6) (net 201) (tstamp 51AD507A))
+  (via (at 92 36.075) (size 0.4572) (layers TOP-L1 BOT-L6) (net 201))
+  (segment (start 91.4 36.675) (end 92 36.075) (width 0.1524) (layer Sig-L5) (net 201) (tstamp 51AD506F))
+  (segment (start 91.2 36.675) (end 91.4 36.675) (width 0.1524) (layer Sig-L5) (net 201) (tstamp 51AD506C))
+  (segment (start 91.1 36.675) (end 91.2 36.675) (width 0.1524) (layer Sig-L5) (net 201) (tstamp 51AD85CC))
+  (segment (start 78.6 59.6) (end 79 59.2) (width 0.1524) (layer TOP-L1) (net 201))
+  (segment (start 79.4 58.8) (end 79.4 58.325) (width 0.1524) (layer Sig-L5) (net 201) (tstamp 51AD4F10))
+  (segment (start 79 59.2) (end 79.4 58.8) (width 0.1524) (layer Sig-L5) (net 201) (tstamp 51AD4F0F))
+  (via (at 79 59.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 201))
+  (segment (start 85.6 42.775) (end 85.075 43.3) (width 0.1524) (layer BOT-L6) (net 202))
+  (segment (start 85.075 47.525) (end 84.35 48.25) (width 0.1524) (layer TOP-L1) (net 202) (tstamp 51ADE425))
+  (segment (start 85.075 44.175) (end 85.075 47.525) (width 0.1524) (layer TOP-L1) (net 202) (tstamp 51ADE424))
+  (via (at 85.075 44.175) (size 0.4572) (layers TOP-L1 BOT-L6) (net 202))
+  (segment (start 85.075 43.3) (end 85.075 44.175) (width 0.1524) (layer BOT-L6) (net 202) (tstamp 51ADE422))
+  (segment (start 100.5 35.65) (end 100.5 36.875) (width 0.1524) (layer BOT-L6) (net 202))
+  (segment (start 87.875 40.5) (end 85.6 42.775) (width 0.1524) (layer BOT-L6) (net 202) (tstamp 51AD8810))
+  (segment (start 96.875 40.5) (end 87.875 40.5) (width 0.1524) (layer BOT-L6) (net 202) (tstamp 51AD880E))
+  (segment (start 100.5 36.875) (end 96.875 40.5) (width 0.1524) (layer BOT-L6) (net 202) (tstamp 51AD880D))
+  (segment (start 82.6 54.125) (end 82.6 50) (width 0.1524) (layer TOP-L1) (net 202))
+  (segment (start 82.6 54.125) (end 82.6 57.2) (width 0.1524) (layer TOP-L1) (net 202) (tstamp 51AD55C4))
+  (segment (start 82.6 50) (end 84.35 48.25) (width 0.1524) (layer TOP-L1) (net 202) (tstamp 51AD55C6))
+  (segment (start 100.5 34.125) (end 100.5 35.65) (width 0.1524) (layer BOT-L6) (net 202))
+  (segment (start 79.4 59.25) (end 79.4 58.1) (width 0.1524) (layer BOT-L6) (net 203))
+  (segment (start 78.875 47.45) (end 78.875 47.25) (width 0.1524) (layer BOT-L6) (net 203) (tstamp 51ADE2FA))
+  (segment (start 80.6 49.175) (end 78.875 47.45) (width 0.1524) (layer BOT-L6) (net 203) (tstamp 51ADE2F6))
+  (segment (start 80.6 56.9) (end 80.6 49.175) (width 0.1524) (layer BOT-L6) (net 203) (tstamp 51ADE2F5))
+  (segment (start 79.4 58.1) (end 80.6 56.9) (width 0.1524) (layer BOT-L6) (net 203) (tstamp 51ADE2F3))
+  (segment (start 78.875 42.625) (end 80.15 41.35) (width 0.1524) (layer BOT-L6) (net 203) (tstamp 51AD865E))
+  (segment (start 78.875 47.25) (end 78.875 42.625) (width 0.1524) (layer BOT-L6) (net 203) (tstamp 51ADE2FD))
+  (segment (start 90.475 36.25) (end 89.9 36.825) (width 0.1524) (layer BOT-L6) (net 203))
+  (segment (start 84.675 36.825) (end 80.15 41.35) (width 0.1524) (layer BOT-L6) (net 203) (tstamp 51AD564B))
+  (segment (start 89.9 36.825) (end 84.675 36.825) (width 0.1524) (layer BOT-L6) (net 203) (tstamp 51AD564A))
+  (segment (start 91.5 34.125) (end 91.5 35.225) (width 0.1524) (layer BOT-L6) (net 203))
+  (segment (start 91.5 35.225) (end 90.475 36.25) (width 0.1524) (layer BOT-L6) (net 203) (tstamp 51AD4F30))
+  (segment (start 79 60) (end 78.6 60.4) (width 0.1524) (layer TOP-L1) (net 203) (tstamp 51AD4F4D))
+  (via (at 79 60) (size 0.4572) (layers TOP-L1 BOT-L6) (net 203))
+  (segment (start 79.4 59.6) (end 79 60) (width 0.1524) (layer BOT-L6) (net 203) (tstamp 51AD4F46))
+  (segment (start 79.4 59.25) (end 79.4 59.6) (width 0.1524) (layer BOT-L6) (net 203) (tstamp 51ADE2F1))
+  (segment (start 78.6 46.975) (end 78.6 47.725) (width 0.1524) (layer BOT-L6) (net 204))
+  (segment (start 78.6 57.9) (end 78.6 58.375) (width 0.1524) (layer BOT-L6) (net 204) (tstamp 51ADE307))
+  (segment (start 80.2 56.3) (end 78.6 57.9) (width 0.1524) (layer BOT-L6) (net 204) (tstamp 51ADE303))
+  (segment (start 80.2 49.325) (end 80.2 56.3) (width 0.1524) (layer BOT-L6) (net 204) (tstamp 51ADE302))
+  (segment (start 78.6 47.725) (end 80.2 49.325) (width 0.1524) (layer BOT-L6) (net 204) (tstamp 51ADE301))
+  (segment (start 78.6 46.975) (end 78.6 42.2) (width 0.1524) (layer BOT-L6) (net 204) (tstamp 51ADE2FF))
+  (segment (start 89.55 36.5) (end 89.95 36.1) (width 0.1524) (layer BOT-L6) (net 204) (tstamp 51AD5643))
+  (segment (start 84.3 36.5) (end 89.55 36.5) (width 0.1524) (layer BOT-L6) (net 204) (tstamp 51AD5641))
+  (segment (start 78.6 42.2) (end 84.3 36.5) (width 0.1524) (layer BOT-L6) (net 204) (tstamp 51AD563F))
+  (segment (start 77.8 60.4) (end 78.2 60) (width 0.1524) (layer TOP-L1) (net 204))
+  (segment (start 78.6 59.6) (end 78.6 58.375) (width 0.1524) (layer BOT-L6) (net 204) (tstamp 51AD4ECB))
+  (segment (start 78.2 60) (end 78.6 59.6) (width 0.1524) (layer BOT-L6) (net 204) (tstamp 51AD4ECA))
+  (via (at 78.2 60) (size 0.4572) (layers TOP-L1 BOT-L6) (net 204))
+  (segment (start 91 35.05) (end 91 34.125) (width 0.1524) (layer BOT-L6) (net 204) (tstamp 51AD4EDD))
+  (segment (start 89.95 36.1) (end 91 35.05) (width 0.1524) (layer BOT-L6) (net 204) (tstamp 51AD5646))
+  (segment (start 84.075 48) (end 84.275 47.8) (width 0.1524) (layer TOP-L1) (net 205))
+  (segment (start 100 36.9) (end 100 36.525) (width 0.1524) (layer BOT-L6) (net 205) (tstamp 51AD8806))
+  (segment (start 96.7 40.2) (end 100 36.9) (width 0.1524) (layer BOT-L6) (net 205) (tstamp 51AD8802))
+  (segment (start 87.775 40.2) (end 96.7 40.2) (width 0.1524) (layer BOT-L6) (net 205) (tstamp 51AD87FF))
+  (segment (start 84.275 43.7) (end 87.775 40.2) (width 0.1524) (layer BOT-L6) (net 205) (tstamp 51AD87FD))
+  (segment (start 84.275 46.525) (end 84.275 43.7) (width 0.1524) (layer BOT-L6) (net 205) (tstamp 51AD87FC))
+  (via (at 84.275 46.525) (size 0.4572) (layers TOP-L1 BOT-L6) (net 205))
+  (segment (start 84.275 47.8) (end 84.275 46.525) (width 0.1524) (layer TOP-L1) (net 205) (tstamp 51AD87FA))
+  (segment (start 82.2 49.875) (end 82.2 53.975) (width 0.1524) (layer TOP-L1) (net 205) (tstamp 51AD55BE))
+  (segment (start 84.075 48) (end 82.2 49.875) (width 0.1524) (layer TOP-L1) (net 205) (tstamp 51AD87F8))
+  (segment (start 82.6 58) (end 82.2 57.6) (width 0.1524) (layer TOP-L1) (net 205))
+  (segment (start 82.2 57.6) (end 82.2 53.975) (width 0.1524) (layer TOP-L1) (net 205) (tstamp 51AD5333))
+  (segment (start 100 36.525) (end 100 34.125) (width 0.1524) (layer BOT-L6) (net 205) (tstamp 51AD8809))
+  (segment (start 99.5 35.6) (end 99.5 36.875) (width 0.1524) (layer BOT-L6) (net 206))
+  (segment (start 83.75 47.9) (end 83.15 48.5) (width 0.1524) (layer TOP-L1) (net 206) (tstamp 51AD87F3))
+  (segment (start 83.75 45.475) (end 83.75 47.9) (width 0.1524) (layer TOP-L1) (net 206) (tstamp 51AD87F2))
+  (via (at 83.75 45.475) (size 0.4572) (layers TOP-L1 BOT-L6) (net 206))
+  (segment (start 83.75 43.65) (end 83.75 45.475) (width 0.1524) (layer BOT-L6) (net 206) (tstamp 51AD87EF))
+  (segment (start 87.55 39.85) (end 83.75 43.65) (width 0.1524) (layer BOT-L6) (net 206) (tstamp 51AD87ED))
+  (segment (start 96.525 39.85) (end 87.55 39.85) (width 0.1524) (layer BOT-L6) (net 206) (tstamp 51AD87EB))
+  (segment (start 99.5 36.875) (end 96.525 39.85) (width 0.1524) (layer BOT-L6) (net 206) (tstamp 51AD87E9))
+  (segment (start 81.8 53.45) (end 81.8 49.85) (width 0.1524) (layer TOP-L1) (net 206))
+  (segment (start 81.8 57.2) (end 81.8 53.45) (width 0.1524) (layer TOP-L1) (net 206))
+  (segment (start 81.8 49.85) (end 83.15 48.5) (width 0.1524) (layer TOP-L1) (net 206) (tstamp 51AD55A3))
+  (segment (start 99.5 35.6) (end 99.5 34.125) (width 0.1524) (layer BOT-L6) (net 206) (tstamp 51AD87E7))
+  (segment (start 82.95 48.275) (end 83.275 47.95) (width 0.1524) (layer TOP-L1) (net 207))
+  (segment (start 99 36.9) (end 99 36.35) (width 0.1524) (layer BOT-L6) (net 207) (tstamp 51AD87E2))
+  (segment (start 96.375 39.525) (end 99 36.9) (width 0.1524) (layer BOT-L6) (net 207) (tstamp 51AD87E0))
+  (segment (start 87.175 39.525) (end 96.375 39.525) (width 0.1524) (layer BOT-L6) (net 207) (tstamp 51AD87DD))
+  (segment (start 83.275 43.425) (end 87.175 39.525) (width 0.1524) (layer BOT-L6) (net 207) (tstamp 51AD87DB))
+  (segment (start 83.275 46.6) (end 83.275 43.425) (width 0.1524) (layer BOT-L6) (net 207) (tstamp 51AD87DA))
+  (via (at 83.275 46.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 207))
+  (segment (start 83.275 47.95) (end 83.275 46.6) (width 0.1524) (layer TOP-L1) (net 207) (tstamp 51AD87D7))
+  (segment (start 81.425 49.8) (end 81.425 53.425) (width 0.1524) (layer TOP-L1) (net 207) (tstamp 51AD559A))
+  (segment (start 82.95 48.275) (end 81.425 49.8) (width 0.1524) (layer TOP-L1) (net 207) (tstamp 51AD87D5))
+  (segment (start 81.425 54.35) (end 81.425 53.425) (width 0.1524) (layer TOP-L1) (net 207))
+  (segment (start 81.8 58) (end 81.8 57.975) (width 0.1524) (layer TOP-L1) (net 207))
+  (segment (start 81.425 57.6) (end 81.425 54.35) (width 0.1524) (layer TOP-L1) (net 207) (tstamp 51AD52C9))
+  (segment (start 81.8 57.975) (end 81.425 57.6) (width 0.1524) (layer TOP-L1) (net 207) (tstamp 51AD52C8))
+  (segment (start 99 36.35) (end 99 34.125) (width 0.1524) (layer BOT-L6) (net 207) (tstamp 51AD87E5))
+  (segment (start 98.5 35.65) (end 98.5 36.775) (width 0.1524) (layer BOT-L6) (net 208))
+  (segment (start 82.825 47.95) (end 82.4 48.375) (width 0.1524) (layer TOP-L1) (net 208) (tstamp 51AD87CF))
+  (segment (start 82.825 45.6) (end 82.825 47.95) (width 0.1524) (layer TOP-L1) (net 208) (tstamp 51AD87CE))
+  (via (at 82.825 45.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 208))
+  (segment (start 82.825 43.35) (end 82.825 45.6) (width 0.1524) (layer BOT-L6) (net 208) (tstamp 51AD87CB))
+  (segment (start 86.975 39.2) (end 82.825 43.35) (width 0.1524) (layer BOT-L6) (net 208) (tstamp 51AD87C9))
+  (segment (start 96.075 39.2) (end 86.975 39.2) (width 0.1524) (layer BOT-L6) (net 208) (tstamp 51AD87C7))
+  (segment (start 98.5 36.775) (end 96.075 39.2) (width 0.1524) (layer BOT-L6) (net 208) (tstamp 51AD87C5))
+  (segment (start 81 53.275) (end 81 49.775) (width 0.1524) (layer TOP-L1) (net 208))
+  (segment (start 81 57.2) (end 81 53.275) (width 0.1524) (layer TOP-L1) (net 208))
+  (segment (start 81 49.775) (end 82.4 48.375) (width 0.1524) (layer TOP-L1) (net 208) (tstamp 51AD5581))
+  (segment (start 98.5 35.65) (end 98.5 34.125) (width 0.1524) (layer BOT-L6) (net 208) (tstamp 51AD87C3))
+  (segment (start 82.125 48.025) (end 82.35 47.8) (width 0.1524) (layer TOP-L1) (net 209))
+  (segment (start 98.025 36.725) (end 98.025 35.625) (width 0.1524) (layer BOT-L6) (net 209) (tstamp 51AD87BD))
+  (segment (start 95.85 38.9) (end 98.025 36.725) (width 0.1524) (layer BOT-L6) (net 209) (tstamp 51AD87BB))
+  (segment (start 86.625 38.9) (end 95.85 38.9) (width 0.1524) (layer BOT-L6) (net 209) (tstamp 51AD87B6))
+  (segment (start 82.35 43.175) (end 86.625 38.9) (width 0.1524) (layer BOT-L6) (net 209) (tstamp 51AD87B3))
+  (segment (start 82.35 46.7) (end 82.35 43.175) (width 0.1524) (layer BOT-L6) (net 209) (tstamp 51AD87B2))
+  (via (at 82.35 46.7) (size 0.4572) (layers TOP-L1 BOT-L6) (net 209))
+  (segment (start 82.35 47.8) (end 82.35 46.7) (width 0.1524) (layer TOP-L1) (net 209) (tstamp 51AD87AF))
+  (segment (start 80.6 49.55) (end 80.6 52.625) (width 0.1524) (layer TOP-L1) (net 209) (tstamp 51AD5575))
+  (segment (start 82.125 48.025) (end 80.6 49.55) (width 0.1524) (layer TOP-L1) (net 209) (tstamp 51AD87AD))
+  (segment (start 80.6 57.6) (end 80.6 52.625) (width 0.1524) (layer TOP-L1) (net 209) (tstamp 51AD5043))
+  (segment (start 81 58) (end 80.6 57.6) (width 0.1524) (layer TOP-L1) (net 209))
+  (segment (start 98.025 34.15) (end 98 34.125) (width 0.1524) (layer BOT-L6) (net 209) (tstamp 51AD508E))
+  (segment (start 98.025 35.625) (end 98.025 34.15) (width 0.1524) (layer BOT-L6) (net 209) (tstamp 51AD87C1))
+  (segment (start 97.5 35.625) (end 97.5 36.75) (width 0.1524) (layer BOT-L6) (net 210))
+  (segment (start 81.825 47.85) (end 81.325 48.35) (width 0.1524) (layer TOP-L1) (net 210) (tstamp 51AD87A7))
+  (segment (start 81.825 45.35) (end 81.825 47.85) (width 0.1524) (layer TOP-L1) (net 210) (tstamp 51AD87A6))
+  (via (at 81.825 45.35) (size 0.4572) (layers TOP-L1 BOT-L6) (net 210))
+  (segment (start 81.825 43.075) (end 81.825 45.35) (width 0.1524) (layer BOT-L6) (net 210) (tstamp 51AD87A0))
+  (segment (start 86.3 38.6) (end 81.825 43.075) (width 0.1524) (layer BOT-L6) (net 210) (tstamp 51AD879E))
+  (segment (start 95.65 38.6) (end 86.3 38.6) (width 0.1524) (layer BOT-L6) (net 210) (tstamp 51AD879C))
+  (segment (start 97.5 36.75) (end 95.65 38.6) (width 0.1524) (layer BOT-L6) (net 210) (tstamp 51AD879B))
+  (segment (start 80.2 52.175) (end 80.2 49.475) (width 0.1524) (layer TOP-L1) (net 210))
+  (segment (start 80.2 57.2) (end 80.2 52.175) (width 0.1524) (layer TOP-L1) (net 210))
+  (segment (start 80.2 49.475) (end 81.325 48.35) (width 0.1524) (layer TOP-L1) (net 210) (tstamp 51AD5561))
+  (segment (start 97.5 35.625) (end 97.5 34.125) (width 0.1524) (layer BOT-L6) (net 210) (tstamp 51AD8799))
+  (segment (start 81.2 47.825) (end 81.275 47.75) (width 0.1524) (layer TOP-L1) (net 211))
+  (segment (start 97 36.775) (end 97 36.55) (width 0.1524) (layer BOT-L6) (net 211) (tstamp 51AD8794))
+  (segment (start 95.45 38.325) (end 97 36.775) (width 0.1524) (layer BOT-L6) (net 211) (tstamp 51AD8791))
+  (segment (start 86 38.325) (end 95.45 38.325) (width 0.1524) (layer BOT-L6) (net 211) (tstamp 51AD878B))
+  (segment (start 81.275 43.05) (end 86 38.325) (width 0.1524) (layer BOT-L6) (net 211) (tstamp 51AD8787))
+  (segment (start 81.275 46.875) (end 81.275 43.05) (width 0.1524) (layer BOT-L6) (net 211) (tstamp 51AD8786))
+  (via (at 81.275 46.875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 211))
+  (segment (start 81.275 47.75) (end 81.275 46.875) (width 0.1524) (layer TOP-L1) (net 211) (tstamp 51AD8781))
+  (segment (start 79.8 52.25) (end 79.8 49.225) (width 0.1524) (layer TOP-L1) (net 211))
+  (segment (start 79.8 49.225) (end 81.2 47.825) (width 0.1524) (layer TOP-L1) (net 211) (tstamp 51AD5553))
+  (segment (start 80.2 58) (end 79.8 57.6) (width 0.1524) (layer TOP-L1) (net 211))
+  (segment (start 97 36.55) (end 97 34.125) (width 0.1524) (layer BOT-L6) (net 211) (tstamp 51AD8797))
+  (segment (start 79.8 57.6) (end 79.8 52.25) (width 0.1524) (layer TOP-L1) (net 211) (tstamp 51AD4FEE))
+  (segment (start 82.55 67.95) (end 82.55 68.175) (width 0.1524) (layer Sig1-L3) (net 212))
+  (segment (start 86.8 72.425) (end 89.15 72.425) (width 0.1524) (layer Sig1-L3) (net 212) (tstamp 51AF225E))
+  (segment (start 82.55 68.175) (end 86.8 72.425) (width 0.1524) (layer Sig1-L3) (net 212) (tstamp 51AF225D))
+  (segment (start 90.725 94.225) (end 82.05 94.225) (width 0.1524) (layer BOT-L6) (net 212))
+  (segment (start 81.44958 94.225) (end 81.19958 94.475) (width 0.1524) (layer TOP-L1) (net 212) (tstamp 51AF1FD2))
+  (segment (start 82.05 94.225) (end 81.44958 94.225) (width 0.1524) (layer TOP-L1) (net 212) (tstamp 51AF1FD1))
+  (via (at 82.05 94.225) (size 0.4572) (layers TOP-L1 BOT-L6) (net 212))
+  (segment (start 90 72.425) (end 90.1 72.425) (width 0.1524) (layer Sig1-L3) (net 212))
+  (segment (start 90.85 72.7) (end 90.575 72.425) (width 0.1524) (layer BOT-L6) (net 212) (tstamp 51AF1EFA))
+  (segment (start 90.575 72.425) (end 90.85 72.7) (width 0.1524) (layer BOT-L6) (net 212) (tstamp 51AF1EF7))
+  (segment (start 90.1 72.425) (end 90.575 72.425) (width 0.1524) (layer BOT-L6) (net 212) (tstamp 51AF1EF6))
+  (via (at 90.1 72.425) (size 0.4572) (layers TOP-L1 BOT-L6) (net 212))
+  (segment (start 79.4 59.175) (end 79.4 60.25) (width 0.1524) (layer Sig1-L3) (net 212))
+  (via (at 79 58.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 212))
+  (segment (start 79 58.4) (end 79.4 58.8) (width 0.1524) (layer Sig1-L3) (net 212) (tstamp 51ADE563))
+  (segment (start 79.4 58.8) (end 79.4 59.175) (width 0.1524) (layer Sig1-L3) (net 212) (tstamp 51ADE564))
+  (segment (start 78.6 58.8) (end 79 58.4) (width 0.1524) (layer TOP-L1) (net 212))
+  (segment (start 79.4 60.25) (end 79.65 60.5) (width 0.1524) (layer Sig1-L3) (net 212) (tstamp 51AED77B))
+  (segment (start 79.65 60.5) (end 81.25 60.5) (width 0.1524) (layer Sig1-L3) (net 212) (tstamp 51AED77C))
+  (segment (start 81.25 60.5) (end 82.55 61.8) (width 0.1524) (layer Sig1-L3) (net 212) (tstamp 51AED77D))
+  (segment (start 82.55 61.8) (end 82.55 67.95) (width 0.1524) (layer Sig1-L3) (net 212) (tstamp 51AED782))
+  (segment (start 89.15 72.425) (end 90 72.425) (width 0.1524) (layer Sig1-L3) (net 212) (tstamp 51AF2269))
+  (segment (start 93.12622 96.98872) (end 92.23994 97.875) (width 0.1524) (layer TOP-L1) (net 212))
+  (segment (start 97.425 79.275) (end 90.85 72.7) (width 0.1524) (layer BOT-L6) (net 212) (tstamp 51AF1EDF))
+  (segment (start 97.425 83.25) (end 97.425 79.275) (width 0.1524) (layer BOT-L6) (net 212) (tstamp 51AF1EDA))
+  (segment (start 90.725 89.95) (end 97.425 83.25) (width 0.1524) (layer BOT-L6) (net 212) (tstamp 51AF1ED5))
+  (segment (start 90.725 96.85) (end 90.725 94.225) (width 0.1524) (layer BOT-L6) (net 212) (tstamp 51AF1ED2))
+  (segment (start 90.725 94.225) (end 90.725 89.95) (width 0.1524) (layer BOT-L6) (net 212) (tstamp 51AF1FCD))
+  (segment (start 91.75 97.875) (end 90.725 96.85) (width 0.1524) (layer BOT-L6) (net 212) (tstamp 51AF1ED1))
+  (via (at 91.75 97.875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 212))
+  (segment (start 92.23994 97.875) (end 91.75 97.875) (width 0.1524) (layer TOP-L1) (net 212) (tstamp 51AF1EC8))
+  (segment (start 49.97 72.53) (end 49.61 72.53) (width 0.1524) (layer Sig-L5) (net 213))
+  (segment (start 46.04 72.02) (end 46.04 72.015) (width 0.1524) (layer Sig-L5) (net 213) (tstamp 51A32C41))
+  (segment (start 46.74 72.02) (end 46.04 72.02) (width 0.1524) (layer Sig-L5) (net 213) (tstamp 51A32C3D))
+  (segment (start 46.83 71.93) (end 46.74 72.02) (width 0.1524) (layer Sig-L5) (net 213) (tstamp 51A32C3C))
+  (segment (start 49.01 71.93) (end 46.83 71.93) (width 0.1524) (layer Sig-L5) (net 213) (tstamp 51A32C3B))
+  (segment (start 49.61 72.53) (end 49.01 71.93) (width 0.1524) (layer Sig-L5) (net 213) (tstamp 51A32C3A))
+  (segment (start 46.05 72.025) (end 46.04 72.015) (width 0.1524) (layer Sig-L5) (net 213))
+  (segment (start 45.7 68.625) (end 45.7 68.325) (width 0.1524) (layer Sig1-L3) (net 213) (tstamp 51A05E70))
+  (segment (start 46.275 70.85) (end 46.275 69.975) (width 0.1524) (layer Sig1-L3) (net 213) (tstamp 51A05DF4))
+  (segment (start 46.275 69.975) (end 45.7 69.4) (width 0.1524) (layer Sig1-L3) (net 213) (tstamp 51A04F53))
+  (segment (start 45.7 69.4) (end 45.7 68.925) (width 0.1524) (layer Sig1-L3) (net 213) (tstamp 51A04F56))
+  (via (at 46.05 72.025) (size 0.4572) (layers TOP-L1 BOT-L6) (net 213))
+  (segment (start 46.05 72.025) (end 45.75 71.725) (width 0.1524) (layer Sig1-L3) (net 213) (tstamp 51A05DEC))
+  (segment (start 45.75 71.725) (end 45.75 71.475) (width 0.1524) (layer Sig1-L3) (net 213) (tstamp 51A05DED))
+  (segment (start 45.75 71.475) (end 46.275 70.95) (width 0.1524) (layer Sig1-L3) (net 213) (tstamp 51A05DEE))
+  (segment (start 46.275 70.95) (end 46.275 70.85) (width 0.1524) (layer Sig1-L3) (net 213) (tstamp 51A05DEF))
+  (segment (start 45.7 68.15) (end 45.7 68.325) (width 0.1524) (layer Sig1-L3) (net 213) (tstamp 51A05064))
+  (segment (start 45.7 68.925) (end 45.7 68.625) (width 0.1524) (layer Sig1-L3) (net 213) (tstamp 51A05E3C))
+  (segment (start 70.2 72) (end 70.6 71.6) (width 0.1524) (layer TOP-L1) (net 213) (tstamp 51A32C08))
+  (via (at 70.2 72) (size 0.4572) (layers TOP-L1 BOT-L6) (net 213))
+  (segment (start 69.8 72.4) (end 70.2 72) (width 0.1524) (layer Sig-L5) (net 213) (tstamp 51A32C02))
+  (segment (start 51.2 72.4) (end 69.8 72.4) (width 0.1524) (layer Sig-L5) (net 213) (tstamp 51A32BF7))
+  (segment (start 51.07 72.53) (end 51.2 72.4) (width 0.1524) (layer Sig-L5) (net 213) (tstamp 51A32BF6))
+  (segment (start 49.97 72.53) (end 51.07 72.53) (width 0.1524) (layer Sig-L5) (net 213) (tstamp 51A32C38))
+  (segment (start 43.225 59.07) (end 43.225 59.195) (width 0.1524) (layer Sig1-L3) (net 213))
+  (segment (start 44.08 64.1) (end 44.08 64.105) (width 0.1524) (layer Sig1-L3) (net 213) (tstamp 51A1589D))
+  (segment (start 43.86 63.88) (end 44.08 64.1) (width 0.1524) (layer Sig1-L3) (net 213) (tstamp 51A1589A))
+  (segment (start 43.86 62.65) (end 43.86 63.88) (width 0.1524) (layer Sig1-L3) (net 213) (tstamp 51A15899))
+  (segment (start 43.34 62.13) (end 43.86 62.65) (width 0.1524) (layer Sig1-L3) (net 213) (tstamp 51A15896))
+  (segment (start 43.34 61.05) (end 43.34 62.13) (width 0.1524) (layer Sig1-L3) (net 213) (tstamp 51A15895))
+  (segment (start 43.79 60.6) (end 43.34 61.05) (width 0.1524) (layer Sig1-L3) (net 213) (tstamp 51A15894))
+  (segment (start 43.79 59.76) (end 43.79 60.6) (width 0.1524) (layer Sig1-L3) (net 213) (tstamp 51A15893))
+  (segment (start 43.225 59.195) (end 43.79 59.76) (width 0.1524) (layer Sig1-L3) (net 213) (tstamp 51A15892))
+  (segment (start 45.6 78.075) (end 45.6 78.625) (width 0.1524) (layer Sig1-L3) (net 213))
+  (segment (start 48.825 79.075) (end 49.025 79.275) (width 0.1524) (layer TOP-L1) (net 213) (tstamp 51A1577E))
+  (segment (start 46.05 79.075) (end 48.825 79.075) (width 0.1524) (layer TOP-L1) (net 213) (tstamp 51A1577D))
+  (segment (start 46 79.025) (end 46.05 79.075) (width 0.1524) (layer TOP-L1) (net 213) (tstamp 51A1577C))
+  (via (at 46 79.025) (size 0.4572) (layers TOP-L1 BOT-L6) (net 213))
+  (segment (start 45.6 78.625) (end 46 79.025) (width 0.1524) (layer Sig1-L3) (net 213) (tstamp 51A1577A))
+  (segment (start 45.7 68.225) (end 45.7 68.15) (width 0.1524) (layer Sig1-L3) (net 213) (tstamp 51A05EEE))
+  (segment (start 46.375 68.4) (end 46.375 67.925) (width 0.1524) (layer Sig1-L3) (net 213))
+  (segment (start 45.7 68.075) (end 45.7 68.225) (width 0.1524) (layer Sig1-L3) (net 213) (tstamp 51A05EEB))
+  (segment (start 45.975 67.8) (end 45.7 68.075) (width 0.1524) (layer Sig1-L3) (net 213) (tstamp 51A05EE9))
+  (segment (start 46.25 67.8) (end 45.975 67.8) (width 0.1524) (layer Sig1-L3) (net 213) (tstamp 51A05EE8))
+  (segment (start 46.375 67.925) (end 46.25 67.8) (width 0.1524) (layer Sig1-L3) (net 213) (tstamp 51A05EE7))
+  (segment (start 45.6 77.3) (end 45.6 73.85) (width 0.1524) (layer Sig1-L3) (net 213))
+  (segment (start 45.6 73.85) (end 46.2 73.25) (width 0.1524) (layer Sig1-L3) (net 213) (tstamp 51A05ED1))
+  (segment (start 46.65 70.1) (end 46.65 69.825) (width 0.1524) (layer Sig1-L3) (net 213))
+  (segment (start 46.075 68.7) (end 46.375 68.4) (width 0.1524) (layer Sig1-L3) (net 213) (tstamp 51A05EB4))
+  (segment (start 46.075 69.25) (end 46.075 68.7) (width 0.1524) (layer Sig1-L3) (net 213) (tstamp 51A05EB3))
+  (segment (start 46.65 69.825) (end 46.075 69.25) (width 0.1524) (layer Sig1-L3) (net 213) (tstamp 51A05EB2))
+  (segment (start 49.125 79.375) (end 49.025 79.275) (width 0.1524) (layer TOP-L1) (net 213))
+  (segment (start 52.4 78.4) (end 52.4 79.05) (width 0.1524) (layer TOP-L1) (net 213))
+  (segment (start 49.3 79.55) (end 49.125 79.375) (width 0.1524) (layer TOP-L1) (net 213) (tstamp 519DC227))
+  (segment (start 51.9 79.55) (end 49.3 79.55) (width 0.1524) (layer TOP-L1) (net 213) (tstamp 519DC224))
+  (segment (start 52.4 79.05) (end 51.9 79.55) (width 0.1524) (layer TOP-L1) (net 213) (tstamp 519DC223))
+  (segment (start 46.65 72.8) (end 46.65 70.1) (width 0.1524) (layer Sig1-L3) (net 213) (tstamp 51A05E66))
+  (segment (start 46.2 73.25) (end 46.65 72.8) (width 0.1524) (layer Sig1-L3) (net 213) (tstamp 51A05ED6))
+  (segment (start 45.6 78.075) (end 45.6 77.3) (width 0.1524) (layer Sig1-L3) (net 213) (tstamp 51A15778))
+  (segment (start 43.925 58.775) (end 44 58.775) (width 0.1524) (layer TOP-L1) (net 213))
+  (segment (start 45.7 58.775) (end 45.85 58.775) (width 0.1524) (layer TOP-L1) (net 213) (tstamp 51A04FFA))
+  (segment (start 45.2 59.275) (end 45.7 58.775) (width 0.1524) (layer TOP-L1) (net 213) (tstamp 51A04FF9))
+  (segment (start 44.5 59.275) (end 45.2 59.275) (width 0.1524) (layer TOP-L1) (net 213) (tstamp 51A04FF8))
+  (segment (start 44 58.775) (end 44.5 59.275) (width 0.1524) (layer TOP-L1) (net 213) (tstamp 51A04FF7))
+  (segment (start 45.85 58.775) (end 46.3 58.775) (width 0.1524) (layer TOP-L1) (net 213) (tstamp 51A04FFD))
+  (segment (start 50.825 58.825) (end 50.4 58.4) (width 0.1524) (layer TOP-L1) (net 213) (tstamp 51A04FC7))
+  (segment (start 50.825 59.475) (end 50.825 58.825) (width 0.1524) (layer TOP-L1) (net 213) (tstamp 51A04FC6))
+  (segment (start 50.5 59.8) (end 50.825 59.475) (width 0.1524) (layer TOP-L1) (net 213) (tstamp 51A04FC5))
+  (segment (start 47.325 59.8) (end 50.5 59.8) (width 0.1524) (layer TOP-L1) (net 213) (tstamp 51A04FC3))
+  (segment (start 46.3 58.775) (end 47.325 59.8) (width 0.1524) (layer TOP-L1) (net 213) (tstamp 51A04FC0))
+  (via (at 43.425 58.775) (size 0.4572) (layers TOP-L1 BOT-L6) (net 213))
+  (segment (start 43.225 58.975) (end 43.425 58.775) (width 0.1524) (layer Sig1-L3) (net 213) (tstamp 51A04FA2))
+  (segment (start 43.225 59.07) (end 43.225 58.975) (width 0.1524) (layer Sig1-L3) (net 213) (tstamp 51A15890))
+  (segment (start 45.7 68.15) (end 45.7 67.575) (width 0.1524) (layer Sig1-L3) (net 213) (tstamp 51A05E8F))
+  (segment (start 45.3 65.325) (end 44.08 64.105) (width 0.1524) (layer Sig1-L3) (net 213) (tstamp 51A04F5B))
+  (segment (start 45.3 67.175) (end 45.3 65.325) (width 0.1524) (layer Sig1-L3) (net 213) (tstamp 51A04F5A))
+  (segment (start 45.7 67.575) (end 45.3 67.175) (width 0.1524) (layer Sig1-L3) (net 213) (tstamp 51A04F57))
+  (segment (start 43.425 58.775) (end 43.925 58.775) (width 0.1524) (layer TOP-L1) (net 213) (tstamp 51A04FA7))
+  (segment (start 40.5 62.91) (end 40.5 64.39) (width 0.1524) (layer Sig-L5) (net 214))
+  (segment (start 39.9 64.99) (end 39.9 65.8) (width 0.1524) (layer Sig-L5) (net 214) (tstamp 51A45032))
+  (segment (start 40.5 64.39) (end 39.9 64.99) (width 0.1524) (layer Sig-L5) (net 214) (tstamp 51A45031))
+  (segment (start 41.375 61.3) (end 41.5 61.3) (width 0.1524) (layer TOP-L1) (net 214))
+  (segment (start 42.55 61.95) (end 42.85 61.65) (width 0.1524) (layer TOP-L1) (net 214) (tstamp 51A44F33))
+  (segment (start 42.15 61.95) (end 42.55 61.95) (width 0.1524) (layer TOP-L1) (net 214) (tstamp 51A44F32))
+  (segment (start 41.5 61.3) (end 42.15 61.95) (width 0.1524) (layer TOP-L1) (net 214) (tstamp 51A44F31))
+  (segment (start 44.225 61.3) (end 43.2 61.3) (width 0.1524) (layer TOP-L1) (net 214))
+  (segment (start 49.2 61.2) (end 49.6 61.6) (width 0.1524) (layer TOP-L1) (net 214))
+  (segment (start 49.2 61.2) (end 47.3 61.2) (width 0.1524) (layer TOP-L1) (net 214) (tstamp 519DB428))
+  (segment (start 44.225 61.3) (end 46.225 61.3) (width 0.1524) (layer TOP-L1) (net 214) (tstamp 51A44F20))
+  (segment (start 47.075 61.3) (end 47.175 61.2) (width 0.1524) (layer TOP-L1) (net 214) (tstamp 51A15903))
+  (segment (start 47.175 61.2) (end 47.3 61.2) (width 0.1524) (layer TOP-L1) (net 214) (tstamp 51A15904))
+  (segment (start 46.225 61.3) (end 47.075 61.3) (width 0.1524) (layer TOP-L1) (net 214))
+  (segment (start 43.2 61.3) (end 42.85 61.65) (width 0.1524) (layer TOP-L1) (net 214) (tstamp 51A44F22))
+  (segment (start 41.375 61.3) (end 41.35 61.3) (width 0.1524) (layer TOP-L1) (net 214) (tstamp 51A44F2F))
+  (segment (start 43.875 69.2) (end 42.55 69.2) (width 0.1524) (layer Sig-L5) (net 214) (tstamp 51A3075C))
+  (segment (start 42.075 69.475) (end 42.35 69.2) (width 0.1524) (layer Sig-L5) (net 214) (tstamp 51A32E2B))
+  (segment (start 42.35 69.2) (end 42.55 69.2) (width 0.1524) (layer Sig-L5) (net 214) (tstamp 51A32E1D))
+  (segment (start 39.9 65.8) (end 39.9 68.8) (width 0.1524) (layer Sig-L5) (net 214) (tstamp 51A45035))
+  (segment (start 39.9 69.5) (end 40.425 70.025) (width 0.1524) (layer Sig-L5) (net 214) (tstamp 51A32E26))
+  (segment (start 40.425 70.025) (end 41.525 70.025) (width 0.1524) (layer Sig-L5) (net 214) (tstamp 51A32E27))
+  (segment (start 41.525 70.025) (end 42.075 69.475) (width 0.1524) (layer Sig-L5) (net 214) (tstamp 51A32E28))
+  (segment (start 39.9 68.8) (end 39.9 69.5) (width 0.1524) (layer Sig-L5) (net 214))
+  (segment (start 40.5 62.91) (end 40.5 62) (width 0.1524) (layer Sig-L5) (net 214) (tstamp 51A4502F))
+  (via (at 40.5 62) (size 0.4572) (layers TOP-L1 BOT-L6) (net 214))
+  (segment (start 40.5 62) (end 41.2 61.3) (width 0.1524) (layer TOP-L1) (net 214) (tstamp 51A44F16))
+  (segment (start 41.2 61.3) (end 41.35 61.3) (width 0.1524) (layer TOP-L1) (net 214) (tstamp 51A44F17))
+  (segment (start 47.5 69.2) (end 46.5 69.2) (width 0.1524) (layer Sig-L5) (net 214))
+  (segment (start 44.1 69.2) (end 43.875 69.2) (width 0.1524) (layer Sig-L5) (net 214) (tstamp 51A30759))
+  (segment (start 44.525 68.775) (end 44.1 69.2) (width 0.1524) (layer Sig-L5) (net 214) (tstamp 51A30757))
+  (segment (start 46.075 68.775) (end 44.525 68.775) (width 0.1524) (layer Sig-L5) (net 214) (tstamp 51A30756))
+  (segment (start 46.5 69.2) (end 46.075 68.775) (width 0.1524) (layer Sig-L5) (net 214) (tstamp 51A30755))
+  (segment (start 43.8 69.2) (end 43.875 69.2) (width 0.1524) (layer Sig-L5) (net 214))
+  (segment (start 46.95 81.3) (end 46.975 81.3) (width 0.1524) (layer TOP-L1) (net 214))
+  (segment (start 44.4 80.725) (end 44.175 80.95) (width 0.1524) (layer Sig1-L3) (net 214))
+  (segment (start 44.375 81.3) (end 44.775 81.3) (width 0.1524) (layer TOP-L1) (net 214) (tstamp 51A018C9))
+  (via (at 44.375 81.3) (size 0.4572) (layers TOP-L1 BOT-L6) (net 214))
+  (segment (start 44.175 81.1) (end 44.375 81.3) (width 0.1524) (layer Sig1-L3) (net 214) (tstamp 51A018C4))
+  (segment (start 44.175 80.95) (end 44.175 81.1) (width 0.1524) (layer Sig1-L3) (net 214) (tstamp 51A018C2))
+  (segment (start 44.675 79.1) (end 44.675 80.45) (width 0.1524) (layer Sig1-L3) (net 214))
+  (segment (start 44.675 80.45) (end 44.4 80.725) (width 0.1524) (layer Sig1-L3) (net 214) (tstamp 519F5475))
+  (segment (start 44.675 77.45) (end 44.675 79.1) (width 0.1524) (layer Sig1-L3) (net 214) (tstamp 519F544E))
+  (segment (start 44.2 76.975) (end 44.675 77.45) (width 0.1524) (layer Sig1-L3) (net 214) (tstamp 519F544B))
+  (segment (start 44.2 76.175) (end 44.2 76.975) (width 0.1524) (layer Sig1-L3) (net 214) (tstamp 51A3052D))
+  (segment (start 43.475 69.525) (end 43.475 69.7) (width 0.1524) (layer Sig1-L3) (net 214) (tstamp 519F5443))
+  (segment (start 43.8 69.2) (end 43.475 69.525) (width 0.1524) (layer Sig1-L3) (net 214) (tstamp 519F5442))
+  (via (at 43.8 69.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 214))
+  (segment (start 51.2 81.2) (end 51.6 81.6) (width 0.1524) (layer TOP-L1) (net 214))
+  (segment (start 51.2 81.2) (end 48.75 81.2) (width 0.1524) (layer TOP-L1) (net 214) (tstamp 519DDB3A))
+  (segment (start 43.475 70.7) (end 43.825 71.05) (width 0.1524) (layer Sig1-L3) (net 214) (tstamp 51A3050E))
+  (segment (start 43.825 71.05) (end 44.3 71.05) (width 0.1524) (layer Sig1-L3) (net 214) (tstamp 51A3050F))
+  (segment (start 44.3 71.05) (end 44.525 71.275) (width 0.1524) (layer Sig1-L3) (net 214) (tstamp 51A30510))
+  (segment (start 44.525 71.275) (end 44.525 71.475) (width 0.1524) (layer Sig1-L3) (net 214) (tstamp 51A30512))
+  (segment (start 43.475 69.7) (end 43.475 70.7) (width 0.1524) (layer Sig1-L3) (net 214))
+  (segment (start 44.525 71.6) (end 43.95 72.175) (width 0.1524) (layer Sig1-L3) (net 214) (tstamp 51A3051E))
+  (segment (start 43.95 72.175) (end 43.95 72.475) (width 0.1524) (layer Sig1-L3) (net 214) (tstamp 51A3051F))
+  (segment (start 43.95 72.475) (end 44.2 72.725) (width 0.1524) (layer Sig1-L3) (net 214) (tstamp 51A30520))
+  (segment (start 44.2 72.725) (end 44.2 73.125) (width 0.1524) (layer Sig1-L3) (net 214) (tstamp 51A30521))
+  (segment (start 44.2 73.125) (end 43.85 73.475) (width 0.1524) (layer Sig1-L3) (net 214) (tstamp 51A30522))
+  (segment (start 43.85 73.475) (end 43.85 73.75) (width 0.1524) (layer Sig1-L3) (net 214) (tstamp 51A30524))
+  (segment (start 43.85 73.75) (end 44.2 74.1) (width 0.1524) (layer Sig1-L3) (net 214) (tstamp 51A30525))
+  (segment (start 44.2 74.1) (end 44.2 74.775) (width 0.1524) (layer Sig1-L3) (net 214) (tstamp 51A30526))
+  (segment (start 44.2 74.775) (end 43.775 75.2) (width 0.1524) (layer Sig1-L3) (net 214) (tstamp 51A30527))
+  (segment (start 43.775 75.2) (end 43.775 75.525) (width 0.1524) (layer Sig1-L3) (net 214) (tstamp 51A30528))
+  (segment (start 43.775 75.525) (end 44.2 75.95) (width 0.1524) (layer Sig1-L3) (net 214) (tstamp 51A30529))
+  (segment (start 44.2 75.95) (end 44.2 76.175) (width 0.1524) (layer Sig1-L3) (net 214) (tstamp 51A3052A))
+  (segment (start 44.525 71.475) (end 44.525 71.6) (width 0.1524) (layer Sig1-L3) (net 214))
+  (segment (start 45.875 81.3) (end 46.2 81.625) (width 0.1524) (layer TOP-L1) (net 214) (tstamp 51A3053B))
+  (segment (start 46.2 81.625) (end 46.425 81.625) (width 0.1524) (layer TOP-L1) (net 214) (tstamp 51A3053C))
+  (segment (start 46.425 81.625) (end 46.75 81.3) (width 0.1524) (layer TOP-L1) (net 214) (tstamp 51A3053E))
+  (segment (start 46.75 81.3) (end 46.95 81.3) (width 0.1524) (layer TOP-L1) (net 214) (tstamp 51A3053F))
+  (segment (start 44.775 81.3) (end 45.875 81.3) (width 0.1524) (layer TOP-L1) (net 214))
+  (segment (start 46.975 81.3) (end 47.4 81.725) (width 0.1524) (layer TOP-L1) (net 214) (tstamp 51A30546))
+  (segment (start 47.4 81.725) (end 48 81.725) (width 0.1524) (layer TOP-L1) (net 214) (tstamp 51A30547))
+  (segment (start 48 81.725) (end 48.525 81.2) (width 0.1524) (layer TOP-L1) (net 214) (tstamp 51A30548))
+  (segment (start 48.525 81.2) (end 48.75 81.2) (width 0.1524) (layer TOP-L1) (net 214) (tstamp 51A30549))
+  (segment (start 70.6 68.4) (end 70.2 68.8) (width 0.1524) (layer TOP-L1) (net 214))
+  (segment (start 69.8 69.2) (end 47.5 69.2) (width 0.1524) (layer Sig-L5) (net 214) (tstamp 51A304C1))
+  (segment (start 70.2 68.8) (end 69.8 69.2) (width 0.1524) (layer Sig-L5) (net 214) (tstamp 51A304C0))
+  (via (at 70.2 68.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 214))
+  (segment (start 65.125 63.75) (end 65.125 62.75) (width 0.1524) (layer Sig-L5) (net 215))
+  (segment (start 66.75 58.425) (end 67.25 58.925) (width 0.1524) (layer Sig-L5) (net 215) (tstamp 51AC4F07))
+  (segment (start 63.55 58.425) (end 66.75 58.425) (width 0.1524) (layer Sig-L5) (net 215) (tstamp 51AC4F06))
+  (segment (start 63.125 58.85) (end 63.55 58.425) (width 0.1524) (layer Sig-L5) (net 215) (tstamp 51AC4F05))
+  (segment (start 63.125 60.75) (end 63.125 58.85) (width 0.1524) (layer Sig-L5) (net 215) (tstamp 51AC4F03))
+  (segment (start 65.125 62.75) (end 63.125 60.75) (width 0.1524) (layer Sig-L5) (net 215) (tstamp 51AC4F01))
+  (segment (start 68.325 65.125) (end 67.3 64.1) (width 0.1524) (layer Sig-L5) (net 215))
+  (via (at 69.4 65.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 215))
+  (segment (start 69.4 65.6) (end 69.8 65.2) (width 0.1524) (layer TOP-L1) (net 215) (tstamp 519EF735))
+  (segment (start 68.325 65.125) (end 68.8 65.6) (width 0.1524) (layer Sig-L5) (net 215) (tstamp 51AC4B19))
+  (segment (start 68.8 65.6) (end 69.4 65.6) (width 0.1524) (layer Sig-L5) (net 215) (tstamp 51A2FD7A))
+  (segment (start 67.3 64.1) (end 67.3 58.975) (width 0.1524) (layer Sig-L5) (net 215) (tstamp 51AC4B1B))
+  (segment (start 67.3 58.975) (end 67.25 58.925) (width 0.1524) (layer Sig-L5) (net 215) (tstamp 51AC4B1C))
+  (segment (start 44.8 65.175) (end 44.8 65.075) (width 0.1524) (layer TOP-L1) (net 215))
+  (segment (start 51.65 65.6) (end 51 65.6) (width 0.1524) (layer TOP-L1) (net 215) (tstamp 519F5F26))
+  (segment (start 51 65.6) (end 46.925 65.6) (width 0.1524) (layer TOP-L1) (net 215))
+  (segment (start 51.6 62) (end 51.6 63.35) (width 0.1524) (layer TOP-L1) (net 215) (tstamp 519DB363))
+  (segment (start 51.6 63.35) (end 51.6 63.4) (width 0.1524) (layer TOP-L1) (net 215) (tstamp 519DD64F))
+  (segment (start 51.6 64.15) (end 51.35 64.4) (width 0.1524) (layer TOP-L1) (net 215) (tstamp 519F113F))
+  (segment (start 51.35 64.4) (end 51.02 64.4) (width 0.1524) (layer TOP-L1) (net 215) (tstamp 519F1140))
+  (segment (start 51.6 63.4) (end 51.6 64.15) (width 0.1524) (layer TOP-L1) (net 215))
+  (segment (start 51.02 64.4) (end 50.7 64.4) (width 0.1524) (layer TOP-L1) (net 215))
+  (segment (start 51.6 62) (end 52 61.6) (width 0.1524) (layer TOP-L1) (net 215))
+  (segment (start 46 64.875) (end 49.85 64.875) (width 0.1524) (layer TOP-L1) (net 215) (tstamp 51A04C98))
+  (segment (start 49.85 64.875) (end 50.325 64.4) (width 0.1524) (layer TOP-L1) (net 215) (tstamp 51A04C84))
+  (segment (start 50.325 64.4) (end 50.7 64.4) (width 0.1524) (layer TOP-L1) (net 215) (tstamp 51A04C86))
+  (segment (start 46.925 65.6) (end 46.45 65.6) (width 0.1524) (layer TOP-L1) (net 215))
+  (segment (start 46.025 64.875) (end 46 64.875) (width 0.1524) (layer TOP-L1) (net 215) (tstamp 51A86036))
+  (segment (start 46.45 65.6) (end 46.5 65.6) (width 0.1524) (layer TOP-L1) (net 215))
+  (segment (start 51.65 65.6) (end 52.925 65.6) (width 0.1524) (layer TOP-L1) (net 215) (tstamp 519F5F1F))
+  (segment (start 45.375 64.875) (end 46.025 64.875) (width 0.1524) (layer TOP-L1) (net 215) (tstamp 51A86333))
+  (segment (start 46.5 65.6) (end 46.275 65.6) (width 0.1524) (layer TOP-L1) (net 215))
+  (segment (start 46.275 65.6) (end 46.025 65.6) (width 0.1524) (layer TOP-L1) (net 215))
+  (segment (start 45.1 64.875) (end 45.375 64.875) (width 0.1524) (layer TOP-L1) (net 215) (tstamp 51A86351))
+  (segment (start 46.025 65.6) (end 45.5 65.6) (width 0.1524) (layer TOP-L1) (net 215))
+  (segment (start 45.5 65.6) (end 45.55 65.6) (width 0.1524) (layer TOP-L1) (net 215))
+  (segment (start 45 65.6) (end 44.8 65.4) (width 0.1524) (layer TOP-L1) (net 215) (tstamp 51A8634B))
+  (segment (start 44.8 65.4) (end 44.8 65.175) (width 0.1524) (layer TOP-L1) (net 215) (tstamp 51A8634C))
+  (segment (start 45.15 64.875) (end 45.1 64.875) (width 0.1524) (layer TOP-L1) (net 215) (tstamp 51A8635B))
+  (segment (start 45.55 65.6) (end 45 65.6) (width 0.1524) (layer TOP-L1) (net 215))
+  (segment (start 44.8 65.075) (end 45 64.875) (width 0.1524) (layer TOP-L1) (net 215) (tstamp 51A86357))
+  (segment (start 45 64.875) (end 45.15 64.875) (width 0.1524) (layer TOP-L1) (net 215) (tstamp 51A86358))
+  (segment (start 53.85 81.45) (end 53.5 81.1) (width 0.1524) (layer TOP-L1) (net 215))
+  (segment (start 53.85 81.45) (end 54 81.6) (width 0.1524) (layer TOP-L1) (net 215) (tstamp 51A33514))
+  (segment (start 52.65 79.3) (end 53.075 78.875) (width 0.1524) (layer Sig1-L3) (net 215) (tstamp 51A33521))
+  (segment (start 52.65 80.95) (end 52.65 79.3) (width 0.1524) (layer Sig1-L3) (net 215) (tstamp 51A33520))
+  (segment (start 52.8 81.1) (end 52.65 80.95) (width 0.1524) (layer Sig1-L3) (net 215) (tstamp 51A3351F))
+  (via (at 52.8 81.1) (size 0.4572) (layers TOP-L1 BOT-L6) (net 215))
+  (segment (start 53.5 81.1) (end 52.8 81.1) (width 0.1524) (layer TOP-L1) (net 215) (tstamp 51A33516))
+  (segment (start 52.9 65.6) (end 52.6 65.6) (width 0.1524) (layer Sig1-L3) (net 215))
+  (segment (start 52.075 74.675) (end 52.45 75.05) (width 0.1524) (layer Sig1-L3) (net 215) (tstamp 519F5F9E))
+  (segment (start 52.075 67.1) (end 52.075 74.675) (width 0.1524) (layer Sig1-L3) (net 215) (tstamp 519F5F9D))
+  (segment (start 52.25 66.925) (end 52.075 67.1) (width 0.1524) (layer Sig1-L3) (net 215) (tstamp 519F5F9C))
+  (segment (start 52.25 65.95) (end 52.25 66.925) (width 0.1524) (layer Sig1-L3) (net 215) (tstamp 519F5F9B))
+  (segment (start 52.6 65.6) (end 52.25 65.95) (width 0.1524) (layer Sig1-L3) (net 215) (tstamp 519F5F9A))
+  (segment (start 53.2 75.8) (end 53.4 75.8) (width 0.1524) (layer Sig1-L3) (net 215) (tstamp 51A02698))
+  (segment (start 53.4 75.8) (end 53.725 76.125) (width 0.1524) (layer Sig1-L3) (net 215) (tstamp 51A02699))
+  (segment (start 53.725 76.125) (end 53.725 76.675) (width 0.1524) (layer Sig1-L3) (net 215) (tstamp 51A0269A))
+  (segment (start 53.725 76.675) (end 53.325 77.075) (width 0.1524) (layer Sig1-L3) (net 215) (tstamp 51A0269C))
+  (segment (start 53.325 77.075) (end 53.325 78.625) (width 0.1524) (layer Sig1-L3) (net 215) (tstamp 51A0269F))
+  (segment (start 53.325 78.625) (end 53.075 78.875) (width 0.1524) (layer Sig1-L3) (net 215) (tstamp 51A026A0))
+  (segment (start 52.45 75.05) (end 53.2 75.8) (width 0.1524) (layer Sig1-L3) (net 215))
+  (segment (start 54.375 65.6) (end 54.475 65.7) (width 0.1524) (layer Sig-L5) (net 215) (tstamp 51A2FD57))
+  (segment (start 54.475 65.7) (end 64.325 65.7) (width 0.1524) (layer Sig-L5) (net 215) (tstamp 51A2FD5A))
+  (segment (start 64.325 65.7) (end 65.125 64.9) (width 0.1524) (layer Sig-L5) (net 215) (tstamp 51A2FD5B))
+  (segment (start 65.125 64.9) (end 65.125 63.75) (width 0.1524) (layer Sig-L5) (net 215) (tstamp 51A2FD61))
+  (via (at 52.875 65.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 215))
+  (segment (start 52.875 65.6) (end 54.375 65.6) (width 0.1524) (layer Sig-L5) (net 215))
+  (segment (start 48.81 80.235) (end 48.115 79.54) (width 0.1524) (layer Sig1-L3) (net 216))
+  (segment (start 47.09 79.95) (end 47.09 79.953842) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A32B98))
+  (segment (start 47.5 79.54) (end 47.09 79.95) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A32B96))
+  (segment (start 48.115 79.54) (end 47.5 79.54) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A32B95))
+  (segment (start 48.81 80.235) (end 49.125 80.55) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A32B93))
+  (segment (start 47.09 79.953842) (end 46.875 80.168842) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A32B99))
+  (segment (start 49.125 80.55) (end 49.4 80.55) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A32B68))
+  (segment (start 46.875 80.168842) (end 46.875 80.4) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A32B18))
+  (segment (start 46.875 80.4) (end 46.875 81.975) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A32B1C))
+  (segment (start 49.475 80.175) (end 49.275 79.975) (width 0.1524) (layer Sig1-L3) (net 216))
+  (segment (start 49.475 80.175) (end 51.125 80.175) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A32B41))
+  (segment (start 51.125 80.175) (end 51.325 80.375) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A32B03))
+  (segment (start 51.325 80.375) (end 51.325 80.725) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A32B04))
+  (segment (start 51.325 80.725) (end 51.05 81) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A32B05))
+  (segment (start 51.05 81) (end 50.15 81) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A32B06))
+  (segment (start 50.15 81) (end 49.7 80.55) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A32B0A))
+  (segment (start 49.7 80.55) (end 49.4 80.55) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A32B0D))
+  (segment (start 51.375 79.45) (end 49.825 79.45) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A32AF7))
+  (segment (start 51.675 79.15) (end 51.375 79.45) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A32AF6))
+  (segment (start 51.675 78.875) (end 51.675 79.15) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A32AF5))
+  (segment (start 51.375 78.575) (end 51.675 78.875) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A32AF4))
+  (segment (start 49 78.575) (end 51.375 78.575) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A32AF1))
+  (segment (start 48.8 78.375) (end 49 78.575) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A32AF0))
+  (segment (start 48.8 78.15) (end 48.8 78.375) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A32AEF))
+  (segment (start 49.05 77.9) (end 48.8 78.15) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A32AEE))
+  (segment (start 50.55 77.9) (end 49.05 77.9) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A32AE8))
+  (segment (start 50.65 77.8) (end 50.55 77.9) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A32AE7))
+  (segment (start 50.65 77.425) (end 50.65 77.8) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A32ADA))
+  (segment (start 50.375 77.15) (end 50.65 77.425) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A32AD9))
+  (segment (start 49.975 77.15) (end 50.375 77.15) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A32AD7))
+  (segment (start 49.5 76.675) (end 49.975 77.15) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A32AD6))
+  (segment (start 50.2 74.875) (end 50.2 75.425) (width 0.1524) (layer Sig1-L3) (net 216))
+  (segment (start 49.5 76.125) (end 49.5 76.375) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A053E8))
+  (segment (start 50.2 75.425) (end 49.5 76.125) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A053E7))
+  (segment (start 49.775 72) (end 49.625 72) (width 0.1524) (layer Sig1-L3) (net 216))
+  (segment (start 50.2 74.775) (end 50.2 74.875) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A01ABC))
+  (segment (start 49.825 74.4) (end 50.2 74.775) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A01AB6))
+  (segment (start 49.825 73.15) (end 49.825 74.4) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A01AB5))
+  (segment (start 49.375 72.7) (end 49.825 73.15) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A01AB4))
+  (segment (start 49.375 72.25) (end 49.375 72.7) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A01AB3))
+  (segment (start 49.625 72) (end 49.375 72.25) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A01AB2))
+  (segment (start 49.5 76.375) (end 49.5 76.675) (width 0.1524) (layer Sig1-L3) (net 216))
+  (segment (start 49.275 79.975) (end 49.275 79.75) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A32B43))
+  (segment (start 49.275 79.75) (end 49.575 79.45) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A32B44))
+  (segment (start 49.575 79.45) (end 49.825 79.45) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A32B45))
+  (segment (start 47.9 82.1) (end 49.475 82.1) (width 0.1524) (layer TOP-L1) (net 216))
+  (segment (start 49.575 82) (end 49.8 82) (width 0.1524) (layer TOP-L1) (net 216) (tstamp 51A05FF8))
+  (segment (start 49.475 82.1) (end 49.575 82) (width 0.1524) (layer TOP-L1) (net 216) (tstamp 51A05FF7))
+  (via (at 47 82.1) (size 0.4572) (layers TOP-L1 BOT-L6) (net 216))
+  (segment (start 46.875 81.975) (end 47 82.1) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A01AE1))
+  (segment (start 50.4 82) (end 49.8 82) (width 0.1524) (layer TOP-L1) (net 216) (tstamp 519DC08A))
+  (segment (start 50.8 81.6) (end 50.4 82) (width 0.1524) (layer TOP-L1) (net 216))
+  (segment (start 47.9 82.1) (end 47 82.1) (width 0.1524) (layer TOP-L1) (net 216) (tstamp 51A05FF5))
+  (segment (start 48.925 59.175) (end 48.925 60.9) (width 0.1524) (layer Sig1-L3) (net 216))
+  (segment (start 46.95 60.875) (end 46.7 61.125) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A32977))
+  (segment (start 47.75 60.875) (end 46.95 60.875) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A32976))
+  (segment (start 48.15 61.275) (end 47.75 60.875) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A32975))
+  (segment (start 48.55 61.275) (end 48.15 61.275) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A32973))
+  (segment (start 48.925 60.9) (end 48.55 61.275) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A3296F))
+  (segment (start 46.45 63.1) (end 46.025 62.675) (width 0.1524) (layer Sig1-L3) (net 216))
+  (segment (start 47.225 63.175) (end 48.2 62.2) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A32952))
+  (segment (start 47.025 63.175) (end 47.225 63.175) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A3294F))
+  (segment (start 46.5 62.65) (end 47.025 63.175) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A3294D))
+  (segment (start 46.5 61.325) (end 46.5 62.65) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A3294B))
+  (segment (start 46.7 61.125) (end 46.5 61.325) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A3297A))
+  (segment (start 48.925 58.825) (end 48.925 59.175) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A32937))
+  (segment (start 48.675 58.575) (end 48.925 58.825) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A32935))
+  (segment (start 48.425 58.575) (end 48.675 58.575) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A32933))
+  (segment (start 48.3 58.7) (end 48.425 58.575) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A32931))
+  (segment (start 48.3 59.5) (end 48.3 58.7) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A32930))
+  (segment (start 47.95 59.85) (end 48.3 59.5) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A3292E))
+  (segment (start 47.4 59.85) (end 47.95 59.85) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A3292D))
+  (segment (start 47.05 59.5) (end 47.4 59.85) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A3292C))
+  (segment (start 47.05 58.725) (end 47.05 59.5) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A3292A))
+  (segment (start 46.975 58.65) (end 47.05 58.725) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A32929))
+  (segment (start 46.4 58.65) (end 46.975 58.65) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A32928))
+  (segment (start 46.3 58.75) (end 46.4 58.65) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A32925))
+  (segment (start 46.3 59.85) (end 46.3 58.75) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A32924))
+  (segment (start 46.675 60.225) (end 46.3 59.85) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A32921))
+  (segment (start 46.675 60.6) (end 46.675 60.225) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A3291F))
+  (segment (start 46.025 61.25) (end 46.675 60.6) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A3291C))
+  (segment (start 46.025 62.675) (end 46.025 61.25) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A3291B))
+  (segment (start 47.6 64.25) (end 46.45 63.1) (width 0.1524) (layer Sig1-L3) (net 216))
+  (segment (start 48.2 62.2) (end 48.175 62.225) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A32957))
+  (segment (start 49.8 68.325) (end 49.8 68.05) (width 0.1524) (layer Sig1-L3) (net 216))
+  (segment (start 48.8 61.6) (end 48.425 61.975) (width 0.1524) (layer TOP-L1) (net 216))
+  (segment (start 49.775 72) (end 49.4 71.625) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A01AB0))
+  (segment (start 49.4 71.625) (end 49.4 69.25) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A01A72))
+  (segment (start 49.4 69.25) (end 49.8 68.85) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A01A73))
+  (segment (start 49.8 68.85) (end 49.8 68.325) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A01A77))
+  (segment (start 49.45 65.85) (end 48.075 64.475) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A15AE6))
+  (segment (start 48.075 64.475) (end 47.825 64.475) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A01A8A))
+  (segment (start 47.825 64.475) (end 47.6 64.25) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A01A8B))
+  (segment (start 48.175 62.225) (end 48.425 61.975) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A30BA0))
+  (via (at 48.425 61.975) (size 0.4572) (layers TOP-L1 BOT-L6) (net 216))
+  (segment (start 49.675 67.925) (end 49.675 66.075) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A15AE1))
+  (segment (start 49.675 66.075) (end 49.45 65.85) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A15AE2))
+  (segment (start 49.8 68.05) (end 49.675 67.925) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A15AE0))
+  (segment (start 49.775 72) (end 69.4 72) (width 0.1524) (layer Sig-L5) (net 216))
+  (via (at 49.775 72) (size 0.4572) (layers TOP-L1 BOT-L6) (net 216))
+  (segment (start 69.4 72) (end 69.8 71.6) (width 0.1524) (layer TOP-L1) (net 216) (tstamp 51A30AD9))
+  (via (at 69.4 72) (size 0.4572) (layers TOP-L1 BOT-L6) (net 216))
+  (segment (start 49.775 72) (end 49.775 72) (width 0.1524) (layer Sig1-L3) (net 216) (tstamp 51A01A71))
+  (segment (start 49.725 60.925) (end 50.4 61.6) (width 0.1524) (layer TOP-L1) (net 217) (tstamp 51A05CE8))
+  (segment (start 47.675 80.925) (end 44.95 80.925) (width 0.1524) (layer TOP-L1) (net 217))
+  (segment (start 44.9 80.875) (end 44.6 80.875) (width 0.1524) (layer TOP-L1) (net 217) (tstamp 51A15720))
+  (segment (start 44.95 80.925) (end 44.9 80.875) (width 0.1524) (layer TOP-L1) (net 217) (tstamp 51A1571F))
+  (segment (start 48.675 80.925) (end 47.675 80.925) (width 0.1524) (layer TOP-L1) (net 217))
+  (segment (start 52.4 81.6) (end 52 81.2) (width 0.1524) (layer TOP-L1) (net 217))
+  (segment (start 48.725 80.925) (end 48.675 80.925) (width 0.1524) (layer TOP-L1) (net 217))
+  (segment (start 44.6 80.875) (end 43.625 80.875) (width 0.1524) (layer TOP-L1) (net 217) (tstamp 51A15723))
+  (segment (start 49.275 80.925) (end 48.725 80.925) (width 0.1524) (layer TOP-L1) (net 217))
+  (segment (start 51.725 80.925) (end 52 81.2) (width 0.1524) (layer TOP-L1) (net 217) (tstamp 519DC1A1))
+  (segment (start 49.275 80.925) (end 51.725 80.925) (width 0.1524) (layer TOP-L1) (net 217) (tstamp 519DDB54))
+  (segment (start 47.55 60.925) (end 46.825 60.925) (width 0.1524) (layer TOP-L1) (net 217))
+  (segment (start 46.725 61.025) (end 42.05 61.025) (width 0.1524) (layer TOP-L1) (net 217) (tstamp 51A15921))
+  (segment (start 46.825 60.925) (end 46.725 61.025) (width 0.1524) (layer TOP-L1) (net 217) (tstamp 51A15920))
+  (segment (start 47.55 60.925) (end 49.725 60.925) (width 0.1524) (layer TOP-L1) (net 217) (tstamp 51A1591E))
+  (segment (start 43.275 80.875) (end 43.625 80.875) (width 0.1524) (layer TOP-L1) (net 217) (tstamp 51A01501))
+  (segment (start 41.2 61.025) (end 42.05 61.025) (width 0.1524) (layer TOP-L1) (net 217) (tstamp 51A30334))
+  (segment (start 40.6 60.425) (end 41.2 61.025) (width 0.1524) (layer TOP-L1) (net 217) (tstamp 51A30333))
+  (segment (start 42.9 80.875) (end 43.275 80.875) (width 0.1524) (layer TOP-L1) (net 217) (tstamp 51A01580))
+  (segment (start 42.7 77.05) (end 42.7 79.8) (width 0.1524) (layer Sig1-L3) (net 217))
+  (segment (start 42.4 80.375) (end 42.9 80.875) (width 0.1524) (layer Sig1-L3) (net 217) (tstamp 51A04B99))
+  (segment (start 42.4 80.1) (end 42.4 80.375) (width 0.1524) (layer Sig1-L3) (net 217) (tstamp 51A04B97))
+  (segment (start 42.7 79.8) (end 42.4 80.1) (width 0.1524) (layer Sig1-L3) (net 217) (tstamp 51A04B96))
+  (segment (start 42.7 76.65) (end 42.7 77.05) (width 0.1524) (layer Sig1-L3) (net 217))
+  (via (at 42.9 80.875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 217))
+  (segment (start 42.7 75.25) (end 42.7 76.65) (width 0.1524) (layer Sig1-L3) (net 217))
+  (segment (start 42.7 68.325) (end 42.7 75.25) (width 0.1524) (layer Sig1-L3) (net 217) (tstamp 519F4AD7))
+  (segment (start 69.4 68) (end 69.8 67.6) (width 0.1524) (layer TOP-L1) (net 217) (tstamp 51A302F8))
+  (via (at 69.4 68) (size 0.4572) (layers TOP-L1 BOT-L6) (net 217))
+  (segment (start 43.025 68) (end 69.4 68) (width 0.1524) (layer Sig-L5) (net 217))
+  (via (at 43.025 68) (size 0.4572) (layers TOP-L1 BOT-L6) (net 217))
+  (segment (start 43.025 68) (end 42.7 68.325) (width 0.1524) (layer Sig1-L3) (net 217) (tstamp 519F4AD6))
+  (segment (start 42.725 68) (end 40 68) (width 0.1524) (layer TOP-L1) (net 217))
+  (segment (start 43.025 68) (end 42.725 68) (width 0.1524) (layer TOP-L1) (net 217))
+  (segment (start 40 68) (end 38.575 66.575) (width 0.1524) (layer TOP-L1) (net 217) (tstamp 51A30319))
+  (segment (start 38.575 66.575) (end 38.575 61.95) (width 0.1524) (layer TOP-L1) (net 217) (tstamp 51A3031C))
+  (segment (start 38.575 61.95) (end 38.575 60.95) (width 0.1524) (layer TOP-L1) (net 217))
+  (segment (start 39.1 60.425) (end 40.6 60.425) (width 0.1524) (layer TOP-L1) (net 217) (tstamp 51A30332))
+  (segment (start 38.575 60.95) (end 39.1 60.425) (width 0.1524) (layer TOP-L1) (net 217) (tstamp 51A30331))
+  (segment (start 43 56.475) (end 43 56.425) (width 0.1524) (layer Sig1-L3) (net 218))
+  (segment (start 42.475 56.375) (end 42.475 56.7) (width 0.1524) (layer Sig1-L3) (net 218) (tstamp 51A32D9F))
+  (segment (start 42.6 56.25) (end 42.475 56.375) (width 0.1524) (layer Sig1-L3) (net 218) (tstamp 51A32D9E))
+  (segment (start 42.825 56.25) (end 42.6 56.25) (width 0.1524) (layer Sig1-L3) (net 218) (tstamp 51A32D9D))
+  (segment (start 43 56.425) (end 42.825 56.25) (width 0.1524) (layer Sig1-L3) (net 218) (tstamp 51A32D9C))
+  (segment (start 43.15 57.675) (end 42.475 57) (width 0.1524) (layer Sig1-L3) (net 218))
+  (segment (start 42.475 57) (end 42.475 56.7) (width 0.1524) (layer Sig1-L3) (net 218) (tstamp 51A32D5E))
+  (segment (start 42.875 58.81) (end 42.875 59.265) (width 0.1524) (layer Sig1-L3) (net 218))
+  (segment (start 43.02 62.52) (end 43.2 62.7) (width 0.1524) (layer Sig1-L3) (net 218) (tstamp 51A158A9))
+  (segment (start 43.02 60.92) (end 43.02 62.52) (width 0.1524) (layer Sig1-L3) (net 218) (tstamp 51A158A8))
+  (segment (start 43.48 60.46) (end 43.02 60.92) (width 0.1524) (layer Sig1-L3) (net 218) (tstamp 51A158A7))
+  (segment (start 43.48 59.87) (end 43.48 60.46) (width 0.1524) (layer Sig1-L3) (net 218) (tstamp 51A158A6))
+  (segment (start 42.875 59.265) (end 43.48 59.87) (width 0.1524) (layer Sig1-L3) (net 218) (tstamp 51A158A5))
+  (segment (start 45.4 71.95) (end 45.4 71.275) (width 0.1524) (layer Sig1-L3) (net 218))
+  (segment (start 43.3 63.125) (end 43.3 62.8) (width 0.1524) (layer Sig1-L3) (net 218))
+  (segment (start 43.3 62.8) (end 43.2 62.7) (width 0.1524) (layer Sig1-L3) (net 218) (tstamp 51A04D68))
+  (segment (start 43.3 63.9) (end 43.3 63.125) (width 0.1524) (layer Sig1-L3) (net 218) (tstamp 51A04D3F))
+  (segment (start 44.875 65.475) (end 43.3 63.9) (width 0.1524) (layer Sig1-L3) (net 218) (tstamp 51A04D3A))
+  (segment (start 44.875 67.325) (end 44.875 65.475) (width 0.1524) (layer Sig1-L3) (net 218) (tstamp 51A04D38))
+  (segment (start 45.35 67.8) (end 44.875 67.325) (width 0.1524) (layer Sig1-L3) (net 218) (tstamp 51A04D37))
+  (segment (start 45.35 69.5) (end 45.35 67.8) (width 0.1524) (layer Sig1-L3) (net 218) (tstamp 51A04D33))
+  (segment (start 45.95 70.1) (end 45.35 69.5) (width 0.1524) (layer Sig1-L3) (net 218) (tstamp 51A04D31))
+  (segment (start 45.95 70.575) (end 45.95 70.1) (width 0.1524) (layer Sig1-L3) (net 218) (tstamp 51A05DDD))
+  (segment (start 45.4 72.525) (end 45.4 71.95) (width 0.1524) (layer Sig1-L3) (net 218) (tstamp 51A04D2C))
+  (segment (start 45.675 72.8) (end 45.4 72.525) (width 0.1524) (layer Sig1-L3) (net 218) (tstamp 51A04D2B))
+  (segment (start 45.4 71.275) (end 45.95 70.725) (width 0.1524) (layer Sig1-L3) (net 218) (tstamp 51A05DD9))
+  (segment (start 45.95 70.725) (end 45.95 70.575) (width 0.1524) (layer Sig1-L3) (net 218) (tstamp 51A05DDA))
+  (segment (start 42.875 58.625) (end 43.325 58.175) (width 0.1524) (layer Sig1-L3) (net 218) (tstamp 51A05628))
+  (segment (start 43.325 58.175) (end 43.325 57.85) (width 0.1524) (layer Sig1-L3) (net 218) (tstamp 51A05629))
+  (segment (start 43.325 57.85) (end 43.15 57.675) (width 0.1524) (layer Sig1-L3) (net 218) (tstamp 51A0562A))
+  (segment (start 42.875 58.81) (end 42.875 58.625) (width 0.1524) (layer Sig1-L3) (net 218) (tstamp 51A158A3))
+  (segment (start 44 57.625) (end 44.25 57.625) (width 0.1524) (layer TOP-L1) (net 218) (tstamp 51A32D77))
+  (segment (start 43.275 56.9) (end 44 57.625) (width 0.1524) (layer TOP-L1) (net 218) (tstamp 51A32D76))
+  (via (at 43.275 56.9) (size 0.4572) (layers TOP-L1 BOT-L6) (net 218))
+  (segment (start 43 56.625) (end 43.275 56.9) (width 0.1524) (layer Sig1-L3) (net 218) (tstamp 51A32D70))
+  (segment (start 43 56.475) (end 43 56.625) (width 0.1524) (layer Sig1-L3) (net 218) (tstamp 51A32D9A))
+  (segment (start 38.725 72.625) (end 38.725 71.7) (width 0.1524) (layer TOP-L1) (net 218))
+  (segment (start 40.55 72.25) (end 40.825 72.25) (width 0.1524) (layer TOP-L1) (net 218) (tstamp 51A32CCA))
+  (segment (start 39.65 71.35) (end 40.55 72.25) (width 0.1524) (layer TOP-L1) (net 218) (tstamp 51A32CC9))
+  (segment (start 39.075 71.35) (end 39.65 71.35) (width 0.1524) (layer TOP-L1) (net 218) (tstamp 51A32CC8))
+  (segment (start 38.725 71.7) (end 39.075 71.35) (width 0.1524) (layer TOP-L1) (net 218) (tstamp 51A32CC7))
+  (segment (start 38.725 72.825) (end 38.725 72.625) (width 0.1524) (layer TOP-L1) (net 218))
+  (segment (start 41.55 71.625) (end 41.75 71.625) (width 0.1524) (layer TOP-L1) (net 218) (tstamp 51A32CB1))
+  (segment (start 40.925 72.25) (end 41.55 71.625) (width 0.1524) (layer TOP-L1) (net 218) (tstamp 51A32CB0))
+  (segment (start 40.825 72.25) (end 40.925 72.25) (width 0.1524) (layer TOP-L1) (net 218) (tstamp 51A32CCD))
+  (segment (start 38.725 73.625) (end 38.725 72.825) (width 0.1524) (layer TOP-L1) (net 218))
+  (segment (start 42.6 72.25) (end 42.9 72.25) (width 0.1524) (layer TOP-L1) (net 218) (tstamp 51A32CA0))
+  (segment (start 41.975 71.625) (end 42.6 72.25) (width 0.1524) (layer TOP-L1) (net 218) (tstamp 51A32C9F))
+  (segment (start 41.75 71.625) (end 41.975 71.625) (width 0.1524) (layer TOP-L1) (net 218) (tstamp 51A32CB4))
+  (segment (start 38.725 73.9) (end 38.725 77.25) (width 0.1524) (layer TOP-L1) (net 218))
+  (segment (start 39.35 77.875) (end 40.15 77.875) (width 0.1524) (layer TOP-L1) (net 218) (tstamp 51A32C90))
+  (segment (start 38.725 77.25) (end 39.35 77.875) (width 0.1524) (layer TOP-L1) (net 218) (tstamp 51A32C8E))
+  (segment (start 45.675 72.8) (end 69.4 72.8) (width 0.1524) (layer Sig-L5) (net 218))
+  (segment (start 69.4 72.8) (end 69.8 72.4) (width 0.1524) (layer TOP-L1) (net 218) (tstamp 51A32C6B))
+  (via (at 69.4 72.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 218))
+  (segment (start 45.175 72.8) (end 44.925 72.8) (width 0.1524) (layer TOP-L1) (net 218))
+  (segment (start 45.675 72.8) (end 45.175 72.8) (width 0.1524) (layer TOP-L1) (net 218))
+  (segment (start 44.925 72.8) (end 44.375 72.25) (width 0.1524) (layer TOP-L1) (net 218) (tstamp 51A2B20C))
+  (segment (start 44.375 72.25) (end 42.9 72.25) (width 0.1524) (layer TOP-L1) (net 218) (tstamp 51A2B20D))
+  (segment (start 40.15 77.875) (end 40.875 77.875) (width 0.1524) (layer TOP-L1) (net 218) (tstamp 51A32C94))
+  (segment (start 38.725 73.625) (end 38.725 73.9) (width 0.1524) (layer TOP-L1) (net 218) (tstamp 51A32C99))
+  (segment (start 44.6 57.45) (end 44.81 57.24) (width 0.1524) (layer TOP-L1) (net 218))
+  (segment (start 44.425 57.625) (end 44.25 57.625) (width 0.1524) (layer TOP-L1) (net 218) (tstamp 51A05642))
+  (segment (start 44.6 57.45) (end 44.425 57.625) (width 0.1524) (layer TOP-L1) (net 218) (tstamp 51A154BB))
+  (segment (start 46.4 57.525) (end 45.75 57.525) (width 0.1524) (layer TOP-L1) (net 218))
+  (segment (start 45.75 57.525) (end 45.6 57.375) (width 0.1524) (layer TOP-L1) (net 218) (tstamp 51A0563F))
+  (segment (start 46.4 57.525) (end 46.7 57.525) (width 0.1524) (layer TOP-L1) (net 218) (tstamp 51A0563D))
+  (segment (start 48 58.4) (end 47.725 58.4) (width 0.1524) (layer TOP-L1) (net 218))
+  (segment (start 46.7 57.525) (end 47.575 58.4) (width 0.1524) (layer TOP-L1) (net 218) (tstamp 519DB643))
+  (segment (start 47.575 58.4) (end 47.725 58.4) (width 0.1524) (layer TOP-L1) (net 218) (tstamp 519DB645))
+  (segment (start 44.81 57.24) (end 45.45 57.24) (width 0.1524) (layer TOP-L1) (net 218) (tstamp 51A154BD))
+  (segment (start 45.45 57.24) (end 45.6 57.39) (width 0.1524) (layer TOP-L1) (net 218) (tstamp 51A154BE))
+  (segment (start 45.6 57.39) (end 45.6 57.375) (width 0.1524) (layer TOP-L1) (net 218) (tstamp 51A154C1))
+  (via (at 45.675 72.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 218))
+  (segment (start 49.425 78.4) (end 50 78.4) (width 0.1524) (layer TOP-L1) (net 218) (tstamp 51A05710))
+  (segment (start 48.8 77.775) (end 49.425 78.4) (width 0.1524) (layer TOP-L1) (net 218) (tstamp 51A0570E))
+  (segment (start 47.05 77.775) (end 48.8 77.775) (width 0.1524) (layer TOP-L1) (net 218) (tstamp 51A0570B))
+  (segment (start 46.725 77.45) (end 47.05 77.775) (width 0.1524) (layer TOP-L1) (net 218) (tstamp 51A05708))
+  (segment (start 43.15 77.45) (end 46.725 77.45) (width 0.1524) (layer TOP-L1) (net 218) (tstamp 51A05707))
+  (segment (start 42.725 77.875) (end 43.15 77.45) (width 0.1524) (layer TOP-L1) (net 218) (tstamp 51A05705))
+  (segment (start 40.875 77.875) (end 42.725 77.875) (width 0.1524) (layer TOP-L1) (net 218) (tstamp 51A2B226))
+  (segment (start 44.075 82.45) (end 44.075 82.675) (width 0.1524) (layer Sig1-L3) (net 219))
+  (segment (start 45.5 82.3) (end 45.8 82.3) (width 0.1524) (layer Sig1-L3) (net 219) (tstamp 51A86CC1))
+  (segment (start 44.825 82.975) (end 45.5 82.3) (width 0.1524) (layer Sig1-L3) (net 219) (tstamp 51A86CBE))
+  (segment (start 44.375 82.975) (end 44.825 82.975) (width 0.1524) (layer Sig1-L3) (net 219) (tstamp 51A86CBD))
+  (segment (start 44.075 82.675) (end 44.375 82.975) (width 0.1524) (layer Sig1-L3) (net 219) (tstamp 51A86CBC))
+  (segment (start 46.25 82.775) (end 46.25 83.15) (width 0.1524) (layer Sig1-L3) (net 219))
+  (segment (start 48.5 83.075) (end 48.45 83.075) (width 0.1524) (layer Sig1-L3) (net 219) (tstamp 51A86CAF))
+  (segment (start 47.925 83.65) (end 48.5 83.075) (width 0.1524) (layer Sig1-L3) (net 219) (tstamp 51A86CAC))
+  (segment (start 46.75 83.65) (end 47.925 83.65) (width 0.1524) (layer Sig1-L3) (net 219) (tstamp 51A86CAB))
+  (segment (start 46.25 83.15) (end 46.75 83.65) (width 0.1524) (layer Sig1-L3) (net 219) (tstamp 51A86CAA))
+  (segment (start 45.125 81.99) (end 44.41 81.99) (width 0.1524) (layer Sig1-L3) (net 219))
+  (segment (start 48.45 83.075) (end 48.45 83.13) (width 0.1524) (layer Sig1-L3) (net 219) (tstamp 51A86CB0))
+  (segment (start 46.25 82.575) (end 46.25 82.775) (width 0.1524) (layer Sig1-L3) (net 219) (tstamp 51A86C8A))
+  (segment (start 45.975 82.3) (end 46.25 82.575) (width 0.1524) (layer Sig1-L3) (net 219) (tstamp 51A86C89))
+  (segment (start 45.8 82.3) (end 45.975 82.3) (width 0.1524) (layer Sig1-L3) (net 219) (tstamp 51A86CC4))
+  (segment (start 44.075 82.325) (end 44.075 82.45) (width 0.1524) (layer Sig1-L3) (net 219) (tstamp 51A86C80))
+  (segment (start 44.41 81.99) (end 44.075 82.325) (width 0.1524) (layer Sig1-L3) (net 219) (tstamp 51A86C7F))
+  (segment (start 45.94 77.02) (end 45.94 77.11) (width 0.1524) (layer Sig1-L3) (net 219) (tstamp 51A30485))
+  (segment (start 46.31 76.65) (end 45.94 77.02) (width 0.1524) (layer Sig1-L3) (net 219) (tstamp 51A30484))
+  (segment (start 46.31 76.07) (end 46.31 76.65) (width 0.1524) (layer Sig1-L3) (net 219) (tstamp 51A30483))
+  (segment (start 48.33 81.72) (end 48.2 81.85) (width 0.1524) (layer Sig1-L3) (net 219))
+  (segment (start 48.6 82.98) (end 48.45 83.13) (width 0.1524) (layer Sig1-L3) (net 219) (tstamp 51A2A335))
+  (segment (start 48.6 82.56) (end 48.6 82.98) (width 0.1524) (layer Sig1-L3) (net 219) (tstamp 51A2A331))
+  (segment (start 48.2 82.16) (end 48.6 82.56) (width 0.1524) (layer Sig1-L3) (net 219) (tstamp 51A2A32D))
+  (segment (start 48.2 81.85) (end 48.2 82.16) (width 0.1524) (layer Sig1-L3) (net 219) (tstamp 51A2A32C))
+  (segment (start 48.56 81.6) (end 48.45 81.6) (width 0.1524) (layer Sig1-L3) (net 219))
+  (segment (start 48.45 81.6) (end 48.33 81.72) (width 0.1524) (layer Sig1-L3) (net 219) (tstamp 51A2A312))
+  (segment (start 48.56 81.6) (end 48.85 81.6) (width 0.1524) (layer Sig1-L3) (net 219) (tstamp 51A2A310))
+  (via (at 48.85 81.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 219))
+  (segment (start 45.585 81.99) (end 45.125 81.99) (width 0.1524) (layer Sig1-L3) (net 219) (tstamp 51A0602E))
+  (segment (start 45.9 81.675) (end 45.585 81.99) (width 0.1524) (layer Sig1-L3) (net 219) (tstamp 51A15776))
+  (segment (start 46.625 80.95) (end 45.9 81.675) (width 0.1524) (layer Sig1-L3) (net 219) (tstamp 51A15772))
+  (segment (start 46.625 79.3) (end 46.625 80.95) (width 0.1524) (layer Sig1-L3) (net 219) (tstamp 51A2A305))
+  (segment (start 45.94 77.11) (end 45.94 77.94) (width 0.1524) (layer Sig1-L3) (net 219) (tstamp 51A30489))
+  (segment (start 45.94 77.94) (end 46.62 78.62) (width 0.1524) (layer Sig1-L3) (net 219) (tstamp 51A2A2F9))
+  (segment (start 46.62 78.62) (end 46.62 79.3) (width 0.1524) (layer Sig1-L3) (net 219) (tstamp 51A2A301))
+  (segment (start 46.62 79.3) (end 46.625 79.3) (width 0.1524) (layer Sig1-L3) (net 219) (tstamp 51A2A304))
+  (segment (start 45.94 75.7) (end 46.31 76.07) (width 0.1524) (layer Sig1-L3) (net 219) (tstamp 51A30482))
+  (segment (start 44.45 56.5) (end 44.45 56.35) (width 0.1524) (layer Sig1-L3) (net 219))
+  (segment (start 44.175 58.725) (end 44.17 58.725) (width 0.1524) (layer Sig1-L3) (net 219) (tstamp 51A32D39))
+  (segment (start 44.175 58.525) (end 44.175 58.725) (width 0.1524) (layer Sig1-L3) (net 219) (tstamp 51A32D37))
+  (segment (start 44 58.35) (end 44.175 58.525) (width 0.1524) (layer Sig1-L3) (net 219) (tstamp 51A32D33))
+  (segment (start 44 56.275) (end 44 58.35) (width 0.1524) (layer Sig1-L3) (net 219) (tstamp 51A32D30))
+  (segment (start 43.575 55.85) (end 44 56.275) (width 0.1524) (layer Sig1-L3) (net 219) (tstamp 51A32D2E))
+  (segment (start 43.575 55.4) (end 43.575 55.85) (width 0.1524) (layer Sig1-L3) (net 219) (tstamp 51A32D2D))
+  (segment (start 43.825 55.15) (end 43.575 55.4) (width 0.1524) (layer Sig1-L3) (net 219) (tstamp 51A32D2C))
+  (segment (start 44.65 55.15) (end 43.825 55.15) (width 0.1524) (layer Sig1-L3) (net 219) (tstamp 51A32D2B))
+  (segment (start 44.925 55.425) (end 44.65 55.15) (width 0.1524) (layer Sig1-L3) (net 219) (tstamp 51A32D2A))
+  (segment (start 44.925 55.875) (end 44.925 55.425) (width 0.1524) (layer Sig1-L3) (net 219) (tstamp 51A32D29))
+  (segment (start 44.45 56.35) (end 44.925 55.875) (width 0.1524) (layer Sig1-L3) (net 219) (tstamp 51A32D28))
+  (segment (start 44.45 57.075) (end 44.45 56.5) (width 0.1524) (layer Sig1-L3) (net 219))
+  (segment (start 45.94 75.15) (end 45.94 75.7) (width 0.1524) (layer Sig1-L3) (net 219))
+  (segment (start 46.9 68.8) (end 69.4 68.8) (width 0.1524) (layer Sig-L5) (net 219))
+  (via (at 46.9 68.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 219))
+  (segment (start 69.4 68.8) (end 69.8 68.4) (width 0.1524) (layer TOP-L1) (net 219) (tstamp 51A30426))
+  (via (at 69.4 68.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 219))
+  (segment (start 48.85 81.6) (end 50 81.6) (width 0.1524) (layer TOP-L1) (net 219) (tstamp 51A05FF1))
+  (segment (start 46.95 70.93) (end 46.95 71.61) (width 0.1524) (layer Sig1-L3) (net 219))
+  (segment (start 46.875 68.8) (end 46.575 69.1) (width 0.1524) (layer Sig1-L3) (net 219) (tstamp 51A05FC1))
+  (segment (start 46.575 69.1) (end 46.575 69.275) (width 0.1524) (layer Sig1-L3) (net 219) (tstamp 51A05FC3))
+  (segment (start 46.575 69.275) (end 46.95 69.65) (width 0.1524) (layer Sig1-L3) (net 219) (tstamp 51A05FC4))
+  (segment (start 46.95 69.65) (end 46.95 70.93) (width 0.1524) (layer Sig1-L3) (net 219) (tstamp 51A05FC5))
+  (segment (start 46.9 68.8) (end 46.875 68.8) (width 0.1524) (layer Sig1-L3) (net 219))
+  (segment (start 46.95 71.61) (end 47.54 72.2) (width 0.1524) (layer Sig1-L3) (net 219) (tstamp 51A2A2F4))
+  (segment (start 47.54 72.2) (end 47.54 72.96) (width 0.1524) (layer Sig1-L3) (net 219) (tstamp 51A2A2F5))
+  (segment (start 47.54 72.96) (end 45.94 74.56) (width 0.1524) (layer Sig1-L3) (net 219) (tstamp 51A2A2F6))
+  (segment (start 45.94 74.56) (end 45.94 75.15) (width 0.1524) (layer Sig1-L3) (net 219) (tstamp 51A2A2F7))
+  (segment (start 44.04 61.87) (end 44.48 61.87) (width 0.1524) (layer TOP-L1) (net 219) (tstamp 51A1586B))
+  (segment (start 43.99 61.82) (end 44.04 61.87) (width 0.1524) (layer TOP-L1) (net 219) (tstamp 51A1586A))
+  (via (at 43.99 61.82) (size 0.4572) (layers TOP-L1 BOT-L6) (net 219))
+  (segment (start 43.65 61.48) (end 43.99 61.82) (width 0.1524) (layer Sig1-L3) (net 219) (tstamp 51A15862))
+  (segment (start 43.65 61.15) (end 43.65 61.48) (width 0.1524) (layer Sig1-L3) (net 219) (tstamp 51A1585F))
+  (segment (start 44.17 60.63) (end 43.65 61.15) (width 0.1524) (layer Sig1-L3) (net 219) (tstamp 51A1585C))
+  (segment (start 44.17 60.61) (end 44.17 60.63) (width 0.1524) (layer Sig1-L3) (net 219) (tstamp 51A15852))
+  (segment (start 44.17 58.725) (end 44.17 60.61) (width 0.1524) (layer Sig1-L3) (net 219) (tstamp 51A32D3A))
+  (segment (start 44.45 57.19) (end 44.45 58.04) (width 0.1524) (layer Sig1-L3) (net 219))
+  (segment (start 45.8 64.54) (end 45.8 65.43) (width 0.1524) (layer Sig1-L3) (net 219) (tstamp 51A15845))
+  (segment (start 44.76 63.5) (end 45.8 64.54) (width 0.1524) (layer Sig1-L3) (net 219) (tstamp 51A1583E))
+  (segment (start 44.76 58.35) (end 44.76 63.5) (width 0.1524) (layer Sig1-L3) (net 219) (tstamp 51A1583D))
+  (segment (start 44.45 58.04) (end 44.76 58.35) (width 0.1524) (layer Sig1-L3) (net 219) (tstamp 51A1583C))
+  (segment (start 47.26 61.6) (end 47.38 61.6) (width 0.1524) (layer TOP-L1) (net 219) (tstamp 51A1552F))
+  (segment (start 46.99 61.87) (end 47.26 61.6) (width 0.1524) (layer TOP-L1) (net 219) (tstamp 51A1552C))
+  (segment (start 44.48 61.87) (end 46.99 61.87) (width 0.1524) (layer TOP-L1) (net 219) (tstamp 51A1586E))
+  (segment (start 44.45 57.19) (end 44.45 57.075) (width 0.1524) (layer Sig1-L3) (net 219) (tstamp 51A1583A))
+  (segment (start 45.8 66.425) (end 45.8 65.43) (width 0.1524) (layer Sig1-L3) (net 219) (tstamp 51A05F4B))
+  (segment (start 46.9 68.8) (end 46.65 68.55) (width 0.1524) (layer Sig1-L3) (net 219) (tstamp 51A05F44))
+  (segment (start 46.65 68.55) (end 46.65 67.275) (width 0.1524) (layer Sig1-L3) (net 219) (tstamp 51A05F45))
+  (segment (start 46.65 67.275) (end 45.8 66.425) (width 0.1524) (layer Sig1-L3) (net 219) (tstamp 51A05F46))
+  (segment (start 48 61.6) (end 47.38 61.6) (width 0.1524) (layer TOP-L1) (net 219))
+  (segment (start 41.775 74.55) (end 41.775 74.675) (width 0.1524) (layer Sig1-L3) (net 220))
+  (segment (start 41.225 77.35) (end 41.225 77.7) (width 0.1524) (layer Sig1-L3) (net 220) (tstamp 51A86DEB))
+  (segment (start 42.125 76.45) (end 41.225 77.35) (width 0.1524) (layer Sig1-L3) (net 220) (tstamp 51A86DE8))
+  (segment (start 42.125 75.025) (end 42.125 76.45) (width 0.1524) (layer Sig1-L3) (net 220) (tstamp 51A86DE7))
+  (segment (start 41.775 74.675) (end 42.125 75.025) (width 0.1524) (layer Sig1-L3) (net 220) (tstamp 51A86DE6))
+  (segment (start 42.525 70.3) (end 44.425 70.3) (width 0.1524) (layer Sig-L5) (net 220))
+  (segment (start 44.425 70.3) (end 44.975 70.85) (width 0.1524) (layer Sig-L5) (net 220) (tstamp 51A86A7D))
+  (segment (start 42.775 58.375) (end 41.95 58.375) (width 0.1524) (layer TOP-L1) (net 220))
+  (segment (start 41.275 59.05) (end 41.275 59.4) (width 0.1524) (layer Sig1-L3) (net 220) (tstamp 51A86A4C))
+  (segment (start 41.95 58.375) (end 41.275 59.05) (width 0.1524) (layer Sig1-L3) (net 220) (tstamp 51A86A4B))
+  (via (at 41.95 58.375) (size 0.4572) (layers TOP-L1 BOT-L6) (net 220))
+  (segment (start 42.175 70.3) (end 41.875 70.6) (width 0.1524) (layer Sig1-L3) (net 220))
+  (segment (start 41.775 74.2) (end 41.775 74.55) (width 0.1524) (layer Sig1-L3) (net 220) (tstamp 51A32F89))
+  (segment (start 42.425 73.55) (end 41.775 74.2) (width 0.1524) (layer Sig1-L3) (net 220) (tstamp 51A32F85))
+  (segment (start 42.425 71.625) (end 42.425 73.55) (width 0.1524) (layer Sig1-L3) (net 220) (tstamp 51A32F83))
+  (segment (start 41.875 71.075) (end 42.425 71.625) (width 0.1524) (layer Sig1-L3) (net 220) (tstamp 51A32F81))
+  (segment (start 41.875 70.6) (end 41.875 71.075) (width 0.1524) (layer Sig1-L3) (net 220) (tstamp 51A32F7E))
+  (segment (start 42.175 70.3) (end 42.525 70.3) (width 0.1524) (layer Sig-L5) (net 220))
+  (segment (start 69.8 70.8) (end 70.2 70.4) (width 0.1524) (layer Sig-L5) (net 220) (tstamp 51A309BD))
+  (segment (start 47.025 70.8) (end 69.8 70.8) (width 0.1524) (layer Sig-L5) (net 220) (tstamp 51A309B0))
+  (segment (start 46.6 71.225) (end 47.025 70.8) (width 0.1524) (layer Sig-L5) (net 220) (tstamp 51A309AF))
+  (segment (start 45.35 71.225) (end 46.6 71.225) (width 0.1524) (layer Sig-L5) (net 220) (tstamp 51A309AE))
+  (segment (start 44.975 70.85) (end 45.35 71.225) (width 0.1524) (layer Sig-L5) (net 220) (tstamp 51A86A80))
+  (segment (start 41.275 62.175) (end 41.275 63.075) (width 0.1524) (layer Sig1-L3) (net 220))
+  (segment (start 41.275 62.175) (end 41.275 59.4) (width 0.1524) (layer Sig1-L3) (net 220) (tstamp 51A2FFBC))
+  (segment (start 41.275 63.075) (end 41.85 63.65) (width 0.1524) (layer Sig1-L3) (net 220) (tstamp 51A2FFBE))
+  (segment (start 41.85 63.65) (end 41.85 69.975) (width 0.1524) (layer Sig1-L3) (net 220) (tstamp 51A2FFBF))
+  (segment (start 41.85 69.975) (end 42.175 70.3) (width 0.1524) (layer Sig1-L3) (net 220) (tstamp 51A2FFC0))
+  (segment (start 48.9 78.75) (end 48.625 78.475) (width 0.1524) (layer TOP-L1) (net 220))
+  (segment (start 48.625 78.475) (end 46.125 78.475) (width 0.1524) (layer TOP-L1) (net 220) (tstamp 519DDC12))
+  (segment (start 51.6 78.4) (end 51.6 79.025) (width 0.1524) (layer TOP-L1) (net 220))
+  (segment (start 49.425 79.275) (end 48.9 78.75) (width 0.1524) (layer TOP-L1) (net 220) (tstamp 519DC23A))
+  (segment (start 51.35 79.275) (end 49.425 79.275) (width 0.1524) (layer TOP-L1) (net 220) (tstamp 519DC239))
+  (segment (start 51.6 79.025) (end 51.35 79.275) (width 0.1524) (layer TOP-L1) (net 220) (tstamp 519DC237))
+  (segment (start 41.225 77.7) (end 41.225 78.275) (width 0.1524) (layer Sig1-L3) (net 220) (tstamp 51A86DEE))
+  (segment (start 41.225 78.275) (end 41.425 78.475) (width 0.1524) (layer Sig1-L3) (net 220) (tstamp 51A04BDD))
+  (via (at 41.425 78.475) (size 0.4572) (layers TOP-L1 BOT-L6) (net 220))
+  (segment (start 44.525 78.475) (end 44.3 78.7) (width 0.1524) (layer TOP-L1) (net 220) (tstamp 51A1578B))
+  (segment (start 44.3 78.7) (end 41.625 78.7) (width 0.1524) (layer TOP-L1) (net 220) (tstamp 51A1578C))
+  (segment (start 41.625 78.7) (end 41.4 78.475) (width 0.1524) (layer TOP-L1) (net 220) (tstamp 51A1578D))
+  (segment (start 41.4 78.475) (end 41.425 78.475) (width 0.1524) (layer TOP-L1) (net 220) (tstamp 51A1578F))
+  (segment (start 46.125 78.475) (end 44.525 78.475) (width 0.1524) (layer TOP-L1) (net 220))
+  (via (at 42.175 70.3) (size 0.4572) (layers TOP-L1 BOT-L6) (net 220))
+  (segment (start 70.6 70) (end 70.2 70.4) (width 0.1524) (layer TOP-L1) (net 220))
+  (via (at 70.2 70.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 220))
+  (segment (start 42.775 58.375) (end 46.7 58.375) (width 0.1524) (layer TOP-L1) (net 220) (tstamp 51A86A43))
+  (segment (start 49.3 59.275) (end 48.3 59.275) (width 0.1524) (layer TOP-L1) (net 220) (tstamp 519DB4D6))
+  (segment (start 49.6 58.975) (end 49.3 59.275) (width 0.1524) (layer TOP-L1) (net 220) (tstamp 519DB4D5))
+  (segment (start 49.6 58.4) (end 49.6 58.975) (width 0.1524) (layer TOP-L1) (net 220))
+  (segment (start 46.7 58.375) (end 47.6 59.275) (width 0.1524) (layer TOP-L1) (net 220) (tstamp 519DB65D))
+  (segment (start 47.6 59.275) (end 48.3 59.275) (width 0.1524) (layer TOP-L1) (net 220) (tstamp 519DB65F))
+  (segment (start 66.475 61.625) (end 66.475 61.475) (width 0.1524) (layer Sig-L5) (net 221))
+  (segment (start 66.05 61.5) (end 66.05 61.575) (width 0.1524) (layer Sig-L5) (net 221) (tstamp 51AC4ACE))
+  (segment (start 66.15 61.4) (end 66.05 61.5) (width 0.1524) (layer Sig-L5) (net 221) (tstamp 51AC4ACD))
+  (segment (start 66.4 61.4) (end 66.15 61.4) (width 0.1524) (layer Sig-L5) (net 221) (tstamp 51AC4ACC))
+  (segment (start 66.475 61.475) (end 66.4 61.4) (width 0.1524) (layer Sig-L5) (net 221) (tstamp 51AC4ACB))
+  (segment (start 66.475 61.6) (end 66.475 61.625) (width 0.1524) (layer Sig-L5) (net 221))
+  (segment (start 66.05 61.575) (end 66.05 61.55) (width 0.1524) (layer Sig-L5) (net 221) (tstamp 51AC4AC6))
+  (segment (start 67.775 66) (end 66.475 64.7) (width 0.1524) (layer Sig-L5) (net 221))
+  (segment (start 66.05 61.55) (end 66.05 61.875) (width 0.1524) (layer Sig-L5) (net 221) (tstamp 51AC4AB2))
+  (segment (start 66.475 64.7) (end 66.475 61.6) (width 0.1524) (layer Sig-L5) (net 221) (tstamp 51AC4A9F))
+  (segment (start 66.05 62.3) (end 66.05 61.875) (width 0.1524) (layer Sig-L5) (net 221))
+  (segment (start 50.125 66.85) (end 50.175 66.8) (width 0.1524) (layer Sig-L5) (net 221) (tstamp 51A2FEC1))
+  (segment (start 50.175 66.8) (end 64.675 66.8) (width 0.1524) (layer Sig-L5) (net 221) (tstamp 51A2FEC7))
+  (segment (start 64.675 66.8) (end 66.05 65.425) (width 0.1524) (layer Sig-L5) (net 221) (tstamp 51A2FECC))
+  (segment (start 66.05 65.425) (end 66.05 62.35) (width 0.1524) (layer Sig-L5) (net 221) (tstamp 51A2FED2))
+  (segment (start 48.05 65.675) (end 47.975 65.6) (width 0.1524) (layer Sig1-L3) (net 221) (tstamp 51A052CA))
+  (segment (start 47.625 65.25) (end 47.975 65.6) (width 0.1524) (layer Sig1-L3) (net 221) (tstamp 51A05359))
+  (segment (start 48.05 66.4) (end 48.05 65.675) (width 0.1524) (layer Sig1-L3) (net 221) (tstamp 51A052C9))
+  (segment (start 48.5 66.85) (end 48.05 66.4) (width 0.1524) (layer Sig1-L3) (net 221) (tstamp 51A052C8))
+  (via (at 48.5 66.85) (size 0.4572) (layers TOP-L1 BOT-L6) (net 221))
+  (segment (start 48.5 66.85) (end 50.125 66.85) (width 0.1524) (layer Sig-L5) (net 221))
+  (segment (start 66.05 62.35) (end 66.05 62.3) (width 0.1524) (layer Sig-L5) (net 221))
+  (segment (start 70.2 66.4) (end 70.6 66) (width 0.1524) (layer TOP-L1) (net 221) (tstamp 51A2FEE8))
+  (via (at 70.2 66.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 221))
+  (segment (start 69.8 66.8) (end 70.2 66.4) (width 0.1524) (layer Sig-L5) (net 221) (tstamp 51A2FEE0))
+  (segment (start 68.575 66.8) (end 69.8 66.8) (width 0.1524) (layer Sig-L5) (net 221) (tstamp 51A2FEDD))
+  (segment (start 67.775 66) (end 68.575 66.8) (width 0.1524) (layer Sig-L5) (net 221) (tstamp 51AC4A9D))
+  (segment (start 47.525 66.2) (end 46.975 65.65) (width 0.1524) (layer Sig1-L3) (net 221))
+  (segment (start 47.55 65.175) (end 47.625 65.25) (width 0.1524) (layer Sig1-L3) (net 221) (tstamp 51A05422))
+  (segment (start 47.225 65.175) (end 47.55 65.175) (width 0.1524) (layer Sig1-L3) (net 221) (tstamp 51A05421))
+  (segment (start 46.975 65.425) (end 47.225 65.175) (width 0.1524) (layer Sig1-L3) (net 221) (tstamp 51A05420))
+  (segment (start 46.975 65.65) (end 46.975 65.425) (width 0.1524) (layer Sig1-L3) (net 221) (tstamp 51A0541F))
+  (segment (start 47.525 66.2) (end 47.55 66.225) (width 0.1524) (layer Sig1-L3) (net 221) (tstamp 51A0541D))
+  (segment (start 48.65 73.075) (end 48.65 75.575) (width 0.1524) (layer Sig1-L3) (net 221))
+  (segment (start 52 75.85) (end 52 77.2) (width 0.1524) (layer TOP-L1) (net 221) (tstamp 51A05333))
+  (segment (start 51.85 75.7) (end 52 75.85) (width 0.1524) (layer TOP-L1) (net 221) (tstamp 51A05330))
+  (segment (start 48.775 75.7) (end 51.85 75.7) (width 0.1524) (layer TOP-L1) (net 221) (tstamp 51A0540A))
+  (segment (start 48.65 67.725) (end 48.65 73.075) (width 0.1524) (layer Sig1-L3) (net 221) (tstamp 51A05312))
+  (segment (start 47.725 66.8) (end 48.65 67.725) (width 0.1524) (layer Sig1-L3) (net 221) (tstamp 51A0530F))
+  (segment (start 47.725 66.4) (end 47.725 66.8) (width 0.1524) (layer Sig1-L3) (net 221) (tstamp 51A0530D))
+  (segment (start 47.55 66.225) (end 47.725 66.4) (width 0.1524) (layer Sig1-L3) (net 221) (tstamp 51A05364))
+  (segment (start 52 77.2) (end 52.4 77.6) (width 0.1524) (layer TOP-L1) (net 221) (tstamp 51A05336))
+  (segment (start 48.65 75.575) (end 48.775 75.7) (width 0.1524) (layer Sig1-L3) (net 221) (tstamp 51A05406))
+  (via (at 48.775 75.7) (size 0.4572) (layers TOP-L1 BOT-L6) (net 221))
+  (segment (start 50 57.2) (end 50.4 57.6) (width 0.1524) (layer TOP-L1) (net 221) (tstamp 51A052EF))
+  (segment (start 47.625 65.25) (end 45.375 63) (width 0.1524) (layer Sig1-L3) (net 221) (tstamp 51A05305))
+  (segment (start 45.375 63) (end 45.375 55.8) (width 0.1524) (layer Sig1-L3) (net 221) (tstamp 51A052CB))
+  (segment (start 45.375 55.8) (end 45.575 55.6) (width 0.1524) (layer Sig1-L3) (net 221) (tstamp 51A052D0))
+  (via (at 45.575 55.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 221))
+  (segment (start 45.575 55.6) (end 49.775 55.6) (width 0.1524) (layer TOP-L1) (net 221) (tstamp 51A052D8))
+  (segment (start 49.775 55.6) (end 50 55.825) (width 0.1524) (layer TOP-L1) (net 221) (tstamp 51A052D9))
+  (segment (start 50 55.825) (end 50 57.2) (width 0.1524) (layer TOP-L1) (net 221) (tstamp 51A052EC))
+  (segment (start 51.2 83.225) (end 51.2 83.425) (width 0.1524) (layer TOP-L1) (net 222))
+  (segment (start 43.775 83.375) (end 43.525 83.125) (width 0.1524) (layer TOP-L1) (net 222) (tstamp 51A86F24))
+  (segment (start 48.4 83.375) (end 43.775 83.375) (width 0.1524) (layer TOP-L1) (net 222) (tstamp 51A86F1E))
+  (segment (start 49.05 84.025) (end 48.4 83.375) (width 0.1524) (layer TOP-L1) (net 222) (tstamp 51A86F1B))
+  (segment (start 50.6 84.025) (end 49.05 84.025) (width 0.1524) (layer TOP-L1) (net 222) (tstamp 51A86F18))
+  (segment (start 51.2 83.425) (end 50.6 84.025) (width 0.1524) (layer TOP-L1) (net 222) (tstamp 51A86F15))
+  (segment (start 43.525 83.125) (end 41.425 81.025) (width 0.1524) (layer TOP-L1) (net 222) (tstamp 51A86F27))
+  (segment (start 41.425 81.025) (end 41.425 80.525) (width 0.1524) (layer TOP-L1) (net 222) (tstamp 51A86D93))
+  (segment (start 41.425 79.275) (end 41.425 79.5) (width 0.1524) (layer Sig1-L3) (net 222) (tstamp 51A86E33))
+  (segment (start 40.975 78.825) (end 41.425 79.275) (width 0.1524) (layer Sig1-L3) (net 222) (tstamp 51A86E2C))
+  (segment (start 51.2 82.8) (end 51.2 83.225) (width 0.1524) (layer TOP-L1) (net 222) (tstamp 519DC0EC))
+  (segment (start 41.425 79.5) (end 41.425 80.1) (width 0.1524) (layer Sig1-L3) (net 222) (tstamp 51A86E37))
+  (via (at 41.425 80.1) (size 0.4572) (layers TOP-L1 BOT-L6) (net 222))
+  (segment (start 41.425 80.1) (end 41.425 80.525) (width 0.1524) (layer TOP-L1) (net 222) (tstamp 51A2C184))
+  (segment (start 40.975 73.575) (end 40.975 78.825) (width 0.1524) (layer Sig1-L3) (net 222) (tstamp 51A86E2B))
+  (segment (start 41.25 73.3) (end 40.975 73.575) (width 0.1524) (layer Sig1-L3) (net 222))
+  (segment (start 41.2 73.35) (end 41.25 73.3) (width 0.1524) (layer Sig1-L3) (net 222))
+  (segment (start 51.6 82.4) (end 51.2 82.8) (width 0.1524) (layer TOP-L1) (net 222))
+  (segment (start 41.5 73.05) (end 41.2 73.35) (width 0.1524) (layer Sig1-L3) (net 222) (tstamp 51A32FF9))
+  (segment (start 40.95 64.74) (end 41.13 64.56) (width 0.1524) (layer TOP-L1) (net 222))
+  (segment (start 43.78 63.71) (end 44.06 63.71) (width 0.1524) (layer TOP-L1) (net 222) (tstamp 51A45011))
+  (segment (start 43.25 64.24) (end 43.78 63.71) (width 0.1524) (layer TOP-L1) (net 222) (tstamp 51A45010))
+  (segment (start 42.53 64.24) (end 43.25 64.24) (width 0.1524) (layer TOP-L1) (net 222) (tstamp 51A4500F))
+  (segment (start 42 63.71) (end 42.53 64.24) (width 0.1524) (layer TOP-L1) (net 222) (tstamp 51A4500D))
+  (segment (start 41.53 63.71) (end 42 63.71) (width 0.1524) (layer TOP-L1) (net 222) (tstamp 51A4500C))
+  (segment (start 41.13 64.11) (end 41.53 63.71) (width 0.1524) (layer TOP-L1) (net 222) (tstamp 51A4500B))
+  (segment (start 41.13 64.56) (end 41.13 64.11) (width 0.1524) (layer TOP-L1) (net 222) (tstamp 51A4500A))
+  (segment (start 40.44 65.83) (end 40.44 65.25) (width 0.1524) (layer Sig1-L3) (net 222))
+  (segment (start 40.44 65.25) (end 40.95 64.74) (width 0.1524) (layer TOP-L1) (net 222) (tstamp 51A44FED))
+  (via (at 40.44 65.25) (size 0.4572) (layers TOP-L1 BOT-L6) (net 222))
+  (segment (start 40.6 70.925) (end 40.6 72.15) (width 0.1524) (layer Sig1-L3) (net 222))
+  (segment (start 40.6 72.15) (end 41.5 73.05) (width 0.1524) (layer Sig1-L3) (net 222) (tstamp 51A33004))
+  (segment (start 42.275 73.05) (end 41.5 73.05) (width 0.1524) (layer Sig-L5) (net 222))
+  (via (at 41.5 73.05) (size 0.4572) (layers TOP-L1 BOT-L6) (net 222))
+  (segment (start 46.2 63.71) (end 46.51 64.02) (width 0.1524) (layer TOP-L1) (net 222) (tstamp 51A32EAC))
+  (segment (start 44.06 63.71) (end 46.2 63.71) (width 0.1524) (layer TOP-L1) (net 222) (tstamp 51A45014))
+  (segment (start 40.6 69.11) (end 40.6 68.31) (width 0.1524) (layer Sig1-L3) (net 222))
+  (segment (start 46.76 64.27) (end 47.37 64.27) (width 0.1524) (layer TOP-L1) (net 222) (tstamp 51A32E98))
+  (segment (start 46.51 64.02) (end 46.76 64.27) (width 0.1524) (layer TOP-L1) (net 222) (tstamp 51A32EB0))
+  (segment (start 40.44 68.15) (end 40.44 65.83) (width 0.1524) (layer Sig1-L3) (net 222) (tstamp 51A32E82))
+  (segment (start 40.6 68.31) (end 40.44 68.15) (width 0.1524) (layer Sig1-L3) (net 222) (tstamp 51A32E81))
+  (segment (start 42.275 73.05) (end 44.25 73.05) (width 0.1524) (layer Sig-L5) (net 222) (tstamp 51A32FF4))
+  (segment (start 70.2 72.8) (end 70.6 72.4) (width 0.1524) (layer TOP-L1) (net 222) (tstamp 51A32DE9))
+  (via (at 70.2 72.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 222))
+  (segment (start 69.8 73.2) (end 70.2 72.8) (width 0.1524) (layer Sig-L5) (net 222) (tstamp 51A32DDB))
+  (segment (start 44.4 73.2) (end 69.8 73.2) (width 0.1524) (layer Sig-L5) (net 222) (tstamp 51A32DD1))
+  (segment (start 44.25 73.05) (end 44.4 73.2) (width 0.1524) (layer Sig-L5) (net 222) (tstamp 51A32DD0))
+  (segment (start 40.6 69.11) (end 40.6 70.925) (width 0.1524) (layer Sig1-L3) (net 222) (tstamp 51A32E7F))
+  (segment (start 49.2 63.19) (end 49.2 64.12) (width 0.1524) (layer TOP-L1) (net 222))
+  (segment (start 49.05 64.27) (end 47.37 64.27) (width 0.1524) (layer TOP-L1) (net 222) (tstamp 519F1C06))
+  (segment (start 49.2 64.12) (end 49.05 64.27) (width 0.1524) (layer TOP-L1) (net 222) (tstamp 519F1C05))
+  (segment (start 49.2 63.075) (end 49.2 63.19) (width 0.1524) (layer TOP-L1) (net 222))
+  (segment (start 49.6 62.4) (end 49.2 62.8) (width 0.1524) (layer TOP-L1) (net 222))
+  (segment (start 49.2 62.8) (end 49.2 63.075) (width 0.1524) (layer TOP-L1) (net 222) (tstamp 519DB1EA))
+  (segment (start 42.9 75.72) (end 43.32 75.72) (width 0.1524) (layer TOP-L1) (net 223))
+  (segment (start 45.275 75.725) (end 45.275 75.72) (width 0.1524) (layer TOP-L1) (net 223) (tstamp 51A86E22))
+  (segment (start 44.75 75.725) (end 45.275 75.725) (width 0.1524) (layer TOP-L1) (net 223) (tstamp 51A86E20))
+  (segment (start 44.475 76) (end 44.75 75.725) (width 0.1524) (layer TOP-L1) (net 223) (tstamp 51A86E1F))
+  (segment (start 43.6 76) (end 44.475 76) (width 0.1524) (layer TOP-L1) (net 223) (tstamp 51A86E1E))
+  (segment (start 43.32 75.72) (end 43.6 76) (width 0.1524) (layer TOP-L1) (net 223) (tstamp 51A86E1D))
+  (segment (start 41.9 75.72) (end 41.68 75.72) (width 0.1524) (layer TOP-L1) (net 223))
+  (segment (start 41.275 74.225) (end 41.575 73.925) (width 0.1524) (layer Sig1-L3) (net 223) (tstamp 51A86E00))
+  (segment (start 41.275 75.325) (end 41.275 74.225) (width 0.1524) (layer Sig1-L3) (net 223) (tstamp 51A86DFA))
+  (segment (start 41.675 75.725) (end 41.275 75.325) (width 0.1524) (layer Sig1-L3) (net 223) (tstamp 51A86DF9))
+  (via (at 41.675 75.725) (size 0.4572) (layers TOP-L1 BOT-L6) (net 223))
+  (segment (start 41.68 75.72) (end 41.675 75.725) (width 0.1524) (layer TOP-L1) (net 223) (tstamp 51A86DF6))
+  (segment (start 40.975 65.775) (end 40.975 66.875) (width 0.1524) (layer Sig1-L3) (net 223))
+  (segment (start 40.975 66.875) (end 41.425 67.325) (width 0.1524) (layer Sig1-L3) (net 223) (tstamp 51A865D4))
+  (segment (start 49.375 67.6) (end 44.075 67.6) (width 0.1524) (layer Sig-L5) (net 223))
+  (segment (start 44.075 67.6) (end 43.8 67.325) (width 0.1524) (layer Sig-L5) (net 223) (tstamp 51A8657C))
+  (segment (start 43.8 67.325) (end 41.425 67.325) (width 0.1524) (layer Sig-L5) (net 223) (tstamp 51A86587))
+  (via (at 41.425 67.325) (size 0.4572) (layers TOP-L1 BOT-L6) (net 223))
+  (segment (start 41.225 68.15) (end 41.4 68.325) (width 0.1524) (layer Sig1-L3) (net 223) (tstamp 51A8659A))
+  (segment (start 41.225 67.525) (end 41.225 68.15) (width 0.1524) (layer Sig1-L3) (net 223) (tstamp 51A86596))
+  (segment (start 41.425 67.325) (end 41.225 67.525) (width 0.1524) (layer Sig1-L3) (net 223) (tstamp 51A86595))
+  (segment (start 41.4 68.325) (end 41.525 68.45) (width 0.1524) (layer Sig1-L3) (net 223) (tstamp 51A8659E))
+  (segment (start 41.525 68.45) (end 41.525 71.2) (width 0.1524) (layer Sig1-L3) (net 223) (tstamp 51A32FC0))
+  (segment (start 41.525 71.2) (end 42.1 71.775) (width 0.1524) (layer Sig1-L3) (net 223) (tstamp 51A32FC1))
+  (segment (start 42.1 71.775) (end 42.1 73.4) (width 0.1524) (layer Sig1-L3) (net 223) (tstamp 51A32FC3))
+  (segment (start 42.1 73.4) (end 41.575 73.925) (width 0.1524) (layer Sig1-L3) (net 223) (tstamp 51A32FC4))
+  (segment (start 48.85 76.375) (end 49.2 76.725) (width 0.1524) (layer TOP-L1) (net 223) (tstamp 51A33920))
+  (segment (start 47.035 76.375) (end 48.85 76.375) (width 0.1524) (layer TOP-L1) (net 223) (tstamp 51A3391F))
+  (segment (start 46.38 75.72) (end 45.275 75.72) (width 0.1524) (layer TOP-L1) (net 223) (tstamp 51A2A462))
+  (segment (start 46.575 75.915) (end 46.38 75.72) (width 0.1524) (layer TOP-L1) (net 223) (tstamp 51A3391D))
+  (segment (start 49.38 76.905) (end 49.2 76.725) (width 0.1524) (layer TOP-L1) (net 223))
+  (segment (start 51.2 77.2) (end 51.6 77.6) (width 0.1524) (layer TOP-L1) (net 223) (tstamp 519F0FC8))
+  (segment (start 49.675 77.2) (end 51.2 77.2) (width 0.1524) (layer TOP-L1) (net 223) (tstamp 519F0FC5))
+  (segment (start 49.38 76.905) (end 49.675 77.2) (width 0.1524) (layer TOP-L1) (net 223) (tstamp 519F19DA))
+  (segment (start 46.575 75.915) (end 47.035 76.375) (width 0.1524) (layer TOP-L1) (net 223))
+  (segment (start 42.9 75.72) (end 41.9 75.72) (width 0.1524) (layer TOP-L1) (net 223) (tstamp 51A86E1B))
+  (segment (start 40.975 65.425) (end 40.975 65.775) (width 0.1524) (layer Sig1-L3) (net 223))
+  (segment (start 49.2 57.2) (end 47.95 57.2) (width 0.1524) (layer TOP-L1) (net 223) (tstamp 519DB557))
+  (segment (start 49.6 57.6) (end 49.2 57.2) (width 0.1524) (layer TOP-L1) (net 223))
+  (segment (start 47.2 56.725) (end 47.675 57.2) (width 0.1524) (layer TOP-L1) (net 223) (tstamp 519F16B8))
+  (segment (start 47.675 57.2) (end 47.95 57.2) (width 0.1524) (layer TOP-L1) (net 223) (tstamp 519DB62A))
+  (segment (start 40.975 65.425) (end 40.975 59.98) (width 0.1524) (layer Sig1-L3) (net 223) (tstamp 51A30001))
+  (segment (start 46.505 56.03) (end 41.25 56.03) (width 0.1524) (layer TOP-L1) (net 223) (tstamp 519F16BA))
+  (via (at 41.25 56.03) (size 0.4572) (layers TOP-L1 BOT-L6) (net 223))
+  (segment (start 47.2 56.725) (end 46.505 56.03) (width 0.1524) (layer TOP-L1) (net 223))
+  (segment (start 40.975 59.365) (end 40.82 59.21) (width 0.1524) (layer Sig1-L3) (net 223) (tstamp 51A15605))
+  (segment (start 40.82 59.21) (end 40.82 56.46) (width 0.1524) (layer Sig1-L3) (net 223) (tstamp 51A15606))
+  (segment (start 40.82 56.46) (end 41.25 56.03) (width 0.1524) (layer Sig1-L3) (net 223) (tstamp 51A15609))
+  (segment (start 40.975 59.98) (end 40.975 59.365) (width 0.1524) (layer Sig1-L3) (net 223))
+  (segment (start 70.2 67.2) (end 70.6 66.8) (width 0.1524) (layer TOP-L1) (net 223) (tstamp 51A30033))
+  (segment (start 49.375 67.6) (end 69.8 67.6) (width 0.1524) (layer Sig-L5) (net 223) (tstamp 51A8657A))
+  (segment (start 69.8 67.6) (end 70.2 67.2) (width 0.1524) (layer Sig-L5) (net 223) (tstamp 51A30023))
+  (via (at 70.2 67.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 223))
+  (segment (start 44.025 82.45) (end 43.925 82.45) (width 0.1524) (layer TOP-L1) (net 224) (tstamp 51A86D57))
+  (segment (start 44.45 82.875) (end 44.025 82.45) (width 0.1524) (layer TOP-L1) (net 224) (tstamp 51A86D54))
+  (segment (start 44.8 82.875) (end 44.45 82.875) (width 0.1524) (layer TOP-L1) (net 224) (tstamp 51A86D51))
+  (segment (start 45.4 82.275) (end 44.8 82.875) (width 0.1524) (layer TOP-L1) (net 224) (tstamp 51A86D50))
+  (segment (start 45.725 82.275) (end 45.4 82.275) (width 0.1524) (layer TOP-L1) (net 224) (tstamp 51A86D4E))
+  (segment (start 46.525 83.075) (end 45.725 82.275) (width 0.1524) (layer TOP-L1) (net 224) (tstamp 51A86D4C))
+  (segment (start 46.875 83.075) (end 46.525 83.075) (width 0.1524) (layer TOP-L1) (net 224))
+  (segment (start 43.575 82.45) (end 43.925 82.45) (width 0.1524) (layer TOP-L1) (net 224) (tstamp 51A86D01))
+  (via (at 43.575 82.45) (size 0.4572) (layers TOP-L1 BOT-L6) (net 224))
+  (segment (start 42.85 82.45) (end 43.575 82.45) (width 0.1524) (layer Sig1-L3) (net 224) (tstamp 51A86CFA))
+  (segment (start 42.45 82.05) (end 42.85 82.45) (width 0.1524) (layer Sig1-L3) (net 224) (tstamp 51A86CF7))
+  (segment (start 42.45 81.625) (end 42.45 82.05) (width 0.1524) (layer Sig1-L3) (net 224) (tstamp 51A86CF6))
+  (segment (start 42.725 81.35) (end 42.45 81.625) (width 0.1524) (layer Sig1-L3) (net 224) (tstamp 51A86CF4))
+  (segment (start 43.175 81.35) (end 42.725 81.35) (width 0.1524) (layer Sig1-L3) (net 224) (tstamp 51A86CED))
+  (segment (start 44.275 80.25) (end 43.175 81.35) (width 0.1524) (layer Sig1-L3) (net 224) (tstamp 51A86CEC))
+  (segment (start 44.275 80.05) (end 44.275 80.25) (width 0.1524) (layer Sig1-L3) (net 224))
+  (segment (start 43.35 72.12) (end 43.35 72.35) (width 0.1524) (layer Sig1-L3) (net 224))
+  (via (at 43.6 71.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 224))
+  (segment (start 44.275 77.75) (end 44.275 80.05) (width 0.1524) (layer Sig1-L3) (net 224) (tstamp 51A01821))
+  (segment (start 43.8 77.275) (end 44.275 77.75) (width 0.1524) (layer Sig1-L3) (net 224) (tstamp 51A01820))
+  (segment (start 43.8 76.2) (end 43.8 77.275) (width 0.1524) (layer Sig1-L3) (net 224) (tstamp 51A0181E))
+  (segment (start 43.35 75.75) (end 43.8 76.2) (width 0.1524) (layer Sig1-L3) (net 224) (tstamp 51A0181C))
+  (segment (start 43.35 75.23) (end 43.35 75.75) (width 0.1524) (layer Sig1-L3) (net 224) (tstamp 51A2A37F))
+  (segment (start 43.35 71.85) (end 43.35 72.12) (width 0.1524) (layer Sig1-L3) (net 224) (tstamp 51A0181B))
+  (segment (start 43.35 71.85) (end 43.6 71.6) (width 0.1524) (layer Sig1-L3) (net 224) (tstamp 51A0181A))
+  (segment (start 43.35 72.35) (end 43.84 72.84) (width 0.1524) (layer Sig1-L3) (net 224) (tstamp 51A2A36D))
+  (segment (start 43.84 72.84) (end 43.84 73) (width 0.1524) (layer Sig1-L3) (net 224) (tstamp 51A2A36E))
+  (segment (start 43.84 73) (end 43.39 73.45) (width 0.1524) (layer Sig1-L3) (net 224) (tstamp 51A2A36F))
+  (segment (start 43.39 73.45) (end 43.39 73.79) (width 0.1524) (layer Sig1-L3) (net 224) (tstamp 51A2A376))
+  (segment (start 43.39 73.79) (end 43.82 74.22) (width 0.1524) (layer Sig1-L3) (net 224) (tstamp 51A2A378))
+  (segment (start 43.82 74.22) (end 43.82 74.62) (width 0.1524) (layer Sig1-L3) (net 224) (tstamp 51A2A379))
+  (segment (start 43.82 74.62) (end 43.35 75.09) (width 0.1524) (layer Sig1-L3) (net 224) (tstamp 51A2A37B))
+  (segment (start 43.35 75.09) (end 43.35 75.23) (width 0.1524) (layer Sig1-L3) (net 224) (tstamp 51A2A37C))
+  (segment (start 43.6 71.6) (end 43.625 71.6) (width 0.1524) (layer Sig-L5) (net 224))
+  (segment (start 39.56 69.56) (end 39.56 69.71) (width 0.1524) (layer Sig-L5) (net 224))
+  (segment (start 39.56 70.99) (end 39.56 71.12) (width 0.1524) (layer Sig-L5) (net 224) (tstamp 51A450AC))
+  (segment (start 39.99 70.56) (end 39.56 70.99) (width 0.1524) (layer Sig-L5) (net 224) (tstamp 51A450AB))
+  (segment (start 39.99 70.14) (end 39.99 70.56) (width 0.1524) (layer Sig-L5) (net 224) (tstamp 51A450AA))
+  (segment (start 39.56 69.71) (end 39.99 70.14) (width 0.1524) (layer Sig-L5) (net 224) (tstamp 51A450A9))
+  (segment (start 39.56 71.12) (end 39.56 71.37) (width 0.1524) (layer Sig-L5) (net 224) (tstamp 51A450AF))
+  (segment (start 43 71.6) (end 43.12 71.6) (width 0.1524) (layer Sig-L5) (net 224) (tstamp 51A4509D))
+  (segment (start 42.28 70.88) (end 43 71.6) (width 0.1524) (layer Sig-L5) (net 224) (tstamp 51A4509C))
+  (segment (start 41.37 70.88) (end 42.28 70.88) (width 0.1524) (layer Sig-L5) (net 224) (tstamp 51A4509A))
+  (segment (start 40.47 71.78) (end 41.37 70.88) (width 0.1524) (layer Sig-L5) (net 224) (tstamp 51A45099))
+  (segment (start 39.97 71.78) (end 40.47 71.78) (width 0.1524) (layer Sig-L5) (net 224) (tstamp 51A45098))
+  (segment (start 39.56 71.37) (end 39.97 71.78) (width 0.1524) (layer Sig-L5) (net 224) (tstamp 51A45097))
+  (segment (start 41.3 62.8) (end 41.92 62.8) (width 0.1524) (layer TOP-L1) (net 224) (tstamp 51A45072))
+  (segment (start 40.01 64.09) (end 41.3 62.8) (width 0.1524) (layer TOP-L1) (net 224) (tstamp 51A45071))
+  (via (at 40.01 64.09) (size 0.4572) (layers TOP-L1 BOT-L6) (net 224))
+  (segment (start 39.56 64.54) (end 40.01 64.09) (width 0.1524) (layer Sig-L5) (net 224) (tstamp 51A4505B))
+  (segment (start 39.56 69.56) (end 39.56 64.54) (width 0.1524) (layer Sig-L5) (net 224) (tstamp 51A450A7))
+  (segment (start 48.4 62.8) (end 48.8 62.4) (width 0.1524) (layer TOP-L1) (net 224) (tstamp 519F4653))
+  (segment (start 41.92 62.8) (end 48.4 62.8) (width 0.1524) (layer TOP-L1) (net 224) (tstamp 51A45087))
+  (segment (start 43.625 71.6) (end 43.12 71.6) (width 0.1524) (layer Sig-L5) (net 224))
+  (segment (start 50.8 82.4) (end 50.4 82.8) (width 0.1524) (layer TOP-L1) (net 224) (tstamp 51A01847))
+  (segment (start 47.74 83.075) (end 46.875 83.075) (width 0.1524) (layer TOP-L1) (net 224))
+  (segment (start 47.98 83.075) (end 47.74 83.075) (width 0.1524) (layer TOP-L1) (net 224))
+  (segment (start 49.7 82.8) (end 49.175 82.8) (width 0.1524) (layer TOP-L1) (net 224))
+  (segment (start 48.9 83.075) (end 47.98 83.075) (width 0.1524) (layer TOP-L1) (net 224) (tstamp 51A15748))
+  (segment (start 49.175 82.8) (end 48.9 83.075) (width 0.1524) (layer TOP-L1) (net 224) (tstamp 51A15747))
+  (segment (start 49.7 82.8) (end 50.4 82.8) (width 0.1524) (layer TOP-L1) (net 224) (tstamp 51A15745))
+  (segment (start 43.625 71.6) (end 69.8 71.6) (width 0.1524) (layer Sig-L5) (net 224) (tstamp 51A30A5D))
+  (segment (start 70.2 71.2) (end 70.6 70.8) (width 0.1524) (layer TOP-L1) (net 224) (tstamp 51A30A01))
+  (via (at 70.2 71.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 224))
+  (segment (start 69.8 71.6) (end 70.2 71.2) (width 0.1524) (layer Sig-L5) (net 224) (tstamp 51A309F4))
+  (segment (start 66.75 60.675) (end 66.75 59.8) (width 0.1524) (layer Sig-L5) (net 225))
+  (segment (start 66.075 59.775) (end 66.075 60.125) (width 0.1524) (layer Sig-L5) (net 225) (tstamp 51AC4F58))
+  (segment (start 66.25 59.6) (end 66.075 59.775) (width 0.1524) (layer Sig-L5) (net 225) (tstamp 51AC4F57))
+  (segment (start 66.55 59.6) (end 66.25 59.6) (width 0.1524) (layer Sig-L5) (net 225) (tstamp 51AC4F56))
+  (segment (start 66.75 59.8) (end 66.55 59.6) (width 0.1524) (layer Sig-L5) (net 225) (tstamp 51AC4F55))
+  (segment (start 66.75 60.75) (end 66.75 60.675) (width 0.1524) (layer Sig-L5) (net 225))
+  (segment (start 66.075 60.125) (end 66.075 60.15) (width 0.1524) (layer Sig-L5) (net 225) (tstamp 51AC4F5B))
+  (segment (start 65.95 60.725) (end 65.875 60.725) (width 0.1524) (layer Sig-L5) (net 225) (tstamp 51AC4F42))
+  (segment (start 66.075 60.6) (end 65.95 60.725) (width 0.1524) (layer Sig-L5) (net 225) (tstamp 51AC4F41))
+  (segment (start 66.075 60.15) (end 66.075 60.6) (width 0.1524) (layer Sig-L5) (net 225) (tstamp 51AC4F50))
+  (segment (start 65.775 63.125) (end 65.775 62.225) (width 0.1524) (layer Sig-L5) (net 225))
+  (segment (start 65.775 65.25) (end 65.775 63.125) (width 0.1524) (layer Sig-L5) (net 225) (tstamp 51A2FE6F))
+  (segment (start 64.625 66.4) (end 65.775 65.25) (width 0.1524) (layer Sig-L5) (net 225) (tstamp 51A2FE64))
+  (segment (start 49.2 66.4) (end 64.625 66.4) (width 0.1524) (layer Sig-L5) (net 225))
+  (segment (start 65.775 62.225) (end 64.575 61.025) (width 0.1524) (layer Sig-L5) (net 225) (tstamp 51AC4F2A))
+  (segment (start 64.575 61.025) (end 64.575 60.025) (width 0.1524) (layer Sig-L5) (net 225) (tstamp 51AC4F2C))
+  (segment (start 64.575 60.025) (end 64.875 59.725) (width 0.1524) (layer Sig-L5) (net 225) (tstamp 51AC4F2E))
+  (segment (start 64.875 59.725) (end 65.275 59.725) (width 0.1524) (layer Sig-L5) (net 225) (tstamp 51AC4F2F))
+  (segment (start 65.275 59.725) (end 65.475 59.925) (width 0.1524) (layer Sig-L5) (net 225) (tstamp 51AC4F30))
+  (segment (start 65.475 59.925) (end 65.475 60.525) (width 0.1524) (layer Sig-L5) (net 225) (tstamp 51AC4F31))
+  (segment (start 65.475 60.525) (end 65.675 60.725) (width 0.1524) (layer Sig-L5) (net 225) (tstamp 51AC4F32))
+  (segment (start 65.675 60.725) (end 65.875 60.725) (width 0.1524) (layer Sig-L5) (net 225) (tstamp 51AC4F33))
+  (segment (start 68.6 66.4) (end 69.4 66.4) (width 0.1524) (layer Sig-L5) (net 225) (tstamp 51A2FE8A))
+  (via (at 69.4 66.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 225))
+  (segment (start 69.4 66.4) (end 69.8 66) (width 0.1524) (layer TOP-L1) (net 225) (tstamp 51A2FE95))
+  (segment (start 67.65 65.45) (end 68.6 66.4) (width 0.1524) (layer Sig-L5) (net 225) (tstamp 51AC4AD3))
+  (segment (start 67.65 65.45) (end 66.75 64.55) (width 0.1524) (layer Sig-L5) (net 225))
+  (segment (start 66.75 64.55) (end 66.75 60.75) (width 0.1524) (layer Sig-L5) (net 225) (tstamp 51AC4AD5))
+  (via (at 48.55 77.275) (size 0.4572) (layers TOP-L1 BOT-L6) (net 225))
+  (segment (start 48.975 72.675) (end 48.975 72.925) (width 0.1524) (layer Sig1-L3) (net 225) (tstamp 51A053FC))
+  (segment (start 49.525 73.475) (end 48.975 72.925) (width 0.1524) (layer Sig1-L3) (net 225) (tstamp 51A053FB))
+  (segment (start 49.525 75.65) (end 49.525 73.475) (width 0.1524) (layer Sig1-L3) (net 225) (tstamp 51A053F9))
+  (segment (start 48.675 76.5) (end 49.525 75.65) (width 0.1524) (layer Sig1-L3) (net 225) (tstamp 51A053F7))
+  (segment (start 48.5 76.5) (end 48.675 76.5) (width 0.1524) (layer Sig1-L3) (net 225) (tstamp 51A053F6))
+  (segment (start 48.275 76.725) (end 48.5 76.5) (width 0.1524) (layer Sig1-L3) (net 225) (tstamp 51A053F5))
+  (segment (start 48.275 77) (end 48.275 76.725) (width 0.1524) (layer Sig1-L3) (net 225) (tstamp 51A053F4))
+  (segment (start 48.275 77) (end 48.55 77.275) (width 0.1524) (layer Sig1-L3) (net 225) (tstamp 51A053F3))
+  (segment (start 49.2 66.4) (end 48.975 66.625) (width 0.1524) (layer Sig1-L3) (net 225))
+  (segment (start 50.4 78) (end 50.8 77.6) (width 0.1524) (layer TOP-L1) (net 225) (tstamp 51A0518E))
+  (segment (start 49.5 78) (end 50.4 78) (width 0.1524) (layer TOP-L1) (net 225) (tstamp 51A0518A))
+  (segment (start 48.775 77.275) (end 49.5 78) (width 0.1524) (layer TOP-L1) (net 225) (tstamp 51A05188))
+  (segment (start 48.55 77.275) (end 48.775 77.275) (width 0.1524) (layer TOP-L1) (net 225) (tstamp 51A053F0))
+  (segment (start 48.975 66.625) (end 48.975 72.675) (width 0.1524) (layer Sig1-L3) (net 225) (tstamp 51A05166))
+  (segment (start 45.7 59.2) (end 45.7 57.2) (width 0.1524) (layer Sig1-L3) (net 225))
+  (segment (start 45.7 57.2) (end 45.8 57.1) (width 0.1524) (layer Sig1-L3) (net 225) (tstamp 51A0235D))
+  (segment (start 49.2 66.4) (end 49.2 66.4) (width 0.1524) (layer Sig1-L3) (net 225))
+  (segment (start 49.2 66.4) (end 45.7 62.9) (width 0.1524) (layer Sig1-L3) (net 225) (tstamp 51A05164))
+  (segment (start 46.05 56.85) (end 46.05 56.86) (width 0.1524) (layer TOP-L1) (net 225) (tstamp 51A02343))
+  (via (at 46.05 56.85) (size 0.4572) (layers TOP-L1 BOT-L6) (net 225))
+  (segment (start 45.8 57.1) (end 46.05 56.85) (width 0.1524) (layer Sig1-L3) (net 225) (tstamp 51A02360))
+  (segment (start 45.7 62.9) (end 45.7 59.2) (width 0.1524) (layer Sig1-L3) (net 225) (tstamp 51A0230E))
+  (segment (start 49.25 66.4) (end 49.2 66.4) (width 0.1524) (layer TOP-L1) (net 225))
+  (via (at 49.2 66.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 225))
+  (segment (start 46.05 56.86) (end 46.45 56.86) (width 0.1524) (layer TOP-L1) (net 225) (tstamp 51A02344))
+  (segment (start 48.4 58) (end 48.8 57.6) (width 0.1524) (layer TOP-L1) (net 225) (tstamp 519F1771))
+  (segment (start 47.59 58) (end 48.4 58) (width 0.1524) (layer TOP-L1) (net 225) (tstamp 519F176D))
+  (segment (start 46.45 56.86) (end 47.59 58) (width 0.1524) (layer TOP-L1) (net 225) (tstamp 519F1767))
+  (segment (start 39.025 77.125) (end 39.025 78.025) (width 0.1524) (layer Sig-L5) (net 226))
+  (segment (start 40.25 79.25) (end 40.35 79.25) (width 0.1524) (layer Sig-L5) (net 226) (tstamp 51A86F8F))
+  (segment (start 39.025 78.025) (end 40.25 79.25) (width 0.1524) (layer Sig-L5) (net 226) (tstamp 51A86F8D))
+  (segment (start 42.2 80.85) (end 42.2 81.15) (width 0.1524) (layer TOP-L1) (net 226))
+  (segment (start 42.95 81.9) (end 43.2 81.9) (width 0.1524) (layer TOP-L1) (net 226) (tstamp 51A86E66))
+  (segment (start 42.2 81.15) (end 42.95 81.9) (width 0.1524) (layer TOP-L1) (net 226) (tstamp 51A86E65))
+  (segment (start 39.225 64.125) (end 39.225 63.35) (width 0.1524) (layer Sig-L5) (net 226))
+  (segment (start 39.575 63) (end 39.975 63) (width 0.1524) (layer Sig-L5) (net 226) (tstamp 51A45131))
+  (segment (start 39.225 63.35) (end 39.575 63) (width 0.1524) (layer Sig-L5) (net 226) (tstamp 51A45130))
+  (segment (start 39.9 73.6) (end 39.5 73.6) (width 0.1524) (layer Sig-L5) (net 226))
+  (segment (start 43.6 80.25) (end 43.4 80.25) (width 0.1524) (layer TOP-L1) (net 226) (tstamp 51A2A25B))
+  (segment (start 43.925 79.925) (end 43.6 80.25) (width 0.1524) (layer TOP-L1) (net 226) (tstamp 51A2A25A))
+  (segment (start 43.925 79.55) (end 43.925 79.925) (width 0.1524) (layer TOP-L1) (net 226) (tstamp 51A2A259))
+  (segment (start 43.625 79.25) (end 43.925 79.55) (width 0.1524) (layer TOP-L1) (net 226) (tstamp 51A2A258))
+  (segment (start 43.25 79.25) (end 42.5 79.25) (width 0.1524) (layer TOP-L1) (net 226) (tstamp 51A2A256))
+  (segment (start 42.85 80.25) (end 43.4 80.25) (width 0.1524) (layer TOP-L1) (net 226))
+  (segment (start 45.45 81.9) (end 43.2 81.9) (width 0.1524) (layer TOP-L1) (net 226) (tstamp 51A2A204))
+  (segment (start 47.75 82.65) (end 46.575 82.65) (width 0.1524) (layer TOP-L1) (net 226))
+  (segment (start 45.825 81.9) (end 45.45 81.9) (width 0.1524) (layer TOP-L1) (net 226) (tstamp 51A2A201))
+  (segment (start 46.575 82.65) (end 45.825 81.9) (width 0.1524) (layer TOP-L1) (net 226) (tstamp 51A2A200))
+  (segment (start 42.2 80.7) (end 42.65 80.25) (width 0.1524) (layer TOP-L1) (net 226) (tstamp 51A2A22C))
+  (segment (start 42.65 80.25) (end 42.85 80.25) (width 0.1524) (layer TOP-L1) (net 226) (tstamp 51A2A22D))
+  (segment (start 42.2 80.85) (end 42.2 80.7) (width 0.1524) (layer TOP-L1) (net 226) (tstamp 51A86E63))
+  (segment (start 42.5 79.25) (end 42 79.25) (width 0.1524) (layer TOP-L1) (net 226) (tstamp 51A2A244))
+  (segment (start 43.25 79.25) (end 43.625 79.25) (width 0.1524) (layer TOP-L1) (net 226))
+  (segment (start 40.425 79.25) (end 40.625 79.25) (width 0.1524) (layer TOP-L1) (net 226) (tstamp 51A2C18D))
+  (via (at 40.625 79.25) (size 0.4572) (layers TOP-L1 BOT-L6) (net 226))
+  (segment (start 42 79.25) (end 40.425 79.25) (width 0.1524) (layer TOP-L1) (net 226))
+  (segment (start 39.5 73.6) (end 39.025 74.075) (width 0.1524) (layer Sig-L5) (net 226) (tstamp 51A3317F))
+  (segment (start 39.025 74.075) (end 39.025 77.125) (width 0.1524) (layer Sig-L5) (net 226) (tstamp 51A33181))
+  (segment (start 40.35 79.25) (end 40.625 79.25) (width 0.1524) (layer Sig-L5) (net 226) (tstamp 51A86F92))
+  (segment (start 39.575 73.275) (end 39.225 72.925) (width 0.1524) (layer Sig-L5) (net 226))
+  (segment (start 41.125 62.4) (end 41.525 62.4) (width 0.1524) (layer TOP-L1) (net 226) (tstamp 51A45111))
+  (segment (start 48 62.4) (end 41.525 62.4) (width 0.1524) (layer TOP-L1) (net 226))
+  (via (at 39.975 63) (size 0.4572) (layers TOP-L1 BOT-L6) (net 226))
+  (segment (start 39.975 63) (end 40.275 62.7) (width 0.1524) (layer TOP-L1) (net 226) (tstamp 51A4510C))
+  (segment (start 40.275 62.7) (end 40.825 62.7) (width 0.1524) (layer TOP-L1) (net 226) (tstamp 51A4510D))
+  (segment (start 40.825 62.7) (end 41.125 62.4) (width 0.1524) (layer TOP-L1) (net 226) (tstamp 51A45110))
+  (segment (start 39.225 72.925) (end 39.225 64.125) (width 0.1524) (layer Sig-L5) (net 226) (tstamp 51A450FF))
+  (segment (start 39.9 73.6) (end 39.575 73.275) (width 0.1524) (layer Sig-L5) (net 226))
+  (segment (start 39.9 73.6) (end 69.4 73.6) (width 0.1524) (layer Sig-L5) (net 226) (tstamp 51A33160))
+  (segment (start 69.4 73.6) (end 69.8 73.2) (width 0.1524) (layer TOP-L1) (net 226) (tstamp 51A33052))
+  (via (at 69.4 73.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 226))
+  (segment (start 47.75 82.65) (end 47.75 82.65) (width 0.1524) (layer TOP-L1) (net 226))
+  (segment (start 49.6 82.4) (end 49 82.4) (width 0.1524) (layer TOP-L1) (net 226))
+  (segment (start 48.75 82.65) (end 47.75 82.65) (width 0.1524) (layer TOP-L1) (net 226) (tstamp 51A2A1A6))
+  (segment (start 49 82.4) (end 48.75 82.65) (width 0.1524) (layer TOP-L1) (net 226) (tstamp 51A2A1A5))
+  (segment (start 50 82.4) (end 49.6 82.4) (width 0.1524) (layer TOP-L1) (net 226))
+  (segment (start 41.6 60.375) (end 41.6 59.425) (width 0.1524) (layer Sig1-L3) (net 227))
+  (segment (start 42.375 58.225) (end 42.425 58.175) (width 0.1524) (layer Sig1-L3) (net 227) (tstamp 51A86A28))
+  (segment (start 42.375 58.65) (end 42.375 58.225) (width 0.1524) (layer Sig1-L3) (net 227) (tstamp 51A86A24))
+  (segment (start 41.6 59.425) (end 42.375 58.65) (width 0.1524) (layer Sig1-L3) (net 227) (tstamp 51A86A21))
+  (segment (start 42.2 63.775) (end 42.2 64.35) (width 0.1524) (layer Sig1-L3) (net 227))
+  (segment (start 42.2 65.775) (end 42.2 65.925) (width 0.1524) (layer Sig1-L3) (net 227) (tstamp 51A86740))
+  (segment (start 42.7 65.275) (end 42.2 65.775) (width 0.1524) (layer Sig1-L3) (net 227) (tstamp 51A8673F))
+  (segment (start 42.7 64.85) (end 42.7 65.275) (width 0.1524) (layer Sig1-L3) (net 227) (tstamp 51A8673E))
+  (segment (start 42.2 64.35) (end 42.7 64.85) (width 0.1524) (layer Sig1-L3) (net 227) (tstamp 51A8673D))
+  (segment (start 42.2 65.925) (end 42.2 66.075) (width 0.1524) (layer Sig1-L3) (net 227) (tstamp 51A86744))
+  (segment (start 43.8 66.425) (end 43.8 66.625) (width 0.1524) (layer Sig1-L3) (net 227) (tstamp 51A8672F))
+  (segment (start 43.4 66.025) (end 43.8 66.425) (width 0.1524) (layer Sig1-L3) (net 227) (tstamp 51A8672D))
+  (segment (start 43.05 66.025) (end 43.4 66.025) (width 0.1524) (layer Sig1-L3) (net 227) (tstamp 51A8672C))
+  (segment (start 42.725 66.35) (end 43.05 66.025) (width 0.1524) (layer Sig1-L3) (net 227) (tstamp 51A8672B))
+  (segment (start 42.475 66.35) (end 42.725 66.35) (width 0.1524) (layer Sig1-L3) (net 227) (tstamp 51A8672A))
+  (segment (start 42.2 66.075) (end 42.475 66.35) (width 0.1524) (layer Sig1-L3) (net 227) (tstamp 51A86729))
+  (segment (start 42.55 66.675) (end 42.7 66.675) (width 0.1524) (layer Sig1-L3) (net 227))
+  (segment (start 44.05 68.4) (end 43.85 68.2) (width 0.1524) (layer Sig1-L3) (net 227))
+  (segment (start 43.175 67.525) (end 42.35 67.525) (width 0.1524) (layer Sig1-L3) (net 227) (tstamp 51A866C2))
+  (segment (start 42.35 67.525) (end 42.125 67.3) (width 0.1524) (layer Sig1-L3) (net 227) (tstamp 51A866D4))
+  (segment (start 42.125 67.3) (end 42.125 66.9) (width 0.1524) (layer Sig1-L3) (net 227) (tstamp 51A866D7))
+  (segment (start 42.125 66.9) (end 42.35 66.675) (width 0.1524) (layer Sig1-L3) (net 227) (tstamp 51A866DA))
+  (segment (start 42.35 66.675) (end 42.55 66.675) (width 0.1524) (layer Sig1-L3) (net 227) (tstamp 51A866DB))
+  (segment (start 43.85 68.2) (end 43.175 67.525) (width 0.1524) (layer Sig1-L3) (net 227))
+  (segment (start 42.7 66.675) (end 43.175 67.15) (width 0.1524) (layer Sig1-L3) (net 227) (tstamp 51A8670A))
+  (segment (start 43.175 67.15) (end 43.65 67.15) (width 0.1524) (layer Sig1-L3) (net 227) (tstamp 51A8670B))
+  (segment (start 43.65 67.15) (end 43.8 67) (width 0.1524) (layer Sig1-L3) (net 227) (tstamp 51A8670D))
+  (segment (start 43.8 67) (end 43.8 66.625) (width 0.1524) (layer Sig1-L3) (net 227) (tstamp 51A8670E))
+  (segment (start 48.4 58.8) (end 48 58.8) (width 0.1524) (layer TOP-L1) (net 227) (tstamp 519DB511))
+  (segment (start 48.8 58.4) (end 48.4 58.8) (width 0.1524) (layer TOP-L1) (net 227))
+  (segment (start 46.675 57.95) (end 47.525 58.8) (width 0.1524) (layer TOP-L1) (net 227) (tstamp 519DB64C))
+  (segment (start 47.525 58.8) (end 48 58.8) (width 0.1524) (layer TOP-L1) (net 227) (tstamp 519DB64D))
+  (segment (start 44.5 57.95) (end 46.675 57.95) (width 0.1524) (layer TOP-L1) (net 227) (tstamp 519F4BD4))
+  (segment (start 44.45 57.95) (end 44.5 57.95) (width 0.1524) (layer TOP-L1) (net 227) (tstamp 519F4C12))
+  (segment (start 43.8 57.95) (end 44.45 57.95) (width 0.1524) (layer TOP-L1) (net 227) (tstamp 51A013A2))
+  (segment (start 42.64 57.95) (end 43.8 57.95) (width 0.1524) (layer TOP-L1) (net 227) (tstamp 51A05981))
+  (via (at 42.64 57.95) (size 0.4572) (layers TOP-L1 BOT-L6) (net 227))
+  (segment (start 41.6 61.675) (end 41.6 60.375) (width 0.1524) (layer Sig1-L3) (net 227) (tstamp 51A2FF89))
+  (segment (start 42.65 57.95) (end 42.64 57.95) (width 0.1524) (layer Sig1-L3) (net 227) (tstamp 51A05D9F))
+  (segment (start 41.6 62.825) (end 42.2 63.425) (width 0.1524) (layer Sig1-L3) (net 227) (tstamp 51A2FF8B))
+  (segment (start 42.2 63.425) (end 42.2 63.775) (width 0.1524) (layer Sig1-L3) (net 227) (tstamp 51A2FF8C))
+  (segment (start 41.6 61.675) (end 41.6 62.825) (width 0.1524) (layer Sig1-L3) (net 227))
+  (segment (start 42.425 58.175) (end 42.65 57.95) (width 0.1524) (layer Sig1-L3) (net 227) (tstamp 51A86A2B))
+  (segment (start 43.075 75.225) (end 43.075 80.175) (width 0.1524) (layer Sig1-L3) (net 227))
+  (segment (start 43.325 80.425) (end 43.425 80.425) (width 0.1524) (layer Sig1-L3) (net 227) (tstamp 51A303C4))
+  (segment (start 43.075 80.175) (end 43.325 80.425) (width 0.1524) (layer Sig1-L3) (net 227) (tstamp 51A303C1))
+  (segment (start 43.775 80.225) (end 43.775 79.55) (width 0.1524) (layer Sig1-L3) (net 227) (tstamp 519F541E))
+  (segment (start 43.575 80.425) (end 43.775 80.225) (width 0.1524) (layer Sig1-L3) (net 227) (tstamp 519F541D))
+  (segment (start 43.425 80.425) (end 43.575 80.425) (width 0.1524) (layer Sig1-L3) (net 227) (tstamp 51A303C7))
+  (segment (start 44.05 68.4) (end 69.8 68.4) (width 0.1524) (layer Sig-L5) (net 227))
+  (segment (start 70.2 68) (end 70.6 67.6) (width 0.1524) (layer TOP-L1) (net 227) (tstamp 51A30376))
+  (via (at 70.2 68) (size 0.4572) (layers TOP-L1 BOT-L6) (net 227))
+  (segment (start 69.8 68.4) (end 70.2 68) (width 0.1524) (layer Sig-L5) (net 227) (tstamp 51A3036C))
+  (segment (start 43.775 79.55) (end 43.775 79.45) (width 0.1524) (layer Sig1-L3) (net 227) (tstamp 519F5422))
+  (segment (start 43.775 79.45) (end 43.4 79.075) (width 0.1524) (layer Sig1-L3) (net 227) (tstamp 519F53F5))
+  (segment (start 43.4 79.075) (end 43.4 78.45) (width 0.1524) (layer Sig1-L3) (net 227) (tstamp 519F53F6))
+  (segment (start 44.025 68.375) (end 44.05 68.4) (width 0.1524) (layer Sig1-L3) (net 227) (tstamp 519F4E2E))
+  (segment (start 44.025 68.375) (end 43.7 68.375) (width 0.1524) (layer Sig1-L3) (net 227))
+  (segment (start 50.325 78.875) (end 50.8 78.4) (width 0.1524) (layer TOP-L1) (net 227) (tstamp 519F5402))
+  (via (at 43.775 78.075) (size 0.4572) (layers TOP-L1 BOT-L6) (net 227))
+  (segment (start 43.775 78.075) (end 48.7 78.075) (width 0.1524) (layer TOP-L1) (net 227) (tstamp 519F53FB))
+  (segment (start 48.7 78.075) (end 49.5 78.875) (width 0.1524) (layer TOP-L1) (net 227) (tstamp 519F53FC))
+  (segment (start 49.5 78.875) (end 50.325 78.875) (width 0.1524) (layer TOP-L1) (net 227) (tstamp 519F53FF))
+  (segment (start 43.4 78.45) (end 43.775 78.075) (width 0.1524) (layer Sig1-L3) (net 227) (tstamp 519F53F7))
+  (segment (start 43.075 69) (end 43.075 75.225) (width 0.1524) (layer Sig1-L3) (net 227) (tstamp 519F53E1))
+  (segment (start 43.7 68.375) (end 43.075 69) (width 0.1524) (layer Sig1-L3) (net 227) (tstamp 519F53DF))
+  (segment (start 44.025 68.4) (end 44.05 68.4) (width 0.1524) (layer TOP-L1) (net 227) (tstamp 519F4C78))
+  (segment (start 44.05 68.4) (end 44.025 68.375) (width 0.1524) (layer Sig1-L3) (net 227) (tstamp 519F4BC1))
+  (via (at 44.05 68.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 227))
+  (segment (start 45.275 77.8) (end 45.275 76.875) (width 0.1524) (layer Sig1-L3) (net 228))
+  (segment (start 45.275 74.8) (end 45.275 74.675) (width 0.1524) (layer Sig1-L3) (net 228) (tstamp 51A8699C))
+  (segment (start 45 75.075) (end 45.275 74.8) (width 0.1524) (layer Sig1-L3) (net 228) (tstamp 51A8699B))
+  (segment (start 45 76.6) (end 45 75.075) (width 0.1524) (layer Sig1-L3) (net 228) (tstamp 51A8698F))
+  (segment (start 45.275 76.875) (end 45 76.6) (width 0.1524) (layer Sig1-L3) (net 228) (tstamp 51A8698E))
+  (segment (start 45.475 79.2) (end 46.175 79.9) (width 0.1524) (layer Sig1-L3) (net 228))
+  (segment (start 45.45 81.675) (end 45.2 81.675) (width 0.1524) (layer Sig1-L3) (net 228) (tstamp 51A30933))
+  (segment (start 46.175 80.95) (end 45.45 81.675) (width 0.1524) (layer Sig1-L3) (net 228) (tstamp 51A30932))
+  (segment (start 46.175 79.9) (end 46.175 80.95) (width 0.1524) (layer Sig1-L3) (net 228) (tstamp 51A30930))
+  (segment (start 44.9 80.9) (end 44.9 81.525) (width 0.1524) (layer Sig1-L3) (net 228))
+  (segment (start 45.05 81.675) (end 45.2 81.675) (width 0.1524) (layer Sig1-L3) (net 228) (tstamp 51A30924))
+  (segment (start 44.9 81.525) (end 45.05 81.675) (width 0.1524) (layer Sig1-L3) (net 228) (tstamp 51A30923))
+  (segment (start 44.9 80.975) (end 44.9 80.9) (width 0.1524) (layer Sig1-L3) (net 228))
+  (segment (start 44.9 80.975) (end 44.9 80.825) (width 0.1524) (layer Sig1-L3) (net 228) (tstamp 51A30889))
+  (segment (start 45.65 80.075) (end 46.325 80.075) (width 0.1524) (layer TOP-L1) (net 228) (tstamp 51A019E0))
+  (segment (start 45.275 80.45) (end 45.65 80.075) (width 0.1524) (layer TOP-L1) (net 228) (tstamp 51A019DF))
+  (via (at 45.275 80.45) (size 0.4572) (layers TOP-L1 BOT-L6) (net 228))
+  (segment (start 44.9 80.825) (end 45.275 80.45) (width 0.1524) (layer Sig1-L3) (net 228) (tstamp 51A019D2))
+  (segment (start 53.05 80.375) (end 53.65 80.375) (width 0.1524) (layer TOP-L1) (net 228))
+  (segment (start 54.8 79.225) (end 54.8 78.8) (width 0.1524) (layer TOP-L1) (net 228) (tstamp 51A166F9))
+  (segment (start 53.65 80.375) (end 54.8 79.225) (width 0.1524) (layer TOP-L1) (net 228) (tstamp 51A166F8))
+  (segment (start 48.7 80.25) (end 48.525 80.075) (width 0.1524) (layer TOP-L1) (net 228))
+  (segment (start 48.525 80.075) (end 46.325 80.075) (width 0.1524) (layer TOP-L1) (net 228) (tstamp 519DDBA4))
+  (segment (start 54.8 78.4) (end 54.8 78.8) (width 0.1524) (layer TOP-L1) (net 228))
+  (segment (start 48.825 80.375) (end 48.7 80.25) (width 0.1524) (layer TOP-L1) (net 228) (tstamp 519DC1D5))
+  (segment (start 53.05 80.375) (end 48.825 80.375) (width 0.1524) (layer TOP-L1) (net 228) (tstamp 51A166F6))
+  (segment (start 43 60.08) (end 43.48 60.08) (width 0.1524) (layer TOP-L1) (net 228))
+  (segment (start 43.65 60.25) (end 43.65 60.3) (width 0.1524) (layer TOP-L1) (net 228) (tstamp 51A30916))
+  (segment (start 43.48 60.08) (end 43.65 60.25) (width 0.1524) (layer TOP-L1) (net 228) (tstamp 51A30915))
+  (segment (start 45.65 60.15) (end 45.9 59.9) (width 0.1524) (layer TOP-L1) (net 228) (tstamp 51A30907))
+  (segment (start 45.65 60.5) (end 45.65 60.15) (width 0.1524) (layer TOP-L1) (net 228) (tstamp 51A30906))
+  (segment (start 45.45 60.7) (end 45.65 60.5) (width 0.1524) (layer TOP-L1) (net 228) (tstamp 51A30905))
+  (segment (start 45.125 60.7) (end 45.45 60.7) (width 0.1524) (layer TOP-L1) (net 228) (tstamp 51A30904))
+  (segment (start 44.95 60.525) (end 45.125 60.7) (width 0.1524) (layer TOP-L1) (net 228) (tstamp 51A30903))
+  (segment (start 44.95 60.05) (end 44.95 60.525) (width 0.1524) (layer TOP-L1) (net 228) (tstamp 51A30902))
+  (segment (start 44.775 59.875) (end 44.95 60.05) (width 0.1524) (layer TOP-L1) (net 228) (tstamp 51A30901))
+  (segment (start 44.45 59.875) (end 44.775 59.875) (width 0.1524) (layer TOP-L1) (net 228) (tstamp 51A30900))
+  (segment (start 44.225 60.1) (end 44.45 59.875) (width 0.1524) (layer TOP-L1) (net 228) (tstamp 51A308FF))
+  (segment (start 44.225 60.55) (end 44.225 60.1) (width 0.1524) (layer TOP-L1) (net 228) (tstamp 51A308FE))
+  (segment (start 44.05 60.725) (end 44.225 60.55) (width 0.1524) (layer TOP-L1) (net 228) (tstamp 51A308FD))
+  (segment (start 43.85 60.725) (end 44.05 60.725) (width 0.1524) (layer TOP-L1) (net 228) (tstamp 51A308FC))
+  (segment (start 43.65 60.525) (end 43.85 60.725) (width 0.1524) (layer TOP-L1) (net 228) (tstamp 51A308FB))
+  (segment (start 43.65 60.3) (end 43.65 60.525) (width 0.1524) (layer TOP-L1) (net 228) (tstamp 51A30919))
+  (segment (start 42.3 60.95) (end 42.3 60.975) (width 0.1524) (layer Sig1-L3) (net 228))
+  (segment (start 42.3 62.05) (end 42.3 62.1) (width 0.1524) (layer Sig1-L3) (net 228) (tstamp 51A308EE))
+  (segment (start 42.675 61.675) (end 42.3 62.05) (width 0.1524) (layer Sig1-L3) (net 228) (tstamp 51A308ED))
+  (segment (start 42.675 61.35) (end 42.675 61.675) (width 0.1524) (layer Sig1-L3) (net 228) (tstamp 51A308EC))
+  (segment (start 42.3 60.975) (end 42.675 61.35) (width 0.1524) (layer Sig1-L3) (net 228) (tstamp 51A308EB))
+  (segment (start 45.275 77.75) (end 45.275 77.8) (width 0.1524) (layer Sig1-L3) (net 228))
+  (segment (start 45.275 74.675) (end 45.275 73.525) (width 0.1524) (layer Sig1-L3) (net 228) (tstamp 51A8699F))
+  (segment (start 45.125 73.375) (end 45.125 71.925) (width 0.1524) (layer Sig1-L3) (net 228) (tstamp 51A308A2))
+  (segment (start 45.275 73.525) (end 45.125 73.375) (width 0.1524) (layer Sig1-L3) (net 228) (tstamp 51A308A0))
+  (segment (start 45.275 78.55) (end 45.275 79) (width 0.1524) (layer Sig1-L3) (net 228))
+  (segment (start 45.275 79) (end 45.475 79.2) (width 0.1524) (layer Sig1-L3) (net 228) (tstamp 51A1576A))
+  (segment (start 45.425 70.375) (end 45.125 70.675) (width 0.1524) (layer Sig1-L3) (net 228))
+  (segment (start 45.125 70.675) (end 45.125 71.925) (width 0.1524) (layer Sig1-L3) (net 228) (tstamp 51A0196E))
+  (segment (start 45.275 77.75) (end 45.275 78.55) (width 0.1524) (layer Sig1-L3) (net 228) (tstamp 51A3089E))
+  (segment (start 46.375 59.9) (end 46.375 59.91) (width 0.1524) (layer TOP-L1) (net 228) (tstamp 51A3081A))
+  (segment (start 45.9 59.9) (end 46.375 59.9) (width 0.1524) (layer TOP-L1) (net 228) (tstamp 51A30815))
+  (segment (start 46.56 59.91) (end 46.82 60.17) (width 0.1524) (layer TOP-L1) (net 228) (tstamp 51A15501))
+  (segment (start 46.375 59.91) (end 46.56 59.91) (width 0.1524) (layer TOP-L1) (net 228) (tstamp 51A3081B))
+  (segment (start 47.025 60.375) (end 47.475 60.375) (width 0.1524) (layer TOP-L1) (net 228) (tstamp 519DB685))
+  (segment (start 46.82 60.17) (end 47.025 60.375) (width 0.1524) (layer TOP-L1) (net 228) (tstamp 51A15506))
+  (segment (start 52.8 58.4) (end 52.8 59.125) (width 0.1524) (layer TOP-L1) (net 228))
+  (segment (start 51.55 60.375) (end 47.475 60.375) (width 0.1524) (layer TOP-L1) (net 228) (tstamp 519DB47E))
+  (segment (start 52.8 59.125) (end 51.55 60.375) (width 0.1524) (layer TOP-L1) (net 228) (tstamp 519DB47B))
+  (segment (start 45.45 70.4) (end 69.4 70.4) (width 0.1524) (layer Sig-L5) (net 228))
+  (via (at 45.45 70.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 228))
+  (segment (start 45.425 70.375) (end 45.45 70.4) (width 0.1524) (layer Sig1-L3) (net 228) (tstamp 51A01940))
+  (segment (start 69.4 70.4) (end 69.8 70) (width 0.1524) (layer TOP-L1) (net 228) (tstamp 51A307EA))
+  (via (at 69.4 70.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 228))
+  (segment (start 42.3 60.95) (end 42.3 60.78) (width 0.1524) (layer Sig1-L3) (net 228) (tstamp 51A308E9))
+  (segment (start 42.68 60.4) (end 43 60.08) (width 0.1524) (layer TOP-L1) (net 228) (tstamp 51A15953))
+  (via (at 42.68 60.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 228))
+  (segment (start 42.3 60.78) (end 42.68 60.4) (width 0.1524) (layer Sig1-L3) (net 228) (tstamp 51A15951))
+  (segment (start 42.3 62.275) (end 42.3 62.1) (width 0.1524) (layer Sig1-L3) (net 228) (tstamp 51A0194C))
+  (segment (start 45.425 70.375) (end 45.025 69.975) (width 0.1524) (layer Sig1-L3) (net 228) (tstamp 51A0196C))
+  (segment (start 45.025 69.975) (end 45.025 67.975) (width 0.1524) (layer Sig1-L3) (net 228) (tstamp 51A01941))
+  (segment (start 45.025 67.975) (end 44.525 67.475) (width 0.1524) (layer Sig1-L3) (net 228) (tstamp 51A01942))
+  (segment (start 44.525 67.475) (end 44.525 65.65) (width 0.1524) (layer Sig1-L3) (net 228) (tstamp 51A01944))
+  (segment (start 44.525 65.65) (end 42.95 64.075) (width 0.1524) (layer Sig1-L3) (net 228) (tstamp 51A01945))
+  (segment (start 42.95 64.075) (end 42.95 62.925) (width 0.1524) (layer Sig1-L3) (net 228) (tstamp 51A01948))
+  (segment (start 42.95 62.925) (end 42.3 62.275) (width 0.1524) (layer Sig1-L3) (net 228) (tstamp 51A0194A))
+  (segment (start 57.425 71.675) (end 57.425 72.02542) (width 0.1524) (layer TOP-L1) (net 229) (tstamp 51A443CF))
+  (segment (start 58.425 70.925) (end 57.9 71.45) (width 0.1524) (layer TOP-L1) (net 229) (tstamp 51A443C0))
+  (segment (start 57.9 71.45) (end 57.65 71.45) (width 0.1524) (layer TOP-L1) (net 229) (tstamp 51A443C6))
+  (segment (start 57.65 71.45) (end 57.425 71.675) (width 0.1524) (layer TOP-L1) (net 229) (tstamp 51A443CD))
+  (segment (start 68.2 70.8) (end 68.075 70.925) (width 0.1524) (layer TOP-L1) (net 229))
+  (segment (start 68.075 70.925) (end 58.425 70.925) (width 0.1524) (layer TOP-L1) (net 229) (tstamp 51A443B8))
+  (segment (start 60.65 71.225) (end 58.55 71.225) (width 0.1524) (layer TOP-L1) (net 230))
+  (segment (start 58.275 71.5) (end 58.275 72.02542) (width 0.1524) (layer TOP-L1) (net 230) (tstamp 51A44399))
+  (segment (start 58.55 71.225) (end 58.275 71.5) (width 0.1524) (layer TOP-L1) (net 230) (tstamp 51A44398))
+  (segment (start 68.575 71.225) (end 69 70.8) (width 0.1524) (layer TOP-L1) (net 230) (tstamp 51A333F4))
+  (segment (start 60.65 71.225) (end 68.575 71.225) (width 0.1524) (layer TOP-L1) (net 230) (tstamp 51A44396))
+  (segment (start 66.2 78.5) (end 66.2 78.675) (width 0.1524) (layer Sig1-L3) (net 231))
+  (segment (start 66.6 79.075) (end 66.75 79.075) (width 0.1524) (layer Sig1-L3) (net 231) (tstamp 51A43DD2))
+  (segment (start 66.2 78.675) (end 66.6 79.075) (width 0.1524) (layer Sig1-L3) (net 231) (tstamp 51A43DD1))
+  (segment (start 66.75 79.075) (end 68.4 79.075) (width 0.1524) (layer Sig1-L3) (net 231) (tstamp 51A43DD5))
+  (segment (start 65.825 77.825) (end 65.95 77.825) (width 0.1524) (layer Sig1-L3) (net 231))
+  (segment (start 66.2 78.275) (end 66.2 78.5) (width 0.1524) (layer Sig1-L3) (net 231) (tstamp 51A43A1B))
+  (segment (start 65.325 78.05) (end 65.55 77.825) (width 0.1524) (layer Sig1-L3) (net 231) (tstamp 51A439FE))
+  (segment (start 65.55 77.825) (end 65.825 77.825) (width 0.1524) (layer Sig1-L3) (net 231) (tstamp 51A439FF))
+  (segment (start 65.95 77.825) (end 66.2 78.075) (width 0.1524) (layer Sig1-L3) (net 231) (tstamp 51A43A17))
+  (segment (start 66.2 78.075) (end 66.2 78.275) (width 0.1524) (layer Sig1-L3) (net 231) (tstamp 51A43A18))
+  (segment (start 65.325 78.625) (end 65.325 78.05) (width 0.1524) (layer Sig1-L3) (net 231))
+  (segment (start 58.8 81.6) (end 59.1 81.3) (width 0.1524) (layer TOP-L1) (net 231))
+  (segment (start 64.1 79.525) (end 63.325 79.525) (width 0.1524) (layer Sig1-L3) (net 231) (tstamp 51A439CE))
+  (segment (start 63.325 79.525) (end 62.8 79) (width 0.1524) (layer Sig1-L3) (net 231) (tstamp 519B54C0))
+  (via (at 59.75 79.125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 231))
+  (segment (start 59.75 79.125) (end 60.15 79.125) (width 0.1524) (layer Sig1-L3) (net 231) (tstamp 51A2113B))
+  (segment (start 60.15 79.125) (end 60.375 79.35) (width 0.1524) (layer Sig1-L3) (net 231) (tstamp 51A2113C))
+  (segment (start 60.375 79.35) (end 60.65 79.35) (width 0.1524) (layer Sig1-L3) (net 231) (tstamp 51A2113D))
+  (segment (start 62.65 78.85) (end 62.8 79) (width 0.1524) (layer Sig1-L3) (net 231) (tstamp 51A439B6))
+  (segment (start 59.525 80.875) (end 59.525 79.35) (width 0.1524) (layer TOP-L1) (net 231) (tstamp 51A21185))
+  (segment (start 59.525 79.35) (end 59.675 79.2) (width 0.1524) (layer TOP-L1) (net 231) (tstamp 51A21186))
+  (segment (start 59.675 79.2) (end 59.75 79.125) (width 0.1524) (layer TOP-L1) (net 231) (tstamp 51A21188))
+  (segment (start 59.1 81.3) (end 59.525 80.875) (width 0.1524) (layer TOP-L1) (net 231))
+  (segment (start 61 79.35) (end 61.925 78.425) (width 0.1524) (layer Sig1-L3) (net 231) (tstamp 51A439AB))
+  (segment (start 61.925 78.425) (end 62.225 78.425) (width 0.1524) (layer Sig1-L3) (net 231) (tstamp 51A439B1))
+  (segment (start 62.225 78.425) (end 62.65 78.85) (width 0.1524) (layer Sig1-L3) (net 231) (tstamp 51A439B3))
+  (segment (start 60.65 79.35) (end 61 79.35) (width 0.1524) (layer Sig1-L3) (net 231))
+  (segment (start 64.1 79.525) (end 64.75 79.525) (width 0.1524) (layer Sig1-L3) (net 231))
+  (segment (start 65.325 78.95) (end 65.325 78.625) (width 0.1524) (layer Sig1-L3) (net 231) (tstamp 51A439D1))
+  (segment (start 64.75 79.525) (end 65.325 78.95) (width 0.1524) (layer Sig1-L3) (net 231) (tstamp 51A439D0))
+  (segment (start 72.2 75.25) (end 72.2 76.3) (width 0.1524) (layer TOP-L1) (net 231))
+  (segment (start 69.125 79.075) (end 68.4 79.075) (width 0.1524) (layer Sig1-L3) (net 231) (tstamp 51A43D63))
+  (segment (start 69.15 79.1) (end 69.125 79.075) (width 0.1524) (layer Sig1-L3) (net 231) (tstamp 51A43D62))
+  (via (at 69.15 79.1) (size 0.4572) (layers TOP-L1 BOT-L6) (net 231))
+  (segment (start 69.4 79.1) (end 69.15 79.1) (width 0.1524) (layer TOP-L1) (net 231) (tstamp 51A43D5C))
+  (segment (start 72.2 76.3) (end 69.4 79.1) (width 0.1524) (layer TOP-L1) (net 231) (tstamp 51A43D58))
+  (segment (start 72.2 74.8) (end 72.2 75.125) (width 0.1524) (layer TOP-L1) (net 231))
+  (segment (start 72.2 75.125) (end 72.2 75.25) (width 0.1524) (layer TOP-L1) (net 231))
+  (segment (start 59.925 71.6) (end 59.5 71.6) (width 0.1524) (layer TOP-L1) (net 232))
+  (segment (start 58.925 72.175) (end 58.925 72.575) (width 0.1524) (layer TOP-L1) (net 232) (tstamp 519B20F1))
+  (segment (start 59.5 71.6) (end 58.925 72.175) (width 0.1524) (layer TOP-L1) (net 232) (tstamp 519B20F0))
+  (segment (start 62.125 71.6) (end 59.925 71.6) (width 0.1524) (layer TOP-L1) (net 232))
+  (segment (start 59.2 76) (end 59.2 76.45) (width 0.1524) (layer TOP-L1) (net 232) (tstamp 519B20E6))
+  (segment (start 58.925 75.725) (end 59.2 76) (width 0.1524) (layer TOP-L1) (net 232) (tstamp 519B20E4))
+  (segment (start 58.925 72.575) (end 58.925 75.725) (width 0.1524) (layer TOP-L1) (net 232) (tstamp 519B20F4))
+  (segment (start 63.15 71.6) (end 62.125 71.6) (width 0.1524) (layer TOP-L1) (net 232))
+  (segment (start 59.2 76.45) (end 59.2 78) (width 0.1524) (layer TOP-L1) (net 232) (tstamp 519B20E9))
+  (segment (start 68.2 71.6) (end 63.15 71.6) (width 0.1524) (layer TOP-L1) (net 232))
+  (segment (start 59.2 78) (end 59.6 78.4) (width 0.1524) (layer TOP-L1) (net 232) (tstamp 519B1585))
+  (segment (start 57.85 63.225) (end 57.85 64.625) (width 0.1524) (layer Sig1-L3) (net 233))
+  (segment (start 59.65 65.525) (end 60 65.875) (width 0.1524) (layer TOP-L1) (net 233) (tstamp 51A162D4))
+  (segment (start 59.65 65.325) (end 59.65 65.525) (width 0.1524) (layer TOP-L1) (net 233) (tstamp 51A162D3))
+  (segment (start 59.45 65.125) (end 59.65 65.325) (width 0.1524) (layer TOP-L1) (net 233) (tstamp 51A162D1))
+  (segment (start 58.975 65.125) (end 59.45 65.125) (width 0.1524) (layer TOP-L1) (net 233) (tstamp 51A162D0))
+  (segment (start 58.85 65.25) (end 58.975 65.125) (width 0.1524) (layer TOP-L1) (net 233) (tstamp 51A162CD))
+  (segment (start 58.85 66.025) (end 58.85 65.25) (width 0.1524) (layer TOP-L1) (net 233) (tstamp 51A162CB))
+  (segment (start 58.725 66.15) (end 58.85 66.025) (width 0.1524) (layer TOP-L1) (net 233) (tstamp 51A162C7))
+  (segment (start 58.425 66.15) (end 58.725 66.15) (width 0.1524) (layer TOP-L1) (net 233) (tstamp 51A162C3))
+  (segment (start 57.85 65.575) (end 58.425 66.15) (width 0.1524) (layer TOP-L1) (net 233) (tstamp 51A162B6))
+  (segment (start 57.85 65.325) (end 57.85 65.575) (width 0.1524) (layer TOP-L1) (net 233) (tstamp 51A162B3))
+  (segment (start 57.85 64.625) (end 57.85 65.325) (width 0.1524) (layer TOP-L1) (net 233) (tstamp 51A162B2))
+  (via (at 57.85 64.625) (size 0.4572) (layers TOP-L1 BOT-L6) (net 233))
+  (via (at 57.2 62) (size 0.4572) (layers TOP-L1 BOT-L6) (net 233))
+  (segment (start 57.2 62) (end 56.8 61.6) (width 0.1524) (layer TOP-L1) (net 233) (tstamp 519C714B))
+  (segment (start 57.425 62.225) (end 57.2 62) (width 0.1524) (layer Sig1-L3) (net 233) (tstamp 519C9208))
+  (segment (start 57.85 62.65) (end 57.85 63.225) (width 0.1524) (layer Sig1-L3) (net 233) (tstamp 519C920A))
+  (segment (start 57.425 62.225) (end 57.85 62.65) (width 0.1524) (layer Sig1-L3) (net 233))
+  (segment (start 60 65.875) (end 60.075 65.95) (width 0.1524) (layer TOP-L1) (net 233) (tstamp 51A162D8))
+  (segment (start 63.75 68.8) (end 62.05 68.8) (width 0.1524) (layer TOP-L1) (net 233))
+  (segment (start 61.775 67.65) (end 61.6 67.475) (width 0.1524) (layer TOP-L1) (net 233) (tstamp 519C9244))
+  (segment (start 61.775 68.525) (end 61.775 67.65) (width 0.1524) (layer TOP-L1) (net 233) (tstamp 519C9242))
+  (segment (start 62.05 68.8) (end 61.775 68.525) (width 0.1524) (layer TOP-L1) (net 233) (tstamp 519C9241))
+  (segment (start 60.075 65.95) (end 61.6 67.475) (width 0.1524) (layer TOP-L1) (net 233) (tstamp 519C9237))
+  (segment (start 68.6 68.8) (end 63.75 68.8) (width 0.1524) (layer TOP-L1) (net 233) (tstamp 519C712B))
+  (segment (start 69 68.4) (end 68.6 68.8) (width 0.1524) (layer TOP-L1) (net 233))
+  (segment (start 67.55 57.225) (end 67.55 56.125) (width 0.1524) (layer TOP-L1) (net 234))
+  (segment (start 58 55.875) (end 58 56.15) (width 0.1524) (layer TOP-L1) (net 234) (tstamp 519B5FA6))
+  (segment (start 58.375 55.5) (end 58 55.875) (width 0.1524) (layer TOP-L1) (net 234) (tstamp 519B5FA5))
+  (segment (start 66.925 55.5) (end 58.375 55.5) (width 0.1524) (layer TOP-L1) (net 234) (tstamp 519B5FA3))
+  (segment (start 67.55 56.125) (end 66.925 55.5) (width 0.1524) (layer TOP-L1) (net 234) (tstamp 519B5FA1))
+  (segment (start 67.55 57.225) (end 67.55 61.675) (width 0.1524) (layer TOP-L1) (net 234) (tstamp 519B5F9F))
+  (segment (start 57.6 58.4) (end 58 58) (width 0.1524) (layer TOP-L1) (net 234))
+  (segment (start 58 58) (end 58 56.15) (width 0.1524) (layer TOP-L1) (net 234) (tstamp 519B5F14))
+  (segment (start 67.875 62) (end 68.2 62) (width 0.1524) (layer TOP-L1) (net 234) (tstamp 519B5F1E))
+  (segment (start 67.55 61.675) (end 67.875 62) (width 0.1524) (layer TOP-L1) (net 234) (tstamp 519B5F1D))
+  (segment (start 65.175 77.3) (end 65.5 76.975) (width 0.1524) (layer Sig1-L3) (net 235))
+  (segment (start 66.175 76.975) (end 66.4 77.2) (width 0.1524) (layer Sig1-L3) (net 235) (tstamp 51A43F4F))
+  (segment (start 65.5 76.975) (end 66.175 76.975) (width 0.1524) (layer Sig1-L3) (net 235) (tstamp 51A43F4E))
+  (segment (start 58.95 77.45) (end 59.2 77.2) (width 0.1524) (layer Sig1-L3) (net 235))
+  (segment (start 62.2 77.2) (end 62.575 77.575) (width 0.1524) (layer Sig1-L3) (net 235) (tstamp 51A43F0F))
+  (segment (start 59.2 77.2) (end 62.2 77.2) (width 0.1524) (layer Sig1-L3) (net 235) (tstamp 51A43F0E))
+  (segment (start 64.95 78.125) (end 64.95 77.525) (width 0.1524) (layer Sig1-L3) (net 235))
+  (segment (start 64.95 77.525) (end 65.175 77.3) (width 0.1524) (layer Sig1-L3) (net 235) (tstamp 51A43E44))
+  (segment (start 62.775 78.4) (end 62.925 78.55) (width 0.1524) (layer Sig1-L3) (net 235) (tstamp 51A43E37))
+  (segment (start 62.775 77.775) (end 62.775 78.4) (width 0.1524) (layer Sig1-L3) (net 235) (tstamp 51A43E36))
+  (segment (start 62.575 77.575) (end 62.775 77.775) (width 0.1524) (layer Sig1-L3) (net 235) (tstamp 51A43F15))
+  (segment (start 71.8 75.075) (end 71.8 76.2) (width 0.1524) (layer TOP-L1) (net 235))
+  (segment (start 66.725 78.025) (end 66.725 77.725) (width 0.1524) (layer Sig1-L3) (net 235) (tstamp 51A43E1B))
+  (segment (start 67.075 78.375) (end 66.725 78.025) (width 0.1524) (layer Sig1-L3) (net 235) (tstamp 51A43E12))
+  (segment (start 68.775 78.375) (end 67.075 78.375) (width 0.1524) (layer Sig1-L3) (net 235) (tstamp 51A43E11))
+  (via (at 68.775 78.375) (size 0.4572) (layers TOP-L1 BOT-L6) (net 235))
+  (segment (start 69.625 78.375) (end 68.775 78.375) (width 0.1524) (layer TOP-L1) (net 235) (tstamp 51A43E09))
+  (segment (start 71.8 76.2) (end 69.625 78.375) (width 0.1524) (layer TOP-L1) (net 235) (tstamp 51A43E06))
+  (segment (start 64.95 78.2) (end 64.95 78.125) (width 0.1524) (layer Sig1-L3) (net 235))
+  (segment (start 66.725 77.525) (end 66.725 77.725) (width 0.1524) (layer Sig1-L3) (net 235) (tstamp 51A43A9C))
+  (segment (start 66.4 77.2) (end 66.725 77.525) (width 0.1524) (layer Sig1-L3) (net 235) (tstamp 51A43F52))
+  (segment (start 58 78.4) (end 58.4 78) (width 0.1524) (layer TOP-L1) (net 235))
+  (segment (start 64.95 78.6) (end 64.95 78.2) (width 0.1524) (layer Sig1-L3) (net 235) (tstamp 51A43A4C))
+  (segment (start 64.425 79.125) (end 64.95 78.6) (width 0.1524) (layer Sig1-L3) (net 235) (tstamp 51A43A4B))
+  (segment (start 63.5 79.125) (end 64.425 79.125) (width 0.1524) (layer Sig1-L3) (net 235) (tstamp 51A43A48))
+  (segment (start 62.925 78.55) (end 63.5 79.125) (width 0.1524) (layer Sig1-L3) (net 235) (tstamp 51A43E3A))
+  (segment (start 58.4 78) (end 58.95 77.45) (width 0.1524) (layer Sig1-L3) (net 235) (tstamp 51A43A33))
+  (via (at 58.4 78) (size 0.4572) (layers TOP-L1 BOT-L6) (net 235))
+  (segment (start 71.8 74.4) (end 72.2 74) (width 0.1524) (layer TOP-L1) (net 235) (tstamp 51A43A7B))
+  (segment (start 71.8 75.075) (end 71.8 74.4) (width 0.1524) (layer TOP-L1) (net 235) (tstamp 51A43E04))
+  (segment (start 65 77.05) (end 65.375 76.675) (width 0.1524) (layer Sig1-L3) (net 236))
+  (segment (start 66.3 76.675) (end 66.65 77.025) (width 0.1524) (layer Sig1-L3) (net 236) (tstamp 51A43F46))
+  (segment (start 65.375 76.675) (end 66.3 76.675) (width 0.1524) (layer Sig1-L3) (net 236) (tstamp 51A43F44))
+  (segment (start 58.25 77.55) (end 57.9 77.55) (width 0.1524) (layer Sig1-L3) (net 236))
+  (segment (start 57.6 77.85) (end 57.6 78) (width 0.1524) (layer Sig1-L3) (net 236) (tstamp 51A43F27))
+  (segment (start 57.9 77.55) (end 57.6 77.85) (width 0.1524) (layer Sig1-L3) (net 236) (tstamp 51A43F24))
+  (segment (start 58.675 77.3) (end 59.075 76.9) (width 0.1524) (layer Sig1-L3) (net 236))
+  (segment (start 62.325 76.9) (end 62.675 77.25) (width 0.1524) (layer Sig1-L3) (net 236) (tstamp 51A43F05))
+  (segment (start 59.075 76.9) (end 62.325 76.9) (width 0.1524) (layer Sig1-L3) (net 236) (tstamp 51A43F02))
+  (segment (start 71.5 75.75) (end 71.5 75.55) (width 0.1524) (layer TOP-L1) (net 236))
+  (segment (start 71.275 74.925) (end 71.4 74.8) (width 0.1524) (layer TOP-L1) (net 236) (tstamp 51A43EE4))
+  (segment (start 71.275 75.325) (end 71.275 74.925) (width 0.1524) (layer TOP-L1) (net 236) (tstamp 51A43EE3))
+  (segment (start 71.5 75.55) (end 71.275 75.325) (width 0.1524) (layer TOP-L1) (net 236) (tstamp 51A43EE2))
+  (segment (start 57.2 78.4) (end 57.6 78) (width 0.1524) (layer TOP-L1) (net 236))
+  (via (at 57.6 78) (size 0.4572) (layers TOP-L1 BOT-L6) (net 236))
+  (segment (start 71.5 76.075) (end 71.5 75.75) (width 0.1524) (layer TOP-L1) (net 236) (tstamp 51A43ECB))
+  (segment (start 69.5 78.075) (end 71.5 76.075) (width 0.1524) (layer TOP-L1) (net 236) (tstamp 51A43EC6))
+  (segment (start 69.15 78.075) (end 69.5 78.075) (width 0.1524) (layer TOP-L1) (net 236) (tstamp 51A43EC1))
+  (segment (start 68.85 77.775) (end 69.15 78.075) (width 0.1524) (layer TOP-L1) (net 236) (tstamp 51A43EBF))
+  (segment (start 68.525 77.775) (end 68.85 77.775) (width 0.1524) (layer TOP-L1) (net 236) (tstamp 51A43EBE))
+  (via (at 68.525 77.775) (size 0.4572) (layers TOP-L1 BOT-L6) (net 236))
+  (segment (start 68.35 77.775) (end 68.525 77.775) (width 0.1524) (layer Sig1-L3) (net 236) (tstamp 51A43EAA))
+  (segment (start 68.05 78.075) (end 68.35 77.775) (width 0.1524) (layer Sig1-L3) (net 236) (tstamp 51A43EA1))
+  (segment (start 67.2 78.075) (end 68.05 78.075) (width 0.1524) (layer Sig1-L3) (net 236) (tstamp 51A43EA0))
+  (segment (start 67.025 77.9) (end 67.2 78.075) (width 0.1524) (layer Sig1-L3) (net 236) (tstamp 51A43E9E))
+  (segment (start 67.025 77.4) (end 67.025 77.9) (width 0.1524) (layer Sig1-L3) (net 236) (tstamp 51A43E9A))
+  (segment (start 66.65 77.025) (end 67.025 77.4) (width 0.1524) (layer Sig1-L3) (net 236) (tstamp 51A43F4A))
+  (segment (start 64.65 77.4) (end 65 77.05) (width 0.1524) (layer Sig1-L3) (net 236) (tstamp 51A43E8A))
+  (segment (start 64.65 78.475) (end 64.65 77.4) (width 0.1524) (layer Sig1-L3) (net 236) (tstamp 51A43E85))
+  (segment (start 64.3 78.825) (end 64.65 78.475) (width 0.1524) (layer Sig1-L3) (net 236) (tstamp 51A43E81))
+  (segment (start 63.625 78.825) (end 64.3 78.825) (width 0.1524) (layer Sig1-L3) (net 236) (tstamp 51A43E7E))
+  (segment (start 63.075 78.275) (end 63.625 78.825) (width 0.1524) (layer Sig1-L3) (net 236) (tstamp 51A43E7B))
+  (segment (start 63.075 77.65) (end 63.075 78.275) (width 0.1524) (layer Sig1-L3) (net 236) (tstamp 51A43E78))
+  (segment (start 62.675 77.25) (end 63.075 77.65) (width 0.1524) (layer Sig1-L3) (net 236) (tstamp 51A43F0A))
+  (segment (start 58.425 77.55) (end 58.675 77.3) (width 0.1524) (layer Sig1-L3) (net 236) (tstamp 51A43E62))
+  (segment (start 58.25 77.55) (end 58.425 77.55) (width 0.1524) (layer Sig1-L3) (net 236) (tstamp 51A43F22))
+  (segment (start 61.125 81.125) (end 61.7 81.125) (width 0.1524) (layer Sig1-L3) (net 237))
+  (segment (start 61.85 80.775) (end 61.6 80.525) (width 0.1524) (layer TOP-L1) (net 237) (tstamp 51A44243))
+  (segment (start 61.85 80.975) (end 61.85 80.775) (width 0.1524) (layer TOP-L1) (net 237) (tstamp 51A44242))
+  (segment (start 61.7 81.125) (end 61.85 80.975) (width 0.1524) (layer TOP-L1) (net 237) (tstamp 51A44241))
+  (via (at 61.7 81.125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 237))
+  (segment (start 60.4 81.6) (end 60.4 81.225) (width 0.1524) (layer TOP-L1) (net 237))
+  (segment (start 60.5 81.125) (end 61.125 81.125) (width 0.1524) (layer Sig1-L3) (net 237) (tstamp 51A44230))
+  (segment (start 60.475 81.15) (end 60.5 81.125) (width 0.1524) (layer Sig1-L3) (net 237) (tstamp 51A4422F))
+  (via (at 60.475 81.15) (size 0.4572) (layers TOP-L1 BOT-L6) (net 237))
+  (segment (start 60.4 81.225) (end 60.475 81.15) (width 0.1524) (layer TOP-L1) (net 237) (tstamp 51A4422A))
+  (segment (start 68.1 73.9) (end 68.2 74) (width 0.1524) (layer TOP-L1) (net 237) (tstamp 51A44208))
+  (segment (start 63 73.9) (end 68.1 73.9) (width 0.1524) (layer TOP-L1) (net 237) (tstamp 51A44202))
+  (segment (start 62.7 74.2) (end 63 73.9) (width 0.1524) (layer TOP-L1) (net 237) (tstamp 51A441FC))
+  (segment (start 62.7 74.925) (end 62.7 74.2) (width 0.1524) (layer TOP-L1) (net 237) (tstamp 51A441F9))
+  (segment (start 63.4 75.625) (end 62.7 74.925) (width 0.1524) (layer TOP-L1) (net 237) (tstamp 51A441F5))
+  (segment (start 63.4 78.45) (end 63.4 75.625) (width 0.1524) (layer TOP-L1) (net 237) (tstamp 51A441F1))
+  (segment (start 62.375 79.475) (end 63.4 78.45) (width 0.1524) (layer TOP-L1) (net 237) (tstamp 51A441EB))
+  (segment (start 61.675 79.475) (end 62.375 79.475) (width 0.1524) (layer TOP-L1) (net 237) (tstamp 51A441E9))
+  (segment (start 61.5 79.65) (end 61.675 79.475) (width 0.1524) (layer TOP-L1) (net 237) (tstamp 51A441E7))
+  (segment (start 61.5 80.425) (end 61.5 79.65) (width 0.1524) (layer TOP-L1) (net 237) (tstamp 51A441E4))
+  (segment (start 61.6 80.525) (end 61.5 80.425) (width 0.1524) (layer TOP-L1) (net 237) (tstamp 51A44246))
+  (segment (start 63.5 73.6) (end 62.85 73.6) (width 0.1524) (layer TOP-L1) (net 238))
+  (segment (start 62.4 75.075) (end 62.6 75.275) (width 0.1524) (layer TOP-L1) (net 238) (tstamp 519B39A4))
+  (segment (start 62.4 74.05) (end 62.4 75.075) (width 0.1524) (layer TOP-L1) (net 238) (tstamp 519B39A3))
+  (segment (start 62.85 73.6) (end 62.4 74.05) (width 0.1524) (layer TOP-L1) (net 238) (tstamp 519B39A2))
+  (segment (start 62.6 75.275) (end 62.725 75.4) (width 0.1524) (layer TOP-L1) (net 238) (tstamp 519B39A8))
+  (segment (start 62.725 75.4) (end 63.1 75.775) (width 0.1524) (layer TOP-L1) (net 238))
+  (segment (start 63.1 78.3) (end 62.6 78.8) (width 0.1524) (layer TOP-L1) (net 238) (tstamp 519B2629))
+  (segment (start 63.1 75.775) (end 63.1 78.3) (width 0.1524) (layer TOP-L1) (net 238) (tstamp 519B2627))
+  (segment (start 65.05 73.6) (end 63.5 73.6) (width 0.1524) (layer TOP-L1) (net 238))
+  (segment (start 61.2 79.475) (end 61.2 80.55) (width 0.1524) (layer TOP-L1) (net 238) (tstamp 519B2613))
+  (segment (start 61.5 79.175) (end 61.2 79.475) (width 0.1524) (layer TOP-L1) (net 238) (tstamp 519B2612))
+  (segment (start 62.225 79.175) (end 61.5 79.175) (width 0.1524) (layer TOP-L1) (net 238) (tstamp 519B2611))
+  (segment (start 62.6 78.8) (end 62.225 79.175) (width 0.1524) (layer TOP-L1) (net 238) (tstamp 519B2630))
+  (segment (start 61.2 81.6) (end 61.2 80.55) (width 0.1524) (layer TOP-L1) (net 238))
+  (segment (start 68.6 73.6) (end 69 73.2) (width 0.1524) (layer TOP-L1) (net 238) (tstamp 519B1BCE))
+  (segment (start 65.05 73.6) (end 68.6 73.6) (width 0.1524) (layer TOP-L1) (net 238) (tstamp 519B2603))
+  (segment (start 59.95 65.075) (end 59.95 64.9) (width 0.1524) (layer TOP-L1) (net 239))
+  (segment (start 59.725 64.675) (end 59.725 63.925) (width 0.1524) (layer Sig1-L3) (net 239) (tstamp 51A1636A))
+  (via (at 59.725 64.675) (size 0.4572) (layers TOP-L1 BOT-L6) (net 239))
+  (segment (start 59.95 64.9) (end 59.725 64.675) (width 0.1524) (layer TOP-L1) (net 239) (tstamp 51A16364))
+  (segment (start 60.175 65.65) (end 59.95 65.425) (width 0.1524) (layer TOP-L1) (net 239))
+  (segment (start 59.95 65.425) (end 59.95 65.075) (width 0.1524) (layer TOP-L1) (net 239) (tstamp 519C917B))
+  (segment (start 59.725 63.775) (end 59.5 63.55) (width 0.1524) (layer Sig1-L3) (net 239) (tstamp 519C9138))
+  (via (at 55.7 59.875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 239))
+  (segment (start 55.7 59.875) (end 55.7 60.175) (width 0.1524) (layer Sig1-L3) (net 239) (tstamp 519C8B34))
+  (segment (start 55.7 60.175) (end 55.825 60.3) (width 0.1524) (layer Sig1-L3) (net 239) (tstamp 519C8B35))
+  (segment (start 55.825 60.3) (end 56.25 60.3) (width 0.1524) (layer Sig1-L3) (net 239) (tstamp 519C8B3A))
+  (segment (start 56.25 60.3) (end 59.425 63.475) (width 0.1524) (layer Sig1-L3) (net 239) (tstamp 519C8B3D))
+  (segment (start 56 58.9) (end 56.25 59.15) (width 0.1524) (layer TOP-L1) (net 239) (tstamp 519C8C01))
+  (segment (start 56.25 59.15) (end 56.25 59.55) (width 0.1524) (layer TOP-L1) (net 239) (tstamp 519C8C05))
+  (segment (start 56.25 59.55) (end 56.1 59.7) (width 0.1524) (layer TOP-L1) (net 239) (tstamp 519C8C06))
+  (segment (start 56.1 59.7) (end 55.875 59.7) (width 0.1524) (layer TOP-L1) (net 239) (tstamp 519C8C07))
+  (segment (start 55.875 59.7) (end 55.7 59.875) (width 0.1524) (layer TOP-L1) (net 239) (tstamp 519C8C0B))
+  (segment (start 56 58.4) (end 56 58.9) (width 0.1524) (layer TOP-L1) (net 239))
+  (segment (start 59.5 63.55) (end 59.425 63.475) (width 0.1524) (layer Sig1-L3) (net 239) (tstamp 519C913E))
+  (segment (start 59.725 63.925) (end 59.725 63.775) (width 0.1524) (layer Sig1-L3) (net 239) (tstamp 51A1636D))
+  (segment (start 60.95 66.425) (end 60.175 65.65) (width 0.1524) (layer TOP-L1) (net 239))
+  (segment (start 68.125 68.325) (end 68.2 68.4) (width 0.1524) (layer TOP-L1) (net 239) (tstamp 519C8B63))
+  (segment (start 62.85 68.325) (end 68.125 68.325) (width 0.1524) (layer TOP-L1) (net 239) (tstamp 519C8B56))
+  (segment (start 60.95 66.425) (end 62.85 68.325) (width 0.1524) (layer TOP-L1) (net 239) (tstamp 519C8F93))
+  (segment (start 60.275 65) (end 60.275 64.4) (width 0.1524) (layer TOP-L1) (net 240))
+  (segment (start 60.025 63.625) (end 59.85 63.45) (width 0.1524) (layer Sig1-L3) (net 240) (tstamp 51A1637D))
+  (segment (start 60.025 64.15) (end 60.025 63.625) (width 0.1524) (layer Sig1-L3) (net 240) (tstamp 51A1637B))
+  (segment (start 60.275 64.4) (end 60.025 64.15) (width 0.1524) (layer Sig1-L3) (net 240) (tstamp 51A1637A))
+  (via (at 60.275 64.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 240))
+  (segment (start 59.675 63.275) (end 59.85 63.45) (width 0.1524) (layer Sig1-L3) (net 240))
+  (segment (start 55.625 58.825) (end 55.2 58.4) (width 0.1524) (layer TOP-L1) (net 240) (tstamp 519C8AA8))
+  (segment (start 55.625 59.225) (end 55.625 58.825) (width 0.1524) (layer TOP-L1) (net 240) (tstamp 519C8AA7))
+  (via (at 55.625 59.225) (size 0.4572) (layers TOP-L1 BOT-L6) (net 240))
+  (segment (start 57.15 60.75) (end 56.125 59.725) (width 0.1524) (layer Sig1-L3) (net 240))
+  (segment (start 57.15 60.75) (end 59.675 63.275) (width 0.1524) (layer Sig1-L3) (net 240) (tstamp 519C8A8A))
+  (segment (start 56.125 59.725) (end 55.625 59.225) (width 0.1524) (layer Sig1-L3) (net 240))
+  (segment (start 60.5 65.525) (end 60.275 65.3) (width 0.1524) (layer TOP-L1) (net 240))
+  (segment (start 60.275 65.3) (end 60.275 65) (width 0.1524) (layer TOP-L1) (net 240) (tstamp 519C9182))
+  (segment (start 61.425 66.45) (end 60.5 65.525) (width 0.1524) (layer TOP-L1) (net 240))
+  (segment (start 63.975 68) (end 62.975 68) (width 0.1524) (layer TOP-L1) (net 240))
+  (segment (start 62.975 68) (end 61.425 66.45) (width 0.1524) (layer TOP-L1) (net 240) (tstamp 519C8ABA))
+  (segment (start 68.6 68) (end 69 67.6) (width 0.1524) (layer TOP-L1) (net 240) (tstamp 519C89E9))
+  (segment (start 63.975 68) (end 68.6 68) (width 0.1524) (layer TOP-L1) (net 240) (tstamp 519C8AB8))
+  (segment (start 64.45 59.65) (end 64.825 59.65) (width 0.1524) (layer TOP-L1) (net 241))
+  (segment (start 65.6 60.425) (end 65.6 60.7) (width 0.1524) (layer TOP-L1) (net 241) (tstamp 519B667C))
+  (segment (start 64.825 59.65) (end 65.6 60.425) (width 0.1524) (layer TOP-L1) (net 241) (tstamp 519B667B))
+  (segment (start 65.6 60.7) (end 65.6 62.925) (width 0.1524) (layer TOP-L1) (net 241) (tstamp 519B667F))
+  (segment (start 59.325 59.625) (end 58.1 59.625) (width 0.1524) (layer TOP-L1) (net 241))
+  (segment (start 58.075 60.6) (end 58.65 60.6) (width 0.1524) (layer TOP-L1) (net 241) (tstamp 519B6628))
+  (segment (start 57.8 60.325) (end 58.075 60.6) (width 0.1524) (layer TOP-L1) (net 241) (tstamp 519B6627))
+  (segment (start 57.8 59.925) (end 57.8 60.325) (width 0.1524) (layer TOP-L1) (net 241) (tstamp 519B6625))
+  (segment (start 58.1 59.625) (end 57.8 59.925) (width 0.1524) (layer TOP-L1) (net 241) (tstamp 519B6624))
+  (segment (start 64.35 59.65) (end 64.45 59.65) (width 0.1524) (layer TOP-L1) (net 241))
+  (segment (start 62.725 59.65) (end 64.35 59.65) (width 0.1524) (layer TOP-L1) (net 241) (tstamp 519B6490))
+  (segment (start 58.4 61.6) (end 58.8 62) (width 0.1524) (layer TOP-L1) (net 241))
+  (segment (start 58.8 62) (end 59.425 62) (width 0.1524) (layer TOP-L1) (net 241) (tstamp 519B644B))
+  (segment (start 59.425 62) (end 59.6 61.825) (width 0.1524) (layer TOP-L1) (net 241) (tstamp 519B644E))
+  (segment (start 59.6 61.825) (end 59.6 61.425) (width 0.1524) (layer TOP-L1) (net 241) (tstamp 519B6456))
+  (segment (start 59.6 61.425) (end 58.775 60.6) (width 0.1524) (layer TOP-L1) (net 241) (tstamp 519B6459))
+  (segment (start 58.775 60.6) (end 58.65 60.6) (width 0.1524) (layer TOP-L1) (net 241) (tstamp 519B6462))
+  (segment (start 62.05 58.975) (end 62.725 59.65) (width 0.1524) (layer TOP-L1) (net 241) (tstamp 519B648F))
+  (segment (start 61.575 58.975) (end 62.05 58.975) (width 0.1524) (layer TOP-L1) (net 241) (tstamp 519B648C))
+  (segment (start 60.925 59.625) (end 61.575 58.975) (width 0.1524) (layer TOP-L1) (net 241) (tstamp 519B6489))
+  (segment (start 59.325 59.625) (end 60.925 59.625) (width 0.1524) (layer TOP-L1) (net 241) (tstamp 519B6622))
+  (segment (start 68.15 64.35) (end 68.2 64.4) (width 0.1524) (layer TOP-L1) (net 241) (tstamp 519B64B2))
+  (segment (start 67.025 64.35) (end 68.15 64.35) (width 0.1524) (layer TOP-L1) (net 241) (tstamp 519B64A4))
+  (segment (start 65.6 62.925) (end 67.025 64.35) (width 0.1524) (layer TOP-L1) (net 241) (tstamp 519B649E))
+  (segment (start 64.625 59.3) (end 65.175 59.3) (width 0.1524) (layer TOP-L1) (net 242))
+  (segment (start 65.925 60.05) (end 65.925 60.3) (width 0.1524) (layer TOP-L1) (net 242) (tstamp 519B6706))
+  (segment (start 65.175 59.3) (end 65.925 60.05) (width 0.1524) (layer TOP-L1) (net 242) (tstamp 519B6705))
+  (segment (start 65.925 60.3) (end 65.925 60.425) (width 0.1524) (layer TOP-L1) (net 242) (tstamp 519B6709))
+  (segment (start 64.4 59.3) (end 64.625 59.3) (width 0.1524) (layer TOP-L1) (net 242))
+  (segment (start 65.925 60.425) (end 65.925 60.525) (width 0.1524) (layer TOP-L1) (net 242) (tstamp 519B6688))
+  (segment (start 57.45 60.225) (end 57.45 60.475) (width 0.1524) (layer TOP-L1) (net 242))
+  (segment (start 57.9 60.925) (end 58.175 60.925) (width 0.1524) (layer TOP-L1) (net 242) (tstamp 519B661B))
+  (segment (start 57.45 60.475) (end 57.9 60.925) (width 0.1524) (layer TOP-L1) (net 242) (tstamp 519B661A))
+  (segment (start 58.275 59.3) (end 57.875 59.3) (width 0.1524) (layer TOP-L1) (net 242))
+  (segment (start 57.45 59.725) (end 57.45 59.975) (width 0.1524) (layer TOP-L1) (net 242) (tstamp 519B6611))
+  (segment (start 57.875 59.3) (end 57.45 59.725) (width 0.1524) (layer TOP-L1) (net 242) (tstamp 519B6610))
+  (segment (start 58.9 59.3) (end 58.275 59.3) (width 0.1524) (layer TOP-L1) (net 242))
+  (segment (start 58.175 60.925) (end 58.4 60.925) (width 0.1524) (layer TOP-L1) (net 242) (tstamp 519B661E))
+  (segment (start 57.45 59.975) (end 57.45 60.225) (width 0.1524) (layer TOP-L1) (net 242) (tstamp 519B6614))
+  (segment (start 64.25 59.3) (end 64.4 59.3) (width 0.1524) (layer TOP-L1) (net 242))
+  (segment (start 62.85 59.3) (end 62.2 58.65) (width 0.1524) (layer TOP-L1) (net 242) (tstamp 519B641F))
+  (segment (start 64.25 59.3) (end 62.85 59.3) (width 0.1524) (layer TOP-L1) (net 242) (tstamp 519B65E7))
+  (segment (start 58.4 60.925) (end 58.65 60.925) (width 0.1524) (layer TOP-L1) (net 242) (tstamp 519B6608))
+  (segment (start 59.2 61.475) (end 59.2 61.6) (width 0.1524) (layer TOP-L1) (net 242) (tstamp 519B64D0))
+  (segment (start 58.65 60.925) (end 59.2 61.475) (width 0.1524) (layer TOP-L1) (net 242) (tstamp 519B64CF))
+  (segment (start 69 63.6) (end 68.6 64) (width 0.1524) (layer TOP-L1) (net 242))
+  (segment (start 68.6 64) (end 67.175 64) (width 0.1524) (layer TOP-L1) (net 242) (tstamp 519B6400))
+  (segment (start 67.175 64) (end 65.925 62.75) (width 0.1524) (layer TOP-L1) (net 242) (tstamp 519B6403))
+  (segment (start 65.925 62.75) (end 65.925 60.525) (width 0.1524) (layer TOP-L1) (net 242) (tstamp 519B6412))
+  (segment (start 60.775 59.3) (end 58.9 59.3) (width 0.1524) (layer TOP-L1) (net 242) (tstamp 519B6426))
+  (segment (start 61.425 58.65) (end 60.775 59.3) (width 0.1524) (layer TOP-L1) (net 242) (tstamp 519B6425))
+  (segment (start 62.2 58.65) (end 61.425 58.65) (width 0.1524) (layer TOP-L1) (net 242) (tstamp 519B6421))
+  (segment (start 53.525 80.65) (end 54.775 80.65) (width 0.1524) (layer TOP-L1) (net 243))
+  (segment (start 55.15 79.475) (end 55.275 79.35) (width 0.1524) (layer TOP-L1) (net 243) (tstamp 51A86935))
+  (segment (start 55.15 80.275) (end 55.15 79.475) (width 0.1524) (layer TOP-L1) (net 243) (tstamp 51A86934))
+  (segment (start 54.775 80.65) (end 55.15 80.275) (width 0.1524) (layer TOP-L1) (net 243) (tstamp 51A86933))
+  (segment (start 50.4 76.525) (end 50.4 76.75) (width 0.1524) (layer Sig1-L3) (net 243))
+  (segment (start 49.15 80.975) (end 48.65 80.975) (width 0.1524) (layer Sig1-L3) (net 243) (tstamp 51A32AB3))
+  (segment (start 49.95 81.775) (end 49.15 80.975) (width 0.1524) (layer Sig1-L3) (net 243) (tstamp 51A32AB0))
+  (segment (start 51.35 81.775) (end 49.95 81.775) (width 0.1524) (layer Sig1-L3) (net 243) (tstamp 51A32AAE))
+  (segment (start 51.65 81.475) (end 51.35 81.775) (width 0.1524) (layer Sig1-L3) (net 243) (tstamp 51A32AAD))
+  (segment (start 51.65 80.95) (end 51.65 81.475) (width 0.1524) (layer Sig1-L3) (net 243) (tstamp 51A32AAC))
+  (segment (start 51.975 80.625) (end 51.65 80.95) (width 0.1524) (layer Sig1-L3) (net 243) (tstamp 51A32AAA))
+  (segment (start 51.975 78.325) (end 51.975 80.625) (width 0.1524) (layer Sig1-L3) (net 243) (tstamp 51A32AA5))
+  (segment (start 50.4 76.75) (end 51.975 78.325) (width 0.1524) (layer Sig1-L3) (net 243) (tstamp 51A32AA3))
+  (segment (start 47.325 59.1) (end 47.325 59.35) (width 0.1524) (layer Sig1-L3) (net 243))
+  (segment (start 48.225 58.3) (end 48.525 58.3) (width 0.1524) (layer Sig1-L3) (net 243) (tstamp 51A3290B))
+  (segment (start 48.025 58.5) (end 48.225 58.3) (width 0.1524) (layer Sig1-L3) (net 243) (tstamp 51A3290A))
+  (segment (start 48.025 59.375) (end 48.025 58.5) (width 0.1524) (layer Sig1-L3) (net 243) (tstamp 51A32909))
+  (segment (start 47.825 59.575) (end 48.025 59.375) (width 0.1524) (layer Sig1-L3) (net 243) (tstamp 51A32908))
+  (segment (start 47.55 59.575) (end 47.825 59.575) (width 0.1524) (layer Sig1-L3) (net 243) (tstamp 51A32907))
+  (segment (start 47.325 59.35) (end 47.55 59.575) (width 0.1524) (layer Sig1-L3) (net 243) (tstamp 51A32906))
+  (segment (start 46 59.3) (end 46 58.6) (width 0.1524) (layer Sig1-L3) (net 243))
+  (segment (start 47.325 58.575) (end 47.325 59.1) (width 0.1524) (layer Sig1-L3) (net 243) (tstamp 51A328FF))
+  (segment (start 47.1 58.35) (end 47.325 58.575) (width 0.1524) (layer Sig1-L3) (net 243) (tstamp 51A328FC))
+  (segment (start 46.25 58.35) (end 47.1 58.35) (width 0.1524) (layer Sig1-L3) (net 243) (tstamp 51A328FB))
+  (segment (start 46 58.6) (end 46.25 58.35) (width 0.1524) (layer Sig1-L3) (net 243) (tstamp 51A328FA))
+  (segment (start 47.9 60.65) (end 46.425 60.65) (width 0.1524) (layer TOP-L1) (net 243))
+  (segment (start 46 60.225) (end 46 59.3) (width 0.1524) (layer Sig1-L3) (net 243) (tstamp 51A328EE))
+  (segment (start 46.175 60.4) (end 46 60.225) (width 0.1524) (layer Sig1-L3) (net 243) (tstamp 51A328ED))
+  (via (at 46.175 60.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 243))
+  (segment (start 46.425 60.65) (end 46.175 60.4) (width 0.1524) (layer TOP-L1) (net 243) (tstamp 51A328EB))
+  (segment (start 50.1 68.075) (end 50.1 67.825) (width 0.1524) (layer Sig1-L3) (net 243))
+  (segment (start 49.95 65.85) (end 49.8 65.7) (width 0.1524) (layer Sig1-L3) (net 243) (tstamp 51A15AD8))
+  (segment (start 49.95 67.675) (end 49.95 65.85) (width 0.1524) (layer Sig1-L3) (net 243) (tstamp 51A15AD7))
+  (segment (start 50.1 67.825) (end 49.95 67.675) (width 0.1524) (layer Sig1-L3) (net 243) (tstamp 51A15AD4))
+  (segment (start 48.775 58.3) (end 48.525 58.3) (width 0.1524) (layer Sig1-L3) (net 243) (tstamp 519F6250))
+  (segment (start 49.2 58.725) (end 48.775 58.3) (width 0.1524) (layer Sig1-L3) (net 243) (tstamp 519F624F))
+  (segment (start 49.2 62.225) (end 49.2 58.725) (width 0.1524) (layer Sig1-L3) (net 243) (tstamp 519F624D))
+  (segment (start 48.4 63.025) (end 49.2 62.225) (width 0.1524) (layer Sig1-L3) (net 243) (tstamp 519F6241))
+  (segment (start 48.4 64.3) (end 48.4 63.025) (width 0.1524) (layer Sig1-L3) (net 243) (tstamp 519F623F))
+  (segment (start 49.8 65.7) (end 48.4 64.3) (width 0.1524) (layer Sig1-L3) (net 243) (tstamp 51A15ADC))
+  (segment (start 50.1 68.975) (end 50.1 68.075) (width 0.1524) (layer Sig1-L3) (net 243) (tstamp 519F6235))
+  (segment (start 49.675 69.4) (end 50.1 68.975) (width 0.1524) (layer Sig1-L3) (net 243) (tstamp 519F6234))
+  (segment (start 49.675 69.725) (end 49.675 69.4) (width 0.1524) (layer Sig1-L3) (net 243) (tstamp 519F6233))
+  (segment (start 49.95 70) (end 49.925 69.975) (width 0.1524) (layer Sig1-L3) (net 243) (tstamp 51A00CC3))
+  (segment (start 49.925 69.975) (end 49.675 69.725) (width 0.1524) (layer Sig1-L3) (net 243) (tstamp 519F62B4))
+  (segment (start 49.95 70) (end 69.8 70) (width 0.1524) (layer Sig-L5) (net 243))
+  (segment (start 70.2 69.6) (end 70.6 69.2) (width 0.1524) (layer TOP-L1) (net 243) (tstamp 51A307A2))
+  (via (at 70.2 69.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 243))
+  (segment (start 69.8 70) (end 70.2 69.6) (width 0.1524) (layer Sig-L5) (net 243) (tstamp 51A3079A))
+  (segment (start 53.45 80.65) (end 53.525 80.65) (width 0.1524) (layer TOP-L1) (net 243))
+  (segment (start 55.6 79.025) (end 55.6 78.75) (width 0.1524) (layer TOP-L1) (net 243) (tstamp 51A16702))
+  (segment (start 55.275 79.35) (end 55.6 79.025) (width 0.1524) (layer TOP-L1) (net 243) (tstamp 51A86938))
+  (segment (start 48.875 80.65) (end 48.45 80.65) (width 0.1524) (layer TOP-L1) (net 243))
+  (segment (start 48.275 80.475) (end 47.825 80.475) (width 0.1524) (layer TOP-L1) (net 243) (tstamp 51A166DD))
+  (segment (start 48.45 80.65) (end 48.275 80.475) (width 0.1524) (layer TOP-L1) (net 243) (tstamp 51A166DC))
+  (segment (start 47.725 81.4) (end 47.575 81.4) (width 0.1524) (layer Sig1-L3) (net 243))
+  (segment (start 49.7 70.275) (end 49.7 71.325) (width 0.1524) (layer Sig1-L3) (net 243) (tstamp 51A00DFC))
+  (segment (start 49.7 71.325) (end 50.175 71.8) (width 0.1524) (layer Sig1-L3) (net 243) (tstamp 51A00DFD))
+  (segment (start 50.175 71.8) (end 50.175 74.25) (width 0.1524) (layer Sig1-L3) (net 243) (tstamp 51A00E04))
+  (segment (start 50.175 74.25) (end 50.625 74.7) (width 0.1524) (layer Sig1-L3) (net 243) (tstamp 51A00E06))
+  (segment (start 50.625 74.7) (end 50.625 75.5) (width 0.1524) (layer Sig1-L3) (net 243) (tstamp 51A00E0A))
+  (segment (start 50.625 75.5) (end 50.4 75.725) (width 0.1524) (layer Sig1-L3) (net 243) (tstamp 51A00E0B))
+  (segment (start 50.4 75.725) (end 50.4 76.525) (width 0.1524) (layer Sig1-L3) (net 243) (tstamp 51A00E0C))
+  (segment (start 49.95 70.025) (end 49.7 70.275) (width 0.1524) (layer Sig1-L3) (net 243))
+  (via (at 47.825 80.475) (size 0.4572) (layers TOP-L1 BOT-L6) (net 243))
+  (segment (start 48.65 80.975) (end 48.375 80.975) (width 0.1524) (layer Sig1-L3) (net 243) (tstamp 51A32AB8))
+  (segment (start 48.375 80.975) (end 47.95 81.4) (width 0.1524) (layer Sig1-L3) (net 243) (tstamp 51A166A5))
+  (segment (start 47.95 81.4) (end 47.725 81.4) (width 0.1524) (layer Sig1-L3) (net 243) (tstamp 51A166A7))
+  (segment (start 47.175 81) (end 47.175 80.65) (width 0.1524) (layer Sig1-L3) (net 243) (tstamp 51A166CB))
+  (segment (start 47.175 80.65) (end 47.35 80.475) (width 0.1524) (layer Sig1-L3) (net 243) (tstamp 51A166CC))
+  (segment (start 47.35 80.475) (end 47.825 80.475) (width 0.1524) (layer Sig1-L3) (net 243) (tstamp 51A166CD))
+  (segment (start 47.575 81.4) (end 47.175 81) (width 0.1524) (layer Sig1-L3) (net 243) (tstamp 51A166CA))
+  (segment (start 48.875 80.65) (end 53.45 80.65) (width 0.1524) (layer TOP-L1) (net 243) (tstamp 51A166DA))
+  (segment (start 55.6 78.75) (end 55.6 78.4) (width 0.1524) (layer TOP-L1) (net 243) (tstamp 51A16705))
+  (segment (start 50.025 70) (end 49.95 70) (width 0.1524) (layer TOP-L1) (net 243))
+  (segment (start 49.95 70) (end 49.95 70.025) (width 0.1524) (layer Sig1-L3) (net 243) (tstamp 519F6232))
+  (segment (start 49.95 70.025) (end 49.95 70) (width 0.1524) (layer Sig1-L3) (net 243) (tstamp 51A00DFA))
+  (via (at 49.95 70) (size 0.4572) (layers TOP-L1 BOT-L6) (net 243))
+  (segment (start 53.6 59) (end 51.95 60.65) (width 0.1524) (layer TOP-L1) (net 243) (tstamp 519DB464))
+  (segment (start 53.6 58.4) (end 53.6 59) (width 0.1524) (layer TOP-L1) (net 243))
+  (segment (start 51.95 60.65) (end 47.9 60.65) (width 0.1524) (layer TOP-L1) (net 243) (tstamp 519DB465))
+  (segment (start 48.175 74.35) (end 40.5 74.35) (width 0.1524) (layer Sig-L5) (net 244))
+  (segment (start 40.125 77.325) (end 40.9 77.325) (width 0.1524) (layer TOP-L1) (net 244) (tstamp 51A331CA))
+  (segment (start 39.85 77.05) (end 40.125 77.325) (width 0.1524) (layer TOP-L1) (net 244) (tstamp 51A331C9))
+  (segment (start 39.85 76) (end 39.85 77.05) (width 0.1524) (layer TOP-L1) (net 244) (tstamp 51A331C8))
+  (via (at 39.85 76) (size 0.4572) (layers TOP-L1 BOT-L6) (net 244))
+  (segment (start 39.85 75) (end 39.85 76) (width 0.1524) (layer Sig-L5) (net 244) (tstamp 51A331C2))
+  (segment (start 40.5 74.35) (end 39.85 75) (width 0.1524) (layer Sig-L5) (net 244) (tstamp 51A331C1))
+  (segment (start 48.225 74.4) (end 48.175 74.35) (width 0.1524) (layer Sig-L5) (net 244))
+  (segment (start 48.175 74.35) (end 48.25 74.425) (width 0.1524) (layer Sig-L5) (net 244) (tstamp 51A331BF))
+  (segment (start 71.8 73.6) (end 72.2 73.2) (width 0.1524) (layer TOP-L1) (net 244) (tstamp 51A3312E))
+  (via (at 71.8 73.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 244))
+  (segment (start 70.975 74.425) (end 71.8 73.6) (width 0.1524) (layer Sig-L5) (net 244) (tstamp 51A3311D))
+  (segment (start 48.25 74.425) (end 70.975 74.425) (width 0.1524) (layer Sig-L5) (net 244) (tstamp 51A33119))
+  (segment (start 45.62 63.745) (end 45.06 63.185) (width 0.1524) (layer Sig1-L3) (net 244))
+  (segment (start 44.75 57.89) (end 44.75 57.52) (width 0.1524) (layer Sig1-L3) (net 244) (tstamp 51A15832))
+  (segment (start 45.06 58.2) (end 44.75 57.89) (width 0.1524) (layer Sig1-L3) (net 244) (tstamp 51A1582F))
+  (segment (start 45.06 63.185) (end 45.06 58.2) (width 0.1524) (layer Sig1-L3) (net 244) (tstamp 51A1582E))
+  (segment (start 44.75 57.04) (end 44.75 56.81) (width 0.1524) (layer Sig1-L3) (net 244))
+  (segment (start 45.11 56.45) (end 45.65 56.45) (width 0.1524) (layer TOP-L1) (net 244) (tstamp 51A154D2))
+  (segment (start 44.9 56.66) (end 45.11 56.45) (width 0.1524) (layer TOP-L1) (net 244) (tstamp 51A154D1))
+  (via (at 44.9 56.66) (size 0.4572) (layers TOP-L1 BOT-L6) (net 244))
+  (segment (start 44.75 56.81) (end 44.9 56.66) (width 0.1524) (layer Sig1-L3) (net 244) (tstamp 51A154CE))
+  (segment (start 48.625 76.7) (end 43.15 76.7) (width 0.1524) (layer TOP-L1) (net 244) (tstamp 519F1A31))
+  (segment (start 43.025 76.7) (end 43.15 76.7) (width 0.1524) (layer TOP-L1) (net 244) (tstamp 51A054D8))
+  (segment (start 42.4 77.325) (end 43.025 76.7) (width 0.1524) (layer TOP-L1) (net 244) (tstamp 51A054D7))
+  (segment (start 40.9 77.325) (end 42.4 77.325) (width 0.1524) (layer TOP-L1) (net 244) (tstamp 51A331CD))
+  (segment (start 49.18 77.255) (end 48.625 76.7) (width 0.1524) (layer TOP-L1) (net 244))
+  (segment (start 49.525 77.6) (end 49.2 77.275) (width 0.1524) (layer TOP-L1) (net 244) (tstamp 519DC31D))
+  (segment (start 50 77.6) (end 49.525 77.6) (width 0.1524) (layer TOP-L1) (net 244))
+  (segment (start 49.2 77.275) (end 49.18 77.255) (width 0.1524) (layer TOP-L1) (net 244))
+  (segment (start 44.75 57.52) (end 44.75 57.04) (width 0.1524) (layer Sig1-L3) (net 244) (tstamp 51A15837))
+  (segment (start 46.675 64.8) (end 45.62 63.745) (width 0.1524) (layer Sig1-L3) (net 244) (tstamp 51A0544F))
+  (segment (start 46.675 66.225) (end 46.675 64.8) (width 0.1524) (layer Sig1-L3) (net 244) (tstamp 51A0544D))
+  (segment (start 48.325 67.875) (end 46.675 66.225) (width 0.1524) (layer Sig1-L3) (net 244) (tstamp 51A05449))
+  (segment (start 48.325 73.425) (end 48.325 67.875) (width 0.1524) (layer Sig1-L3) (net 244) (tstamp 51A05448))
+  (segment (start 47.925 73.825) (end 48.325 73.425) (width 0.1524) (layer Sig1-L3) (net 244) (tstamp 51A05447))
+  (segment (start 47.925 74.1) (end 47.925 73.825) (width 0.1524) (layer Sig1-L3) (net 244) (tstamp 51A05446))
+  (segment (start 48.225 74.4) (end 47.925 74.1) (width 0.1524) (layer Sig1-L3) (net 244) (tstamp 51A05445))
+  (via (at 48.225 74.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 244))
+  (segment (start 47.18 57.17) (end 46.46 56.45) (width 0.1524) (layer TOP-L1) (net 244))
+  (segment (start 46.46 56.45) (end 45.65 56.45) (width 0.1524) (layer TOP-L1) (net 244) (tstamp 519F16E2))
+  (segment (start 47.2 57.19) (end 47.18 57.17) (width 0.1524) (layer TOP-L1) (net 244))
+  (segment (start 48 57.6) (end 47.61 57.6) (width 0.1524) (layer TOP-L1) (net 244))
+  (segment (start 47.61 57.6) (end 47.2 57.19) (width 0.1524) (layer TOP-L1) (net 244) (tstamp 519F161F))
+  (segment (start 52.7 79.325) (end 52.7 79.15) (width 0.1524) (layer TOP-L1) (net 245))
+  (segment (start 52.975 78.875) (end 53.075 78.875) (width 0.1524) (layer TOP-L1) (net 245) (tstamp 51A868A9))
+  (segment (start 52.7 79.15) (end 52.975 78.875) (width 0.1524) (layer TOP-L1) (net 245) (tstamp 51A868A8))
+  (segment (start 52.7 79.325) (end 52.7 79.625) (width 0.1524) (layer TOP-L1) (net 245) (tstamp 51A868A6))
+  (segment (start 52.225 80.1) (end 51.3 80.1) (width 0.1524) (layer TOP-L1) (net 245) (tstamp 51A8688F))
+  (segment (start 52.7 79.625) (end 52.225 80.1) (width 0.1524) (layer TOP-L1) (net 245) (tstamp 51A8688E))
+  (segment (start 54 78.4) (end 54.4 78.8) (width 0.1524) (layer TOP-L1) (net 245))
+  (segment (start 54.4 78.8) (end 54.4 79.225) (width 0.1524) (layer TOP-L1) (net 245) (tstamp 51A8681B))
+  (segment (start 54.4 79.225) (end 54.025 79.6) (width 0.1524) (layer TOP-L1) (net 245) (tstamp 51A8681E))
+  (segment (start 54.025 79.6) (end 53.7 79.6) (width 0.1524) (layer TOP-L1) (net 245) (tstamp 51A86823))
+  (segment (start 53.7 79.6) (end 53.45 79.35) (width 0.1524) (layer TOP-L1) (net 245) (tstamp 51A86833))
+  (segment (start 53.45 79.35) (end 53.45 79.125) (width 0.1524) (layer TOP-L1) (net 245) (tstamp 51A86834))
+  (segment (start 53.45 79.125) (end 53.2 78.875) (width 0.1524) (layer TOP-L1) (net 245) (tstamp 51A86836))
+  (segment (start 53.2 78.875) (end 53.075 78.875) (width 0.1524) (layer TOP-L1) (net 245) (tstamp 51A86837))
+  (segment (start 51.3 80.1) (end 49 80.1) (width 0.1524) (layer TOP-L1) (net 245) (tstamp 51A86895))
+  (segment (start 48.85 79.95) (end 48.575 79.675) (width 0.1524) (layer TOP-L1) (net 245))
+  (segment (start 49 80.1) (end 48.85 79.95) (width 0.1524) (layer TOP-L1) (net 245) (tstamp 519DC1E8))
+  (segment (start 48.575 79.675) (end 45.725 79.675) (width 0.1524) (layer TOP-L1) (net 245) (tstamp 519DDBD0))
+  (segment (start 44.775 69.6) (end 44.875 69.6) (width 0.1524) (layer Sig-L5) (net 245))
+  (segment (start 49.125 69.6) (end 49.875 69.6) (width 0.1524) (layer Sig-L5) (net 245) (tstamp 51A30780))
+  (segment (start 48.625 70.1) (end 49.125 69.6) (width 0.1524) (layer Sig-L5) (net 245) (tstamp 51A3077F))
+  (segment (start 48.275 70.1) (end 48.625 70.1) (width 0.1524) (layer Sig-L5) (net 245) (tstamp 51A3077D))
+  (segment (start 47.65 69.475) (end 48.275 70.1) (width 0.1524) (layer Sig-L5) (net 245) (tstamp 51A3077C))
+  (segment (start 47.275 69.475) (end 47.65 69.475) (width 0.1524) (layer Sig-L5) (net 245) (tstamp 51A3077B))
+  (segment (start 47.075 69.675) (end 47.275 69.475) (width 0.1524) (layer Sig-L5) (net 245) (tstamp 51A3077A))
+  (segment (start 47.075 69.85) (end 47.075 69.675) (width 0.1524) (layer Sig-L5) (net 245) (tstamp 51A30779))
+  (segment (start 46.85 70.075) (end 47.075 69.85) (width 0.1524) (layer Sig-L5) (net 245) (tstamp 51A30775))
+  (segment (start 46.4 70.075) (end 46.85 70.075) (width 0.1524) (layer Sig-L5) (net 245) (tstamp 51A30774))
+  (segment (start 46.225 69.9) (end 46.4 70.075) (width 0.1524) (layer Sig-L5) (net 245) (tstamp 51A30773))
+  (segment (start 46.225 69.425) (end 46.225 69.9) (width 0.1524) (layer Sig-L5) (net 245) (tstamp 51A30772))
+  (segment (start 45.9 69.1) (end 46.225 69.425) (width 0.1524) (layer Sig-L5) (net 245) (tstamp 51A3076F))
+  (segment (start 45.375 69.1) (end 45.9 69.1) (width 0.1524) (layer Sig-L5) (net 245) (tstamp 51A3076E))
+  (segment (start 44.875 69.6) (end 45.375 69.1) (width 0.1524) (layer Sig-L5) (net 245) (tstamp 51A3076D))
+  (segment (start 44.55 76.425) (end 44.55 76.875) (width 0.1524) (layer Sig1-L3) (net 245))
+  (segment (start 45.15 79.675) (end 45.725 79.675) (width 0.1524) (layer TOP-L1) (net 245) (tstamp 51A016AE))
+  (via (at 45.15 79.675) (size 0.4572) (layers TOP-L1 BOT-L6) (net 245))
+  (segment (start 44.95 79.475) (end 45.15 79.675) (width 0.1524) (layer Sig1-L3) (net 245) (tstamp 51A016A7))
+  (segment (start 44.95 77.275) (end 44.95 79.475) (width 0.1524) (layer Sig1-L3) (net 245) (tstamp 51A016A6))
+  (segment (start 44.55 76.875) (end 44.95 77.275) (width 0.1524) (layer Sig1-L3) (net 245) (tstamp 51A016A5))
+  (segment (start 44.5 69.6) (end 44.2 69.6) (width 0.1524) (layer Sig1-L3) (net 245))
+  (segment (start 44.55 72.475) (end 44.55 76.425) (width 0.1524) (layer Sig1-L3) (net 245) (tstamp 519F5B2E))
+  (segment (start 44.825 72.2) (end 44.55 72.475) (width 0.1524) (layer Sig1-L3) (net 245) (tstamp 519F5B2C))
+  (segment (start 44.825 70.875) (end 44.825 72.2) (width 0.1524) (layer Sig1-L3) (net 245) (tstamp 519F5B29))
+  (segment (start 44.025 70.075) (end 44.825 70.875) (width 0.1524) (layer Sig1-L3) (net 245) (tstamp 519F5B28))
+  (segment (start 44.025 69.775) (end 44.025 70.075) (width 0.1524) (layer Sig1-L3) (net 245) (tstamp 519F5B27))
+  (segment (start 44.2 69.6) (end 44.025 69.775) (width 0.1524) (layer Sig1-L3) (net 245) (tstamp 519F5B26))
+  (segment (start 49.875 69.6) (end 69.4 69.6) (width 0.1524) (layer Sig-L5) (net 245) (tstamp 51A30784))
+  (segment (start 44.8 69.6) (end 44.775 69.6) (width 0.1524) (layer Sig-L5) (net 245))
+  (segment (start 44.5 68.225) (end 44.5 68.075) (width 0.1524) (layer Sig1-L3) (net 245))
+  (segment (start 44.575 59.6) (end 46.675 59.6) (width 0.1524) (layer TOP-L1) (net 245) (tstamp 51A01763))
+  (segment (start 47.175 60.1) (end 47.75 60.1) (width 0.1524) (layer TOP-L1) (net 245) (tstamp 519DB67C))
+  (segment (start 46.675 59.6) (end 47.175 60.1) (width 0.1524) (layer TOP-L1) (net 245) (tstamp 519DB67B))
+  (segment (start 52 58.4) (end 52 59.3) (width 0.1524) (layer TOP-L1) (net 245))
+  (segment (start 51.2 60.1) (end 47.75 60.1) (width 0.1524) (layer TOP-L1) (net 245) (tstamp 519DB491))
+  (segment (start 52 59.3) (end 51.2 60.1) (width 0.1524) (layer TOP-L1) (net 245) (tstamp 519DB48D))
+  (segment (start 44.5 69.6) (end 44.275 69.375) (width 0.1524) (layer Sig1-L3) (net 245) (tstamp 519F54D2))
+  (segment (start 44.275 69.375) (end 44.275 69.025) (width 0.1524) (layer Sig1-L3) (net 245) (tstamp 519F54D3))
+  (segment (start 44.275 69.025) (end 44.5 68.8) (width 0.1524) (layer Sig1-L3) (net 245) (tstamp 519F54D4))
+  (segment (start 44.5 68.8) (end 44.5 68.225) (width 0.1524) (layer Sig1-L3) (net 245) (tstamp 519F54D5))
+  (segment (start 44.5 68.075) (end 44.1 67.675) (width 0.1524) (layer Sig1-L3) (net 245) (tstamp 51A0173F))
+  (segment (start 44.1 67.675) (end 44.1 65.775) (width 0.1524) (layer Sig1-L3) (net 245) (tstamp 51A01740))
+  (segment (start 44.1 65.775) (end 42.55 64.225) (width 0.1524) (layer Sig1-L3) (net 245) (tstamp 51A01742))
+  (segment (start 42.55 64.225) (end 42.55 63.125) (width 0.1524) (layer Sig1-L3) (net 245) (tstamp 51A01746))
+  (segment (start 42.55 63.125) (end 41.925 62.5) (width 0.1524) (layer Sig1-L3) (net 245) (tstamp 51A0174A))
+  (segment (start 41.925 62.5) (end 41.925 59.775) (width 0.1524) (layer Sig1-L3) (net 245) (tstamp 51A01759))
+  (segment (start 41.925 59.775) (end 42.1 59.6) (width 0.1524) (layer Sig1-L3) (net 245) (tstamp 51A0175A))
+  (via (at 42.1 59.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 245))
+  (segment (start 42.1 59.6) (end 44.575 59.6) (width 0.1524) (layer TOP-L1) (net 245) (tstamp 51A01760))
+  (segment (start 44.5 69.6) (end 44.8 69.6) (width 0.1524) (layer Sig-L5) (net 245))
+  (segment (start 69.4 69.6) (end 69.8 69.2) (width 0.1524) (layer TOP-L1) (net 245) (tstamp 51A30666))
+  (via (at 69.4 69.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 245))
+  (segment (start 44.6 69.6) (end 44.5 69.6) (width 0.1524) (layer TOP-L1) (net 245))
+  (via (at 44.5 69.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 245))
+  (segment (start 91.95 72.85) (end 103.575 72.85) (width 0.1524) (layer BOT-L6) (net 246))
+  (segment (start 82.25 67.575) (end 82.25 68.275) (width 0.1524) (layer Sig1-L3) (net 246))
+  (segment (start 86.825 72.85) (end 88.575 72.85) (width 0.1524) (layer Sig1-L3) (net 246) (tstamp 51AF2270))
+  (segment (start 82.25 68.275) (end 86.825 72.85) (width 0.1524) (layer Sig1-L3) (net 246) (tstamp 51AF226F))
+  (via (at 91.95 72.85) (size 0.4572) (layers TOP-L1 BOT-L6) (net 246))
+  (segment (start 98.1224 90.19168) (end 98.1224 89.3526) (width 0.1524) (layer TOP-L1) (net 246) (tstamp 51AF1E66))
+  (segment (start 98.15 89.325) (end 98.1224 89.3526) (width 0.1524) (layer TOP-L1) (net 246) (tstamp 51AF1E65))
+  (via (at 98.15 89.325) (size 0.4572) (layers TOP-L1 BOT-L6) (net 246))
+  (segment (start 98.15 79.05) (end 98.15 89.325) (width 0.1524) (layer BOT-L6) (net 246) (tstamp 51AF1E54))
+  (segment (start 98.15 79.05) (end 91.95 72.85) (width 0.1524) (layer BOT-L6) (net 246) (tstamp 51AF1E53))
+  (segment (start 78.6 59.85) (end 78.6 60.3) (width 0.1524) (layer Sig1-L3) (net 246))
+  (segment (start 88.575 72.85) (end 91.95 72.85) (width 0.1524) (layer Sig1-L3) (net 246) (tstamp 51AF2275))
+  (segment (start 82.25 61.925) (end 82.25 67.575) (width 0.1524) (layer Sig1-L3) (net 246) (tstamp 51AED8DD))
+  (segment (start 81.1 60.775) (end 82.25 61.925) (width 0.1524) (layer Sig1-L3) (net 246) (tstamp 51AED8D9))
+  (segment (start 79.075 60.775) (end 81.1 60.775) (width 0.1524) (layer Sig1-L3) (net 246) (tstamp 51AED8D6))
+  (segment (start 78.6 60.3) (end 79.075 60.775) (width 0.1524) (layer Sig1-L3) (net 246) (tstamp 51AED8D4))
+  (segment (start 79.4 56.85) (end 79.4 56.45) (width 0.1524) (layer TOP-L1) (net 246))
+  (segment (start 78.6 57.25) (end 78.6 59.85) (width 0.1524) (layer Sig1-L3) (net 246) (tstamp 51ADE517))
+  (segment (start 79.4 56.45) (end 78.6 57.25) (width 0.1524) (layer Sig1-L3) (net 246) (tstamp 51ADE516))
+  (via (at 79.4 56.45) (size 0.4572) (layers TOP-L1 BOT-L6) (net 246))
+  (segment (start 79.4 51.8) (end 79.4 49) (width 0.1524) (layer TOP-L1) (net 246))
+  (segment (start 80.7 47.7) (end 80.7 46.525) (width 0.1524) (layer TOP-L1) (net 246) (tstamp 51AD554B))
+  (segment (start 79.4 49) (end 80.7 47.7) (width 0.1524) (layer TOP-L1) (net 246) (tstamp 51AD5549))
+  (segment (start 79.4 57.2) (end 79.4 56.85) (width 0.1524) (layer TOP-L1) (net 246))
+  (segment (start 79.4 56.85) (end 79.4 51.8) (width 0.1524) (layer TOP-L1) (net 246) (tstamp 51ADE510))
+  (segment (start 80.7 46.525) (end 80.7 44.75) (width 0.1524) (layer TOP-L1) (net 246) (tstamp 51AD554F))
+  (segment (start 79.4 57.2) (end 79.4 55.85) (width 0.1524) (layer TOP-L1) (net 246))
+  (segment (start 103.65042 73.75) (end 98.075 73.75) (width 0.1524) (layer BOT-L6) (net 247))
+  (segment (start 97.75 73.425) (end 93.725 73.425) (width 0.1524) (layer BOT-L6) (net 247) (tstamp 51AFEC90))
+  (segment (start 98.075 73.75) (end 97.75 73.425) (width 0.1524) (layer BOT-L6) (net 247) (tstamp 51AFEC8F))
+  (segment (start 81.975 67.475) (end 81.975 68.4) (width 0.1524) (layer Sig1-L3) (net 247))
+  (segment (start 87 73.425) (end 88.35 73.425) (width 0.1524) (layer Sig1-L3) (net 247) (tstamp 51AF227A))
+  (segment (start 81.975 68.4) (end 87 73.425) (width 0.1524) (layer Sig1-L3) (net 247) (tstamp 51AF2279))
+  (segment (start 98.62278 90.19168) (end 98.62278 89.55222) (width 0.1524) (layer TOP-L1) (net 247))
+  (segment (start 93.725 73.425) (end 93.375 73.425) (width 0.1524) (layer Sig1-L3) (net 247) (tstamp 51AF1E82))
+  (via (at 93.725 73.425) (size 0.4572) (layers TOP-L1 BOT-L6) (net 247))
+  (segment (start 98.75 78.45) (end 93.725 73.425) (width 0.1524) (layer BOT-L6) (net 247) (tstamp 51AF1E7A))
+  (segment (start 98.75 89.175) (end 98.75 78.45) (width 0.1524) (layer BOT-L6) (net 247) (tstamp 51AF1E76))
+  (segment (start 98.875 89.3) (end 98.75 89.175) (width 0.1524) (layer BOT-L6) (net 247) (tstamp 51AF1E75))
+  (via (at 98.875 89.3) (size 0.4572) (layers TOP-L1 BOT-L6) (net 247))
+  (segment (start 98.62278 89.55222) (end 98.875 89.3) (width 0.1524) (layer TOP-L1) (net 247) (tstamp 51AF1E70))
+  (segment (start 77.8 59.875) (end 77.8 60.325) (width 0.1524) (layer Sig1-L3) (net 247))
+  (segment (start 77.8 57.15) (end 79 55.95) (width 0.1524) (layer Sig1-L3) (net 247) (tstamp 51ADE4D3))
+  (segment (start 77.8 57.15) (end 77.8 59.875) (width 0.1524) (layer Sig1-L3) (net 247) (tstamp 51ADE4D4))
+  (via (at 79 55.95) (size 0.4572) (layers TOP-L1 BOT-L6) (net 247))
+  (segment (start 77.8 60.325) (end 78.55 61.075) (width 0.1524) (layer Sig1-L3) (net 247) (tstamp 51AED8F3))
+  (segment (start 78.55 61.075) (end 81 61.075) (width 0.1524) (layer Sig1-L3) (net 247) (tstamp 51AED8F4))
+  (segment (start 81 61.075) (end 81.975 62.05) (width 0.1524) (layer Sig1-L3) (net 247) (tstamp 51AED8F6))
+  (segment (start 81.975 62.05) (end 81.975 67.475) (width 0.1524) (layer Sig1-L3) (net 247) (tstamp 51AED8F9))
+  (segment (start 88.35 73.425) (end 93.375 73.425) (width 0.1524) (layer Sig1-L3) (net 247) (tstamp 51AF2280))
+  (segment (start 79 51.5) (end 79 48.9) (width 0.1524) (layer TOP-L1) (net 247))
+  (segment (start 80.2 47.7) (end 80.2 46.55) (width 0.1524) (layer TOP-L1) (net 247) (tstamp 51AD5541))
+  (segment (start 79 48.9) (end 80.2 47.7) (width 0.1524) (layer TOP-L1) (net 247) (tstamp 51AD553F))
+  (segment (start 80.2 44.75) (end 80.2 46.55) (width 0.1524) (layer TOP-L1) (net 247))
+  (segment (start 79 51.5) (end 79 55.95) (width 0.1524) (layer TOP-L1) (net 247) (tstamp 51AD553D))
+  (segment (start 79 55.95) (end 79 56.15) (width 0.1524) (layer TOP-L1) (net 247) (tstamp 51ADE4D0))
+  (segment (start 79.4 58) (end 79 57.6) (width 0.1524) (layer TOP-L1) (net 247))
+  (segment (start 79 57.6) (end 79 56.15) (width 0.1524) (layer TOP-L1) (net 247) (tstamp 51AD4E25))
+  (segment (start 79 56.15) (end 79 56.125) (width 0.1524) (layer TOP-L1) (net 247) (tstamp 51AD4FE2))
+  (segment (start 69.225 45.825) (end 69.225 48.175) (width 0.1524) (layer TOP-L1) (net 248))
+  (segment (start 72.6 56.875) (end 72.6 56.975) (width 0.1524) (layer TOP-L1) (net 248) (tstamp 51AD4756))
+  (segment (start 72.4 56.675) (end 72.6 56.875) (width 0.1524) (layer TOP-L1) (net 248) (tstamp 51AD4755))
+  (segment (start 72.4 51.35) (end 72.4 56.675) (width 0.1524) (layer TOP-L1) (net 248) (tstamp 51AD4753))
+  (segment (start 69.225 48.175) (end 72.4 51.35) (width 0.1524) (layer TOP-L1) (net 248) (tstamp 51AD4751))
+  (segment (start 69.225 45.825) (end 69.225 44.625) (width 0.1524) (layer TOP-L1) (net 248) (tstamp 51AD474F))
+  (segment (start 73 58) (end 72.6 57.6) (width 0.1524) (layer TOP-L1) (net 248))
+  (segment (start 72.6 57.6) (end 72.6 56.975) (width 0.1524) (layer TOP-L1) (net 248) (tstamp 51AC4D9B))
+  (segment (start 73 57.2) (end 73 56.85) (width 0.1524) (layer TOP-L1) (net 249))
+  (segment (start 69.7 48.2) (end 69.7 44.75) (width 0.1524) (layer TOP-L1) (net 249) (tstamp 51AD475F))
+  (segment (start 72.675 51.175) (end 69.7 48.2) (width 0.1524) (layer TOP-L1) (net 249) (tstamp 51AD475D))
+  (segment (start 72.675 56.525) (end 72.675 51.175) (width 0.1524) (layer TOP-L1) (net 249) (tstamp 51AD475C))
+  (segment (start 73 56.85) (end 72.675 56.525) (width 0.1524) (layer TOP-L1) (net 249) (tstamp 51AD475B))
+  (segment (start 83.95 67.35) (end 84.2 67.6) (width 0.1524) (layer BOT-L6) (net 250))
+  (segment (start 85.3 67.6) (end 85.575 67.325) (width 0.1524) (layer BOT-L6) (net 250) (tstamp 51AEBC9D))
+  (segment (start 84.2 67.6) (end 85.3 67.6) (width 0.1524) (layer BOT-L6) (net 250) (tstamp 51AEBC9B))
+  (segment (start 103.5 34.125) (end 103.5 35) (width 0.1524) (layer BOT-L6) (net 250))
+  (segment (start 103.5 35) (end 103.35 35.15) (width 0.1524) (layer BOT-L6) (net 250) (tstamp 51AEBC5E))
+  (segment (start 103.35 35.15) (end 103.35 39.55) (width 0.1524) (layer BOT-L6) (net 250) (tstamp 51AEBC67))
+  (segment (start 103.35 39.55) (end 98.875 44.025) (width 0.1524) (layer BOT-L6) (net 250) (tstamp 51AEBC69))
+  (segment (start 98.875 44.025) (end 98.875 54.025) (width 0.1524) (layer BOT-L6) (net 250) (tstamp 51AEBC70))
+  (segment (start 98.875 54.025) (end 85.575 67.325) (width 0.1524) (layer BOT-L6) (net 250) (tstamp 51AEBC73))
+  (segment (start 83.4 66.8) (end 83.8 67.2) (width 0.1524) (layer TOP-L1) (net 250))
+  (segment (start 83.8 67.2) (end 83.95 67.35) (width 0.1524) (layer BOT-L6) (net 250) (tstamp 51AEBC04))
+  (via (at 83.8 67.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 250))
+  (segment (start 84.2 66.8) (end 84.6 67.2) (width 0.1524) (layer TOP-L1) (net 251))
+  (segment (start 103 39.375) (end 103 34.125) (width 0.1524) (layer BOT-L6) (net 251) (tstamp 51AEBC47))
+  (segment (start 98.525 43.85) (end 103 39.375) (width 0.1524) (layer BOT-L6) (net 251) (tstamp 51AEBC43))
+  (segment (start 98.525 53.85) (end 98.525 43.85) (width 0.1524) (layer BOT-L6) (net 251) (tstamp 51AEBC35))
+  (segment (start 85.175 67.2) (end 98.525 53.85) (width 0.1524) (layer BOT-L6) (net 251) (tstamp 51AEBC28))
+  (segment (start 84.6 67.2) (end 85.175 67.2) (width 0.1524) (layer BOT-L6) (net 251) (tstamp 51AEBC27))
+  (via (at 84.6 67.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 251))
+  (segment (start 83.4 67.6) (end 83.8 68) (width 0.1524) (layer TOP-L1) (net 252))
+  (segment (start 104.5 39.7) (end 104.5 34.125) (width 0.1524) (layer BOT-L6) (net 252) (tstamp 51AEBCF5))
+  (segment (start 99.75 44.45) (end 104.5 39.7) (width 0.1524) (layer BOT-L6) (net 252) (tstamp 51AEBCF0))
+  (segment (start 99.75 54.525) (end 99.75 44.45) (width 0.1524) (layer BOT-L6) (net 252) (tstamp 51AEBCEC))
+  (segment (start 85.875 68.4) (end 99.75 54.525) (width 0.1524) (layer BOT-L6) (net 252) (tstamp 51AEBCEA))
+  (segment (start 84.2 68.4) (end 85.875 68.4) (width 0.1524) (layer BOT-L6) (net 252) (tstamp 51AEBCE9))
+  (segment (start 83.8 68) (end 84.2 68.4) (width 0.1524) (layer BOT-L6) (net 252) (tstamp 51AEBCE8))
+  (via (at 83.8 68) (size 0.4572) (layers TOP-L1 BOT-L6) (net 252))
+  (segment (start 84.2 67.6) (end 84.6 68) (width 0.1524) (layer TOP-L1) (net 253))
+  (segment (start 104 39.575) (end 104 34.125) (width 0.1524) (layer BOT-L6) (net 253) (tstamp 51AEBCCE))
+  (segment (start 99.375 44.2) (end 104 39.575) (width 0.1524) (layer BOT-L6) (net 253) (tstamp 51AEBCCB))
+  (segment (start 99.375 54.35) (end 99.375 44.2) (width 0.1524) (layer BOT-L6) (net 253) (tstamp 51AEBCC1))
+  (segment (start 85.725 68) (end 99.375 54.35) (width 0.1524) (layer BOT-L6) (net 253) (tstamp 51AEBCAE))
+  (segment (start 84.6 68) (end 85.725 68) (width 0.1524) (layer BOT-L6) (net 253) (tstamp 51AEBCAD))
+  (via (at 84.6 68) (size 0.4572) (layers TOP-L1 BOT-L6) (net 253))
+  (segment (start 83.4 68.4) (end 83.8 68.8) (width 0.1524) (layer TOP-L1) (net 254))
+  (segment (start 105.5 40) (end 105.5 34.125) (width 0.1524) (layer BOT-L6) (net 254) (tstamp 51AEBD23))
+  (segment (start 100.475 45.025) (end 105.5 40) (width 0.1524) (layer BOT-L6) (net 254) (tstamp 51AEBD1E))
+  (segment (start 100.475 55.375) (end 100.475 45.025) (width 0.1524) (layer BOT-L6) (net 254) (tstamp 51AEBD1A))
+  (segment (start 86.65 69.2) (end 100.475 55.375) (width 0.1524) (layer BOT-L6) (net 254) (tstamp 51AEBD17))
+  (segment (start 84.2 69.2) (end 86.65 69.2) (width 0.1524) (layer BOT-L6) (net 254) (tstamp 51AEBD16))
+  (segment (start 83.8 68.8) (end 84.2 69.2) (width 0.1524) (layer BOT-L6) (net 254) (tstamp 51AEBD15))
+  (via (at 83.8 68.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 254))
+  (segment (start 105 34.125) (end 105 39.95) (width 0.1524) (layer BOT-L6) (net 255))
+  (segment (start 84.6 68.8) (end 84.2 68.4) (width 0.1524) (layer TOP-L1) (net 255) (tstamp 51AEBD10))
+  (via (at 84.6 68.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 255))
+  (segment (start 86.525 68.8) (end 84.6 68.8) (width 0.1524) (layer BOT-L6) (net 255) (tstamp 51AEBD04))
+  (segment (start 100.1 55.225) (end 86.525 68.8) (width 0.1524) (layer BOT-L6) (net 255) (tstamp 51AEBD02))
+  (segment (start 100.1 44.85) (end 100.1 55.225) (width 0.1524) (layer BOT-L6) (net 255) (tstamp 51AEBCFF))
+  (segment (start 105 39.95) (end 100.1 44.85) (width 0.1524) (layer BOT-L6) (net 255) (tstamp 51AEBCFD))
+  (segment (start 108.95 76.85) (end 109.525 76.85) (width 0.1524) (layer TOP-L1) (net 256))
+  (segment (start 115.675 83) (end 118.975 83) (width 0.1524) (layer BOT-L6) (net 256) (tstamp 51AECF97))
+  (segment (start 111.6 78.925) (end 115.675 83) (width 0.1524) (layer BOT-L6) (net 256) (tstamp 51AECF96))
+  (via (at 111.6 78.925) (size 0.4572) (layers TOP-L1 BOT-L6) (net 256))
+  (segment (start 109.525 76.85) (end 111.6 78.925) (width 0.1524) (layer TOP-L1) (net 256) (tstamp 51AECF92))
+  (segment (start 122.175 83) (end 118.975 83) (width 0.1524) (layer BOT-L6) (net 256))
+  (segment (start 98.45 76.55) (end 97.1 76.55) (width 0.1524) (layer TOP-L1) (net 256) (tstamp 51AECD58))
+  (segment (start 98.75 76.85) (end 98.45 76.55) (width 0.1524) (layer TOP-L1) (net 256) (tstamp 51AECD54))
+  (segment (start 108.95 76.85) (end 98.75 76.85) (width 0.1524) (layer TOP-L1) (net 256) (tstamp 51AECF90))
+  (segment (start 80.2 74) (end 80.6 74.4) (width 0.1524) (layer TOP-L1) (net 256))
+  (segment (start 81.45 76.55) (end 97.1 76.55) (width 0.1524) (layer TOP-L1) (net 256) (tstamp 51AEBFF3))
+  (segment (start 80.6 75.7) (end 81.45 76.55) (width 0.1524) (layer TOP-L1) (net 256) (tstamp 51AEBFF1))
+  (segment (start 80.6 74.4) (end 80.6 75.7) (width 0.1524) (layer TOP-L1) (net 256) (tstamp 51AEBFEF))
+  (segment (start 118.95 83.5) (end 115.4 83.5) (width 0.1524) (layer BOT-L6) (net 257))
+  (segment (start 109.025 77.125) (end 107.825 77.125) (width 0.1524) (layer TOP-L1) (net 257) (tstamp 51AECF89))
+  (segment (start 112 80.1) (end 109.025 77.125) (width 0.1524) (layer TOP-L1) (net 257) (tstamp 51AECF88))
+  (via (at 112 80.1) (size 0.4572) (layers TOP-L1 BOT-L6) (net 257))
+  (segment (start 115.4 83.5) (end 112 80.1) (width 0.1524) (layer BOT-L6) (net 257) (tstamp 51AECF85))
+  (segment (start 97.025 76.875) (end 98.25 76.875) (width 0.1524) (layer TOP-L1) (net 257))
+  (segment (start 80.2 75.85) (end 81.225 76.875) (width 0.1524) (layer TOP-L1) (net 257) (tstamp 51AEBFE8))
+  (segment (start 81.225 76.875) (end 97.025 76.875) (width 0.1524) (layer TOP-L1) (net 257) (tstamp 51AEBFEA))
+  (segment (start 80.2 74.8) (end 80.2 75.85) (width 0.1524) (layer TOP-L1) (net 257))
+  (segment (start 98.5 77.125) (end 107.825 77.125) (width 0.1524) (layer TOP-L1) (net 257) (tstamp 51AECD60))
+  (segment (start 98.25 76.875) (end 98.5 77.125) (width 0.1524) (layer TOP-L1) (net 257) (tstamp 51AECD5F))
+  (segment (start 118.95 83.5) (end 122.175 83.5) (width 0.1524) (layer BOT-L6) (net 257) (tstamp 51AECF83))
+  (segment (start 119.075 82.5) (end 115.875 82.5) (width 0.1524) (layer BOT-L6) (net 258))
+  (segment (start 109.95 76.575) (end 109.55 76.575) (width 0.1524) (layer TOP-L1) (net 258) (tstamp 51AECFA3))
+  (segment (start 112.775 79.4) (end 109.95 76.575) (width 0.1524) (layer TOP-L1) (net 258) (tstamp 51AECFA2))
+  (via (at 112.775 79.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 258))
+  (segment (start 115.875 82.5) (end 112.775 79.4) (width 0.1524) (layer BOT-L6) (net 258) (tstamp 51AECF9F))
+  (segment (start 96.35 76.2) (end 98.575 76.2) (width 0.1524) (layer TOP-L1) (net 258))
+  (segment (start 98.575 76.2) (end 98.95 76.575) (width 0.1524) (layer TOP-L1) (net 258) (tstamp 51AECD39))
+  (segment (start 98.95 76.575) (end 109.55 76.575) (width 0.1524) (layer TOP-L1) (net 258) (tstamp 51AECD3A))
+  (segment (start 119.075 82.5) (end 122.175 82.5) (width 0.1524) (layer BOT-L6) (net 258) (tstamp 51AECF9D))
+  (segment (start 81 74.8) (end 81 75.4) (width 0.1524) (layer TOP-L1) (net 258))
+  (segment (start 81.8 76.2) (end 96.35 76.2) (width 0.1524) (layer TOP-L1) (net 258) (tstamp 51AEBFFB))
+  (segment (start 96.35 76.2) (end 96.525 76.2) (width 0.1524) (layer TOP-L1) (net 258) (tstamp 51AECD37))
+  (segment (start 81 75.4) (end 81.8 76.2) (width 0.1524) (layer TOP-L1) (net 258) (tstamp 51AEBFFA))
+  (segment (start 121.34 80) (end 117.43 80) (width 0.1524) (layer BOT-L6) (net 259))
+  (segment (start 111.09 74.57) (end 111.09 74.575) (width 0.1524) (layer TOP-L1) (net 259) (tstamp 51AF1821))
+  (segment (start 112 74.57) (end 111.09 74.57) (width 0.1524) (layer TOP-L1) (net 259) (tstamp 51AF181B))
+  (segment (start 115.68 78.25) (end 112 74.57) (width 0.1524) (layer TOP-L1) (net 259) (tstamp 51AF181A))
+  (via (at 115.68 78.25) (size 0.4572) (layers TOP-L1 BOT-L6) (net 259))
+  (segment (start 117.43 80) (end 115.68 78.25) (width 0.1524) (layer BOT-L6) (net 259) (tstamp 51AF1817))
+  (segment (start 86.1 72.8) (end 86.35 72.8) (width 0.1524) (layer TOP-L1) (net 259))
+  (segment (start 84.2 73.175) (end 84.575 72.8) (width 0.1524) (layer TOP-L1) (net 259) (tstamp 51AECC79))
+  (segment (start 84.575 72.8) (end 86.1 72.8) (width 0.1524) (layer TOP-L1) (net 259) (tstamp 51AECC7B))
+  (segment (start 84.2 73.2) (end 84.2 73.175) (width 0.1524) (layer TOP-L1) (net 259))
+  (segment (start 110.2 74.575) (end 111.09 74.575) (width 0.1524) (layer TOP-L1) (net 259) (tstamp 51AED804))
+  (segment (start 86.35 72.8) (end 88.125 74.575) (width 0.1524) (layer TOP-L1) (net 259) (tstamp 51AED7FE))
+  (segment (start 88.125 74.575) (end 110.2 74.575) (width 0.1524) (layer TOP-L1) (net 259) (tstamp 51AED7FF))
+  (segment (start 122.175 80) (end 121.34 80) (width 0.1524) (layer BOT-L6) (net 259))
+  (segment (start 92.95 84.15) (end 92.95 79.54) (width 2) (layer BOT-L6) (net 260))
+  (segment (start 92.95 79.54) (end 84.92 71.51) (width 2) (layer BOT-L6) (net 260) (tstamp 51AF160C))
+  (segment (start 84.92 71.51) (end 84.92 71.09) (width 0.8) (layer BOT-L6) (net 260) (tstamp 51AF161C))
+  (segment (start 84.92 71.09) (end 82.2 68.37) (width 0.8) (layer BOT-L6) (net 260) (tstamp 51AF161D))
+  (segment (start 77.4 63.2) (end 77.4 62.5) (width 0.5) (layer BOT-L6) (net 260))
+  (segment (start 77.425 62.475) (end 77.425 62.375) (width 0.5) (layer BOT-L6) (net 260) (tstamp 51A839F4))
+  (segment (start 77.4 62.5) (end 77.425 62.475) (width 0.5) (layer BOT-L6) (net 260) (tstamp 51A839F2))
+  (segment (start 92.95 84.15) (end 92.2775 84.15) (width 0.5) (layer TOP-L1) (net 260))
+  (segment (start 92.2775 84.15) (end 90.9275 85.5) (width 0.5) (layer TOP-L1) (net 260) (tstamp 51A831B4))
+  (segment (start 95.347 84.325) (end 93.125 84.325) (width 0.5) (layer TOP-L1) (net 260))
+  (segment (start 93.125 84.325) (end 92.95 84.15) (width 0.5) (layer TOP-L1) (net 260) (tstamp 51A831B1))
+  (segment (start 90.9025 83.775) (end 92.575 83.775) (width 0.5) (layer TOP-L1) (net 260))
+  (segment (start 92.575 83.775) (end 92.95 84.15) (width 0.5) (layer TOP-L1) (net 260) (tstamp 51A831AE))
+  (segment (start 90.9275 85.5) (end 90.9275 83.8) (width 0.5) (layer TOP-L1) (net 260))
+  (segment (start 90.9275 83.8) (end 90.9025 83.775) (width 0.5) (layer TOP-L1) (net 260) (tstamp 51A831AB))
+  (segment (start 94.1219 87.05) (end 94.1219 87.0281) (width 0.5) (layer TOP-L1) (net 260))
+  (segment (start 95.347 85.803) (end 95.347 84.325) (width 0.5) (layer TOP-L1) (net 260) (tstamp 51A830D3))
+  (segment (start 94.1219 87.0281) (end 95.347 85.803) (width 0.5) (layer TOP-L1) (net 260) (tstamp 51A830D1))
+  (segment (start 76.6 63.2) (end 77.425 62.375) (width 0.5) (layer BOT-L6) (net 260))
+  (segment (start 77.425 62.375) (end 77.4 62.4) (width 0.5) (layer BOT-L6) (net 260) (tstamp 51A839F5))
+  (segment (start 93.25958 87.535) (end 94.06 87.535) (width 0.3) (layer TOP-L1) (net 260))
+  (segment (start 94.06 87.535) (end 94.1219 87.535) (width 0.3) (layer TOP-L1) (net 260) (tstamp 51A5D648))
+  (segment (start 94.1219 89.405) (end 94.1219 87.535) (width 0.5) (layer TOP-L1) (net 260))
+  (segment (start 94.1219 87.535) (end 94.1219 87.05) (width 0.5) (layer TOP-L1) (net 260) (tstamp 51A5D649))
+  (segment (start 94.1219 90.19168) (end 94.1219 89.405) (width 0.2) (layer TOP-L1) (net 260))
+  (segment (start 94.1219 89.405) (end 94.1219 89.4169) (width 0.2) (layer TOP-L1) (net 260) (tstamp 51A5D628))
+  (segment (start 94.1219 89.4169) (end 94.12 89.415) (width 0.2) (layer TOP-L1) (net 260) (tstamp 51A5D625))
+  (segment (start 78.6 62.8) (end 78.2 63.2) (width 0.1524) (layer TOP-L1) (net 260))
+  (segment (start 78.2 63.2) (end 77.8 62.8) (width 0.1524) (layer TOP-L1) (net 260))
+  (segment (start 77.55 63.87542) (end 77.55 63.35) (width 0.1524) (layer BOT-L6) (net 260))
+  (via (at 77.4 63.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 260))
+  (segment (start 77.55 63.35) (end 77.4 63.2) (width 0.1524) (layer BOT-L6) (net 260) (tstamp 51887492))
+  (segment (start 77.8 63.6) (end 77.4 63.2) (width 0.1524) (layer TOP-L1) (net 260))
+  (segment (start 77.4 63.2) (end 77 63.6) (width 0.1524) (layer TOP-L1) (net 260))
+  (segment (start 77 62.8) (end 76.6 63.2) (width 0.1524) (layer TOP-L1) (net 260))
+  (segment (start 76.2 62.8) (end 76.6 63.2) (width 0.1524) (layer TOP-L1) (net 260))
+  (segment (start 76.6 63.2) (end 76.6 63.2) (width 0.1524) (layer BOT-L6) (net 260) (tstamp 5188743E))
+  (segment (start 76.6 63.2) (end 76.6 63.2) (width 0.1524) (layer BOT-L6) (net 260) (tstamp 51A600B6))
+  (segment (start 76.6 63.2) (end 76.6 63.425) (width 0.1524) (layer BOT-L6) (net 260) (tstamp 5190755E))
+  (via (at 76.6 63.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 260))
+  (segment (start 76.6 63.425) (end 76.6 63.87542) (width 0.1524) (layer BOT-L6) (net 260) (tstamp 518874A7))
+  (segment (start 78.5 63.87542) (end 78.5 63.5) (width 0.1524) (layer BOT-L6) (net 260))
+  (segment (start 78.2 62.425) (end 80.8 62.425) (width 0.8) (layer BOT-L6) (net 260))
+  (segment (start 82.2 68.37) (end 82.2 67.15) (width 0.8) (layer BOT-L6) (net 260) (tstamp 51AF162D))
+  (segment (start 78.2 63.2) (end 78.2 62.425) (width 0.5) (layer BOT-L6) (net 260))
+  (segment (start 78.2 62.425) (end 78.2 62.375) (width 0.5) (layer BOT-L6) (net 260) (tstamp 51AFF1EC))
+  (segment (start 78.2 62.375) (end 78.2 62.4) (width 0.5) (layer BOT-L6) (net 260) (tstamp 51A839EF))
+  (segment (start 77.4 62.4) (end 78.2 62.4) (width 0.5) (layer BOT-L6) (net 260) (tstamp 51A600B8))
+  (via (at 78.2 63.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 260))
+  (segment (start 78.5 63.5) (end 78.2 63.2) (width 0.1524) (layer BOT-L6) (net 260) (tstamp 5188749B))
+  (segment (start 82.2 63.825) (end 82.2 67.15) (width 0.8) (layer BOT-L6) (net 260) (tstamp 51AFF1EF))
+  (segment (start 80.8 62.425) (end 82.2 63.825) (width 0.8) (layer BOT-L6) (net 260) (tstamp 51AFF1EE))
+  (segment (start 82.2 67.15) (end 82.2 67.24) (width 0.8) (layer BOT-L6) (net 260) (tstamp 51AFF1F2))
+  (segment (start 79.8 64) (end 80.2 63.6) (width 0.1524) (layer TOP-L1) (net 261))
+  (segment (start 79.8 64) (end 79.8 64) (width 0.1524) (layer TOP-L1) (net 261))
+  (segment (start 79.8 64) (end 79.4 63.6) (width 0.1524) (layer TOP-L1) (net 261) (tstamp 51AFF3EB))
+  (segment (start 80.27458 64.7) (end 80.925 64.7) (width 0.3) (layer BOT-L6) (net 261))
+  (segment (start 80.95 64.675) (end 81 64.675) (width 0.3) (layer BOT-L6) (net 261) (tstamp 51AFF3D3))
+  (segment (start 80.925 64.7) (end 80.95 64.675) (width 0.3) (layer BOT-L6) (net 261) (tstamp 51AFF3CD))
+  (segment (start 80.675 63.975) (end 80.675 64) (width 0.5) (layer BOT-L6) (net 261))
+  (segment (start 80.05 69) (end 80.05 68.825) (width 0.5) (layer BOT-L6) (net 261) (tstamp 51AFF3AE))
+  (segment (start 81 68.05) (end 80.05 69) (width 0.8) (layer BOT-L6) (net 261) (tstamp 51AFF3AB))
+  (segment (start 81 64.325) (end 81 64.675) (width 0.8) (layer BOT-L6) (net 261) (tstamp 51AFF3A8))
+  (segment (start 81 64.675) (end 81 68.05) (width 0.8) (layer BOT-L6) (net 261) (tstamp 51AFF3D4))
+  (segment (start 80.675 64) (end 81 64.325) (width 0.5) (layer BOT-L6) (net 261) (tstamp 51AFF3A2))
+  (segment (start 74.25 64.75) (end 74.75042 64.75) (width 0.3) (layer BOT-L6) (net 261))
+  (segment (start 74.75042 64.75) (end 74.80042 64.7) (width 0.3) (layer BOT-L6) (net 261) (tstamp 51AFF203))
+  (segment (start 83.675 73.475) (end 83.675 72.725) (width 0.8) (layer BOT-L6) (net 261))
+  (segment (start 83.675 72.725) (end 79.775 68.825) (width 0.8) (layer BOT-L6) (net 261) (tstamp 51AF247F))
+  (segment (start 79.775 68.825) (end 80.05 68.825) (width 0.8) (layer BOT-L6) (net 261) (tstamp 51AF2491))
+  (segment (start 80.05 68.825) (end 74.2 68.825) (width 0.8) (layer BOT-L6) (net 261) (tstamp 51AFF3AF))
+  (segment (start 82.075 87.3) (end 83.675 85.7) (width 2) (layer BOT-L6) (net 261))
+  (segment (start 83.675 85.7) (end 83.675 73.475) (width 2) (layer BOT-L6) (net 261) (tstamp 51AF2469))
+  (segment (start 83.675 73.475) (end 83.675 73.65) (width 2) (layer BOT-L6) (net 261) (tstamp 51AF247D))
+  (segment (start 80.675 63.975) (end 80.675 63.975) (width 0.3) (layer BOT-L6) (net 261))
+  (segment (start 80.675 63.975) (end 79.825 63.975) (width 0.3) (layer BOT-L6) (net 261) (tstamp 51AFF3A0))
+  (via (at 79.8 64) (size 0.4572) (layers TOP-L1 BOT-L6) (net 261))
+  (segment (start 79.825 63.975) (end 79.8 64) (width 0.3) (layer BOT-L6) (net 261) (tstamp 51A83A17))
+  (segment (start 85.62 87.407) (end 82.182 87.407) (width 0.8) (layer TOP-L1) (net 261))
+  (segment (start 82.182 87.407) (end 82.075 87.3) (width 0.8) (layer TOP-L1) (net 261) (tstamp 51A739F7))
+  (segment (start 84.71 92.85) (end 83.45 92.85) (width 0.8) (layer TOP-L1) (net 261))
+  (segment (start 82.4 87.625) (end 82.075 87.3) (width 0.8) (layer TOP-L1) (net 261) (tstamp 51A739F1))
+  (segment (start 82.4 91.8) (end 82.4 87.625) (width 0.8) (layer TOP-L1) (net 261) (tstamp 51A739EF))
+  (segment (start 83.45 92.85) (end 82.4 91.8) (width 0.8) (layer TOP-L1) (net 261) (tstamp 51A739EE))
+  (segment (start 80.675 63.975) (end 80.675 63.975) (width 0.3) (layer BOT-L6) (net 261) (tstamp 51A83A15))
+  (segment (start 80.59 63.99) (end 80.66 63.99) (width 0.1524) (layer TOP-L1) (net 261) (tstamp 51A6009C))
+  (segment (start 80.675 63.975) (end 80.66 63.99) (width 0.5) (layer BOT-L6) (net 261) (tstamp 51A600EA))
+  (segment (start 80.59 63.99) (end 80.2 63.6) (width 0.1524) (layer TOP-L1) (net 261))
+  (via (at 80.66 63.99) (size 0.4572) (layers TOP-L1 BOT-L6) (net 261))
+  (segment (start 80.2 64.4) (end 79.8 64) (width 0.1524) (layer TOP-L1) (net 261))
+  (segment (start 79.8 64) (end 79.4 64.4) (width 0.1524) (layer TOP-L1) (net 261))
+  (segment (start 73.5 68.8086) (end 73.5 68.09738) (width 0.3) (layer BOT-L6) (net 261))
+  (segment (start 73.5 68.09738) (end 73.57618 68.0212) (width 0.3) (layer BOT-L6) (net 261) (tstamp 51A5EC74))
+  (segment (start 73.57618 68.0212) (end 73.57618 67.1576) (width 0.3) (layer BOT-L6) (net 261))
+  (segment (start 73.59958 65.6) (end 73.59958 67.1342) (width 0.3) (layer BOT-L6) (net 261))
+  (segment (start 73.59958 67.1342) (end 73.57618 67.1576) (width 0.3) (layer BOT-L6) (net 261) (tstamp 51A5EC6D))
+  (segment (start 74.2 67.175) (end 74.2 65.575) (width 0.3) (layer BOT-L6) (net 261))
+  (segment (start 74.175 65.55) (end 74.175 65.6) (width 0.3) (layer BOT-L6) (net 261) (tstamp 51A5EC6A))
+  (segment (start 74.2 65.575) (end 74.175 65.55) (width 0.3) (layer BOT-L6) (net 261) (tstamp 51A5EC68))
+  (segment (start 74.2 67.95) (end 74.2 67.175) (width 0.3) (layer BOT-L6) (net 261))
+  (segment (start 74.2 67.175) (end 74.2 67.1576) (width 0.3) (layer BOT-L6) (net 261) (tstamp 51A5EC64))
+  (segment (start 74.2 68.8086) (end 74.2 68.825) (width 0.3) (layer BOT-L6) (net 261))
+  (segment (start 74.2 68.825) (end 74.2 67.95) (width 0.3) (layer BOT-L6) (net 261) (tstamp 51AF2499))
+  (segment (start 74.2 67.95) (end 74.2 68) (width 0.3) (layer BOT-L6) (net 261) (tstamp 51A5EC61))
+  (segment (start 75 68.17458) (end 74.39958 68.17458) (width 0.3) (layer BOT-L6) (net 261))
+  (segment (start 74.39958 68.17458) (end 74.2 67.975) (width 0.3) (layer BOT-L6) (net 261) (tstamp 51A5EC54))
+  (segment (start 74.2 67.975) (end 74.2 68) (width 0.3) (layer BOT-L6) (net 261) (tstamp 51A5EC56))
+  (segment (start 74.2 68) (end 74.2 67.9958) (width 0.3) (layer BOT-L6) (net 261) (tstamp 51A5EC5D))
+  (segment (start 75 68.17458) (end 75 68.8) (width 0.3) (layer BOT-L6) (net 261))
+  (segment (start 74.175 68.8086) (end 74.2 68.8086) (width 0.1524) (layer BOT-L6) (net 261))
+  (segment (start 74.2 68.8086) (end 75.025 68.8086) (width 0.1524) (layer BOT-L6) (net 261) (tstamp 51A5EC59))
+  (segment (start 75.025 68.8086) (end 74.9914 68.8086) (width 0.1524) (layer BOT-L6) (net 261) (tstamp 51A5EC19))
+  (via (at 75 68.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 261))
+  (segment (start 74.9914 68.8086) (end 75 68.8) (width 0.1524) (layer BOT-L6) (net 261) (tstamp 51A5EC16))
+  (segment (start 75.4 68.4) (end 75 68.8) (width 0.1524) (layer TOP-L1) (net 261))
+  (segment (start 75 68.8) (end 74.6 68.4) (width 0.1524) (layer TOP-L1) (net 261))
+  (segment (start 74.1934 68.8086) (end 74.175 68.8086) (width 0.3) (layer BOT-L6) (net 261))
+  (segment (start 74.175 68.8086) (end 73.5 68.8086) (width 0.3) (layer BOT-L6) (net 261) (tstamp 51A5EC14))
+  (segment (start 73.5 68.8086) (end 73.5584 68.8086) (width 0.3) (layer BOT-L6) (net 261) (tstamp 51A5EC72))
+  (via (at 74.1934 68.8086) (size 0.4572) (layers TOP-L1 BOT-L6) (net 261))
+  (segment (start 73.5584 68.8086) (end 73.57618 68.8086) (width 0.3) (layer BOT-L6) (net 261) (tstamp 51A5EB5D))
+  (segment (start 73.57618 68.8086) (end 73.57618 69.3674) (width 0.3) (layer BOT-L6) (net 261) (tstamp 51A5EB5E))
+  (segment (start 73.57618 69.3674) (end 73.62698 69.4182) (width 0.3) (layer BOT-L6) (net 261) (tstamp 51A5EB59))
+  (segment (start 73.8 69.2) (end 73.802 69.2) (width 0.1524) (layer TOP-L1) (net 261))
+  (segment (start 74.6 68.402) (end 74.1934 68.8086) (width 0.1524) (layer TOP-L1) (net 261) (tstamp 51A5EB52))
+  (segment (start 74.6 68.402) (end 74.6 68.4) (width 0.1524) (layer TOP-L1) (net 261))
+  (segment (start 73.802 69.2) (end 74.1934 68.8086) (width 0.1524) (layer TOP-L1) (net 261) (tstamp 51A5EB56))
+  (segment (start 74.1934 67.9958) (end 74.2 67.9958) (width 0.3) (layer BOT-L6) (net 261))
+  (via (at 74.1934 67.9958) (size 0.4572) (layers TOP-L1 BOT-L6) (net 261))
+  (segment (start 74.2 67.9958) (end 73.60158 67.9958) (width 0.3) (layer BOT-L6) (net 261) (tstamp 51A5EC57))
+  (segment (start 73.60158 67.9958) (end 73.57618 68.0212) (width 0.3) (layer BOT-L6) (net 261) (tstamp 51A5E90C))
+  (segment (start 74.6 68.4) (end 74.5976 68.4) (width 0.1524) (layer TOP-L1) (net 261))
+  (segment (start 74.5892 67.6) (end 74.1934 67.9958) (width 0.1524) (layer TOP-L1) (net 261) (tstamp 51A5E735))
+  (segment (start 74.5892 67.6) (end 74.6 67.6) (width 0.1524) (layer TOP-L1) (net 261))
+  (segment (start 74.5976 68.4) (end 74.1934 67.9958) (width 0.1524) (layer TOP-L1) (net 261) (tstamp 51A5E73A))
+  (segment (start 73.57618 67.1576) (end 74.2 67.1576) (width 0.3) (layer BOT-L6) (net 261))
+  (segment (start 74.2 67.1576) (end 74.168 67.1576) (width 0.3) (layer BOT-L6) (net 261) (tstamp 51A5EC65))
+  (via (at 74.2 67.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 261))
+  (segment (start 74.2442 67.2338) (end 74.2 67.2) (width 0.3) (layer BOT-L6) (net 261) (tstamp 51A5E6C5))
+  (segment (start 74.168 67.1576) (end 74.2442 67.2338) (width 0.3) (layer BOT-L6) (net 261) (tstamp 51A5E6C3))
+  (segment (start 73.59958 64.75) (end 73.59958 65.6) (width 0.3) (layer BOT-L6) (net 261))
+  (segment (start 74.2 65.6) (end 74.175 65.6) (width 0.3) (layer BOT-L6) (net 261))
+  (via (at 74.2 65.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 261))
+  (segment (start 74.175 65.6) (end 73.59958 65.6) (width 0.3) (layer BOT-L6) (net 261) (tstamp 51A5EC6B))
+  (segment (start 73.59958 64.75) (end 74.25 64.75) (width 0.3) (layer BOT-L6) (net 261))
+  (segment (start 74.25 64.75) (end 74.125 64.75) (width 0.3) (layer BOT-L6) (net 261) (tstamp 51AFF201))
+  (via (at 74.2 64.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 261))
+  (segment (start 74.2 64.825) (end 74.2 64.8) (width 0.3) (layer BOT-L6) (net 261) (tstamp 51A5E628))
+  (segment (start 74.125 64.75) (end 74.2 64.825) (width 0.3) (layer BOT-L6) (net 261) (tstamp 51A5E626))
+  (segment (start 74.6 65.2) (end 74.2 65.6) (width 0.1524) (layer TOP-L1) (net 261))
+  (segment (start 74.2 65.6) (end 73.8 66) (width 0.1524) (layer TOP-L1) (net 261))
+  (segment (start 74.6 64.4) (end 74.2 64.8) (width 0.1524) (layer TOP-L1) (net 261))
+  (segment (start 74.2 64.8) (end 73.8 65.2) (width 0.1524) (layer TOP-L1) (net 261))
+  (segment (start 84.78 93.9325) (end 84.78 92.925) (width 0.3) (layer TOP-L1) (net 261))
+  (segment (start 84.71 92.855) (end 84.71 92.85) (width 0.3) (layer TOP-L1) (net 261) (tstamp 51A5D3FA))
+  (segment (start 84.71 92.85) (end 84.71 92.8409) (width 0.3) (layer TOP-L1) (net 261) (tstamp 51A739EC))
+  (segment (start 84.78 92.925) (end 84.71 92.855) (width 0.3) (layer TOP-L1) (net 261) (tstamp 51A5D3F8))
+  (segment (start 86.61 93.9325) (end 86.61 92.905) (width 0.3) (layer TOP-L1) (net 261))
+  (segment (start 86.57 92.865) (end 86.57 92.8409) (width 0.3) (layer TOP-L1) (net 261) (tstamp 51A5D3F5))
+  (segment (start 86.61 92.905) (end 86.57 92.865) (width 0.3) (layer TOP-L1) (net 261) (tstamp 51A5D3F3))
+  (segment (start 88 93.67542) (end 88 92.895) (width 0.3) (layer TOP-L1) (net 261))
+  (segment (start 88.01 92.885) (end 88.01 92.8409) (width 0.3) (layer TOP-L1) (net 261) (tstamp 51A5D3F0))
+  (segment (start 88 92.895) (end 88.01 92.885) (width 0.3) (layer TOP-L1) (net 261) (tstamp 51A5D3EE))
+  (segment (start 86.94 92.8409) (end 86.57 92.8409) (width 0.8) (layer TOP-L1) (net 261))
+  (segment (start 86.57 92.8409) (end 84.71 92.8409) (width 0.8) (layer TOP-L1) (net 261) (tstamp 51A5D3F6))
+  (segment (start 91.74 92.8409) (end 88.01 92.8409) (width 0.8) (layer TOP-L1) (net 261))
+  (segment (start 88.01 92.8409) (end 86.94 92.8409) (width 0.8) (layer TOP-L1) (net 261) (tstamp 51A5D3F1))
+  (segment (start 92.47344 92.8409) (end 91.74 92.8409) (width 0.3) (layer TOP-L1) (net 261))
+  (segment (start 91.74 92.8409) (end 91.7341 92.8409) (width 0.3) (layer TOP-L1) (net 261) (tstamp 51A5D23A))
+  (segment (start 91.7341 92.8409) (end 91.73 92.845) (width 0.3) (layer TOP-L1) (net 261) (tstamp 51A5D237))
+  (segment (start 74.6 66.8) (end 74.2 66.4) (width 0.1524) (layer TOP-L1) (net 261))
+  (segment (start 73.8 66) (end 73.8 65.2) (width 0.1524) (layer TOP-L1) (net 261))
+  (segment (start 74.2 66.4) (end 73.8 66) (width 0.1524) (layer TOP-L1) (net 261))
+  (segment (start 74.6 64.4) (end 75.4 64.4) (width 0.1524) (layer TOP-L1) (net 261))
+  (segment (start 74.6 67.6) (end 74.2 67.2) (width 0.1524) (layer TOP-L1) (net 261))
+  (segment (start 74.2 67.2) (end 74.6 66.8) (width 0.1524) (layer TOP-L1) (net 261))
+  (segment (start 113.17458 54.9) (end 113.17458 56) (width 0.3) (layer TOP-L1) (net 262))
+  (segment (start 113.17458 56) (end 113.14958 56.025) (width 0.3) (layer TOP-L1) (net 262) (tstamp 51B00FE6))
+  (segment (start 113.17458 53.825) (end 113.17458 54.9) (width 0.3) (layer TOP-L1) (net 262))
+  (segment (start 75.92958 71.87) (end 77.27 71.87) (width 0.3) (layer BOT-L6) (net 262))
+  (segment (start 77.27 71.87) (end 77.41 72.01) (width 0.3) (layer BOT-L6) (net 262) (tstamp 51B00FCB))
+  (segment (start 76.81 71.41958) (end 77.745 71.41958) (width 0.3) (layer BOT-L6) (net 262))
+  (segment (start 77.745 71.41958) (end 77.75 71.42458) (width 0.3) (layer BOT-L6) (net 262) (tstamp 51B00E52))
+  (segment (start 76.81 71.41958) (end 76.82958 71.41958) (width 0.3) (layer BOT-L6) (net 262))
+  (segment (start 77.41 72) (end 77.41 72.01) (width 0.3) (layer BOT-L6) (net 262) (tstamp 51B00E4F))
+  (segment (start 77.41 72.01) (end 77.41 72.025) (width 0.3) (layer BOT-L6) (net 262) (tstamp 51B00FD3))
+  (segment (start 76.82958 71.41958) (end 77.41 72) (width 0.3) (layer BOT-L6) (net 262) (tstamp 51B00E4C))
+  (segment (start 107.78958 58.24) (end 109.82736 58.24) (width 0.3) (layer BOT-L6) (net 262))
+  (segment (start 109.82736 58.24) (end 109.84122 58.22614) (width 0.3) (layer BOT-L6) (net 262) (tstamp 51B00C0A))
+  (segment (start 75.4 63.6) (end 75.8 63.2) (width 0.1524) (layer TOP-L1) (net 262))
+  (segment (start 75.4 62.8) (end 75.825 63.225) (width 0.1524) (layer TOP-L1) (net 262))
+  (segment (start 75.825 63.175) (end 75.8 63.2) (width 0.1524) (layer TOP-L1) (net 262) (tstamp 51AFF61A))
+  (segment (start 75.825 63.225) (end 75.825 63.175) (width 0.1524) (layer TOP-L1) (net 262) (tstamp 51AFF619))
+  (segment (start 75.8 63.2) (end 75.8 62.47458) (width 0.1524) (layer BOT-L6) (net 262) (tstamp 51AFF614))
+  (via (at 75.8 63.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 262))
+  (segment (start 75.8 62.47458) (end 74.925 62.47458) (width 0.3) (layer BOT-L6) (net 262))
+  (segment (start 82.2 60.60042) (end 82.2 59.975) (width 0.2) (layer BOT-L6) (net 262))
+  (segment (start 81.8 60.375) (end 81.8 60.4) (width 0.2) (layer TOP-L1) (net 262) (tstamp 51AFF346))
+  (segment (start 82.2 59.975) (end 81.8 60.375) (width 0.1524) (layer TOP-L1) (net 262) (tstamp 51AFF345))
+  (via (at 82.2 59.975) (size 0.4572) (layers TOP-L1 BOT-L6) (net 262))
+  (segment (start 83 63.2) (end 83.12458 63.07542) (width 0.2) (layer BOT-L6) (net 262))
+  (segment (start 83.12458 63.07542) (end 83.12458 62.325) (width 0.2) (layer BOT-L6) (net 262) (tstamp 51AFF31D))
+  (segment (start 79.4 62.8) (end 79.4 62) (width 0.2) (layer TOP-L1) (net 262))
+  (segment (start 79.4 62) (end 79.4 61.975) (width 0.2) (layer TOP-L1) (net 262) (tstamp 51AFF2C7))
+  (segment (start 81.8 60.4) (end 82.6 61.2) (width 0.1524) (layer TOP-L1) (net 262))
+  (segment (start 81.525 63.6) (end 81.525 62.975) (width 0.8) (layer TOP-L1) (net 262))
+  (segment (start 81.525 62.975) (end 80.525 61.975) (width 0.8) (layer TOP-L1) (net 262) (tstamp 51AFF27C))
+  (segment (start 80.525 61.975) (end 79.4 61.975) (width 0.8) (layer TOP-L1) (net 262) (tstamp 51AFF27D))
+  (segment (start 74.1 61.975) (end 74.1 62.05) (width 0.8) (layer TOP-L1) (net 262) (tstamp 51AFF27F))
+  (segment (start 74.6 62.05) (end 74.1 62.05) (width 0.8) (layer TOP-L1) (net 262) (tstamp 51AFF246))
+  (segment (start 74.6 62.1) (end 74.6 62.05) (width 0.2) (layer TOP-L1) (net 262) (tstamp 51AFF245))
+  (segment (start 74.6 62.8) (end 74.6 62.1) (width 0.2) (layer TOP-L1) (net 262))
+  (segment (start 79.4 61.975) (end 74.1 61.975) (width 0.8) (layer TOP-L1) (net 262) (tstamp 51AFF2C8))
+  (segment (start 80.2 65.2) (end 81.375 65.2) (width 0.2) (layer TOP-L1) (net 262))
+  (segment (start 81.375 65.2) (end 81.5 65.2) (width 0.2) (layer TOP-L1) (net 262) (tstamp 51AFF267))
+  (segment (start 81.8 60.4) (end 80.55 61.65) (width 0.2) (layer TOP-L1) (net 262))
+  (segment (start 80.55 61.65) (end 80.55 61.775) (width 0.2) (layer TOP-L1) (net 262) (tstamp 51AFF262))
+  (segment (start 82.6 61.2) (end 81.475 62.325) (width 0.2) (layer TOP-L1) (net 262))
+  (segment (start 81.5 62.8) (end 81.5 62.725) (width 0.8) (layer TOP-L1) (net 262) (tstamp 51AFF25A))
+  (segment (start 81.5 62.725) (end 81.475 62.7) (width 0.8) (layer TOP-L1) (net 262) (tstamp 51AFF237))
+  (segment (start 81.475 62.325) (end 81.475 62.7) (width 0.2) (layer TOP-L1) (net 262) (tstamp 51AFF25E))
+  (segment (start 82.6 62.8) (end 81.65 62.8) (width 0.2) (layer TOP-L1) (net 262))
+  (segment (start 81.65 62.8) (end 81.5 62.8) (width 0.2) (layer TOP-L1) (net 262) (tstamp 51AFF259))
+  (segment (start 82.6 63.6) (end 81.525 63.6) (width 0.2) (layer TOP-L1) (net 262))
+  (segment (start 81.525 63.6) (end 81.525 63.6) (width 0.2) (layer TOP-L1) (net 262) (tstamp 51AFF27A))
+  (segment (start 81.525 63.6) (end 81.5 63.6) (width 0.2) (layer TOP-L1) (net 262) (tstamp 51AFF255))
+  (segment (start 80.2 67.6) (end 81.45 67.6) (width 0.2) (layer TOP-L1) (net 262))
+  (segment (start 81.45 67.6) (end 81.5 67.6) (width 0.2) (layer TOP-L1) (net 262) (tstamp 51AFF251))
+  (segment (start 82.6 66.8) (end 81.775 66.8) (width 0.2) (layer TOP-L1) (net 262))
+  (segment (start 81.775 66.8) (end 81.5 66.8) (width 0.2) (layer TOP-L1) (net 262) (tstamp 51AFF24D))
+  (segment (start 73.8 62.8) (end 73.8 62.05) (width 0.2) (layer TOP-L1) (net 262))
+  (segment (start 81.5 63.6) (end 81.5 62.8) (width 0.8) (layer TOP-L1) (net 262) (tstamp 51AFF256))
+  (segment (start 79.4 71.6) (end 81.35 69.65) (width 0.8) (layer TOP-L1) (net 262))
+  (segment (start 81.35 69.65) (end 81.35 68.2) (width 0.8) (layer TOP-L1) (net 262) (tstamp 51AFF234))
+  (segment (start 81.35 68.2) (end 81.5 68.05) (width 0.8) (layer TOP-L1) (net 262) (tstamp 51AFF235))
+  (segment (start 81.5 68.05) (end 81.5 67.6) (width 0.8) (layer TOP-L1) (net 262) (tstamp 51AFF236))
+  (segment (start 81.5 67.6) (end 81.5 66.8) (width 0.8) (layer TOP-L1) (net 262) (tstamp 51AFF252))
+  (segment (start 81.5 66.8) (end 81.5 65.2) (width 0.8) (layer TOP-L1) (net 262) (tstamp 51AFF24E))
+  (segment (start 81.5 65.2) (end 81.5 63.6) (width 0.8) (layer TOP-L1) (net 262) (tstamp 51AFF268))
+  (segment (start 104.54958 73.75) (end 108.675 73.75) (width 0.1524) (layer BOT-L6) (net 262))
+  (segment (start 108.725 73.8) (end 108.71 73.8) (width 0.1524) (layer BOT-L6) (net 262) (tstamp 51AFEC8C))
+  (segment (start 108.675 73.75) (end 108.725 73.8) (width 0.1524) (layer BOT-L6) (net 262) (tstamp 51AFEC8A))
+  (segment (start 104.52458 72.825) (end 108.725 72.825) (width 0.1524) (layer BOT-L6) (net 262))
+  (segment (start 108.75 72.8) (end 108.71 72.8) (width 0.1524) (layer BOT-L6) (net 262) (tstamp 51AFEC7B))
+  (segment (start 108.725 72.825) (end 108.75 72.8) (width 0.1524) (layer BOT-L6) (net 262) (tstamp 51AFEC79))
+  (segment (start 82.6 74.8) (end 82.1 75.3) (width 0.2) (layer TOP-L1) (net 262))
+  (via (at 82.1 75.3) (size 0.4572) (layers TOP-L1 BOT-L6) (net 262))
+  (segment (start 79.8 72) (end 80.15 72) (width 0.3) (layer BOT-L6) (net 262))
+  (via (at 79.8 72) (size 0.4572) (layers TOP-L1 BOT-L6) (net 262))
+  (segment (start 80.15 72) (end 80.15 72.025) (width 0.3) (layer BOT-L6) (net 262) (tstamp 51AF221B))
+  (segment (start 77.4 72.025) (end 77.41 72.025) (width 0.8) (layer BOT-L6) (net 262))
+  (segment (start 77.41 72.025) (end 80.15 72.025) (width 0.8) (layer BOT-L6) (net 262) (tstamp 51B00E50))
+  (via (at 77.4 72) (size 0.4572) (layers TOP-L1 BOT-L6) (net 262))
+  (segment (start 77.4 72) (end 77.4 72.025) (width 0.3) (layer BOT-L6) (net 262))
+  (segment (start 80.15 72.025) (end 80.825 72.025) (width 0.8) (layer BOT-L6) (net 262) (tstamp 51AF221C))
+  (segment (start 80.75 71.95) (end 81.025 72.225) (width 0.8) (layer BOT-L6) (net 262))
+  (segment (start 80.825 72.025) (end 81.025 72.225) (width 0.8) (layer BOT-L6) (net 262) (tstamp 51AF21A1))
+  (segment (start 78.6 71.6) (end 79.4 71.6) (width 0.1524) (layer TOP-L1) (net 262))
+  (segment (start 79.4 71.6) (end 80.2 71.6) (width 0.1524) (layer TOP-L1) (net 262))
+  (segment (start 77.8 71.6) (end 78.6 71.6) (width 0.1524) (layer TOP-L1) (net 262))
+  (segment (start 80.2 72.4) (end 79.8 72) (width 0.1524) (layer TOP-L1) (net 262))
+  (segment (start 79.8 72) (end 80.2 71.6) (width 0.1524) (layer TOP-L1) (net 262) (tstamp 51AF2146))
+  (segment (start 113.54 65.46) (end 108.71 70.29) (width 1.5) (layer BOT-L6) (net 262))
+  (segment (start 105.03 100.99) (end 101.93 100.99) (width 1.5) (layer BOT-L6) (net 262) (tstamp 51AF17EB))
+  (segment (start 108.71 97.31) (end 105.03 100.99) (width 1.5) (layer BOT-L6) (net 262) (tstamp 51AF17E7))
+  (segment (start 108.71 70.29) (end 108.71 72.8) (width 1.5) (layer BOT-L6) (net 262) (tstamp 51AF17E5))
+  (segment (start 108.71 72.8) (end 108.71 73.8) (width 1.5) (layer BOT-L6) (net 262) (tstamp 51AFEC7C))
+  (segment (start 108.71 73.8) (end 108.71 97.31) (width 1.5) (layer BOT-L6) (net 262) (tstamp 51AFEC8D))
+  (segment (start 83 100.99) (end 74.09 92.08) (width 1.5) (layer BOT-L6) (net 262) (tstamp 51AF17CE))
+  (segment (start 101.93 100.99) (end 83 100.99) (width 1.5) (layer BOT-L6) (net 262) (tstamp 51AF17F2))
+  (segment (start 74.07 84.12) (end 74.07 83.61) (width 2) (layer BOT-L6) (net 262))
+  (segment (start 74.07 83.61) (end 81.38 76.3) (width 2) (layer BOT-L6) (net 262) (tstamp 51AF14F3))
+  (segment (start 81.675 74.88) (end 81.675 76.3) (width 1.5) (layer BOT-L6) (net 262))
+  (segment (start 81.675 73.7) (end 81.675 74.88) (width 1.5) (layer BOT-L6) (net 262))
+  (segment (start 74.07 86.82) (end 74.09 86.82) (width 1.5) (layer BOT-L6) (net 262) (tstamp 51AF14CD))
+  (segment (start 74.07 84.12) (end 74.07 86.82) (width 2) (layer BOT-L6) (net 262) (tstamp 51AF14F1))
+  (segment (start 74.09 90.14) (end 74.09 86.82) (width 2) (layer BOT-L6) (net 262))
+  (segment (start 74.09 92.08) (end 74.09 90.14) (width 2) (layer BOT-L6) (net 262))
+  (segment (start 74.09 86.82) (end 74.09 86.85) (width 2) (layer BOT-L6) (net 262) (tstamp 51AF14CE))
+  (segment (start 74.09 92.08) (end 70.648 92.08) (width 0.8) (layer TOP-L1) (net 262))
+  (segment (start 70.648 92.08) (end 70.625 92.103) (width 0.8) (layer TOP-L1) (net 262) (tstamp 51AF1347))
+  (segment (start 112.8 58.22614) (end 112.87614 58.22614) (width 1.5) (layer BOT-L6) (net 262))
+  (segment (start 114.325 64.675) (end 113.54 65.46) (width 1.5) (layer BOT-L6) (net 262) (tstamp 51AED2B2))
+  (segment (start 114.325 59.675) (end 114.325 64.675) (width 1.5) (layer BOT-L6) (net 262) (tstamp 51AED2AB))
+  (segment (start 112.87614 58.22614) (end 114.325 59.675) (width 1.5) (layer BOT-L6) (net 262) (tstamp 51AED2A8))
+  (segment (start 113.225 58.25) (end 112.825 58.25) (width 0.5) (layer TOP-L1) (net 262))
+  (segment (start 112.80114 58.22614) (end 112.8 58.22614) (width 0.5) (layer BOT-L6) (net 262) (tstamp 51AED267))
+  (segment (start 112.8 58.22614) (end 109.84122 58.22614) (width 0.5) (layer BOT-L6) (net 262) (tstamp 51AED2A6))
+  (segment (start 112.825 58.25) (end 112.80114 58.22614) (width 0.5) (layer BOT-L6) (net 262) (tstamp 51AED266))
+  (via (at 112.825 58.25) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 262))
+  (segment (start 114.8225 58.25) (end 113.225 58.25) (width 0.5) (layer TOP-L1) (net 262))
+  (segment (start 113.225 58.25) (end 113.275 58.25) (width 0.5) (layer TOP-L1) (net 262) (tstamp 51AED262))
+  (segment (start 113.275 58.25) (end 113.17458 58.25) (width 0.5) (layer TOP-L1) (net 262) (tstamp 51AED25B))
+  (segment (start 113.14958 60.425) (end 113.14958 61.55) (width 0.3) (layer TOP-L1) (net 262))
+  (segment (start 113.17458 59.325) (end 113.17458 60.4) (width 0.3) (layer TOP-L1) (net 262))
+  (segment (start 113.17458 60.4) (end 113.14958 60.425) (width 0.3) (layer TOP-L1) (net 262) (tstamp 51AED238))
+  (segment (start 113.17458 59.325) (end 113.17458 58.25) (width 0.3) (layer TOP-L1) (net 262))
+  (segment (start 113.17458 58.25) (end 113.17458 57.1) (width 0.3) (layer TOP-L1) (net 262) (tstamp 51AED25C))
+  (segment (start 113.17458 57.1) (end 113.17458 56.05) (width 0.3) (layer TOP-L1) (net 262))
+  (segment (start 113.17458 56.05) (end 113.14958 56.025) (width 0.3) (layer TOP-L1) (net 262) (tstamp 51AED22C))
+  (segment (start 81.675 73.2) (end 81.675 72.875) (width 0.8) (layer BOT-L6) (net 262))
+  (segment (start 80.75 71.95) (end 80.75 71.95) (width 0.8) (layer BOT-L6) (net 262) (tstamp 51AED18F))
+  (segment (start 81.675 72.875) (end 80.75 71.95) (width 0.8) (layer BOT-L6) (net 262) (tstamp 51AED18C))
+  (segment (start 81.675 75.78) (end 81.675 73.7) (width 1.5) (layer BOT-L6) (net 262) (tstamp 51AF13A9))
+  (segment (start 81.675 73.7) (end 81.675 73.2) (width 1.5) (layer BOT-L6) (net 262) (tstamp 51AF13D2))
+  (segment (start 81.675 73.2) (end 81.675 73.425) (width 1.5) (layer BOT-L6) (net 262) (tstamp 51AED18A))
+  (segment (start 79.625 71.39958) (end 79.60042 71.39958) (width 0.2) (layer BOT-L6) (net 262))
+  (segment (start 79.60042 71.39958) (end 79 72) (width 0.2) (layer BOT-L6) (net 262) (tstamp 51A83A81))
+  (segment (start 78.675 71.39958) (end 78.675 71.675) (width 0.2) (layer BOT-L6) (net 262))
+  (segment (start 78.675 71.675) (end 79 72) (width 0.2) (layer BOT-L6) (net 262) (tstamp 51A83A7A))
+  (via (at 79 72) (size 0.4572) (layers TOP-L1 BOT-L6) (net 262))
+  (segment (start 79.4 71.6) (end 79 72) (width 0.1524) (layer TOP-L1) (net 262))
+  (segment (start 79 72) (end 78.6 71.6) (width 0.1524) (layer TOP-L1) (net 262))
+  (segment (start 77.8 71.6) (end 77.4 72) (width 0.1524) (layer TOP-L1) (net 262))
+  (segment (start 77.4 72) (end 77 71.6) (width 0.1524) (layer TOP-L1) (net 262))
+  (segment (start 78.675 71.39958) (end 77.775 71.39958) (width 0.3) (layer BOT-L6) (net 262))
+  (segment (start 77.775 71.39958) (end 77.75 71.42458) (width 0.3) (layer BOT-L6) (net 262) (tstamp 51A82283))
+  (segment (start 79.625 71.39958) (end 78.675 71.39958) (width 0.3) (layer BOT-L6) (net 262))
+  (segment (start 63.7 92.32458) (end 65.14792 92.32458) (width 0.3) (layer TOP-L1) (net 262))
+  (segment (start 65.14792 92.32458) (end 65.25 92.2225) (width 0.3) (layer TOP-L1) (net 262) (tstamp 51A72A45))
+  (segment (start 67 92.1975) (end 65.275 92.1975) (width 0.5) (layer TOP-L1) (net 262))
+  (segment (start 65.275 92.1975) (end 65.25 92.2225) (width 0.5) (layer TOP-L1) (net 262) (tstamp 51A72A42))
+  (segment (start 63.7 92.32458) (end 62.675 92.32458) (width 0.3) (layer TOP-L1) (net 262))
+  (segment (start 67 92.1975) (end 70.5305 92.1975) (width 0.5) (layer TOP-L1) (net 262))
+  (segment (start 70.5305 92.1975) (end 70.625 92.103) (width 0.5) (layer TOP-L1) (net 262) (tstamp 51A72A24))
+  (segment (start 68.4 92.82542) (end 69.90258 92.82542) (width 0.3) (layer TOP-L1) (net 262))
+  (segment (start 69.90258 92.82542) (end 70.625 92.103) (width 0.5) (layer TOP-L1) (net 262) (tstamp 51A72A21))
+  (segment (start 83 63.2) (end 83 63.2) (width 0.1524) (layer BOT-L6) (net 262) (tstamp 51A9907C))
+  (via (at 83 63.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 262))
+  (segment (start 79.79958 67.225) (end 79.8 67.22542) (width 0.1524) (layer BOT-L6) (net 262))
+  (segment (start 79.8 68) (end 80.2 67.6) (width 0.1524) (layer TOP-L1) (net 262) (tstamp 51A5FCC6))
+  (via (at 79.8 68) (size 0.4572) (layers TOP-L1 BOT-L6) (net 262))
+  (segment (start 79.8 67.22542) (end 79.8 68) (width 0.1524) (layer BOT-L6) (net 262) (tstamp 51A5FCC4))
+  (segment (start 79.8 65.6) (end 79.82458 65.62458) (width 0.1524) (layer BOT-L6) (net 262))
+  (via (at 79.8 65.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 262))
+  (segment (start 79.82458 65.62458) (end 79.82458 66.3) (width 0.1524) (layer BOT-L6) (net 262) (tstamp 51A5F88B))
+  (segment (start 82.6 63.6) (end 83 63.2) (width 0.1524) (layer TOP-L1) (net 262))
+  (segment (start 83 63.2) (end 82.6 62.8) (width 0.1524) (layer TOP-L1) (net 262))
+  (segment (start 80.2 65.2) (end 79.8 65.6) (width 0.1524) (layer TOP-L1) (net 262))
+  (segment (start 79.8 65.6) (end 79.4 65.2) (width 0.1524) (layer TOP-L1) (net 262))
+  (segment (start 74.025 62.47458) (end 74.925 62.47458) (width 0.3) (layer BOT-L6) (net 262))
+  (segment (start 75 63.2) (end 74.925 63.125) (width 0.3) (layer BOT-L6) (net 262))
+  (segment (start 74.925 63.125) (end 74.925 62.47458) (width 0.3) (layer BOT-L6) (net 262) (tstamp 51A5DF01))
+  (via (at 75 63.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 262))
+  (segment (start 75.4 62.8) (end 75 63.2) (width 0.1524) (layer TOP-L1) (net 262))
+  (segment (start 75 63.2) (end 74.975 63.225) (width 0.1524) (layer TOP-L1) (net 262) (tstamp 51A5DEF9))
+  (segment (start 74.2 63.2) (end 74.025 63.025) (width 0.3) (layer BOT-L6) (net 262))
+  (via (at 74.2 63.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 262))
+  (segment (start 74.025 63.025) (end 74.025 62.47458) (width 0.3) (layer BOT-L6) (net 262) (tstamp 51A5DEDD))
+  (segment (start 74.6 62.8) (end 74.2 63.2) (width 0.1524) (layer TOP-L1) (net 262))
+  (segment (start 74.2 63.2) (end 73.8 62.8) (width 0.1524) (layer TOP-L1) (net 262))
+  (segment (start 73.8 63.6) (end 74.2 63.2) (width 0.1524) (layer TOP-L1) (net 262))
+  (segment (start 74.6 63.6) (end 74.975 63.225) (width 0.1524) (layer TOP-L1) (net 262))
+  (segment (start 77.8 69.2) (end 77.8 71.6) (width 0.1524) (layer TOP-L1) (net 262))
+  (segment (start 79.4 62.8) (end 79 63.2) (width 0.1524) (layer TOP-L1) (net 262))
+  (via (at 79 63.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 262))
+  (segment (start 79 63.2) (end 78.6 63.6) (width 0.1524) (layer TOP-L1) (net 262))
+  (segment (start 40.98 86.51958) (end 40.98 86.89) (width 0.3) (layer TOP-L1) (net 263))
+  (segment (start 41.01 86.92) (end 41.01 86.9225) (width 0.3) (layer TOP-L1) (net 263) (tstamp 51B00FF4))
+  (segment (start 40.98 86.89) (end 41.01 86.92) (width 0.3) (layer TOP-L1) (net 263) (tstamp 51B00FF2))
+  (segment (start 64.88 88.5225) (end 64.88 87.35958) (width 0.3) (layer TOP-L1) (net 263))
+  (segment (start 95.925 103.425) (end 107 103.425) (width 0.8) (layer BOT-L6) (net 263))
+  (segment (start 115.675 77) (end 115.675 76.975) (width 0.8) (layer BOT-L6) (net 263) (tstamp 51AF21FF))
+  (segment (start 114.75 76.075) (end 115.675 77) (width 0.8) (layer BOT-L6) (net 263) (tstamp 51AF21FC))
+  (segment (start 111.4 76.075) (end 114.75 76.075) (width 0.8) (layer BOT-L6) (net 263) (tstamp 51AF21FB))
+  (segment (start 110.525 76.95) (end 111.4 76.075) (width 0.8) (layer BOT-L6) (net 263) (tstamp 51AF21FA))
+  (segment (start 110.525 99.9) (end 110.525 76.95) (width 0.8) (layer BOT-L6) (net 263) (tstamp 51AF21F5))
+  (segment (start 107 103.425) (end 110.525 99.9) (width 0.8) (layer BOT-L6) (net 263) (tstamp 51AF21F1))
+  (segment (start 76.85 103.5025) (end 76.85 104.25) (width 0.1524) (layer BOT-L6) (net 263))
+  (segment (start 76.825 104.275) (end 76.825 104.25) (width 0.1524) (layer BOT-L6) (net 263) (tstamp 51AF211A))
+  (segment (start 76.85 104.25) (end 76.825 104.275) (width 0.1524) (layer BOT-L6) (net 263) (tstamp 51AF2118))
+  (segment (start 125.09958 71.75) (end 124.625 71.75) (width 0.3) (layer BOT-L6) (net 263))
+  (segment (start 124.625 71.75) (end 123.925 72.45) (width 0.3) (layer BOT-L6) (net 263) (tstamp 51AF19ED))
+  (segment (start 123.925 72.45) (end 123.925 74.725) (width 0.3) (layer BOT-L6) (net 263) (tstamp 51AF19F0))
+  (segment (start 123.925 74.725) (end 121.675 76.975) (width 0.8) (layer BOT-L6) (net 263) (tstamp 51AF19F2))
+  (segment (start 121.675 76.975) (end 115.675 76.975) (width 0.8) (layer BOT-L6) (net 263) (tstamp 51AF19F8))
+  (segment (start 115.59 76.89) (end 115.59 76.11958) (width 0.3) (layer TOP-L1) (net 263) (tstamp 51AF19FE))
+  (via (at 115.675 76.975) (size 0.4572) (layers TOP-L1 BOT-L6) (net 263))
+  (segment (start 115.675 76.975) (end 115.59 76.89) (width 0.3) (layer TOP-L1) (net 263) (tstamp 51AF19FD))
+  (segment (start 114.57 76.11958) (end 115.59 76.11958) (width 0.1524) (layer TOP-L1) (net 263))
+  (segment (start 122.175 71.88) (end 122.38 71.88) (width 0.1524) (layer TOP-L1) (net 263))
+  (segment (start 124.7 72.175) (end 125.1 71.775) (width 0.3) (layer TOP-L1) (net 263) (tstamp 51ADE08F))
+  (segment (start 122.675 72.175) (end 124.7 72.175) (width 0.3) (layer TOP-L1) (net 263) (tstamp 51ADE08E))
+  (segment (start 122.38 71.88) (end 122.675 72.175) (width 0.1524) (layer TOP-L1) (net 263) (tstamp 51ADE08D))
+  (segment (start 125.09958 71.77458) (end 125.09958 71.75) (width 0.1524) (layer BOT-L6) (net 263) (tstamp 51ADE046))
+  (segment (start 125.09958 71.75) (end 125.09958 71.05) (width 0.1524) (layer BOT-L6) (net 263) (tstamp 51AF19EB))
+  (via (at 125.1 71.775) (size 0.4572) (layers TOP-L1 BOT-L6) (net 263))
+  (segment (start 125.1 71.775) (end 125.09958 71.77458) (width 0.1524) (layer BOT-L6) (net 263) (tstamp 51ADE045))
+  (segment (start 61.1525 88.425) (end 61.1525 90.0675) (width 0.8) (layer TOP-L1) (net 263))
+  (segment (start 59.63 99.635) (end 56.3 102.965) (width 1.5) (layer TOP-L1) (net 263) (tstamp 51AF12F8))
+  (segment (start 59.63 91.59) (end 59.63 99.635) (width 1.5) (layer TOP-L1) (net 263) (tstamp 51AF12F3))
+  (segment (start 61.1525 90.0675) (end 59.63 91.59) (width 1.5) (layer TOP-L1) (net 263) (tstamp 51AF12EA))
+  (segment (start 63.925 88.5225) (end 61.25 88.5225) (width 0.8) (layer TOP-L1) (net 263))
+  (segment (start 61.25 88.5225) (end 61.1525 88.425) (width 0.8) (layer TOP-L1) (net 263) (tstamp 51AF12CD))
+  (segment (start 44.94952 99.84062) (end 44.94952 98.67548) (width 0.3) (layer TOP-L1) (net 263))
+  (segment (start 44.975 95.225) (end 44.975 95.05) (width 0.8) (layer TOP-L1) (net 263) (tstamp 51AF1106))
+  (segment (start 44.975 98.65) (end 44.975 95.225) (width 0.8) (layer TOP-L1) (net 263) (tstamp 51AF10FF))
+  (segment (start 44.94952 98.67548) (end 44.975 98.65) (width 0.8) (layer TOP-L1) (net 263) (tstamp 51AF10F8))
+  (segment (start 56.3 102.965) (end 55.465 102.965) (width 1.5) (layer TOP-L1) (net 263))
+  (segment (start 55.465 102.965) (end 52.1 99.6) (width 1.5) (layer TOP-L1) (net 263) (tstamp 51AF10E7))
+  (segment (start 52.1 99.6) (end 52.1 96.25) (width 1.5) (layer TOP-L1) (net 263) (tstamp 51AF10E9))
+  (segment (start 52.1 96.25) (end 50.9 95.05) (width 1.5) (layer TOP-L1) (net 263) (tstamp 51AF10EA))
+  (segment (start 50.9 95.05) (end 44.975 95.05) (width 1.5) (layer TOP-L1) (net 263) (tstamp 51AF10EB))
+  (segment (start 39.2 90.1) (end 39.2275 90.1) (width 1.5) (layer TOP-L1) (net 263) (tstamp 51AF10F3))
+  (segment (start 39.2 93.975) (end 39.2 90.1) (width 1.5) (layer TOP-L1) (net 263) (tstamp 51AF10EE))
+  (segment (start 40.275 95.05) (end 39.2 93.975) (width 1.5) (layer TOP-L1) (net 263) (tstamp 51AF10ED))
+  (segment (start 44.975 95.05) (end 40.275 95.05) (width 1.5) (layer TOP-L1) (net 263) (tstamp 51AF1107))
+  (segment (start 39.2275 86.95) (end 39.2275 90.1) (width 0.8) (layer TOP-L1) (net 263))
+  (segment (start 42.355 86.9225) (end 41.01 86.9225) (width 0.8) (layer TOP-L1) (net 263))
+  (segment (start 41.01 86.9225) (end 39.255 86.9225) (width 0.8) (layer TOP-L1) (net 263) (tstamp 51B00FF5))
+  (segment (start 39.255 86.9225) (end 39.2275 86.95) (width 0.8) (layer TOP-L1) (net 263) (tstamp 51AF109C))
+  (segment (start 82.625 104.25) (end 76.825 104.25) (width 1.5) (layer BOT-L6) (net 263))
+  (segment (start 76.825 104.25) (end 57.585 104.25) (width 1.5) (layer BOT-L6) (net 263) (tstamp 51AF211B))
+  (segment (start 57.585 104.25) (end 56.3 102.965) (width 1.5) (layer BOT-L6) (net 263) (tstamp 51AF1077))
+  (segment (start 96.97458 101.975) (end 96.97458 102.37542) (width 1.5) (layer TOP-L1) (net 263))
+  (segment (start 95.1 104.25) (end 82.625 104.25) (width 1.5) (layer BOT-L6) (net 263) (tstamp 51AF1014))
+  (segment (start 82.625 104.25) (end 82.25 104.25) (width 1.5) (layer BOT-L6) (net 263) (tstamp 51AF1075))
+  (segment (start 95.9 103.45) (end 95.925 103.425) (width 1.5) (layer BOT-L6) (net 263) (tstamp 51AF1013))
+  (segment (start 95.925 103.425) (end 95.1 104.25) (width 1.5) (layer BOT-L6) (net 263) (tstamp 51AF21EF))
+  (via (at 95.9 103.45) (size 1.016) (drill 0.8128) (layers TOP-L1 BOT-L6) (net 263))
+  (segment (start 96.97458 102.37542) (end 95.9 103.45) (width 1.5) (layer TOP-L1) (net 263) (tstamp 51AF1004))
+  (segment (start 96.97458 102.025) (end 96.97458 101.975) (width 0.8) (layer TOP-L1) (net 263))
+  (segment (start 96.975 98.75) (end 96.975 98.4) (width 0.8) (layer TOP-L1) (net 263) (tstamp 51A5CF41))
+  (segment (start 96.975 98.4) (end 96.975 98.4) (width 0.8) (layer TOP-L1) (net 263) (tstamp 51A5CEF2))
+  (segment (start 96.975 98.4) (end 96.97458 102.025) (width 0.8) (layer TOP-L1) (net 263) (tstamp 51A82D3A))
+  (segment (start 96.975 98.1) (end 96.975 98.1) (width 0.5) (layer TOP-L1) (net 263) (tstamp 51A5CB15))
+  (segment (start 96.975 98.1) (end 96.975 98.75) (width 0.8) (layer TOP-L1) (net 263))
+  (segment (start 64.88 88.5225) (end 63.925 88.5225) (width 0.3) (layer TOP-L1) (net 263))
+  (segment (start 96.05 98.22542) (end 96.19958 98.22542) (width 0.2) (layer TOP-L1) (net 263))
+  (segment (start 96.62634 97.79866) (end 96.62634 96.99126) (width 0.2) (layer TOP-L1) (net 263) (tstamp 51A5CFF5))
+  (segment (start 96.19958 98.22542) (end 96.62634 97.79866) (width 0.2) (layer TOP-L1) (net 263) (tstamp 51A5CFF4))
+  (segment (start 96.62634 97.6) (end 96.62634 97.75134) (width 0.2) (layer TOP-L1) (net 263))
+  (segment (start 96.775 97.9) (end 96.975 97.9) (width 0.2) (layer TOP-L1) (net 263) (tstamp 51A5CF2B))
+  (segment (start 96.62634 97.75134) (end 96.775 97.9) (width 0.2) (layer TOP-L1) (net 263) (tstamp 51A5CF29))
+  (segment (start 96.62634 96.99126) (end 96.62634 97.6) (width 0.2) (layer TOP-L1) (net 263))
+  (segment (start 96.62634 97.6) (end 96.62634 97.65134) (width 0.2) (layer TOP-L1) (net 263) (tstamp 51A5CF27))
+  (segment (start 97.12672 96.98872) (end 97.12672 97.74828) (width 0.2) (layer TOP-L1) (net 263))
+  (segment (start 97.12672 97.74828) (end 96.975 97.9) (width 0.2) (layer TOP-L1) (net 263) (tstamp 51A5CABD))
+  (segment (start 96.975 97.9) (end 96.97458 97.90042) (width 0.2) (layer TOP-L1) (net 263) (tstamp 51A5CF2F))
+  (segment (start 90.4 86.8) (end 88.075 86.8) (width 0.3) (layer Sig-L5) (net 264))
+  (segment (start 44.895 89.195) (end 44.895 88.8275) (width 0.3) (layer TOP-L1) (net 264) (tstamp 51AF23AB))
+  (segment (start 45.275 89.575) (end 44.895 89.195) (width 0.3) (layer TOP-L1) (net 264) (tstamp 51AF23AA))
+  (via (at 45.275 89.575) (size 0.4572) (layers TOP-L1 BOT-L6) (net 264))
+  (segment (start 47.625 87.225) (end 45.275 89.575) (width 0.3) (layer Sig-L5) (net 264) (tstamp 51AF23A3))
+  (segment (start 74.675 87.225) (end 47.625 87.225) (width 0.3) (layer Sig-L5) (net 264) (tstamp 51AF239D))
+  (segment (start 76.675 85.225) (end 74.675 87.225) (width 0.3) (layer Sig-L5) (net 264) (tstamp 51AF239B))
+  (segment (start 86.5 85.225) (end 76.675 85.225) (width 0.3) (layer Sig-L5) (net 264) (tstamp 51AF2393))
+  (segment (start 88.075 86.8) (end 86.5 85.225) (width 0.3) (layer Sig-L5) (net 264) (tstamp 51AF238F))
+  (segment (start 82.6 69.2) (end 82.5 69.2) (width 0.1524) (layer TOP-L1) (net 264))
+  (segment (start 90.462 86.862) (end 90.462 88.005) (width 0.3) (layer TOP-L1) (net 264) (tstamp 51AF2356))
+  (segment (start 90.4 86.8) (end 90.462 86.862) (width 0.3) (layer TOP-L1) (net 264) (tstamp 51AF2355))
+  (via (at 90.4 86.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 264))
+  (segment (start 90.4 79.825) (end 90.4 86.8) (width 0.3) (layer Sig-L5) (net 264) (tstamp 51AF232F))
+  (segment (start 82.1 71.525) (end 90.4 79.825) (width 0.3) (layer Sig-L5) (net 264) (tstamp 51AF2322))
+  (segment (start 82.1 69.6) (end 82.1 71.525) (width 0.3) (layer Sig-L5) (net 264) (tstamp 51AF2321))
+  (via (at 82.1 69.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 264))
+  (segment (start 82.5 69.2) (end 82.1 69.6) (width 0.1524) (layer TOP-L1) (net 264) (tstamp 51AF2319))
+  (segment (start 90.57958 89.235) (end 90.57958 88.12258) (width 0.3) (layer TOP-L1) (net 264))
+  (segment (start 90.57958 88.12258) (end 90.462 88.005) (width 0.3) (layer TOP-L1) (net 264) (tstamp 51A5D51A))
+  (segment (start 90.57958 89.235) (end 91.77 89.235) (width 0.3) (layer TOP-L1) (net 264))
+  (segment (start 91.77 89.235) (end 91.77 89.235) (width 0.3) (layer TOP-L1) (net 264) (tstamp 51A5FE65))
+  (segment (start 92.72668 90.19168) (end 93.12368 90.19168) (width 0.3) (layer TOP-L1) (net 264) (tstamp 51A5D511))
+  (segment (start 91.77 89.235) (end 92.72668 90.19168) (width 0.3) (layer TOP-L1) (net 264) (tstamp 51A5D510))
+  (segment (start 39.12542 83) (end 39.12542 83.92458) (width 0.3) (layer BOT-L6) (net 265))
+  (via (at 39.11 83.94) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 265))
+  (segment (start 39.12542 83.92458) (end 39.11 83.94) (width 0.3) (layer BOT-L6) (net 265) (tstamp 51B01060))
+  (segment (start 39.10042 80.325) (end 39.10042 79.38958) (width 0.3) (layer BOT-L6) (net 265))
+  (via (at 39.11 79.38) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 265))
+  (segment (start 39.10042 79.38958) (end 39.11 79.38) (width 0.3) (layer BOT-L6) (net 265) (tstamp 51B01054))
+  (segment (start 70.225 63.225) (end 70.225 62.54958) (width 0.3) (layer BOT-L6) (net 265))
+  (segment (start 70.225 62.54958) (end 70.275 62.49958) (width 0.3) (layer BOT-L6) (net 265) (tstamp 51AFF64B))
+  (segment (start 70.2 63.2) (end 70.225 63.225) (width 0.3) (layer BOT-L6) (net 265))
+  (segment (start 47.95 54.325) (end 47.95 55.175) (width 0.5) (layer Sig-L5) (net 265))
+  (segment (start 47.35 60.925) (end 47.55 60.925) (width 0.5) (layer Sig-L5) (net 265) (tstamp 51AEDBFC))
+  (segment (start 47.125 60.7) (end 47.35 60.925) (width 0.5) (layer Sig-L5) (net 265) (tstamp 51AEDBF8))
+  (segment (start 47.125 56) (end 47.125 60.7) (width 0.5) (layer Sig-L5) (net 265) (tstamp 51AEDBF6))
+  (segment (start 47.95 55.175) (end 47.125 56) (width 0.5) (layer Sig-L5) (net 265) (tstamp 51AEDBF5))
+  (segment (start 50.8 62) (end 49.725 60.925) (width 0.5) (layer Sig-L5) (net 265))
+  (segment (start 49.725 60.925) (end 47.55 60.925) (width 0.5) (layer Sig-L5) (net 265) (tstamp 51AEDBB8))
+  (segment (start 40.775 60.575) (end 37.575 60.575) (width 0.5) (layer Sig-L5) (net 265) (tstamp 51AEDBCF))
+  (segment (start 47.55 60.925) (end 47.125 60.925) (width 0.5) (layer Sig-L5) (net 265) (tstamp 51AEDC00))
+  (segment (start 47.125 60.925) (end 45.6 62.45) (width 0.5) (layer Sig-L5) (net 265) (tstamp 51AEDBBE))
+  (segment (start 45.6 62.45) (end 42.65 62.45) (width 0.5) (layer Sig-L5) (net 265) (tstamp 51AEDBC5))
+  (segment (start 42.65 62.45) (end 40.775 60.575) (width 0.5) (layer Sig-L5) (net 265) (tstamp 51AEDBCC))
+  (segment (start 37.575 82.8) (end 37.55 82.8) (width 0.8) (layer Sig1-L3) (net 265))
+  (via (at 37.55 82.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 265))
+  (segment (start 37.55 82.8) (end 37.575 82.775) (width 0.8) (layer Sig-L5) (net 265) (tstamp 51AEDB73))
+  (segment (start 37.575 82.775) (end 37.575 78.35) (width 0.8) (layer Sig-L5) (net 265) (tstamp 51AEDB74))
+  (segment (start 37.575 78.35) (end 37.575 60.575) (width 0.8) (layer Sig-L5) (net 265) (tstamp 51AEDCB1))
+  (segment (start 54.025 54.775) (end 55.15 54.775) (width 0.8) (layer Sig-L5) (net 265) (tstamp 51AEDB82))
+  (segment (start 37.575 60.575) (end 37.575 57.8) (width 0.8) (layer Sig-L5) (net 265) (tstamp 51AEDBD2))
+  (segment (start 37.575 57.8) (end 41.05 54.325) (width 0.8) (layer Sig-L5) (net 265) (tstamp 51AEDB75))
+  (segment (start 41.05 54.325) (end 47.95 54.325) (width 0.8) (layer Sig-L5) (net 265) (tstamp 51AEDB7A))
+  (segment (start 47.95 54.325) (end 53.575 54.325) (width 0.8) (layer Sig-L5) (net 265) (tstamp 51AEDBF3))
+  (segment (start 53.575 54.325) (end 54.025 54.775) (width 0.8) (layer Sig-L5) (net 265) (tstamp 51AEDB7E))
+  (segment (start 55.175 54.775) (end 54.025 54.775) (width 0.8) (layer Sig1-L3) (net 265))
+  (segment (start 37.575 57.8) (end 37.575 82.8) (width 0.8) (layer Sig1-L3) (net 265) (tstamp 51AEDB4F))
+  (segment (start 37.575 82.8) (end 37.575 82.75) (width 0.8) (layer Sig1-L3) (net 265) (tstamp 51AEDB6F))
+  (segment (start 41.05 54.325) (end 37.575 57.8) (width 0.8) (layer Sig1-L3) (net 265) (tstamp 51AEDB4A))
+  (segment (start 53.575 54.325) (end 41.05 54.325) (width 0.8) (layer Sig1-L3) (net 265) (tstamp 51AEDB47))
+  (segment (start 54.025 54.775) (end 53.575 54.325) (width 0.8) (layer Sig1-L3) (net 265) (tstamp 51AEDB43))
+  (segment (start 58.975 54.775) (end 57.3 54.775) (width 0.8) (layer Sig1-L3) (net 265) (tstamp 51A598FE))
+  (segment (start 55.15 54.775) (end 55.175 54.775) (width 0.8) (layer Sig1-L3) (net 265) (tstamp 51A455A4))
+  (segment (start 55.175 54.775) (end 57.3 54.775) (width 0.8) (layer Sig1-L3) (net 265) (tstamp 51AEDB41))
+  (segment (start 54.75 54.775) (end 55.15 54.775) (width 0.8) (layer BOT-L6) (net 265) (tstamp 51A45599))
+  (segment (start 54.4 55.125) (end 54.75 54.775) (width 0.8) (layer BOT-L6) (net 265) (tstamp 51A4558F))
+  (via (at 55.15 54.775) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 265))
+  (segment (start 69.875 63.2) (end 67.4 63.2) (width 0.5) (layer Sig1-L3) (net 265))
+  (segment (start 67.4 63.2) (end 58.975 54.775) (width 0.8) (layer Sig1-L3) (net 265) (tstamp 51A598EB))
+  (via (at 70.2 63.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 265))
+  (segment (start 69.875 63.2) (end 70.2 63.2) (width 0.1524) (layer Sig1-L3) (net 265) (tstamp 51A598E9))
+  (segment (start 39.10042 81.225) (end 39.10042 80.325) (width 0.3) (layer BOT-L6) (net 265))
+  (segment (start 39.12542 82.125) (end 39.12542 81.25) (width 0.3) (layer BOT-L6) (net 265))
+  (segment (start 39.12542 81.25) (end 39.10042 81.225) (width 0.3) (layer BOT-L6) (net 265) (tstamp 51A83792))
+  (segment (start 39.12542 83) (end 39.12542 82.125) (width 0.3) (layer BOT-L6) (net 265))
+  (segment (start 49.75 61.92542) (end 49.775 61.90042) (width 0.1524) (layer BOT-L6) (net 265))
+  (segment (start 49.775 60.95) (end 49.75 60.95) (width 0.1524) (layer BOT-L6) (net 265) (tstamp 51A484E0))
+  (segment (start 49.775 61.90042) (end 49.775 60.95) (width 0.1524) (layer BOT-L6) (net 265) (tstamp 51A484DB))
+  (segment (start 49.75 61.92542) (end 49.82458 62) (width 0.1524) (layer BOT-L6) (net 265))
+  (segment (start 49.82458 62) (end 50.8 62) (width 0.5) (layer BOT-L6) (net 265) (tstamp 51A484D3))
+  (segment (start 72.62542 72.825) (end 72.62542 73.7) (width 0.2) (layer BOT-L6) (net 265))
+  (segment (start 70.6 62.8) (end 70.2 63.2) (width 0.1524) (layer TOP-L1) (net 265))
+  (segment (start 70.2 63.2) (end 69.8 62.8) (width 0.1524) (layer TOP-L1) (net 265))
+  (segment (start 53.54958 56.15) (end 54.275 56.15) (width 0.3) (layer BOT-L6) (net 265))
+  (segment (start 54.275 56.15) (end 54.425 56.3) (width 0.3) (layer BOT-L6) (net 265) (tstamp 51A4819F))
+  (segment (start 54.425 56.3) (end 54.4 56.275) (width 0.3) (layer BOT-L6) (net 265) (tstamp 51A852D1))
+  (segment (start 49.75 60.95) (end 49.75 61) (width 0.3) (layer BOT-L6) (net 265) (tstamp 51A484E1))
+  (segment (start 49.75 61) (end 49.75 60.95) (width 0.3) (layer BOT-L6) (net 265) (tstamp 51A48101))
+  (segment (start 52.8 82) (end 52.8 82.72458) (width 0.3) (layer BOT-L6) (net 265))
+  (segment (start 52.8 82.72458) (end 52.74958 82.775) (width 0.3) (layer BOT-L6) (net 265) (tstamp 51A4802F))
+  (segment (start 56.375 76.375) (end 56.4 76.35) (width 0.8) (layer BOT-L6) (net 265) (tstamp 51A33E80))
+  (segment (start 56.4 76.375) (end 56.375 76.375) (width 0.8) (layer BOT-L6) (net 265) (tstamp 51A44E8B))
+  (segment (start 55.125 76.375) (end 54.25 77.25) (width 0.3) (layer BOT-L6) (net 265) (tstamp 51A44E8D))
+  (segment (start 54.25 77.25) (end 54.25 78.7) (width 0.5) (layer BOT-L6) (net 265) (tstamp 51A44E9E))
+  (segment (start 54.25 78.7) (end 53.725 79.225) (width 0.8) (layer BOT-L6) (net 265) (tstamp 51A44E9F))
+  (segment (start 53.725 79.225) (end 53.525 79.225) (width 0.8) (layer BOT-L6) (net 265) (tstamp 51A44EA2))
+  (segment (start 56.4 76.35) (end 55.34958 76.35) (width 0.3) (layer BOT-L6) (net 265))
+  (segment (start 55.34958 76.35) (end 55.09958 76.1) (width 0.3) (layer BOT-L6) (net 265) (tstamp 51A47FDF))
+  (segment (start 63.125 79.825) (end 62.675 80.275) (width 0.8) (layer Sig-L5) (net 265))
+  (segment (start 59.225 79.725) (end 56.1 79.725) (width 0.8) (layer Sig-L5) (net 265) (tstamp 51A4567B))
+  (segment (start 59.775 80.275) (end 59.225 79.725) (width 0.8) (layer Sig-L5) (net 265) (tstamp 51A45678))
+  (segment (start 62.675 80.275) (end 59.775 80.275) (width 0.8) (layer Sig-L5) (net 265) (tstamp 51A45676))
+  (segment (start 72.6 73.775) (end 72.6 75.9) (width 0.8) (layer Sig-L5) (net 265))
+  (segment (start 71.925 76.575) (end 68.5 76.575) (width 0.8) (layer Sig-L5) (net 265) (tstamp 51A45642))
+  (segment (start 72.6 75.9) (end 71.925 76.575) (width 0.8) (layer Sig-L5) (net 265) (tstamp 51A45638))
+  (segment (start 54.4 56.25) (end 54.4 56.275) (width 0.8) (layer BOT-L6) (net 265))
+  (segment (start 54.4 56.275) (end 54.4 55.125) (width 0.8) (layer BOT-L6) (net 265) (tstamp 51A481A6))
+  (segment (start 72.6 72.8) (end 71.825 72.8) (width 0.1524) (layer TOP-L1) (net 265))
+  (segment (start 71.8 72.8) (end 71.4 72.4) (width 0.1524) (layer TOP-L1) (net 265) (tstamp 51A4551D))
+  (segment (start 71.825 72.8) (end 71.8 72.8) (width 0.1524) (layer TOP-L1) (net 265) (tstamp 51A4551A))
+  (segment (start 73.8 73.2) (end 73.4 72.8) (width 0.1524) (layer TOP-L1) (net 265))
+  (via (at 72.6 72.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 265))
+  (segment (start 72.6 73.775) (end 72.6 72.8) (width 0.8) (layer Sig-L5) (net 265) (tstamp 51A45636))
+  (segment (start 55.575 79.2) (end 53.525 79.2) (width 0.8) (layer BOT-L6) (net 265))
+  (via (at 56.1 79.725) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 265))
+  (segment (start 55.575 79.2) (end 56.1 79.725) (width 0.8) (layer BOT-L6) (net 265) (tstamp 51A45497))
+  (segment (start 63.125 79.825) (end 63.1 79.825) (width 0.5) (layer Sig-L5) (net 265) (tstamp 51A45674))
+  (segment (start 63.1 79.825) (end 66.35 76.575) (width 0.8) (layer Sig-L5) (net 265) (tstamp 51A454A2))
+  (segment (start 66.35 76.575) (end 68.5 76.575) (width 0.8) (layer Sig-L5) (net 265) (tstamp 51A454A7))
+  (segment (start 73.4 72.8) (end 72.6 72.8) (width 0.1524) (layer TOP-L1) (net 265) (tstamp 51A45515))
+  (segment (start 53.525 79.225) (end 53.525 79.2) (width 0.5) (layer BOT-L6) (net 265))
+  (segment (start 53.525 79.2) (end 53.525 81.275) (width 0.8) (layer BOT-L6) (net 265) (tstamp 51A45495))
+  (via (at 52.8 82) (size 0.4572) (layers TOP-L1 BOT-L6) (net 265))
+  (segment (start 52.8 82) (end 53.2 82.4) (width 0.1524) (layer TOP-L1) (net 265))
+  (segment (start 53.525 81.275) (end 52.8 82) (width 0.5) (layer BOT-L6) (net 265) (tstamp 51A45446))
+  (segment (start 49.75 60.95) (end 49.75 60.95) (width 0.3) (layer BOT-L6) (net 265) (tstamp 51A4517C))
+  (segment (start 54.4 56.25) (end 54.4 56.25) (width 0.8) (layer BOT-L6) (net 265) (tstamp 51A4558D))
+  (segment (start 56.4 76.35) (end 56.4 76.8) (width 0.1524) (layer TOP-L1) (net 265))
+  (via (at 56.4 76.35) (size 0.4572) (layers TOP-L1 BOT-L6) (net 265))
+  (segment (start 54.4 56.25) (end 54.4 56.8) (width 0.1524) (layer TOP-L1) (net 265))
+  (via (at 54.4 56.25) (size 0.4572) (layers TOP-L1 BOT-L6) (net 265))
+  (via (at 50.8 62) (size 0.4572) (layers TOP-L1 BOT-L6) (net 265))
+  (segment (start 51.2 62.4) (end 50.8 62) (width 0.1524) (layer TOP-L1) (net 265))
+  (segment (start 72.36042 63.29) (end 72.19 63.29) (width 0.3) (layer BOT-L6) (net 266))
+  (segment (start 70.99 65.62) (end 71 65.62) (width 0.3) (layer BOT-L6) (net 266) (tstamp 51AFF73E))
+  (segment (start 70.99 64.49) (end 70.99 65.62) (width 0.3) (layer BOT-L6) (net 266) (tstamp 51AFF73B))
+  (segment (start 72.19 63.29) (end 70.99 64.49) (width 0.3) (layer BOT-L6) (net 266) (tstamp 51AFF738))
+  (segment (start 71.8 62.4) (end 72.32542 62.4) (width 0.3) (layer BOT-L6) (net 266))
+  (via (at 71.8 62.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 266))
+  (segment (start 71.775 62.375) (end 71.8 62.4) (width 0.1524) (layer TOP-L1) (net 266) (tstamp 51AFF671))
+  (segment (start 72.32542 62.4) (end 72.35042 62.425) (width 0.3) (layer BOT-L6) (net 266) (tstamp 51AFF69F))
+  (segment (start 71.4 62.8) (end 71.4 62.775) (width 0.1524) (layer TOP-L1) (net 266))
+  (segment (start 71.775 62.4) (end 71.775 62.375) (width 0.1524) (layer TOP-L1) (net 266) (tstamp 51AFF670))
+  (segment (start 71.4 62.775) (end 71.775 62.4) (width 0.1524) (layer TOP-L1) (net 266) (tstamp 51AFF66E))
+  (segment (start 71.4 62) (end 71.775 62.375) (width 0.1524) (layer TOP-L1) (net 266))
+  (segment (start 54.8 85.6) (end 54.8 86.025) (width 0.8) (layer TOP-L1) (net 266))
+  (segment (start 53.8 87.025) (end 50.678 87.025) (width 0.8) (layer TOP-L1) (net 266) (tstamp 51AF114C))
+  (segment (start 54.8 86.025) (end 53.8 87.025) (width 0.8) (layer TOP-L1) (net 266) (tstamp 51AF114B))
+  (segment (start 55.2 82.8) (end 55.14958 82.85042) (width 0.2) (layer BOT-L6) (net 266))
+  (via (at 55.2 82.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 266))
+  (segment (start 55.14958 82.85042) (end 55.14958 83.875) (width 0.2) (layer BOT-L6) (net 266) (tstamp 51A4927F))
+  (segment (start 56.025 83.575) (end 55.725 83.875) (width 0.2) (layer BOT-L6) (net 266))
+  (via (at 56.025 83.575) (size 0.4572) (layers TOP-L1 BOT-L6) (net 266))
+  (segment (start 55.725 83.875) (end 55.14958 83.875) (width 0.2) (layer BOT-L6) (net 266) (tstamp 51A4927C))
+  (segment (start 52.4 83.65) (end 52.42542 83.67542) (width 0.2) (layer BOT-L6) (net 266))
+  (via (at 52.4 83.65) (size 0.4572) (layers TOP-L1 BOT-L6) (net 266))
+  (segment (start 50.67458 84.425) (end 50.67458 83.89958) (width 0.3) (layer BOT-L6) (net 266))
+  (via (at 50.4 83.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 266))
+  (segment (start 50.4 83.6) (end 50.8 83.2) (width 0.1524) (layer TOP-L1) (net 266))
+  (segment (start 50.375 83.6) (end 50.4 83.6) (width 0.3) (layer BOT-L6) (net 266) (tstamp 51A86EFC))
+  (segment (start 50.67458 83.89958) (end 50.375 83.6) (width 0.3) (layer BOT-L6) (net 266) (tstamp 51A86EF4))
+  (segment (start 50.578 87.6) (end 50.578 90.3555) (width 0.5) (layer TOP-L1) (net 266))
+  (segment (start 50.578 87.6) (end 50.7 87.722) (width 0.8) (layer TOP-L1) (net 266))
+  (segment (start 50.7 87.722) (end 50.7 91.8) (width 0.8) (layer TOP-L1) (net 266) (tstamp 51A6F2B5))
+  (segment (start 50.7 91.8) (end 50.1 92.4) (width 0.8) (layer TOP-L1) (net 266) (tstamp 51A6F2B6))
+  (segment (start 50.1 92.4) (end 42.92458 92.4) (width 0.5) (layer TOP-L1) (net 266) (tstamp 51A6F2B7))
+  (segment (start 60.025 83.575) (end 60.05 83.6) (width 0.2) (layer BOT-L6) (net 266))
+  (via (at 60.025 83.575) (size 0.4572) (layers TOP-L1 BOT-L6) (net 266))
+  (segment (start 60.025 83.2) (end 60.025 83.575) (width 0.1524) (layer TOP-L1) (net 266))
+  (segment (start 60.05 83.6) (end 60.67542 83.6) (width 0.2) (layer BOT-L6) (net 266) (tstamp 51A49165))
+  (segment (start 60.4 83.2) (end 60.025 83.2) (width 0.1524) (layer TOP-L1) (net 266))
+  (segment (start 73 71.6) (end 72.6 72) (width 0.1524) (layer TOP-L1) (net 266))
+  (segment (start 72.2 72.4) (end 72.6 72) (width 0.1524) (layer TOP-L1) (net 266))
+  (segment (start 72.575 72.025) (end 71.92458 72.025) (width 0.1524) (layer BOT-L6) (net 266) (tstamp 51A83AFD))
+  (segment (start 72.6 72) (end 72.575 72.025) (width 0.1524) (layer BOT-L6) (net 266) (tstamp 51A83AFC))
+  (via (at 72.6 72) (size 0.4572) (layers TOP-L1 BOT-L6) (net 266))
+  (segment (start 49.2775 90.5) (end 50.6725 90.5) (width 0.5) (layer TOP-L1) (net 266))
+  (segment (start 50.6725 90.5) (end 50.6975 90.475) (width 0.5) (layer TOP-L1) (net 266) (tstamp 51A6F2E5))
+  (segment (start 50.578 90.3555) (end 50.6975 90.475) (width 0.5) (layer TOP-L1) (net 266) (tstamp 51A6F2E1))
+  (segment (start 50.6725 90.5) (end 50.6975 90.475) (width 0.5) (layer TOP-L1) (net 266) (tstamp 51A6F2D7))
+  (segment (start 42.92458 92.4) (end 42.52458 92) (width 0.3) (layer TOP-L1) (net 266) (tstamp 51A6F2B8))
+  (segment (start 71.025 71.17458) (end 70.65042 70.8) (width 0.1524) (layer BOT-L6) (net 266))
+  (segment (start 70.65042 70.8) (end 68.425 70.8) (width 0.1524) (layer BOT-L6) (net 266) (tstamp 51A5DE2A))
+  (segment (start 71 68.8) (end 71 68.17458) (width 0.1524) (layer BOT-L6) (net 266))
+  (segment (start 71 68.8) (end 70.6 69.2) (width 0.1524) (layer BOT-L6) (net 266))
+  (segment (start 70.6 69.2) (end 68.425 69.2) (width 0.1524) (layer BOT-L6) (net 266) (tstamp 51A5DE15))
+  (segment (start 71 68.17458) (end 70.77458 68.4) (width 0.1524) (layer BOT-L6) (net 266))
+  (segment (start 70.77458 68.4) (end 68.45 68.4) (width 0.1524) (layer BOT-L6) (net 266) (tstamp 51A5DE0A))
+  (segment (start 68.45 68.4) (end 68.425 68.4) (width 0.1524) (layer BOT-L6) (net 266) (tstamp 51A5DE0D))
+  (segment (start 68.425 65.175) (end 68.45 65.2) (width 0.1524) (layer BOT-L6) (net 266))
+  (segment (start 64.025 74.325) (end 67.825 74.325) (width 0.2) (layer BOT-L6) (net 266) (tstamp 51A5DDE0))
+  (segment (start 67.825 74.325) (end 68.425 73.725) (width 0.2) (layer BOT-L6) (net 266) (tstamp 51A5DDE6))
+  (segment (start 68.425 73.725) (end 68.425 70.8) (width 0.2) (layer BOT-L6) (net 266) (tstamp 51A5DDE8))
+  (segment (start 62.025 76.325) (end 62.025 76.325) (width 0.2) (layer BOT-L6) (net 266))
+  (segment (start 62.025 76.325) (end 64.025 74.325) (width 0.2) (layer BOT-L6) (net 266) (tstamp 51A6F3F0))
+  (segment (start 68.425 70.8) (end 68.425 69.2) (width 0.2) (layer BOT-L6) (net 266) (tstamp 51A5DE2F))
+  (segment (start 68.425 69.2) (end 68.425 68.4) (width 0.2) (layer BOT-L6) (net 266) (tstamp 51A5DE19))
+  (segment (start 68.425 68.4) (end 68.425 65.175) (width 0.2) (layer BOT-L6) (net 266) (tstamp 51A5DE0E))
+  (segment (start 70.77458 65.2) (end 71 64.97458) (width 0.1524) (layer BOT-L6) (net 266) (tstamp 51A5DE04))
+  (segment (start 68.45 65.2) (end 70.77458 65.2) (width 0.1524) (layer BOT-L6) (net 266) (tstamp 51A5DDFF))
+  (segment (start 58.32542 77.1) (end 58.32542 77.22542) (width 0.1524) (layer BOT-L6) (net 266))
+  (segment (start 59.1 78) (end 60 78) (width 0.1524) (layer BOT-L6) (net 266) (tstamp 51A49913))
+  (segment (start 58.32542 77.22542) (end 59.1 78) (width 0.1524) (layer BOT-L6) (net 266) (tstamp 51A49912))
+  (segment (start 60 78) (end 60.79958 78) (width 0.1524) (layer BOT-L6) (net 266))
+  (segment (start 60.79958 78) (end 60.85 77.94958) (width 0.1524) (layer BOT-L6) (net 266) (tstamp 51A4990F))
+  (via (at 60 78) (size 0.4572) (layers TOP-L1 BOT-L6) (net 266))
+  (segment (start 59.6 77.6) (end 60 78) (width 0.1524) (layer TOP-L1) (net 266))
+  (segment (start 58 76.325) (end 58 76.77458) (width 0.1524) (layer BOT-L6) (net 266))
+  (via (at 58 76.325) (size 0.4572) (layers TOP-L1 BOT-L6) (net 266))
+  (segment (start 58 76.8) (end 58 76.325) (width 0.1524) (layer TOP-L1) (net 266))
+  (segment (start 58 76.77458) (end 58.32542 77.1) (width 0.1524) (layer BOT-L6) (net 266) (tstamp 51A49907))
+  (segment (start 71.825 71.2) (end 71.05042 71.2) (width 0.1524) (layer BOT-L6) (net 266))
+  (segment (start 71.05042 71.2) (end 71.025 71.17458) (width 0.1524) (layer BOT-L6) (net 266) (tstamp 51A49700))
+  (segment (start 71.825 71.6) (end 71.825 71.2) (width 0.1524) (layer TOP-L1) (net 266))
+  (segment (start 71.825 71.2) (end 71.825 71.175) (width 0.1524) (layer Sig-L5) (net 266) (tstamp 51A496EF))
+  (via (at 71.825 71.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 266))
+  (segment (start 71.4 71.6) (end 71.825 71.6) (width 0.1524) (layer TOP-L1) (net 266))
+  (segment (start 71.825 71.6) (end 72.2 71.6) (width 0.1524) (layer TOP-L1) (net 266) (tstamp 51A496EB))
+  (segment (start 71.4 68.4) (end 71.375 68.4) (width 0.2) (layer TOP-L1) (net 266))
+  (segment (start 70.975 68.8) (end 71 68.8) (width 0.2) (layer TOP-L1) (net 266) (tstamp 51A494B4))
+  (segment (start 71.375 68.4) (end 70.975 68.8) (width 0.2) (layer TOP-L1) (net 266) (tstamp 51A494B2))
+  (segment (start 71 64.97458) (end 71 65.62) (width 0.2) (layer BOT-L6) (net 266))
+  (segment (start 71 65.62) (end 71 65.6) (width 0.2) (layer BOT-L6) (net 266) (tstamp 51AFF73F))
+  (segment (start 71 65.6) (end 71.025 65.625) (width 0.1524) (layer TOP-L1) (net 266) (tstamp 51A45B65))
+  (via (at 71 65.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 266))
+  (segment (start 52.4 83.2) (end 52.4 83.65) (width 0.1524) (layer TOP-L1) (net 266))
+  (segment (start 52.42542 83.67542) (end 52.42542 84.4) (width 0.2) (layer BOT-L6) (net 266) (tstamp 51A4929F))
+  (segment (start 54.8 82.4) (end 55.2 82.8) (width 0.1524) (layer TOP-L1) (net 266))
+  (segment (start 56.4 83.2) (end 56.025 83.575) (width 0.2) (layer TOP-L1) (net 266))
+  (segment (start 59.2 83.55) (end 59.14958 83.60042) (width 0.2) (layer BOT-L6) (net 266))
+  (segment (start 59.2 83.425) (end 59.2 83.525) (width 0.1524) (layer TOP-L1) (net 266) (tstamp 51A48726))
+  (segment (start 59.2 83.525) (end 59.2 83.55) (width 0.1524) (layer TOP-L1) (net 266) (tstamp 51A48727))
+  (via (at 59.2 83.55) (size 0.4572) (layers TOP-L1 BOT-L6) (net 266))
+  (segment (start 59.2 83.2) (end 59.2 83.425) (width 0.1524) (layer TOP-L1) (net 266))
+  (segment (start 59.14958 83.60042) (end 59.14958 84.225) (width 0.2) (layer BOT-L6) (net 266) (tstamp 51A4914F))
+  (segment (start 62.5 82.4) (end 62.50042 82.40042) (width 0.2) (layer BOT-L6) (net 266))
+  (via (at 62.5 82.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 266))
+  (segment (start 62 82.4) (end 62.5 82.4) (width 0.1524) (layer TOP-L1) (net 266))
+  (segment (start 62.50042 82.40042) (end 63.2 82.40042) (width 0.2) (layer BOT-L6) (net 266) (tstamp 51A49126))
+  (segment (start 56 78) (end 55.82542 78.17458) (width 0.2) (layer BOT-L6) (net 266))
+  (via (at 56 78) (size 0.4572) (layers TOP-L1 BOT-L6) (net 266))
+  (segment (start 55.82542 78.17458) (end 55.325 78.17458) (width 0.2) (layer BOT-L6) (net 266) (tstamp 51A490F9))
+  (segment (start 61.6 78) (end 60.90042 78) (width 0.3) (layer BOT-L6) (net 266))
+  (via (at 61.6 78) (size 0.4572) (layers TOP-L1 BOT-L6) (net 266))
+  (segment (start 61.2 77.6) (end 61.6 78) (width 0.1524) (layer TOP-L1) (net 266))
+  (segment (start 60.90042 78) (end 60.85 77.94958) (width 0.3) (layer BOT-L6) (net 266) (tstamp 51A490D4))
+  (segment (start 62 76.35) (end 62.025 76.325) (width 0.3) (layer BOT-L6) (net 266))
+  (segment (start 62.025 76.325) (end 62.09958 76.25042) (width 0.3) (layer BOT-L6) (net 266) (tstamp 51A5DDDE))
+  (via (at 62 76.35) (size 0.4572) (layers TOP-L1 BOT-L6) (net 266))
+  (segment (start 62 76.8) (end 62 76.35) (width 0.1524) (layer TOP-L1) (net 266))
+  (segment (start 62.09958 76.25042) (end 62.09958 75.625) (width 0.3) (layer BOT-L6) (net 266) (tstamp 51A49091))
+  (segment (start 60.4 76.35) (end 60.39958 76.34958) (width 0.3) (layer BOT-L6) (net 266))
+  (via (at 60.4 76.35) (size 0.4572) (layers TOP-L1 BOT-L6) (net 266))
+  (segment (start 60.4 76.8) (end 60.4 76.35) (width 0.1524) (layer TOP-L1) (net 266))
+  (segment (start 60.39958 76.34958) (end 60.39958 75.65) (width 0.3) (layer BOT-L6) (net 266) (tstamp 51A49079))
+  (segment (start 50.8 76.35) (end 50.8 75.72542) (width 0.3) (layer BOT-L6) (net 266))
+  (via (at 50.8 76.35) (size 0.4572) (layers TOP-L1 BOT-L6) (net 266))
+  (segment (start 50.8 76.8) (end 50.8 76.35) (width 0.1524) (layer TOP-L1) (net 266))
+  (segment (start 50.8 75.72542) (end 50.74958 75.675) (width 0.3) (layer BOT-L6) (net 266) (tstamp 51A49053))
+  (segment (start 52.47458 75.675) (end 52.47458 76.27542) (width 0.3) (layer BOT-L6) (net 266))
+  (via (at 52.4 76.35) (size 0.4572) (layers TOP-L1 BOT-L6) (net 266))
+  (segment (start 52.4 76.35) (end 52.4 76.8) (width 0.1524) (layer TOP-L1) (net 266))
+  (segment (start 52.375 76.375) (end 52.4 76.35) (width 0.3) (layer BOT-L6) (net 266) (tstamp 51A49051))
+  (segment (start 52.47458 76.27542) (end 52.375 76.375) (width 0.3) (layer BOT-L6) (net 266) (tstamp 51A4904F))
+  (segment (start 52.4 62) (end 52.4 61.39958) (width 0.2) (layer BOT-L6) (net 266))
+  (via (at 52.4 62) (size 0.4572) (layers TOP-L1 BOT-L6) (net 266))
+  (segment (start 52.8 62.4) (end 52.4 62) (width 0.1524) (layer TOP-L1) (net 266))
+  (segment (start 52.4 61.39958) (end 52.425 61.37458) (width 0.2) (layer BOT-L6) (net 266) (tstamp 51A48F22))
+  (segment (start 50.4 63.65) (end 50.4 64.39958) (width 0.2) (layer BOT-L6) (net 266))
+  (via (at 50.4 63.65) (size 0.4572) (layers TOP-L1 BOT-L6) (net 266))
+  (segment (start 50.4 63.2) (end 50.4 63.65) (width 0.1524) (layer TOP-L1) (net 266))
+  (segment (start 50.4 64.39958) (end 50.40042 64.4) (width 0.2) (layer BOT-L6) (net 266) (tstamp 51A48EE9))
+  (segment (start 48.8 63.65) (end 48.8 64.37458) (width 0.2) (layer BOT-L6) (net 266))
+  (via (at 48.8 63.65) (size 0.4572) (layers TOP-L1 BOT-L6) (net 266))
+  (segment (start 48.8 63.2) (end 48.8 63.65) (width 0.1524) (layer TOP-L1) (net 266))
+  (segment (start 48.8 64.37458) (end 48.79958 64.375) (width 0.2) (layer BOT-L6) (net 266) (tstamp 51A48EA1))
+  (segment (start 55.2 61.15) (end 55.225 61.125) (width 0.2) (layer BOT-L6) (net 266))
+  (via (at 55.2 61.15) (size 0.4572) (layers TOP-L1 BOT-L6) (net 266))
+  (segment (start 55.2 61.6) (end 55.2 61.15) (width 0.1524) (layer TOP-L1) (net 266))
+  (segment (start 55.225 61.125) (end 55.82542 61.125) (width 0.2) (layer BOT-L6) (net 266) (tstamp 51A48E44))
+  (segment (start 54.4 63.65) (end 54.69958 63.35042) (width 0.2) (layer BOT-L6) (net 266))
+  (via (at 54.4 63.65) (size 0.4572) (layers TOP-L1 BOT-L6) (net 266))
+  (segment (start 54.4 63.2) (end 54.4 63.65) (width 0.1524) (layer TOP-L1) (net 266))
+  (segment (start 54.69958 63.35042) (end 54.69958 62.9) (width 0.2) (layer BOT-L6) (net 266) (tstamp 51A48DF0))
+  (segment (start 57.2 63.7) (end 57.27542 63.62458) (width 0.2) (layer BOT-L6) (net 266))
+  (segment (start 57.27542 63.62458) (end 58 63.62458) (width 0.2) (layer BOT-L6) (net 266) (tstamp 51A48D77))
+  (segment (start 58.8 63.6) (end 58.77542 63.62458) (width 0.2) (layer BOT-L6) (net 266))
+  (segment (start 58.77542 63.62458) (end 58 63.62458) (width 0.2) (layer BOT-L6) (net 266) (tstamp 51A48D74))
+  (segment (start 58.4 63.2) (end 58.8 63.6) (width 0.1524) (layer TOP-L1) (net 266))
+  (via (at 58.8 63.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 266))
+  (segment (start 60.45 62.4) (end 60.49958 62.35042) (width 0.2) (layer BOT-L6) (net 266))
+  (via (at 60.45 62.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 266))
+  (segment (start 60 62.4) (end 60.45 62.4) (width 0.1524) (layer TOP-L1) (net 266))
+  (segment (start 60.49958 62.35042) (end 61.125 62.35042) (width 0.2) (layer BOT-L6) (net 266) (tstamp 51A48D32))
+  (segment (start 58.4 56.325) (end 57.85042 56.87458) (width 0.2) (layer BOT-L6) (net 266))
+  (segment (start 58.4 56.8) (end 58.4 56.325) (width 0.1524) (layer TOP-L1) (net 266))
+  (via (at 58.4 56.325) (size 0.4572) (layers TOP-L1 BOT-L6) (net 266))
+  (segment (start 57.85042 56.87458) (end 57.85042 57.275) (width 0.2) (layer BOT-L6) (net 266) (tstamp 51A48CC3))
+  (segment (start 59.6 57.2) (end 59.67458 57.27458) (width 0.2) (layer BOT-L6) (net 266))
+  (via (at 59.6 57.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 266))
+  (segment (start 59.67458 57.27458) (end 60.3 57.27458) (width 0.2) (layer BOT-L6) (net 266) (tstamp 51A48CBF))
+  (segment (start 57.2 58) (end 57.85042 57.34958) (width 0.2) (layer BOT-L6) (net 266))
+  (via (at 57.2 58) (size 0.4572) (layers TOP-L1 BOT-L6) (net 266))
+  (segment (start 57.6 57.6) (end 57.2 58) (width 0.1524) (layer TOP-L1) (net 266))
+  (segment (start 57.85042 57.34958) (end 57.85042 57.275) (width 0.1524) (layer BOT-L6) (net 266) (tstamp 51A48C76))
+  (segment (start 56.12542 55.675) (end 56.12542 56.19958) (width 0.1524) (layer BOT-L6) (net 266))
+  (via (at 56 56.35) (size 0.4572) (layers TOP-L1 BOT-L6) (net 266))
+  (segment (start 56 56.35) (end 56 56.8) (width 0.1524) (layer TOP-L1) (net 266))
+  (segment (start 55.975 56.35) (end 56 56.35) (width 0.1524) (layer BOT-L6) (net 266) (tstamp 51A48C05))
+  (segment (start 56.12542 56.19958) (end 55.975 56.35) (width 0.1524) (layer BOT-L6) (net 266) (tstamp 51A48C02))
+  (segment (start 54 58) (end 53.97542 58.02458) (width 0.1524) (layer BOT-L6) (net 266))
+  (via (at 54 58) (size 0.4572) (layers TOP-L1 BOT-L6) (net 266))
+  (segment (start 54.4 57.6) (end 54 58) (width 0.1524) (layer TOP-L1) (net 266))
+  (segment (start 53.97542 58.02458) (end 53.3 58.02458) (width 0.1524) (layer BOT-L6) (net 266) (tstamp 51A48B63))
+  (segment (start 52.4 58) (end 52.42458 58.02458) (width 0.1524) (layer BOT-L6) (net 266))
+  (via (at 52.4 58) (size 0.4572) (layers TOP-L1 BOT-L6) (net 266))
+  (segment (start 52.8 57.6) (end 52.4 58) (width 0.1524) (layer TOP-L1) (net 266))
+  (segment (start 52.42458 58.02458) (end 53.3 58.02458) (width 0.1524) (layer BOT-L6) (net 266) (tstamp 51A48B60))
+  (segment (start 50.57458 55.575) (end 50.4 55.74958) (width 0.3) (layer BOT-L6) (net 266))
+  (via (at 50.4 56.35) (size 0.4572) (layers TOP-L1 BOT-L6) (net 266))
+  (segment (start 50.4 56.35) (end 50.4 56.8) (width 0.1524) (layer TOP-L1) (net 266))
+  (segment (start 50.4 55.74958) (end 50.4 56.35) (width 0.3) (layer BOT-L6) (net 266) (tstamp 51A48B22))
+  (segment (start 48.79958 55.475) (end 48.8 55.47542) (width 0.3) (layer BOT-L6) (net 266))
+  (via (at 48.8 56.175) (size 0.4572) (layers TOP-L1 BOT-L6) (net 266))
+  (segment (start 48.8 56.175) (end 48.8 56.8) (width 0.1524) (layer TOP-L1) (net 266))
+  (segment (start 48.8 55.47542) (end 48.8 56.175) (width 0.3) (layer BOT-L6) (net 266) (tstamp 51A48A89))
+  (segment (start 71.4 65.2) (end 71.025 65.575) (width 0.1524) (layer TOP-L1) (net 266))
+  (segment (start 71.025 65.575) (end 71.025 65.625) (width 0.1524) (layer TOP-L1) (net 266) (tstamp 51A45B64))
+  (segment (start 71.4 66) (end 71.025 65.625) (width 0.1524) (layer TOP-L1) (net 266))
+  (segment (start 71 68.8) (end 71 68.8) (width 0.1524) (layer BOT-L6) (net 266))
+  (via (at 71 68.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 266))
+  (segment (start 71.4 69.2) (end 71 68.8) (width 0.1524) (layer TOP-L1) (net 266))
+  (segment (start 42.52458 91.1) (end 42.52458 92) (width 0.3) (layer TOP-L1) (net 266))
+  (segment (start 42.52458 90.2) (end 42.52458 91.1) (width 0.3) (layer TOP-L1) (net 266))
+  (segment (start 60.025 83.2) (end 59.6 83.2) (width 0.1524) (layer TOP-L1) (net 266) (tstamp 51A48741))
+  (segment (start 58.8 83.2) (end 59.2 83.2) (width 0.1524) (layer TOP-L1) (net 266))
+  (segment (start 59.2 83.2) (end 59.6 83.2) (width 0.1524) (layer TOP-L1) (net 266) (tstamp 51A48724))
+  (segment (start 59.2 57.6) (end 59.6 57.2) (width 0.1524) (layer TOP-L1) (net 266))
+  (segment (start 59.6 57.2) (end 60 56.8) (width 0.1524) (layer TOP-L1) (net 266))
+  (segment (start 54.8 77.6) (end 55.2 78) (width 0.1524) (layer TOP-L1) (net 266))
+  (segment (start 56 78) (end 56.4 77.6) (width 0.1524) (layer TOP-L1) (net 266) (tstamp 519EF24E))
+  (segment (start 55.2 78) (end 56 78) (width 0.1524) (layer TOP-L1) (net 266) (tstamp 519EF243))
+  (segment (start 57.2 81.6) (end 57.2 81.175) (width 0.1524) (layer TOP-L1) (net 266))
+  (via (at 57.2 81.175) (size 0.4572) (layers TOP-L1 BOT-L6) (net 266))
+  (segment (start 57.2 63.2) (end 57.2 63.7) (width 0.1524) (layer TOP-L1) (net 266))
+  (segment (start 57.2 63.7) (end 57.2 63.675) (width 0.1524) (layer Sig1-L3) (net 266) (tstamp 519C48F0))
+  (via (at 57.2 63.7) (size 0.4572) (layers TOP-L1 BOT-L6) (net 266))
+  (segment (start 57.6 63.2) (end 57.2 63.2) (width 0.1524) (layer TOP-L1) (net 266))
+  (segment (start 57.2 63.2) (end 56.8 63.2) (width 0.1524) (layer TOP-L1) (net 266) (tstamp 519C48E5))
+  (segment (start 111.40078 63.58046) (end 109.875 65.10624) (width 0.2) (layer BOT-L6) (net 267))
+  (via (at 109.875 65.25) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 109.875 65.10624) (end 109.875 65.25) (width 0.2) (layer BOT-L6) (net 267) (tstamp 51B010D4))
+  (segment (start 71.4 63.6) (end 71.875 64.075) (width 0.2) (layer TOP-L1) (net 267))
+  (segment (start 71.875 64.075) (end 72.3 64.075) (width 0.2) (layer TOP-L1) (net 267) (tstamp 51B010BE))
+  (segment (start 71.4 64.4) (end 71.725 64.075) (width 0.2) (layer TOP-L1) (net 267))
+  (segment (start 72.70042 64.07542) (end 72.7 64.075) (width 0.2) (layer BOT-L6) (net 267) (tstamp 51A83A9F))
+  (via (at 72.7 64.075) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 72.70042 64.07542) (end 72.70042 64.06) (width 0.2) (layer BOT-L6) (net 267) (tstamp 51AFF735))
+  (segment (start 72.725 64.075) (end 72.7 64.075) (width 0.2) (layer TOP-L1) (net 267) (tstamp 51B010BD))
+  (segment (start 71.725 64.075) (end 72.3 64.075) (width 0.2) (layer TOP-L1) (net 267) (tstamp 51B010B3))
+  (segment (start 72.3 64.075) (end 72.725 64.075) (width 0.2) (layer TOP-L1) (net 267) (tstamp 51B010C2))
+  (segment (start 74.99 71.165) (end 74.99 71.82958) (width 0.3) (layer BOT-L6) (net 267))
+  (segment (start 74.99 71.82958) (end 75.03042 71.87) (width 0.3) (layer BOT-L6) (net 267) (tstamp 51B00FC7))
+  (segment (start 67 94.1025) (end 65.5625 94.1025) (width 0.5) (layer TOP-L1) (net 267))
+  (via (at 65.56 94.1) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 65.5625 94.1025) (end 65.56 94.1) (width 0.5) (layer TOP-L1) (net 267) (tstamp 51B00F78))
+  (segment (start 41.09 83.685) (end 41.09 85.51042) (width 0.3) (layer TOP-L1) (net 267))
+  (segment (start 41.09 85.51042) (end 40.98 85.62042) (width 0.3) (layer TOP-L1) (net 267) (tstamp 51B00F5C))
+  (segment (start 64.88 86.46042) (end 68.19458 86.46042) (width 0.3) (layer TOP-L1) (net 267))
+  (segment (start 68.19458 86.46042) (end 69.23 85.425) (width 0.3) (layer TOP-L1) (net 267) (tstamp 51B00F2D))
+  (segment (start 76.81 70.52042) (end 77.745 70.52042) (width 0.3) (layer BOT-L6) (net 267))
+  (segment (start 77.745 70.52042) (end 77.75 70.52542) (width 0.5) (layer BOT-L6) (net 267) (tstamp 51B00E38))
+  (segment (start 88 94.57458) (end 88 95.2) (width 0.5) (layer TOP-L1) (net 267))
+  (via (at 87.96 95.24) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 88 95.2) (end 87.96 95.24) (width 0.5) (layer TOP-L1) (net 267) (tstamp 51B00DCB))
+  (segment (start 103.46 93.59) (end 103.46 93.91) (width 0.5) (layer TOP-L1) (net 267))
+  (segment (start 102.592 93.59) (end 103.46 93.59) (width 0.5) (layer TOP-L1) (net 267) (tstamp 51B00D12))
+  (via (at 103.46 93.59) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 102.552 93.55) (end 102.592 93.59) (width 0.5) (layer TOP-L1) (net 267))
+  (segment (start 104.02 94.47) (end 104.0825 94.47) (width 0.5) (layer TOP-L1) (net 267) (tstamp 51B00DC7))
+  (segment (start 103.46 93.91) (end 104.02 94.47) (width 0.5) (layer TOP-L1) (net 267) (tstamp 51B00DC5))
+  (segment (start 104.0825 96.08) (end 104.0825 94.47) (width 0.5) (layer TOP-L1) (net 267))
+  (segment (start 104.0825 94.47) (end 104.0825 94.6475) (width 0.5) (layer TOP-L1) (net 267) (tstamp 51B00DC8))
+  (segment (start 104.0825 94.6475) (end 104.1 94.63) (width 0.5) (layer TOP-L1) (net 267) (tstamp 51B00DC0))
+  (via (at 104.1 94.63) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 102.13958 94.69) (end 102.552 94.27758) (width 0.5) (layer TOP-L1) (net 267))
+  (segment (start 102.552 94.27758) (end 102.552 93.55) (width 0.5) (layer TOP-L1) (net 267) (tstamp 51B00D0F))
+  (segment (start 100.8925 99.62) (end 101.3525 99.16) (width 0.5) (layer TOP-L1) (net 267))
+  (via (at 102.31 99.16) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 101.3525 99.16) (end 102.31 99.16) (width 0.5) (layer TOP-L1) (net 267) (tstamp 51B00C97))
+  (segment (start 100.37958 98.31) (end 100.8925 98.82292) (width 0.5) (layer TOP-L1) (net 267))
+  (segment (start 100.8925 98.82292) (end 100.8925 99.62) (width 0.5) (layer TOP-L1) (net 267) (tstamp 51B00C94))
+  (segment (start 106.89042 58.24) (end 106.06 58.24) (width 0.3) (layer BOT-L6) (net 267))
+  (via (at 106.06 58.24) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 73.25958 63.29) (end 73.25958 63.53042) (width 0.3) (layer BOT-L6) (net 267))
+  (segment (start 72.73 64.06) (end 72.70042 64.06) (width 0.3) (layer BOT-L6) (net 267) (tstamp 51AFF734))
+  (segment (start 73.25958 63.53042) (end 72.73 64.06) (width 0.3) (layer BOT-L6) (net 267) (tstamp 51AFF72F))
+  (segment (start 73.24958 61.55) (end 73.175 61.55) (width 0.3) (layer BOT-L6) (net 267))
+  (via (at 73.15 61.525) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 73.175 61.55) (end 73.15 61.525) (width 0.3) (layer BOT-L6) (net 267) (tstamp 51AFF697))
+  (segment (start 73.24958 62.425) (end 73.24958 61.55) (width 0.3) (layer BOT-L6) (net 267))
+  (segment (start 73.24958 61.55) (end 73.24958 61.55042) (width 0.3) (layer BOT-L6) (net 267) (tstamp 51AFF695))
+  (segment (start 73.27458 61.57542) (end 74.025 61.57542) (width 0.3) (layer BOT-L6) (net 267) (tstamp 51AFF692))
+  (segment (start 73.24958 61.55042) (end 73.27458 61.57542) (width 0.3) (layer BOT-L6) (net 267) (tstamp 51AFF68C))
+  (segment (start 71 61.6) (end 70.27542 61.6) (width 0.3) (layer BOT-L6) (net 267))
+  (via (at 71 61.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 70.6 62) (end 71 61.6) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 70.27542 61.6) (end 70.275 61.60042) (width 0.3) (layer BOT-L6) (net 267) (tstamp 51AFF646))
+  (segment (start 70.6 62) (end 69.8 62) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 75.8 61.57542) (end 74.925 61.57542) (width 0.3) (layer BOT-L6) (net 267))
+  (segment (start 74.925 61.57542) (end 74.925 61) (width 0.1524) (layer BOT-L6) (net 267))
+  (via (at 74.925 61) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 82.22542 62.325) (end 82.22542 61.525) (width 0.3) (layer BOT-L6) (net 267))
+  (segment (start 82.22542 61.525) (end 82.2 61.49958) (width 0.3) (layer BOT-L6) (net 267) (tstamp 51AFF357))
+  (segment (start 82.2 61.49958) (end 82.30042 61.49958) (width 0.2) (layer BOT-L6) (net 267))
+  (via (at 83 60.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 82.30042 61.49958) (end 83 60.8) (width 0.2) (layer BOT-L6) (net 267) (tstamp 51AFF32B))
+  (segment (start 76.6 64.77458) (end 75.77416 64.77458) (width 0.3) (layer BOT-L6) (net 267))
+  (segment (start 75.77416 64.77458) (end 75.69958 64.7) (width 0.3) (layer BOT-L6) (net 267) (tstamp 51AFF20A))
+  (segment (start 75 65.6) (end 75.25 65.6) (width 0.3) (layer BOT-L6) (net 267))
+  (segment (start 75.25 65.6) (end 75.69958 65.15042) (width 0.3) (layer BOT-L6) (net 267) (tstamp 51AFF206))
+  (segment (start 75.69958 65.15042) (end 75.69958 64.7) (width 0.3) (layer BOT-L6) (net 267) (tstamp 51AFF207))
+  (via (at 75 65.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 113.82458 99.55) (end 113.82458 102.45458) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 113.82458 102.45458) (end 114.245 102.875) (width 0.1524) (layer TOP-L1) (net 267) (tstamp 51AFED10))
+  (segment (start 58.612 85.65) (end 59.3 85.65) (width 0.5) (layer BOT-L6) (net 267))
+  (via (at 59.425 86.475) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 59.3 85.65) (end 59.425 86.475) (width 0.5) (layer BOT-L6) (net 267) (tstamp 51AF23C0))
+  (segment (start 117.6 80.15) (end 117.6 79.225) (width 0.3) (layer TOP-L1) (net 267))
+  (via (at 117.6 79.225) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 81.8 71.6) (end 82.6 70.8) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 82.6 70.4) (end 83 70.4) (width 0.1524) (layer TOP-L1) (net 267))
+  (via (at 83 70.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 76.85 101.5975) (end 76.85 100.45) (width 0.5) (layer BOT-L6) (net 267))
+  (via (at 76.85 100.45) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 118 75.89958) (end 118.64958 75.89958) (width 0.1524) (layer TOP-L1) (net 267))
+  (via (at 118.65 75.9) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 118.64958 75.89958) (end 118.65 75.9) (width 0.1524) (layer TOP-L1) (net 267) (tstamp 51AF16E0))
+  (segment (start 115.5 69.975) (end 116.475 69.975) (width 0.3) (layer TOP-L1) (net 267))
+  (via (at 116.48 69.98) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 116.475 69.975) (end 116.48 69.98) (width 0.3) (layer TOP-L1) (net 267) (tstamp 51AF16C1))
+  (segment (start 94.74958 61.59) (end 95.5 61.59) (width 0.3) (layer TOP-L1) (net 267))
+  (via (at 95.51 61.58) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 95.5 61.59) (end 95.51 61.58) (width 0.3) (layer TOP-L1) (net 267) (tstamp 51AF1699))
+  (segment (start 98.82 60.83042) (end 98.82 60.16) (width 0.3) (layer TOP-L1) (net 267))
+  (segment (start 98.83 60.13) (end 98.81 60.13) (width 0.3) (layer BOT-L6) (net 267) (tstamp 51AF166A))
+  (segment (start 98.84 60.14) (end 98.83 60.13) (width 0.3) (layer BOT-L6) (net 267) (tstamp 51AF1669))
+  (via (at 98.84 60.14) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 98.82 60.16) (end 98.84 60.14) (width 0.3) (layer TOP-L1) (net 267) (tstamp 51AF1662))
+  (segment (start 66.13 89.475) (end 62.875 89.475) (width 0.5) (layer TOP-L1) (net 267))
+  (segment (start 62.75042 89.59958) (end 62.75042 90.45) (width 0.3) (layer TOP-L1) (net 267) (tstamp 51AF1307))
+  (segment (start 62.875 89.475) (end 62.75042 89.59958) (width 0.5) (layer TOP-L1) (net 267) (tstamp 51AF1305))
+  (segment (start 59.2475 88.425) (end 57.675 88.425) (width 0.8) (layer TOP-L1) (net 267))
+  (via (at 57.65 88.4) (size 1.016) (drill 0.8128) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 57.675 88.425) (end 57.65 88.4) (width 0.8) (layer TOP-L1) (net 267) (tstamp 51AF12D1))
+  (segment (start 54.425 90.8275) (end 54.425 90.85) (width 0.8) (layer TOP-L1) (net 267))
+  (via (at 54.425 90.85) (size 1.016) (drill 0.8128) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 54.425 90.8275) (end 52.955 90.8275) (width 0.8) (layer TOP-L1) (net 267) (tstamp 51AF12A8))
+  (segment (start 52.955 90.8275) (end 52.6025 90.475) (width 0.8) (layer TOP-L1) (net 267) (tstamp 51AF12A5))
+  (segment (start 47.55048 99.84062) (end 47.55048 98.35048) (width 0.3) (layer TOP-L1) (net 267))
+  (via (at 47.525 98.325) (size 1.016) (drill 0.8128) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 47.55048 98.35048) (end 47.525 98.325) (width 0.3) (layer TOP-L1) (net 267) (tstamp 51AF1109))
+  (segment (start 37.3225 86.95) (end 37.3225 88.9475) (width 0.8) (layer TOP-L1) (net 267))
+  (via (at 37.325 88.95) (size 1.016) (drill 0.8128) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 37.3225 88.9475) (end 37.325 88.95) (width 0.8) (layer TOP-L1) (net 267) (tstamp 51AF10AB))
+  (segment (start 109.84122 56.02396) (end 111.32396 56.02396) (width 0.3) (layer BOT-L6) (net 267))
+  (segment (start 111.325 56.025) (end 112.25042 56.025) (width 0.3) (layer TOP-L1) (net 267) (tstamp 51AED282))
+  (via (at 111.325 56.025) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 111.32396 56.02396) (end 111.325 56.025) (width 0.3) (layer BOT-L6) (net 267) (tstamp 51AED280))
+  (segment (start 116.7275 58.25) (end 116.7275 60.4025) (width 0.8) (layer TOP-L1) (net 267))
+  (segment (start 118.7998 62.4748) (end 118.7998 63.58046) (width 0.8) (layer BOT-L6) (net 267) (tstamp 51AED21F))
+  (segment (start 116.75 60.425) (end 118.7998 62.4748) (width 0.8) (layer BOT-L6) (net 267) (tstamp 51AED21E))
+  (via (at 116.75 60.425) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 116.7275 60.4025) (end 116.75 60.425) (width 0.8) (layer TOP-L1) (net 267) (tstamp 51AED20B))
+  (segment (start 80.9 34.175) (end 80.9 35.8) (width 0.1524) (layer BOT-L6) (net 267))
+  (via (at 80.9 35.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 81 72.4) (end 81 72.425) (width 0.1524) (layer TOP-L1) (net 267))
+  (via (at 80.6 72.825) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 81 72.425) (end 80.6 72.825) (width 0.1524) (layer TOP-L1) (net 267) (tstamp 51AECDB6))
+  (segment (start 81 73.2) (end 80.6 73.6) (width 0.1524) (layer TOP-L1) (net 267))
+  (via (at 80.6 73.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 122.175 84.525) (end 120.15 84.525) (width 0.1524) (layer BOT-L6) (net 267))
+  (via (at 120.15 84.525) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 122.25 67.175) (end 125.6 67.175) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 125.6 73.325) (end 122.3 73.325) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 122.3 73.325) (end 122.25 73.375) (width 0.1524) (layer TOP-L1) (net 267) (tstamp 51ADE0A4))
+  (segment (start 122.175 71.08) (end 123.645 71.08) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 123.7 71.025) (end 124.32542 71.025) (width 0.1524) (layer BOT-L6) (net 267) (tstamp 51ADE0A1))
+  (segment (start 123.65 71.075) (end 123.7 71.025) (width 0.1524) (layer BOT-L6) (net 267) (tstamp 51ADE0A0))
+  (via (at 123.65 71.075) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 123.645 71.08) (end 123.65 71.075) (width 0.1524) (layer TOP-L1) (net 267) (tstamp 51ADE09E))
+  (segment (start 117.025 66.925) (end 116.165 66.925) (width 0.2) (layer TOP-L1) (net 267))
+  (via (at 116.16 66.92) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 116.165 66.925) (end 116.16 66.92) (width 0.2) (layer TOP-L1) (net 267) (tstamp 51ADDB72))
+  (segment (start 117.025 73.025) (end 116.185 73.025) (width 0.2) (layer TOP-L1) (net 267))
+  (via (at 116.17 73.01) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 116.185 73.025) (end 116.17 73.01) (width 0.2) (layer TOP-L1) (net 267) (tstamp 51ADDB54))
+  (segment (start 117.925 73.025) (end 118.435 73.025) (width 0.2) (layer TOP-L1) (net 267))
+  (segment (start 119.785 71.675) (end 120.825 71.675) (width 0.2) (layer TOP-L1) (net 267) (tstamp 51ADDB50))
+  (segment (start 118.435 73.025) (end 119.785 71.675) (width 0.2) (layer TOP-L1) (net 267) (tstamp 51ADDB4F))
+  (segment (start 120.825 71.675) (end 121.495 71.675) (width 0.2) (layer TOP-L1) (net 267))
+  (segment (start 121.495 71.675) (end 121.5 71.68) (width 0.2) (layer TOP-L1) (net 267) (tstamp 51ADDB4A))
+  (segment (start 121.5 68.68) (end 121.5 67.925) (width 0.2) (layer TOP-L1) (net 267))
+  (segment (start 121.5 67.925) (end 122.25 67.175) (width 0.2) (layer TOP-L1) (net 267) (tstamp 51ADDB46))
+  (segment (start 121.5 69.28) (end 120.83 69.28) (width 0.2) (layer TOP-L1) (net 267))
+  (segment (start 120.83 69.28) (end 120.825 69.275) (width 0.2) (layer TOP-L1) (net 267) (tstamp 51ADDB41))
+  (segment (start 121.5 69.88) (end 122.175 69.88) (width 0.2) (layer TOP-L1) (net 267))
+  (segment (start 121.5 70.48) (end 120.83 70.48) (width 0.2) (layer TOP-L1) (net 267))
+  (segment (start 120.83 70.48) (end 120.825 70.475) (width 0.2) (layer TOP-L1) (net 267) (tstamp 51ADDB35))
+  (segment (start 121.5 71.08) (end 122.175 71.08) (width 0.2) (layer TOP-L1) (net 267))
+  (segment (start 122.175 68.68) (end 121.5 68.68) (width 0.2) (layer TOP-L1) (net 267))
+  (segment (start 121.5 68.68) (end 121.53 68.68) (width 0.2) (layer TOP-L1) (net 267) (tstamp 51ADDB44))
+  (segment (start 121.5 72.625) (end 122.25 73.375) (width 0.2) (layer TOP-L1) (net 267) (tstamp 51ADDB1F))
+  (segment (start 121.5 68.71) (end 121.5 69.28) (width 0.2) (layer TOP-L1) (net 267) (tstamp 51ADDB13))
+  (segment (start 121.5 69.28) (end 121.5 69.88) (width 0.2) (layer TOP-L1) (net 267) (tstamp 51ADDB3F))
+  (segment (start 121.5 69.88) (end 121.5 70.48) (width 0.2) (layer TOP-L1) (net 267) (tstamp 51ADDB3B))
+  (segment (start 121.5 70.48) (end 121.5 71.08) (width 0.2) (layer TOP-L1) (net 267) (tstamp 51ADDB33))
+  (segment (start 121.5 71.08) (end 121.5 71.68) (width 0.2) (layer TOP-L1) (net 267) (tstamp 51ADDB2F))
+  (segment (start 121.5 71.68) (end 121.5 71.66) (width 0.2) (layer TOP-L1) (net 267) (tstamp 51ADDB4D))
+  (segment (start 121.5 71.66) (end 121.5 72.625) (width 0.2) (layer TOP-L1) (net 267) (tstamp 51ADDB2A))
+  (segment (start 121.53 68.68) (end 121.5 68.71) (width 0.2) (layer TOP-L1) (net 267) (tstamp 51ADDB11))
+  (segment (start 114.6 69.975) (end 115.5 69.975) (width 0.3) (layer TOP-L1) (net 267))
+  (segment (start 117.025 66.925) (end 117.925 66.925) (width 0.3) (layer TOP-L1) (net 267))
+  (segment (start 117.925 73.025) (end 117.025 73.025) (width 0.3) (layer TOP-L1) (net 267))
+  (segment (start 116.975 38.525) (end 114.945 38.525) (width 0.1524) (layer TOP-L1) (net 267))
+  (via (at 114.94 38.53) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 114.945 38.525) (end 114.94 38.53) (width 0.1524) (layer TOP-L1) (net 267) (tstamp 51ADDAB4))
+  (segment (start 98.5 44.775) (end 98.5 43.15) (width 0.1524) (layer TOP-L1) (net 267))
+  (via (at 98.5 43.15) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 66.2 44.75) (end 66.2 45.86) (width 0.1524) (layer TOP-L1) (net 267))
+  (via (at 65.51 46.55) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 66.2 45.86) (end 65.51 46.55) (width 0.1524) (layer TOP-L1) (net 267) (tstamp 51ADDA9C))
+  (segment (start 41.375 44.85) (end 41.375 46.195) (width 0.1524) (layer TOP-L1) (net 267))
+  (via (at 41.37 46.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 41.375 46.195) (end 41.37 46.2) (width 0.1524) (layer TOP-L1) (net 267) (tstamp 51ADDA8F))
+  (segment (start 67.3 53.49958) (end 67.3 53.85) (width 0.1524) (layer TOP-L1) (net 267))
+  (via (at 67.025 54.125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 67.3 53.85) (end 67.025 54.125) (width 0.1524) (layer TOP-L1) (net 267) (tstamp 51AC4BDF))
+  (segment (start 89.0225 85.5) (end 89.0225 83.8) (width 0.5) (layer TOP-L1) (net 267))
+  (segment (start 89.0225 83.8) (end 88.9975 83.775) (width 0.5) (layer TOP-L1) (net 267) (tstamp 51A831A8))
+  (segment (start 41.09 83.685) (end 41 83.775) (width 0.3) (layer TOP-L1) (net 267) (tstamp 51B00F5A))
+  (segment (start 41 83.775) (end 40.79958 83.775) (width 0.3) (layer BOT-L6) (net 267))
+  (via (at 41 83.775) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 57.6 83.5) (end 58.25042 84.15042) (width 0.2) (layer BOT-L6) (net 267))
+  (via (at 57.6 83.5) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 57.6 83.2) (end 57.6 83.5) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 58.25042 84.15042) (end 58.25042 84.225) (width 0.2) (layer BOT-L6) (net 267) (tstamp 51A4914B))
+  (segment (start 58 83.2) (end 57.6 83.2) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 53.225 83.675) (end 53.32458 83.77458) (width 0.2) (layer BOT-L6) (net 267))
+  (segment (start 53.2 83.65) (end 53.225 83.675) (width 0.2) (layer BOT-L6) (net 267))
+  (segment (start 53.225 83.675) (end 53.425 83.875) (width 0.2) (layer BOT-L6) (net 267) (tstamp 51A492A2))
+  (via (at 53.2 83.65) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 53.2 83.2) (end 53.2 83.65) (width 0.1524) (layer TOP-L1) (net 267))
+  (via (at 49.6 83.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 49.6 83.6) (end 50 83.2) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 49.6 83.575) (end 49.6 83.6) (width 0.3) (layer BOT-L6) (net 267) (tstamp 51A86EF1))
+  (segment (start 62.5 83.2) (end 62.1 83.6) (width 0.2) (layer BOT-L6) (net 267))
+  (segment (start 62.5 83.2) (end 62.59958 83.29958) (width 0.2) (layer BOT-L6) (net 267))
+  (via (at 62.5 83.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 62.59958 83.29958) (end 63.2 83.29958) (width 0.2) (layer BOT-L6) (net 267) (tstamp 51A49123))
+  (via (at 40.75 89.525) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 40.45042 89.22542) (end 40.75 89.525) (width 0.3) (layer TOP-L1) (net 267) (tstamp 51A8528B))
+  (segment (start 40.45042 88.825) (end 40.45042 89.22542) (width 0.3) (layer TOP-L1) (net 267))
+  (segment (start 40.75 89.525) (end 40.725 89.525) (width 0.3) (layer TOP-L1) (net 267) (tstamp 51A852E5))
+  (segment (start 40.725 89.525) (end 40.75 89.525) (width 0.3) (layer TOP-L1) (net 267) (tstamp 51A852E7))
+  (segment (start 40.95 89.725) (end 40.75 89.525) (width 0.3) (layer TOP-L1) (net 267) (tstamp 51A852E4))
+  (segment (start 40.95 91.775) (end 40.95 89.725) (width 0.3) (layer TOP-L1) (net 267) (tstamp 51A852E3))
+  (segment (start 41.175 92) (end 40.95 91.775) (width 0.3) (layer TOP-L1) (net 267) (tstamp 51A852E2))
+  (segment (start 57.225 56.375) (end 57.225 55.87542) (width 0.1524) (layer BOT-L6) (net 267))
+  (via (at 57.225 56.375) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 57.225 56.425) (end 57.225 56.375) (width 0.1524) (layer TOP-L1) (net 267) (tstamp 51A16169))
+  (segment (start 60.825 54.52458) (end 60.37458 54.52458) (width 0.2) (layer TOP-L1) (net 267))
+  (via (at 59.91 54.06) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 60.37458 54.52458) (end 59.91 54.06) (width 0.2) (layer TOP-L1) (net 267) (tstamp 51A834DF))
+  (segment (start 49.77542 84.425) (end 49.6 84.24958) (width 0.3) (layer BOT-L6) (net 267))
+  (segment (start 49.6 84.24958) (end 49.6 83.575) (width 0.3) (layer BOT-L6) (net 267) (tstamp 51A86EE8))
+  (segment (start 41.62542 92) (end 41.175 92) (width 0.3) (layer TOP-L1) (net 267))
+  (segment (start 41.62542 92) (end 41.62542 92.52458) (width 0.3) (layer TOP-L1) (net 267))
+  (via (at 41.35 92.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 41.62542 92.52458) (end 41.35 92.8) (width 0.3) (layer TOP-L1) (net 267) (tstamp 51A85286))
+  (segment (start 50.80042 62.8) (end 51.2 63.19958) (width 0.1524) (layer BOT-L6) (net 267))
+  (segment (start 51.2 63.19958) (end 51.2 63.675) (width 0.1524) (layer BOT-L6) (net 267) (tstamp 51A83B39))
+  (segment (start 49.75 62.82458) (end 49.6 62.97458) (width 0.1524) (layer BOT-L6) (net 267))
+  (via (at 49.6 63.675) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 49.6 63.675) (end 49.6 63.2) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 49.6 63.7) (end 49.6 63.675) (width 0.1524) (layer BOT-L6) (net 267) (tstamp 51A83B36))
+  (segment (start 49.6 62.97458) (end 49.6 63.7) (width 0.1524) (layer BOT-L6) (net 267) (tstamp 51A83B2D))
+  (segment (start 58.8 82.4) (end 59.2 82) (width 0.1524) (layer TOP-L1) (net 267))
+  (via (at 59.2 82) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 72.70042 64.75) (end 72.70042 64.06) (width 0.2) (layer BOT-L6) (net 267))
+  (segment (start 72.72782 69.4182) (end 72.72782 70.19718) (width 0.2) (layer BOT-L6) (net 267))
+  (via (at 72.725 70.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 72.72782 70.19718) (end 72.725 70.2) (width 0.2) (layer BOT-L6) (net 267) (tstamp 51A83A8D))
+  (segment (start 88.938 88.005) (end 88.938 85.5845) (width 0.5) (layer TOP-L1) (net 267))
+  (segment (start 88.938 85.5845) (end 89.0225 85.5) (width 0.5) (layer TOP-L1) (net 267) (tstamp 51A831A5))
+  (segment (start 100.35 97.37458) (end 101.07458 97.37458) (width 0.2) (layer TOP-L1) (net 267))
+  (via (at 101.075 97.375) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 101.07458 97.37458) (end 101.075 97.375) (width 0.2) (layer TOP-L1) (net 267) (tstamp 51A82B97))
+  (segment (start 90.6 101.662) (end 90.6 102.3) (width 0.5) (layer TOP-L1) (net 267))
+  (segment (start 92.1 101.825) (end 91.125 102.8) (width 0.5) (layer TOP-L1) (net 267) (tstamp 51A82A75))
+  (via (at 91.125 102.8) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 92.1 101.825) (end 92.1 101.662) (width 0.5) (layer TOP-L1) (net 267))
+  (segment (start 91.1 102.8) (end 91.125 102.8) (width 0.5) (layer TOP-L1) (net 267) (tstamp 51A82A90))
+  (segment (start 90.6 102.3) (end 91.1 102.8) (width 0.5) (layer TOP-L1) (net 267) (tstamp 51A82A86))
+  (segment (start 85.463 97.775) (end 84.78 97.092) (width 0.5) (layer TOP-L1) (net 267))
+  (segment (start 84.78 97.092) (end 84.78 95.8375) (width 0.5) (layer TOP-L1) (net 267) (tstamp 51A82834))
+  (segment (start 89.4 101.72458) (end 88.00292 101.72458) (width 0.3) (layer TOP-L1) (net 267))
+  (segment (start 88.00292 101.72458) (end 87.925 101.8025) (width 0.3) (layer TOP-L1) (net 267) (tstamp 51A82599))
+  (segment (start 89.4 101.72458) (end 90.53742 101.72458) (width 0.3) (layer TOP-L1) (net 267))
+  (segment (start 90.53742 101.72458) (end 90.6 101.662) (width 0.3) (layer TOP-L1) (net 267) (tstamp 51A82596))
+  (segment (start 79.625 70.50042) (end 78.675 70.50042) (width 0.3) (layer BOT-L6) (net 267))
+  (segment (start 78.675 70.50042) (end 77.775 70.50042) (width 0.3) (layer BOT-L6) (net 267))
+  (segment (start 77.775 70.50042) (end 77.75 70.52542) (width 0.3) (layer BOT-L6) (net 267) (tstamp 51A82268))
+  (segment (start 77.75 70.52542) (end 77.62542 70.52542) (width 0.5) (layer BOT-L6) (net 267))
+  (segment (start 77.62542 70.52542) (end 77 69.9) (width 0.3) (layer BOT-L6) (net 267) (tstamp 51A82256))
+  (via (at 77 69.9) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 77 69.9) (end 77 69.2) (width 0.1524) (layer TOP-L1) (net 267) (tstamp 51A8225D))
+  (segment (start 67.42 89.475) (end 66.13 89.475) (width 0.5) (layer TOP-L1) (net 267))
+  (segment (start 66.13 89.475) (end 66.175 89.475) (width 0.5) (layer TOP-L1) (net 267) (tstamp 51AF1303))
+  (via (at 66.175 89.475) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 67 94.1025) (end 68.02208 94.1025) (width 0.5) (layer TOP-L1) (net 267))
+  (segment (start 68.02208 94.1025) (end 68.4 93.72458) (width 0.5) (layer TOP-L1) (net 267) (tstamp 51A72A1E))
+  (segment (start 69.17542 81.975) (end 68.925 81.975) (width 0.3) (layer TOP-L1) (net 267))
+  (via (at 68.475 82.425) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 68.925 81.975) (end 68.475 82.425) (width 0.3) (layer TOP-L1) (net 267) (tstamp 51A6F3FD))
+  (segment (start 46.175 90.6) (end 47.2725 90.6) (width 0.5) (layer TOP-L1) (net 267))
+  (segment (start 47.2725 90.6) (end 47.3725 90.5) (width 0.5) (layer TOP-L1) (net 267) (tstamp 51A6F2CD))
+  (segment (start 80.2 62.8) (end 79.8 63.2) (width 0.1524) (layer TOP-L1) (net 267))
+  (via (at 79.8 63.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 81 72.4) (end 81 73.2) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 81.4 73.6) (end 81 73.2) (width 0.1524) (layer TOP-L1) (net 267) (tstamp 51A5FD7E))
+  (segment (start 81.8 74) (end 81.4 73.6) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 75 71.6) (end 75 71.175) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 74.62542 70.80042) (end 74.62542 70.35) (width 0.1524) (layer BOT-L6) (net 267) (tstamp 51A5FD41))
+  (segment (start 75 71.175) (end 74.99 71.165) (width 0.1524) (layer BOT-L6) (net 267) (tstamp 51A5FD40))
+  (segment (start 74.99 71.165) (end 74.62542 70.80042) (width 0.1524) (layer BOT-L6) (net 267) (tstamp 51B00FC5))
+  (via (at 75 71.175) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 75.4 71.6) (end 76.2 71.6) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 74.6 69.2) (end 74.6 69.675) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 74.6 70.25042) (end 74.55042 70.3) (width 0.1524) (layer BOT-L6) (net 267) (tstamp 51A5FD23))
+  (segment (start 74.6 69.675) (end 74.6 70.25042) (width 0.1524) (layer BOT-L6) (net 267) (tstamp 51A5FD22))
+  (via (at 74.6 69.675) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 78.90042 67.225) (end 78.87542 67.2) (width 0.1524) (layer BOT-L6) (net 267))
+  (via (at 78.2 67.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 78.2 67.2) (end 78.6 66.8) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 78.87542 67.2) (end 78.2 67.2) (width 0.1524) (layer BOT-L6) (net 267) (tstamp 51A5FCC9))
+  (segment (start 78.92542 66.3) (end 78.82542 66.4) (width 0.1524) (layer BOT-L6) (net 267))
+  (via (at 78.2 66.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 78.82542 66.4) (end 78.2 66.4) (width 0.1524) (layer BOT-L6) (net 267) (tstamp 51A5F891))
+  (segment (start 78.92542 66.3) (end 79 66.22542) (width 0.1524) (layer BOT-L6) (net 267))
+  (segment (start 79 66.22542) (end 79 65.6) (width 0.3) (layer BOT-L6) (net 267) (tstamp 51A5F88E))
+  (segment (start 79.37542 64.7) (end 79 65.07542) (width 0.3) (layer BOT-L6) (net 267))
+  (via (at 79 65.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 79 65.6) (end 78.6 66) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 79 65.625) (end 79 65.6) (width 0.1524) (layer BOT-L6) (net 267) (tstamp 51A5F1E7))
+  (segment (start 79 65.07542) (end 79 65.625) (width 0.3) (layer BOT-L6) (net 267) (tstamp 51A5F1E5))
+  (segment (start 79.37542 64.7) (end 79.30084 64.77458) (width 0.1524) (layer BOT-L6) (net 267))
+  (segment (start 79.30084 64.77458) (end 78.5 64.77458) (width 0.1524) (layer BOT-L6) (net 267) (tstamp 51A5F1E2))
+  (segment (start 76.6 65.6) (end 76.2 66) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 77.375 65.625) (end 77 66) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 78.175 65.625) (end 77.8 66) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 77.8 66.8) (end 78.2 66.4) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 78.2 66.4) (end 78.6 66) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 79.4 67.6) (end 78.6 67.6) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 77 67.6) (end 77.4 67.2) (width 0.1524) (layer TOP-L1) (net 267))
+  (via (at 77.4 67.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 77.4 67.2) (end 77.8 66.8) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 75.8 68) (end 75.8 67.475) (width 0.3) (layer BOT-L6) (net 267))
+  (via (at 75.8 68) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 76.2 67.6) (end 75.8 68) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 75.60042 67.27542) (end 75 67.27542) (width 0.3) (layer BOT-L6) (net 267) (tstamp 51A5EC4F))
+  (segment (start 75.8 67.475) (end 75.60042 67.27542) (width 0.3) (layer BOT-L6) (net 267) (tstamp 51A5EC4E))
+  (segment (start 74.6 66) (end 75 65.6) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 75 65.6) (end 75.4 65.2) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 77 66.8) (end 76.2 67.6) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 72.72782 69.4182) (end 72.72782 68.81622) (width 0.3) (layer BOT-L6) (net 267))
+  (segment (start 72.644 68.7324) (end 72.67702 68.7324) (width 0.3) (layer BOT-L6) (net 267) (tstamp 51A5EB62))
+  (segment (start 72.72782 68.81622) (end 72.644 68.7324) (width 0.3) (layer BOT-L6) (net 267) (tstamp 51A5EB60))
+  (segment (start 72.67702 68.0212) (end 72.67702 68.7324) (width 0.3) (layer BOT-L6) (net 267))
+  (segment (start 72.67702 68.7324) (end 72.67702 68.72478) (width 0.3) (layer BOT-L6) (net 267) (tstamp 51A5EB63))
+  (via (at 72.6694 68.7324) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 72.67702 68.72478) (end 72.6694 68.7324) (width 0.3) (layer BOT-L6) (net 267) (tstamp 51A5E97F))
+  (segment (start 72.67702 67.1576) (end 72.67702 68.0212) (width 0.3) (layer BOT-L6) (net 267))
+  (segment (start 72.70042 66.3702) (end 72.70042 67.1342) (width 0.3) (layer BOT-L6) (net 267))
+  (segment (start 72.70042 67.1342) (end 72.67702 67.1576) (width 0.3) (layer BOT-L6) (net 267) (tstamp 51A5E6C0))
+  (segment (start 72.70042 65.6) (end 72.70042 66.3702) (width 0.3) (layer BOT-L6) (net 267))
+  (segment (start 72.70042 66.3702) (end 72.70042 66.34958) (width 0.3) (layer BOT-L6) (net 267) (tstamp 51A5E6BE))
+  (via (at 72.7 66.35) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 72.70042 66.34958) (end 72.7 66.35) (width 0.3) (layer BOT-L6) (net 267) (tstamp 51A5E685))
+  (segment (start 72.70042 64.75) (end 72.70042 65.6) (width 0.3) (layer BOT-L6) (net 267))
+  (segment (start 74.925 61.57542) (end 74.025 61.57542) (width 0.3) (layer BOT-L6) (net 267))
+  (segment (start 92.36042 87.535) (end 92.1 87.535) (width 0.3) (layer TOP-L1) (net 267))
+  (via (at 91.59 87.025) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 92.1 87.535) (end 91.59 87.025) (width 0.3) (layer TOP-L1) (net 267) (tstamp 51A5D64B))
+  (segment (start 92.37042 88.545) (end 92.37042 87.545) (width 0.3) (layer TOP-L1) (net 267))
+  (segment (start 92.37042 87.545) (end 92.36042 87.535) (width 0.3) (layer TOP-L1) (net 267) (tstamp 51A5D644))
+  (segment (start 88.938 88.005) (end 88.938 88.777) (width 0.3) (layer TOP-L1) (net 267))
+  (segment (start 88.36 89.355) (end 88.3675 89.355) (width 0.3) (layer TOP-L1) (net 267) (tstamp 51A5D574))
+  (segment (start 88.938 88.777) (end 88.36 89.355) (width 0.3) (layer TOP-L1) (net 267) (tstamp 51A5D572))
+  (segment (start 88.3675 90.795) (end 88.3675 89.355) (width 0.3) (layer TOP-L1) (net 267))
+  (segment (start 88.3675 89.355) (end 88.3675 89.3475) (width 0.3) (layer TOP-L1) (net 267) (tstamp 51A5D575))
+  (segment (start 88.3675 89.3475) (end 88.37 89.345) (width 0.3) (layer TOP-L1) (net 267) (tstamp 51A5D56C))
+  (via (at 88.37 89.345) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 88.938 88.005) (end 88.938 88.49258) (width 0.3) (layer TOP-L1) (net 267))
+  (segment (start 88.938 88.49258) (end 89.68042 89.235) (width 0.3) (layer TOP-L1) (net 267) (tstamp 51A5D51E))
+  (segment (start 86.61 95.8375) (end 84.78 95.8375) (width 0.3) (layer TOP-L1) (net 267))
+  (segment (start 88 94.57458) (end 87.87292 94.57458) (width 0.3) (layer TOP-L1) (net 267))
+  (segment (start 87.87292 94.57458) (end 86.61 95.8375) (width 0.3) (layer TOP-L1) (net 267) (tstamp 51A5D3FD))
+  (segment (start 90.5 95.82458) (end 90.45042 95.82458) (width 0.2) (layer TOP-L1) (net 267))
+  (via (at 89.84 96.435) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 90.45042 95.82458) (end 89.84 96.435) (width 0.2) (layer TOP-L1) (net 267) (tstamp 51A5D1A0))
+  (segment (start 93.3 101.72458) (end 93.38758 101.637) (width 0.2) (layer TOP-L1) (net 267))
+  (segment (start 93.38758 101.637) (end 94.475 101.637) (width 0.2) (layer TOP-L1) (net 267) (tstamp 51A5D0AF))
+  (segment (start 92.1 101.662) (end 92.16258 101.72458) (width 0.2) (layer TOP-L1) (net 267))
+  (segment (start 92.16258 101.72458) (end 93.3 101.72458) (width 0.2) (layer TOP-L1) (net 267) (tstamp 51A5D0AC))
+  (segment (start 96.05 99.12458) (end 96.05 99.75) (width 0.2) (layer TOP-L1) (net 267))
+  (via (at 96.075 99.775) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 96.05 99.75) (end 96.075 99.775) (width 0.2) (layer TOP-L1) (net 267) (tstamp 51A5D044))
+  (segment (start 96.05 99.12458) (end 94.65 99.12458) (width 0.2) (layer TOP-L1) (net 267))
+  (segment (start 94.65 99.12458) (end 94.625 99.14958) (width 0.2) (layer TOP-L1) (net 267) (tstamp 51A5CFF8))
+  (segment (start 71.02542 72.025) (end 71.02542 72.79958) (width 0.1524) (layer BOT-L6) (net 267))
+  (via (at 71 72.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 71.025 72.8) (end 71 72.8) (width 0.1524) (layer BOT-L6) (net 267) (tstamp 51A498F5))
+  (segment (start 71.02542 72.79958) (end 71.025 72.8) (width 0.1524) (layer BOT-L6) (net 267) (tstamp 51A498F4))
+  (segment (start 71.025 70.27542) (end 71.025 69.625) (width 0.1524) (layer BOT-L6) (net 267))
+  (segment (start 71 69.6) (end 71.4 70) (width 0.1524) (layer TOP-L1) (net 267) (tstamp 51A49705))
+  (via (at 71 69.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 71.025 69.625) (end 71 69.6) (width 0.1524) (layer BOT-L6) (net 267) (tstamp 51A49703))
+  (segment (start 71.4 70) (end 71.4 70.8) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 71.4 66.8) (end 71.4 67.6) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 71 67.27542) (end 71 66.4) (width 0.2) (layer BOT-L6) (net 267))
+  (via (at 71 66.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 71 66.4) (end 71.4 66.8) (width 0.2) (layer TOP-L1) (net 267))
+  (segment (start 53.32458 83.77458) (end 53.32458 84.4) (width 0.2) (layer BOT-L6) (net 267) (tstamp 51A492A4))
+  (segment (start 53.425 83.875) (end 54.25042 83.875) (width 0.2) (layer BOT-L6) (net 267) (tstamp 51A49283))
+  (segment (start 62.1 83.6) (end 61.57458 83.6) (width 0.2) (layer BOT-L6) (net 267) (tstamp 51A49168))
+  (segment (start 62 83.2) (end 62.5 83.2) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 56 77.2) (end 55.92458 77.27542) (width 0.2) (layer BOT-L6) (net 267))
+  (via (at 56 77.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 55.6 77.6) (end 56 77.2) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 55.92458 77.27542) (end 55.325 77.27542) (width 0.2) (layer BOT-L6) (net 267) (tstamp 51A490FC))
+  (segment (start 61.2 76.35) (end 61.2 76.70042) (width 0.3) (layer BOT-L6) (net 267))
+  (segment (start 61.2 76.70042) (end 60.85 77.05042) (width 0.3) (layer BOT-L6) (net 267) (tstamp 51A490D0))
+  (segment (start 61.2 76.35) (end 61.20042 76.34958) (width 0.3) (layer BOT-L6) (net 267))
+  (via (at 61.2 76.35) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 61.2 76.8) (end 61.2 76.35) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 61.20042 76.34958) (end 61.20042 75.625) (width 0.3) (layer BOT-L6) (net 267) (tstamp 51A4908E))
+  (segment (start 59.6 76.35) (end 59.50042 76.25042) (width 0.3) (layer BOT-L6) (net 267))
+  (segment (start 59.50042 76.25042) (end 59.50042 75.65) (width 0.3) (layer BOT-L6) (net 267) (tstamp 51A4907E))
+  (segment (start 59.6 76.35) (end 59.6 76.35) (width 0.3) (layer BOT-L6) (net 267))
+  (segment (start 59.6 76.35) (end 59.22458 76.72542) (width 0.3) (layer BOT-L6) (net 267) (tstamp 51A4907C))
+  (via (at 59.6 76.35) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 59.6 76.8) (end 59.6 76.35) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 59.22458 76.72542) (end 59.22458 77.1) (width 0.3) (layer BOT-L6) (net 267) (tstamp 51A49069))
+  (segment (start 51.57542 75.675) (end 51.57542 76.32542) (width 0.3) (layer BOT-L6) (net 267))
+  (segment (start 51.57542 76.32542) (end 51.6 76.35) (width 0.3) (layer BOT-L6) (net 267) (tstamp 51A4904C))
+  (segment (start 51.6 76.35) (end 51.6 76.8) (width 0.1524) (layer TOP-L1) (net 267))
+  (via (at 51.6 76.35) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 50 76.35) (end 49.85042 76.20042) (width 0.3) (layer BOT-L6) (net 267))
+  (via (at 50 76.35) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 50 76.8) (end 50 76.35) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 49.85042 76.20042) (end 49.85042 75.675) (width 0.3) (layer BOT-L6) (net 267) (tstamp 51A49056))
+  (segment (start 52.425 60.47542) (end 53.12458 60.47542) (width 0.2) (layer BOT-L6) (net 267))
+  (via (at 53.125 60.475) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 53.12458 60.47542) (end 53.125 60.475) (width 0.2) (layer BOT-L6) (net 267) (tstamp 51A48F25))
+  (segment (start 51.2 63.65) (end 51.2 63.675) (width 0.2) (layer BOT-L6) (net 267))
+  (segment (start 51.2 63.2) (end 51.2 63.65) (width 0.1524) (layer TOP-L1) (net 267))
+  (via (at 51.2 63.65) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 51.2 63.675) (end 51.2 64.30042) (width 0.2) (layer BOT-L6) (net 267) (tstamp 51A83B3D))
+  (segment (start 51.2 64.30042) (end 51.29958 64.4) (width 0.2) (layer BOT-L6) (net 267) (tstamp 51A48EE6))
+  (segment (start 48 63.65) (end 48 64.27542) (width 0.2) (layer BOT-L6) (net 267))
+  (via (at 48 63.65) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 48 63.2) (end 48 63.65) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 48 64.27542) (end 47.90042 64.375) (width 0.2) (layer BOT-L6) (net 267) (tstamp 51A48E9E))
+  (segment (start 56.72458 61.125) (end 56.72458 61.67542) (width 0.2) (layer BOT-L6) (net 267))
+  (segment (start 56.4 62) (end 56.8 62.4) (width 0.2) (layer TOP-L1) (net 267) (tstamp 51A48E58))
+  (via (at 56.4 62) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 56.72458 61.67542) (end 56.4 62) (width 0.2) (layer BOT-L6) (net 267) (tstamp 51A48E4C))
+  (segment (start 53.2 62.8) (end 53.3 62.9) (width 0.2) (layer BOT-L6) (net 267))
+  (via (at 53.2 62.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 53.3 62.9) (end 53.80042 62.9) (width 0.2) (layer BOT-L6) (net 267) (tstamp 51A48DED))
+  (segment (start 57.2 62.8) (end 57.27458 62.72542) (width 0.2) (layer BOT-L6) (net 267))
+  (via (at 57.2 62.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 57.2 62.4) (end 57.2 62.8) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 57.27458 62.72542) (end 58 62.72542) (width 0.2) (layer BOT-L6) (net 267) (tstamp 51A48D7B))
+  (segment (start 60.45 63.2) (end 60.49958 63.24958) (width 0.2) (layer BOT-L6) (net 267))
+  (via (at 60.45 63.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 60 63.2) (end 60.45 63.2) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 60.49958 63.24958) (end 61.125 63.24958) (width 0.2) (layer BOT-L6) (net 267) (tstamp 51A48D35))
+  (segment (start 60.3 56.37542) (end 60.24958 56.325) (width 0.2) (layer BOT-L6) (net 267))
+  (segment (start 59.2 56.325) (end 59.2 56.8) (width 0.1524) (layer TOP-L1) (net 267))
+  (via (at 59.2 56.325) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 59.175 56.325) (end 59.2 56.325) (width 0.2) (layer BOT-L6) (net 267) (tstamp 51A48CBE))
+  (segment (start 60.24958 56.325) (end 59.175 56.325) (width 0.2) (layer BOT-L6) (net 267) (tstamp 51A48CB8))
+  (segment (start 58.8 58) (end 58.74958 57.94958) (width 0.1524) (layer BOT-L6) (net 267))
+  (via (at 58.8 58) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 59.2 58.4) (end 58.8 58) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 58.74958 57.94958) (end 58.74958 57.275) (width 0.1524) (layer BOT-L6) (net 267) (tstamp 51A48C79))
+  (segment (start 57.225 55.87542) (end 57.02458 55.675) (width 0.1524) (layer BOT-L6) (net 267) (tstamp 51A48C07))
+  (segment (start 52.65042 56.15) (end 52.65042 56.85042) (width 0.1524) (layer BOT-L6) (net 267))
+  (segment (start 52.92542 57.12542) (end 53.3 57.12542) (width 0.1524) (layer BOT-L6) (net 267) (tstamp 51A48BAB))
+  (segment (start 52.65042 56.85042) (end 52.92542 57.12542) (width 0.1524) (layer BOT-L6) (net 267) (tstamp 51A48BA7))
+  (segment (start 53.3 57.12542) (end 53.37458 57.2) (width 0.1524) (layer BOT-L6) (net 267))
+  (segment (start 53.37458 57.2) (end 54 57.2) (width 0.1524) (layer BOT-L6) (net 267) (tstamp 51A48BA3))
+  (via (at 54 57.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 54 57.2) (end 54.7 57.2) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 53.6 57.6) (end 54 57.2) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 54.8 57.2) (end 55.2 56.8) (width 0.1524) (layer TOP-L1) (net 267) (tstamp 51A48B9C))
+  (segment (start 54.7 57.2) (end 54.8 57.2) (width 0.1524) (layer TOP-L1) (net 267) (tstamp 51A48B9A))
+  (segment (start 49.67542 55.575) (end 49.625 55.62542) (width 0.3) (layer BOT-L6) (net 267))
+  (via (at 49.6 56.35) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 49.6 56.35) (end 49.6 56.8) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 49.625 56.375) (end 49.6 56.35) (width 0.3) (layer BOT-L6) (net 267) (tstamp 51A48B21))
+  (segment (start 49.625 55.62542) (end 49.625 56.375) (width 0.3) (layer BOT-L6) (net 267) (tstamp 51A48B1F))
+  (segment (start 47.90042 55.475) (end 47.9 55.47542) (width 0.3) (layer BOT-L6) (net 267))
+  (via (at 48 56.35) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 48 56.35) (end 48 56.8) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 48 56.375) (end 48 56.35) (width 0.3) (layer BOT-L6) (net 267) (tstamp 51A48A88))
+  (segment (start 47.9 56.275) (end 48 56.375) (width 0.3) (layer BOT-L6) (net 267) (tstamp 51A48A86))
+  (segment (start 47.9 55.47542) (end 47.9 56.275) (width 0.3) (layer BOT-L6) (net 267) (tstamp 51A48A85))
+  (segment (start 57.2 83.2) (end 56.8 82.8) (width 0.1524) (layer TOP-L1) (net 267))
+  (via (at 56.8 82.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 56.8 82.8) (end 56 82.8) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 55.99958 82) (end 56 82.00042) (width 0.1524) (layer BOT-L6) (net 267))
+  (segment (start 56 82.00042) (end 56 82.775) (width 0.1524) (layer BOT-L6) (net 267) (tstamp 51A485B4))
+  (segment (start 56 82.775) (end 56 82.8) (width 0.1524) (layer BOT-L6) (net 267) (tstamp 51A485B7))
+  (via (at 56 82.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 56 82.8) (end 55.6 82.4) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 49.75 62.82458) (end 49.77458 62.8) (width 0.1524) (layer BOT-L6) (net 267))
+  (segment (start 49.77458 62.8) (end 50.80042 62.8) (width 0.1524) (layer BOT-L6) (net 267) (tstamp 51A4852B))
+  (segment (start 73.52458 72.825) (end 73.52458 73.7) (width 0.2) (layer BOT-L6) (net 267))
+  (segment (start 73.4 72) (end 73.52458 72.12458) (width 0.2) (layer BOT-L6) (net 267))
+  (segment (start 73.4 72.4) (end 73.4 72) (width 0.1524) (layer TOP-L1) (net 267))
+  (via (at 73.4 72) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 73.52458 72.12458) (end 73.52458 72.825) (width 0.2) (layer BOT-L6) (net 267) (tstamp 51A4832B))
+  (segment (start 73 72.4) (end 73.4 72.4) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 73.4 72.4) (end 73.8 72.4) (width 0.1524) (layer TOP-L1) (net 267) (tstamp 51A482B4))
+  (segment (start 77 68.4) (end 77 69.2) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 82.6 70) (end 82.6 70.4) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 82.6 70.4) (end 82.6 70.8) (width 0.1524) (layer TOP-L1) (net 267) (tstamp 51AF228C))
+  (segment (start 74.6 71.6) (end 75 71.6) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 75 71.6) (end 75.4 71.6) (width 0.1524) (layer TOP-L1) (net 267) (tstamp 51A5FD3C))
+  (segment (start 77 68.4) (end 77.425 67.975) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 77.8 67.6) (end 77.425 67.975) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 77.425 67.975) (end 77.4 68) (width 0.3) (layer TOP-L1) (net 267) (tstamp 51884807))
+  (via (at 77.4 68) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 77.8 66) (end 77.4 66.4) (width 0.1524) (layer TOP-L1) (net 267))
+  (via (at 77.4 66.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 52.65042 56.15) (end 51.4 56.15) (width 0.3) (layer BOT-L6) (net 267))
+  (via (at 51.2 56.35) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 51.2 56.35) (end 51.2 56.8) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 51.4 56.15) (end 51.2 56.35) (width 0.3) (layer BOT-L6) (net 267) (tstamp 51A481A8))
+  (segment (start 51.85042 82.775) (end 51.6 83.02542) (width 0.3) (layer BOT-L6) (net 267))
+  (via (at 51.6 83.65) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 51.6 83.65) (end 51.6 83.2) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 51.6 83.675) (end 51.6 83.65) (width 0.3) (layer BOT-L6) (net 267) (tstamp 51A48037))
+  (segment (start 51.6 83.02542) (end 51.6 83.675) (width 0.3) (layer BOT-L6) (net 267) (tstamp 51A48033))
+  (segment (start 54.20042 76.1) (end 53.45 76.1) (width 0.3) (layer BOT-L6) (net 267))
+  (via (at 53.2 76.35) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 53.2 76.35) (end 53.2 76.8) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 53.45 76.1) (end 53.2 76.35) (width 0.3) (layer BOT-L6) (net 267) (tstamp 51A47FF1))
+  (segment (start 40.02458 83) (end 40.02458 82.125) (width 0.3) (layer BOT-L6) (net 267))
+  (segment (start 40.79958 83.775) (end 40.02458 83) (width 0.3) (layer BOT-L6) (net 267) (tstamp 51A47E46))
+  (segment (start 43.9105 87.8895) (end 43.65 88.15) (width 0.8) (layer TOP-L1) (net 267) (tstamp 51A47CB5))
+  (segment (start 43.65 88.15) (end 43.65 90.025) (width 0.8) (layer TOP-L1) (net 267) (tstamp 51A47CBA))
+  (segment (start 43.65 90.025) (end 44.25 90.625) (width 0.8) (layer TOP-L1) (net 267) (tstamp 51A47CBB))
+  (segment (start 44.25 90.625) (end 46.175 90.625) (width 0.8) (layer TOP-L1) (net 267) (tstamp 51A47CC5))
+  (via (at 46.175 90.625) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 43.9105 87.8895) (end 44.84966 87.8895) (width 0.8) (layer TOP-L1) (net 267))
+  (segment (start 46.175 90.6) (end 46.175 90.625) (width 0.8) (layer TOP-L1) (net 267) (tstamp 51A47CD9))
+  (segment (start 46.2 90.625) (end 46.175 90.6) (width 0.8) (layer TOP-L1) (net 267) (tstamp 51A47CF7))
+  (segment (start 56.3 72.6) (end 56.3 69.875) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 56.8 69.375) (end 56.8 69.1) (width 0.1524) (layer TOP-L1) (net 267) (tstamp 51A33407))
+  (segment (start 56.3 69.875) (end 56.8 69.375) (width 0.1524) (layer TOP-L1) (net 267) (tstamp 51A33401))
+  (segment (start 55.975 73.75) (end 55.975 74.1) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 55.2 77.2) (end 55.6 77.6) (width 0.1524) (layer TOP-L1) (net 267) (tstamp 51A2106F))
+  (segment (start 55.2 74.875) (end 55.2 77.2) (width 0.1524) (layer TOP-L1) (net 267) (tstamp 51A2106B))
+  (segment (start 55.975 74.1) (end 55.2 74.875) (width 0.1524) (layer TOP-L1) (net 267) (tstamp 51A21066))
+  (segment (start 58.8 82.4) (end 59.6 82.4) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 57.6 83.2) (end 57.2 83.2) (width 0.1524) (layer TOP-L1) (net 267) (tstamp 51A485E1))
+  (segment (start 57.2 82.4) (end 57.2 83.2) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 57.6 56.8) (end 57.225 56.425) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 57.225 56.375) (end 56.8 56.8) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 56.8 67.25) (end 56.8 69.1) (width 0.1524) (layer TOP-L1) (net 267) (tstamp 51A15F11))
+  (segment (start 53.2 63.65) (end 56.8 67.25) (width 0.1524) (layer TOP-L1) (net 267) (tstamp 51A15F06))
+  (segment (start 53.6 62.4) (end 53.175 62.825) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 53.175 62.825) (end 53.2 62.8) (width 0.1524) (layer TOP-L1) (net 267) (tstamp 519EFFA0))
+  (segment (start 53.2 62.8) (end 53.2 63.65) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 55.975 72.925) (end 55.975 73.75) (width 0.1524) (layer TOP-L1) (net 267) (tstamp 51A15F1D))
+  (segment (start 56.3 72.6) (end 55.975 72.925) (width 0.1524) (layer TOP-L1) (net 267) (tstamp 51A333FF))
+  (segment (start 56.8 57.6) (end 56.4 58) (width 0.1524) (layer TOP-L1) (net 267))
+  (via (at 56.4 58) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 55.6 63.2) (end 55.6 62.8) (width 0.1524) (layer TOP-L1) (net 267))
+  (via (at 55.6 62.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 55.6 62.8) (end 55.2 62.4) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 55.2 63.2) (end 55.2 62.4) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 55.2 63.2) (end 55.6 63.2) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 55.6 63.2) (end 56 63.2) (width 0.1524) (layer TOP-L1) (net 267) (tstamp 519C92E5))
+  (segment (start 56.8 62.4) (end 57.2 62.4) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 57.2 62.4) (end 57.6 62.4) (width 0.1524) (layer TOP-L1) (net 267) (tstamp 519C48C9))
+  (segment (start 59.2 63.2) (end 60 63.2) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 58.8 77.6) (end 58.8 76.8) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 57.2 76.8) (end 57.2 76.375) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 57.2 76.375) (end 57.2 76.35) (width 0.1524) (layer TOP-L1) (net 267) (tstamp 51A16023))
+  (via (at 57.2 76.35) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 61.2 78.4) (end 60.825 78.775) (width 0.1524) (layer TOP-L1) (net 267))
+  (via (at 60.825 78.775) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 58.8 76.8) (end 58.8 76.35) (width 0.1524) (layer TOP-L1) (net 267))
+  (via (at 58.8 76.35) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 61.2 83.2) (end 62 83.2) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 76.2 66) (end 76.6 66.4) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 75.4 66) (end 76.2 66) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 78.5 64.77458) (end 77.55 64.77458) (width 0.3) (layer BOT-L6) (net 267))
+  (segment (start 76.6 64.77458) (end 77.55 64.77458) (width 0.3) (layer BOT-L6) (net 267))
+  (segment (start 76.2 65.2) (end 76.6 65.6) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 75.4 65.2) (end 76.2 65.2) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 76.2 68.4) (end 76.2 69.2) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 75.4 66.8) (end 76.2 66.8) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 75.4 67.6) (end 76.2 67.6) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 76.2 66.8) (end 76.6 66.4) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 76.6 66.4) (end 77 66) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 76.2 63.6) (end 76.2 64.4) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 97.12164 90.19168) (end 97.12164 89.65336) (width 0.3) (layer TOP-L1) (net 267))
+  (segment (start 97.12164 89.65336) (end 98.1775 88.5975) (width 0.3) (layer TOP-L1) (net 267) (tstamp 5188DB3D))
+  (segment (start 98.1775 88.5975) (end 98.1775 88.05) (width 0.3) (layer TOP-L1) (net 267) (tstamp 5188DB3E))
+  (segment (start 81.8 74) (end 81.8 74.8) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 79.625 70.50042) (end 79.625 69.925) (width 0.2) (layer BOT-L6) (net 267))
+  (via (at 79.625 69.925) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 78.675 70.50042) (end 78.675 70) (width 0.2) (layer BOT-L6) (net 267))
+  (via (at 78.675 70) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 78.6 65.2) (end 78.175 65.625) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 78.175 65.625) (end 78.2 65.6) (width 0.1524) (layer TOP-L1) (net 267) (tstamp 51A5ED04))
+  (segment (start 78.5 65.3) (end 78.5 64.77458) (width 0.3) (layer BOT-L6) (net 267) (tstamp 518874C9))
+  (segment (start 78.2 65.6) (end 78.5 65.3) (width 0.3) (layer BOT-L6) (net 267) (tstamp 518874C8))
+  (via (at 78.2 65.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 77.55 64.77458) (end 77.55 65.45) (width 0.3) (layer BOT-L6) (net 267))
+  (segment (start 77.55 65.45) (end 77.4 65.6) (width 0.1524) (layer BOT-L6) (net 267) (tstamp 51887479))
+  (segment (start 77.4 65.6) (end 77.375 65.625) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 77.375 65.625) (end 77.8 65.2) (width 0.1524) (layer TOP-L1) (net 267) (tstamp 51A5ED08))
+  (via (at 77.4 65.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 76.6 64.77458) (end 76.6 65.6) (width 0.3) (layer BOT-L6) (net 267))
+  (segment (start 76.6 65.6) (end 77 65.2) (width 0.1524) (layer TOP-L1) (net 267))
+  (via (at 76.6 65.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 77.8 68.4) (end 78.225 67.975) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 76.2 68.4) (end 76.6 68) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 76.6 68) (end 77 67.6) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 76.2 64.4) (end 76.2 65.2) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 78.6 64.4) (end 78.6 65.2) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 77.8 64.4) (end 77.8 65.2) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 77 64.4) (end 77 65.2) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 78.6 67.6) (end 78.225 67.975) (width 0.1524) (layer TOP-L1) (net 267))
+  (segment (start 78.225 67.975) (end 78.2 68) (width 0.1524) (layer TOP-L1) (net 267) (tstamp 5188480C))
+  (via (at 78.2 68) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 94.62228 90.19168) (end 94.62228 92.33798) (width 0.3) (layer TOP-L1) (net 267))
+  (segment (start 94.62228 92.33798) (end 95.8745 93.5902) (width 0.3) (layer TOP-L1) (net 267) (tstamp 5162EBB5))
+  (segment (start 97.12164 90.19168) (end 97.12164 92.34306) (width 0.3) (layer TOP-L1) (net 267))
+  (segment (start 97.12164 92.34306) (end 95.8745 93.5902) (width 0.3) (layer TOP-L1) (net 267) (tstamp 5162EBAD))
+  (segment (start 99.27302 91.84014) (end 97.62456 91.84014) (width 0.3) (layer TOP-L1) (net 267))
+  (segment (start 97.62456 91.84014) (end 95.8745 93.5902) (width 0.3) (layer TOP-L1) (net 267) (tstamp 5162EBA9))
+  (segment (start 92.47344 92.34052) (end 94.62482 92.34052) (width 0.3) (layer TOP-L1) (net 267))
+  (segment (start 94.62482 92.34052) (end 95.8745 93.5902) (width 0.3) (layer TOP-L1) (net 267) (tstamp 5162EBA5))
+  (segment (start 92.47344 95.34026) (end 94.12444 95.34026) (width 0.3) (layer TOP-L1) (net 267))
+  (segment (start 94.12444 95.34026) (end 95.8745 93.5902) (width 0.3) (layer TOP-L1) (net 267) (tstamp 5162EBA1))
+  (segment (start 96.12596 96.99126) (end 96.12596 93.84166) (width 0.2) (layer TOP-L1) (net 267))
+  (segment (start 96.12596 93.84166) (end 95.8745 93.5902) (width 0.3) (layer TOP-L1) (net 267) (tstamp 5162EB9D))
+  (via (at 95.8745 93.5902) (size 0.4572) (layers TOP-L1 BOT-L6) (net 267))
+  (segment (start 115.31 72.025) (end 114.725 72.025) (width 0.1524) (layer Sig1-L3) (net 268))
+  (segment (start 107.93 67.6) (end 104.48 67.6) (width 0.1524) (layer TOP-L1) (net 268) (tstamp 51AF1939))
+  (via (at 107.93 67.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 268))
+  (segment (start 110.3 67.6) (end 107.93 67.6) (width 0.1524) (layer Sig1-L3) (net 268) (tstamp 51AF1936))
+  (segment (start 114.725 72.025) (end 110.3 67.6) (width 0.1524) (layer Sig1-L3) (net 268) (tstamp 51AF1935))
+  (segment (start 85.8 67.6) (end 104.48 67.6) (width 0.1524) (layer TOP-L1) (net 268))
+  (segment (start 115.775 72.025) (end 117.025 72.025) (width 0.1524) (layer TOP-L1) (net 268) (tstamp 51ADC579))
+  (via (at 115.775 72.025) (size 0.4572) (layers TOP-L1 BOT-L6) (net 268))
+  (segment (start 115.31 72.025) (end 115.775 72.025) (width 0.1524) (layer Sig1-L3) (net 268) (tstamp 51AF1933))
+  (segment (start 100.87 68) (end 106.7 68) (width 0.1524) (layer TOP-L1) (net 269))
+  (segment (start 115.9 74.03) (end 115.9 74.025) (width 0.1524) (layer TOP-L1) (net 269) (tstamp 51AF1965))
+  (segment (start 115.6 74.03) (end 115.9 74.03) (width 0.1524) (layer TOP-L1) (net 269) (tstamp 51AF1963))
+  (segment (start 115.59 74.02) (end 115.6 74.03) (width 0.1524) (layer TOP-L1) (net 269) (tstamp 51AF1962))
+  (via (at 115.59 74.02) (size 0.4572) (layers TOP-L1 BOT-L6) (net 269))
+  (segment (start 115.2 74.02) (end 115.59 74.02) (width 0.1524) (layer Sig1-L3) (net 269) (tstamp 51AF1957))
+  (segment (start 109.5 68.32) (end 115.2 74.02) (width 0.1524) (layer Sig1-L3) (net 269) (tstamp 51AF194F))
+  (segment (start 109.42 68.32) (end 109.5 68.32) (width 0.1524) (layer Sig1-L3) (net 269) (tstamp 51AF194D))
+  (segment (start 108.56 68.32) (end 109.42 68.32) (width 0.1524) (layer Sig1-L3) (net 269) (tstamp 51AF194C))
+  (via (at 108.56 68.32) (size 0.4572) (layers TOP-L1 BOT-L6) (net 269))
+  (segment (start 107.02 68.32) (end 108.56 68.32) (width 0.1524) (layer TOP-L1) (net 269) (tstamp 51AF1949))
+  (segment (start 106.7 68) (end 107.02 68.32) (width 0.1524) (layer TOP-L1) (net 269) (tstamp 51AF1946))
+  (segment (start 100.87 68) (end 100.92 68) (width 0.1524) (layer TOP-L1) (net 269) (tstamp 51AF1944))
+  (segment (start 85 67.6) (end 85.4 68) (width 0.1524) (layer TOP-L1) (net 269))
+  (segment (start 85.4 68) (end 100.92 68) (width 0.1524) (layer TOP-L1) (net 269) (tstamp 51ADC548))
+  (segment (start 115.9 74.025) (end 117.025 74.025) (width 0.1524) (layer TOP-L1) (net 269) (tstamp 51AF1966))
+  (segment (start 112.675 72.52) (end 112.675 74.05) (width 0.1524) (layer TOP-L1) (net 270))
+  (segment (start 113.84542 75.22042) (end 114.57 75.22042) (width 0.1524) (layer TOP-L1) (net 270) (tstamp 51AF19CA))
+  (segment (start 112.675 74.05) (end 113.84542 75.22042) (width 0.1524) (layer TOP-L1) (net 270) (tstamp 51AF19C8))
+  (segment (start 99.65 68.4) (end 106.05 68.4) (width 0.1524) (layer TOP-L1) (net 270))
+  (segment (start 113.01 72.52) (end 113.01 72.525) (width 0.1524) (layer TOP-L1) (net 270) (tstamp 51AF199C))
+  (segment (start 112.71 72.52) (end 112.675 72.52) (width 0.1524) (layer TOP-L1) (net 270) (tstamp 51AF199A))
+  (segment (start 112.675 72.52) (end 113.01 72.52) (width 0.1524) (layer TOP-L1) (net 270) (tstamp 51AF19C6))
+  (via (at 112.71 72.52) (size 0.4572) (layers TOP-L1 BOT-L6) (net 270))
+  (segment (start 110.17 72.52) (end 112.71 72.52) (width 0.1524) (layer Sig1-L3) (net 270) (tstamp 51AF197A))
+  (segment (start 106.22 68.57) (end 110.17 72.52) (width 0.1524) (layer Sig1-L3) (net 270) (tstamp 51AF1979))
+  (via (at 106.22 68.57) (size 0.4572) (layers TOP-L1 BOT-L6) (net 270))
+  (segment (start 106.05 68.4) (end 106.22 68.57) (width 0.1524) (layer TOP-L1) (net 270) (tstamp 51AF1975))
+  (segment (start 99.19 68.4) (end 99.65 68.4) (width 0.1524) (layer TOP-L1) (net 270))
+  (segment (start 85.8 68.4) (end 99.19 68.4) (width 0.1524) (layer TOP-L1) (net 270))
+  (segment (start 113.01 72.525) (end 117.025 72.525) (width 0.1524) (layer TOP-L1) (net 270) (tstamp 51AF199D))
+  (segment (start 115.59 75.22042) (end 115.59 75.04) (width 0.1524) (layer TOP-L1) (net 271))
+  (segment (start 114.075 73.525) (end 113.875 73.525) (width 0.1524) (layer TOP-L1) (net 271) (tstamp 51AF19D2))
+  (segment (start 115.59 75.04) (end 114.075 73.525) (width 0.1524) (layer TOP-L1) (net 271) (tstamp 51AF19D1))
+  (segment (start 97.275 68.8) (end 105 68.8) (width 0.1524) (layer TOP-L1) (net 271))
+  (segment (start 113.875 73.525) (end 114.2 73.525) (width 0.1524) (layer TOP-L1) (net 271) (tstamp 51AF19C1))
+  (via (at 113.875 73.525) (size 0.4572) (layers TOP-L1 BOT-L6) (net 271))
+  (segment (start 109.725 73.525) (end 113.875 73.525) (width 0.1524) (layer Sig1-L3) (net 271) (tstamp 51AF19B7))
+  (segment (start 105 68.8) (end 109.725 73.525) (width 0.1524) (layer Sig1-L3) (net 271) (tstamp 51AF19B6))
+  (via (at 105 68.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 271))
+  (segment (start 85 68.4) (end 85.4 68.8) (width 0.1524) (layer TOP-L1) (net 271))
+  (segment (start 114.2 73.525) (end 117.025 73.525) (width 0.1524) (layer TOP-L1) (net 271) (tstamp 51AF19C4))
+  (segment (start 85.4 68.8) (end 97.275 68.8) (width 0.1524) (layer TOP-L1) (net 271) (tstamp 51ADC4DA))
+  (segment (start 114.6 69.475) (end 113.575 69.475) (width 0.1524) (layer TOP-L1) (net 272))
+  (segment (start 85.4 71.2) (end 85 70.8) (width 0.1524) (layer TOP-L1) (net 272) (tstamp 51ADC3EC))
+  (segment (start 111.85 71.2) (end 85.4 71.2) (width 0.1524) (layer TOP-L1) (net 272) (tstamp 51ADC3EA))
+  (segment (start 113.575 69.475) (end 111.85 71.2) (width 0.1524) (layer TOP-L1) (net 272) (tstamp 51ADC3E8))
+  (segment (start 85.8 70.8) (end 111.65 70.8) (width 0.1524) (layer TOP-L1) (net 273))
+  (segment (start 113.475 68.975) (end 114.6 68.975) (width 0.1524) (layer TOP-L1) (net 273) (tstamp 51ADC3E4))
+  (segment (start 111.65 70.8) (end 113.475 68.975) (width 0.1524) (layer TOP-L1) (net 273) (tstamp 51ADC3E2))
+  (segment (start 117.025 67.925) (end 113.725 67.925) (width 0.1524) (layer TOP-L1) (net 274) (status 10))
+  (segment (start 85.4 70.4) (end 85 70) (width 0.1524) (layer TOP-L1) (net 274) (tstamp 51ADC2B6))
+  (segment (start 111.25 70.4) (end 85.4 70.4) (width 0.1524) (layer TOP-L1) (net 274) (tstamp 51ADC2B4))
+  (segment (start 113.725 67.925) (end 111.25 70.4) (width 0.1524) (layer TOP-L1) (net 274) (tstamp 51ADC2B2))
+  (segment (start 85.8 70) (end 110.825 70) (width 0.1524) (layer TOP-L1) (net 275))
+  (segment (start 113.4 67.425) (end 117.025 67.425) (width 0.1524) (layer TOP-L1) (net 275) (tstamp 51ADC2AE) (status 20))
+  (segment (start 110.825 70) (end 113.4 67.425) (width 0.1524) (layer TOP-L1) (net 275) (tstamp 51ADC2AC))
+  (segment (start 117.025 66.425) (end 113.15 66.425) (width 0.1524) (layer TOP-L1) (net 276) (status 10))
+  (segment (start 85.4 69.6) (end 85 69.2) (width 0.1524) (layer TOP-L1) (net 276) (tstamp 51ADC2A9))
+  (segment (start 109.975 69.6) (end 85.4 69.6) (width 0.1524) (layer TOP-L1) (net 276) (tstamp 51ADC2A7))
+  (segment (start 113.15 66.425) (end 109.975 69.6) (width 0.1524) (layer TOP-L1) (net 276) (tstamp 51ADC2A5))
+  (segment (start 85.8 69.2) (end 109.575 69.2) (width 0.1524) (layer TOP-L1) (net 277))
+  (segment (start 112.85 65.925) (end 117.025 65.925) (width 0.1524) (layer TOP-L1) (net 277) (tstamp 51ADC2A1) (status 20))
+  (segment (start 109.575 69.2) (end 112.85 65.925) (width 0.1524) (layer TOP-L1) (net 277) (tstamp 51ADC29F))
+  (segment (start 114.6 70.975) (end 113.275 70.975) (width 0.1524) (layer TOP-L1) (net 278))
+  (segment (start 112.25 72) (end 87.15 72) (width 0.1524) (layer TOP-L1) (net 278) (tstamp 51ADC3F6))
+  (segment (start 113.275 70.975) (end 112.25 72) (width 0.1524) (layer TOP-L1) (net 278) (tstamp 51ADC3F5))
+  (segment (start 85 71.6) (end 85.4 72) (width 0.1524) (layer TOP-L1) (net 278))
+  (segment (start 85.4 72) (end 87.15 72) (width 0.1524) (layer TOP-L1) (net 278) (tstamp 51ADB4FD))
+  (segment (start 85.8 71.6) (end 112.075 71.6) (width 0.1524) (layer TOP-L1) (net 279))
+  (segment (start 113.2 70.475) (end 114.6 70.475) (width 0.1524) (layer TOP-L1) (net 279) (tstamp 51ADC3F1))
+  (segment (start 112.075 71.6) (end 113.2 70.475) (width 0.1524) (layer TOP-L1) (net 279) (tstamp 51ADC3EF))
+  (segment (start 102.1775 96.08) (end 101.62 96.08) (width 0.3) (layer TOP-L1) (net 280))
+  (segment (start 99.89242 94.84242) (end 99.27302 94.84242) (width 0.3) (layer TOP-L1) (net 280) (tstamp 51B00DBD))
+  (segment (start 100.48 95.43) (end 99.89242 94.84242) (width 0.3) (layer TOP-L1) (net 280) (tstamp 51B00DBC))
+  (segment (start 100.97 95.43) (end 100.48 95.43) (width 0.3) (layer TOP-L1) (net 280) (tstamp 51B00DBB))
+  (segment (start 101.62 96.08) (end 100.97 95.43) (width 0.3) (layer TOP-L1) (net 280) (tstamp 51B00DBA))
+  (segment (start 99.48042 98.31) (end 98.85 98.31) (width 0.5) (layer TOP-L1) (net 280))
+  (segment (start 98.84 98.32) (end 98.75 98.32) (width 0.5) (layer TOP-L1) (net 280) (tstamp 51B00C91))
+  (segment (start 98.85 98.31) (end 98.84 98.32) (width 0.5) (layer TOP-L1) (net 280) (tstamp 51B00C8F))
+  (segment (start 98.75 97.95) (end 98.75 98.32) (width 0.5) (layer TOP-L1) (net 280))
+  (segment (start 98.75 98.32) (end 98.75 99.3825) (width 0.5) (layer TOP-L1) (net 280) (tstamp 51B00C92))
+  (segment (start 98.75 99.3825) (end 98.9875 99.62) (width 0.5) (layer TOP-L1) (net 280) (tstamp 51B00C7D))
+  (segment (start 98.9875 99.62) (end 98.32 99.62) (width 0.5) (layer TOP-L1) (net 280))
+  (segment (start 98.32 99.62) (end 97.89 99.19) (width 0.5) (layer TOP-L1) (net 280) (tstamp 51B00C79))
+  (segment (start 97.89 99.19) (end 97.89 97.82) (width 0.5) (layer TOP-L1) (net 280) (tstamp 51B00C7A))
+  (segment (start 96.275 89.35) (end 96.275 90.05) (width 0.5) (layer BOT-L6) (net 280))
+  (segment (start 91.33 91.195) (end 91.375 91.195) (width 0.3) (layer TOP-L1) (net 280))
+  (segment (start 91.33 91.195) (end 91.38 91.095) (width 0.2) (layer TOP-L1) (net 280) (tstamp 51A5D34F))
+  (via (at 91.38 91.095) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 280))
+  (via (at 96.275 89.25) (size 0.4572) (layers TOP-L1 BOT-L6) (net 280))
+  (segment (start 95.9 91.1) (end 92.7 91.1) (width 0.1524) (layer BOT-L6) (net 280) (tstamp 51AF1CED))
+  (segment (start 96.275 90.725) (end 95.9 91.1) (width 0.1524) (layer BOT-L6) (net 280) (tstamp 51AF1CE9))
+  (segment (start 96.275 90.125) (end 96.275 90.725) (width 0.1524) (layer BOT-L6) (net 280) (tstamp 51AF1D64))
+  (segment (start 96.275 89.25) (end 96.275 89.35) (width 0.1524) (layer BOT-L6) (net 280))
+  (segment (start 92.645 91.095) (end 92.7 91.15) (width 0.5) (layer BOT-L6) (net 280) (tstamp 51AF1D5A))
+  (segment (start 92.7 91.15) (end 92.7 91.1) (width 0.5) (layer BOT-L6) (net 280) (tstamp 51AF1D5C))
+  (segment (start 91.38 91.095) (end 92.645 91.095) (width 0.5) (layer BOT-L6) (net 280))
+  (segment (start 96.275 90.05) (end 96.325 90.1) (width 0.5) (layer BOT-L6) (net 280) (tstamp 51AF1D61))
+  (segment (start 96.325 90.1) (end 96.325 90.125) (width 0.5) (layer BOT-L6) (net 280) (tstamp 51AF1D62))
+  (segment (start 96.325 90.125) (end 96.275 90.125) (width 0.5) (layer BOT-L6) (net 280) (tstamp 51AF1D63))
+  (segment (start 92.475 95.175) (end 91.5 95.175) (width 0.5) (layer BOT-L6) (net 280))
+  (segment (start 91.83512 94.83988) (end 92.47344 94.83988) (width 0.3) (layer TOP-L1) (net 280))
+  (via (at 91.5 95.175) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 280))
+  (segment (start 91.83512 94.83988) (end 91.5 95.175) (width 0.3) (layer TOP-L1) (net 280) (tstamp 51A83BA5))
+  (segment (start 98.75 97.95) (end 98.75 97.075) (width 0.5) (layer BOT-L6) (net 280))
+  (via (at 98.75 97.95) (size 0.4572) (layers TOP-L1 BOT-L6) (net 280))
+  (segment (start 98.75 97.075) (end 98.8 97.025) (width 0.5) (layer BOT-L6) (net 280) (tstamp 51AF1D54))
+  (segment (start 100.425 95.425) (end 99.325 95.425) (width 0.5) (layer BOT-L6) (net 280))
+  (segment (start 99.27302 94.84242) (end 99.84242 94.84242) (width 0.2) (layer TOP-L1) (net 280))
+  (via (at 100.425 95.425) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 280))
+  (segment (start 100.475 95.475) (end 100.425 95.425) (width 0.2) (layer TOP-L1) (net 280) (tstamp 51A82C82))
+  (segment (start 99.84242 94.84242) (end 100.475 95.475) (width 0.2) (layer TOP-L1) (net 280) (tstamp 51A82B72))
+  (segment (start 99.325 95.425) (end 99.3 95.45) (width 0.5) (layer BOT-L6) (net 280) (tstamp 51AF1D45))
+  (segment (start 99.27302 92.8409) (end 99.8591 92.8409) (width 0.1524) (layer TOP-L1) (net 280))
+  (segment (start 99.85 92.85) (end 100.275 92.425) (width 0.1524) (layer BOT-L6) (net 280) (tstamp 51AF1D20))
+  (via (at 100.275 92.425) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 280))
+  (segment (start 99.85 92.85) (end 99.425 92.85) (width 0.1524) (layer BOT-L6) (net 280))
+  (segment (start 99.8591 92.8409) (end 100.275 92.425) (width 0.1524) (layer TOP-L1) (net 280) (tstamp 51AF1D3D))
+  (segment (start 92.47344 91.3423) (end 91.6173 91.3423) (width 0.3) (layer TOP-L1) (net 280))
+  (segment (start 91.6173 91.3423) (end 91.375 91.1) (width 0.3) (layer TOP-L1) (net 280) (tstamp 51A83B99))
+  (segment (start 98.12494 96.98872) (end 98.12494 97.69994) (width 0.2) (layer TOP-L1) (net 280))
+  (segment (start 98.375 97.95) (end 98.75 97.95) (width 0.2) (layer TOP-L1) (net 280) (tstamp 51A82C0A))
+  (segment (start 98.12494 97.69994) (end 98.375 97.95) (width 0.2) (layer TOP-L1) (net 280) (tstamp 51A82C07))
+  (segment (start 92.47344 90.84192) (end 91.63308 90.84192) (width 0.2) (layer TOP-L1) (net 280))
+  (segment (start 91.375 91.1) (end 91.375 91.195) (width 0.2) (layer TOP-L1) (net 280) (tstamp 51A82ADE))
+  (segment (start 91.63308 90.84192) (end 91.375 91.1) (width 0.2) (layer TOP-L1) (net 280) (tstamp 51A82ADB))
+  (segment (start 95.62304 90.19168) (end 95.62304 89.50196) (width 0.2) (layer TOP-L1) (net 280))
+  (segment (start 95.875 89.25) (end 96.275 89.25) (width 0.2) (layer TOP-L1) (net 280) (tstamp 51A82AD0))
+  (segment (start 95.62304 89.50196) (end 95.875 89.25) (width 0.2) (layer TOP-L1) (net 280) (tstamp 51A82AC5))
+  (segment (start 87.925 99.8975) (end 87.925 97.925) (width 0.3) (layer TOP-L1) (net 280))
+  (segment (start 89.87458 94.92542) (end 90.5 94.92542) (width 0.3) (layer TOP-L1) (net 280) (tstamp 51A825A3))
+  (segment (start 88.875 95.925) (end 89.87458 94.92542) (width 0.3) (layer TOP-L1) (net 280) (tstamp 51A825A1))
+  (segment (start 88.875 96.975) (end 88.875 95.925) (width 0.3) (layer TOP-L1) (net 280) (tstamp 51A825A0))
+  (segment (start 87.925 97.925) (end 88.875 96.975) (width 0.3) (layer TOP-L1) (net 280) (tstamp 51A8259E))
+  (segment (start 90.5 94.92542) (end 91.79958 94.92542) (width 0.2) (layer TOP-L1) (net 280))
+  (segment (start 91.88512 94.83988) (end 92.47344 94.83988) (width 0.2) (layer TOP-L1) (net 280) (tstamp 51A73F04))
+  (segment (start 91.79958 94.92542) (end 91.88512 94.83988) (width 0.2) (layer TOP-L1) (net 280) (tstamp 51A73F00))
+  (segment (start 91.375 91.195) (end 90.6725 91.195) (width 0.3) (layer TOP-L1) (net 280) (tstamp 51A82ADF))
+  (segment (start 90.6725 91.195) (end 90.2725 90.795) (width 0.3) (layer TOP-L1) (net 280) (tstamp 51A5D351))
+  (segment (start 98.12494 96.98872) (end 98.12494 98.30048) (width 0.1524) (layer TOP-L1) (net 280))
+  (segment (start 98.12494 98.30048) (end 97.9 98.52542) (width 0.1524) (layer TOP-L1) (net 280) (tstamp 5188DC0E))
+  (segment (start 97.62456 96.98872) (end 97.62456 98.24998) (width 0.1524) (layer TOP-L1) (net 280))
+  (segment (start 97.62456 98.24998) (end 97.9 98.52542) (width 0.1524) (layer TOP-L1) (net 280) (tstamp 5188DC0B))
+  (segment (start 96.2725 88.05) (end 96.2725 89.2475) (width 0.1524) (layer TOP-L1) (net 280))
+  (segment (start 96.2725 89.2475) (end 96.275 89.25) (width 0.1524) (layer TOP-L1) (net 280) (tstamp 5188DB30))
+  (segment (start 97.62456 96.98872) (end 98.12494 96.98872) (width 0.1524) (layer TOP-L1) (net 280))
+  (segment (start 73.8 64.4) (end 74.2 64) (width 0.1524) (layer TOP-L1) (net 281))
+  (via (at 93.9 98.775) (size 0.4572) (layers TOP-L1 BOT-L6) (net 281))
+  (segment (start 81.05 98.775) (end 93.9 98.775) (width 0.3) (layer Sig1-L3) (net 281) (tstamp 51AF1FFB))
+  (segment (start 76.375 94.1) (end 81.05 98.775) (width 0.3) (layer Sig1-L3) (net 281) (tstamp 51AF1FF6))
+  (segment (start 76.375 90.35) (end 76.375 94.1) (width 0.3) (layer Sig1-L3) (net 281) (tstamp 51AF1FF4))
+  (segment (start 74.275 88.25) (end 76.375 90.35) (width 0.3) (layer Sig1-L3) (net 281) (tstamp 51AF1FEC))
+  (segment (start 74.275 71.275) (end 74.275 88.25) (width 0.3) (layer Sig1-L3) (net 281) (tstamp 51AF1FDF))
+  (segment (start 73.325 70.325) (end 74.275 71.275) (width 0.3) (layer Sig1-L3) (net 281) (tstamp 51AF1FDC))
+  (segment (start 73.325 64.05) (end 73.325 70.325) (width 0.1524) (layer Sig1-L3) (net 281) (tstamp 51AF1FD9))
+  (segment (start 73.375 64) (end 73.325 64.05) (width 0.1524) (layer Sig1-L3) (net 281) (tstamp 51AF1FD8))
+  (segment (start 74.2 64) (end 73.375 64) (width 0.1524) (layer Sig1-L3) (net 281) (tstamp 51AF1FD7))
+  (via (at 74.2 64) (size 0.4572) (layers TOP-L1 BOT-L6) (net 281))
+  (segment (start 94.625 98.25042) (end 94.17458 98.25042) (width 0.2) (layer TOP-L1) (net 281))
+  (segment (start 94.17458 98.25042) (end 93.9 98.525) (width 0.2) (layer TOP-L1) (net 281) (tstamp 51A5D03F))
+  (segment (start 93.9 98.525) (end 93.9 98.555) (width 0.2) (layer TOP-L1) (net 281) (tstamp 51A5D040))
+  (segment (start 93.9 99.538) (end 94.475 100.113) (width 0.2) (layer TOP-L1) (net 281) (tstamp 51A5D041))
+  (segment (start 93.9 98.555) (end 93.9 98.775) (width 0.2) (layer TOP-L1) (net 281) (tstamp 51A5FE91))
+  (segment (start 93.9 98.775) (end 93.9 99.538) (width 0.2) (layer TOP-L1) (net 281) (tstamp 51AF2014))
+  (segment (start 94.62482 96.99126) (end 94.62482 98.25024) (width 0.2) (layer TOP-L1) (net 281))
+  (segment (start 94.62482 98.25024) (end 94.625 98.25042) (width 0.2) (layer TOP-L1) (net 281) (tstamp 51A5CFF1))
+  (segment (start 99.27302 94.34204) (end 99.27506 94.34) (width 0.3) (layer TOP-L1) (net 282))
+  (segment (start 100.238 94.34) (end 101.028 93.55) (width 0.3) (layer TOP-L1) (net 282) (tstamp 51B00D24))
+  (segment (start 99.27506 94.34) (end 100.238 94.34) (width 0.3) (layer TOP-L1) (net 282) (tstamp 51B00D1B))
+  (segment (start 101.24042 94.69) (end 101.028 94.47758) (width 0.5) (layer TOP-L1) (net 282))
+  (segment (start 101.028 94.47758) (end 101.028 93.55) (width 0.5) (layer TOP-L1) (net 282) (tstamp 51B00D0C))
+  (segment (start 93.26958 88.545) (end 93.26958 89.33458) (width 0.2) (layer TOP-L1) (net 283))
+  (segment (start 93.62152 89.68652) (end 93.62152 90.19168) (width 0.2) (layer TOP-L1) (net 283) (tstamp 51A5D635))
+  (segment (start 93.26958 89.33458) (end 93.62152 89.68652) (width 0.2) (layer TOP-L1) (net 283) (tstamp 51A5D634))
+  (segment (start 102.875 62.125) (end 102.875 64.6) (width 0.1524) (layer BOT-L6) (net 284))
+  (segment (start 100.675 66.8) (end 98.45 66.8) (width 0.1524) (layer Sig-L5) (net 284) (tstamp 51AE19B6))
+  (segment (start 102.7 64.775) (end 100.675 66.8) (width 0.1524) (layer Sig-L5) (net 284) (tstamp 51AE19B5))
+  (via (at 102.7 64.775) (size 0.4572) (layers TOP-L1 BOT-L6) (net 284))
+  (segment (start 102.875 64.6) (end 102.7 64.775) (width 0.1524) (layer BOT-L6) (net 284) (tstamp 51AE19B3))
+  (segment (start 106.5 53.85) (end 104.85 53.85) (width 0.1524) (layer BOT-L6) (net 284))
+  (segment (start 98.45 66.8) (end 97.1 66.8) (width 0.1524) (layer Sig-L5) (net 284) (tstamp 51AE19BA))
+  (segment (start 102.875 55.825) (end 102.875 62.125) (width 0.1524) (layer BOT-L6) (net 284) (tstamp 51AE194C))
+  (segment (start 104.85 53.85) (end 102.875 55.825) (width 0.1524) (layer BOT-L6) (net 284) (tstamp 51AE194A))
+  (segment (start 106.5 53.85) (end 106.87542 53.85) (width 0.1524) (layer BOT-L6) (net 284) (tstamp 51AE1948))
+  (segment (start 83.4 66) (end 83.8 66.4) (width 0.1524) (layer TOP-L1) (net 284))
+  (segment (start 84.2 66.8) (end 97.1 66.8) (width 0.1524) (layer Sig-L5) (net 284) (tstamp 51AE192D))
+  (segment (start 83.8 66.4) (end 84.2 66.8) (width 0.1524) (layer Sig-L5) (net 284) (tstamp 51AE192C))
+  (via (at 83.8 66.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 284))
+  (segment (start 71.77 85.425) (end 71.77 81.78) (width 0.1524) (layer Sig1-L3) (net 285))
+  (segment (start 73.9 79.65) (end 73.9 79.075) (width 0.1524) (layer Sig1-L3) (net 285) (tstamp 51AF20B3))
+  (segment (start 71.77 81.78) (end 73.9 79.65) (width 0.1524) (layer Sig1-L3) (net 285) (tstamp 51AF20B1))
+  (segment (start 73.8 71.6) (end 73.4 71.2) (width 0.1524) (layer TOP-L1) (net 285))
+  (segment (start 73.9 71.7) (end 73.9 79.075) (width 0.1524) (layer Sig1-L3) (net 285) (tstamp 51AF2037))
+  (segment (start 73.4 71.2) (end 73.9 71.7) (width 0.1524) (layer Sig1-L3) (net 285) (tstamp 51AF2036))
+  (via (at 73.4 71.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 285))
+  (segment (start 63.64958 90.45) (end 63.64958 91.375) (width 0.3) (layer TOP-L1) (net 286))
+  (segment (start 63.64958 91.375) (end 63.7 91.42542) (width 0.3) (layer TOP-L1) (net 286) (tstamp 51A83BCD))
+  (segment (start 63.7 91.42542) (end 62.675 91.42542) (width 0.3) (layer TOP-L1) (net 286))
+  (segment (start 64.88 90.4275) (end 63.67208 90.4275) (width 0.1524) (layer TOP-L1) (net 286))
+  (segment (start 63.67208 90.4275) (end 63.64958 90.45) (width 0.1524) (layer TOP-L1) (net 286) (tstamp 5188CE8D))
+  (segment (start 41.34958 88.825) (end 42.29266 88.825) (width 0.3) (layer TOP-L1) (net 287))
+  (segment (start 42.29266 88.825) (end 42.30966 88.842) (width 0.3) (layer TOP-L1) (net 287) (tstamp 51A47E13))
+  (segment (start 41.62542 90.2) (end 41.62542 91.1) (width 0.3) (layer TOP-L1) (net 287))
+  (segment (start 41.34958 88.825) (end 41.34958 89.27458) (width 0.3) (layer TOP-L1) (net 287))
+  (segment (start 41.62542 89.55042) (end 41.62542 90.2) (width 0.3) (layer TOP-L1) (net 287) (tstamp 51A47DA5))
+  (segment (start 41.34958 89.27458) (end 41.62542 89.55042) (width 0.3) (layer TOP-L1) (net 287) (tstamp 51A47DA4))
+  (segment (start 92.47344 93.83912) (end 90.18588 93.83912) (width 0.2) (layer TOP-L1) (net 288))
+  (segment (start 87.9 96.862) (end 86.987 97.775) (width 0.2) (layer TOP-L1) (net 288) (tstamp 51A82569))
+  (segment (start 87.9 96.125) (end 87.9 96.862) (width 0.2) (layer TOP-L1) (net 288) (tstamp 51A82567))
+  (segment (start 90.18588 93.83912) (end 87.9 96.125) (width 0.2) (layer TOP-L1) (net 288) (tstamp 51A82565))
+  (segment (start 89.4 100.82542) (end 89.4 99.575) (width 0.2) (layer TOP-L1) (net 289))
+  (segment (start 91.53436 95.84064) (end 92.47344 95.84064) (width 0.2) (layer TOP-L1) (net 289) (tstamp 51A5D110))
+  (segment (start 90.05 97.325) (end 91.53436 95.84064) (width 0.2) (layer TOP-L1) (net 289) (tstamp 51A5D10E))
+  (segment (start 90.05 98.925) (end 90.05 97.325) (width 0.2) (layer TOP-L1) (net 289) (tstamp 51A5D10D))
+  (segment (start 89.4 99.575) (end 90.05 98.925) (width 0.2) (layer TOP-L1) (net 289) (tstamp 51A5D10C))
+  (segment (start 99.27302 91.3423) (end 106.9723 91.3423) (width 0.2) (layer TOP-L1) (net 290))
+  (segment (start 111.00042 95.37042) (end 111.00042 99.575) (width 0.2) (layer TOP-L1) (net 290) (tstamp 51B00D4C))
+  (segment (start 106.9723 91.3423) (end 111.00042 95.37042) (width 0.2) (layer TOP-L1) (net 290) (tstamp 51B00D48))
+  (segment (start 55.10042 82) (end 54.4 82) (width 0.1524) (layer BOT-L6) (net 291))
+  (via (at 54.4 82) (size 0.4572) (layers TOP-L1 BOT-L6) (net 291))
+  (segment (start 54.4 82) (end 54 82.4) (width 0.1524) (layer TOP-L1) (net 291))
+  (segment (start 90.6 100.138) (end 90.6 97.325) (width 0.2) (layer TOP-L1) (net 292))
+  (segment (start 91.58398 96.34102) (end 92.47344 96.34102) (width 0.2) (layer TOP-L1) (net 292) (tstamp 51A5D0CA))
+  (segment (start 90.6 97.325) (end 91.58398 96.34102) (width 0.2) (layer TOP-L1) (net 292) (tstamp 51A5D0C8))
+  (segment (start 109.84122 61.5256) (end 111.3994 61.5256) (width 0.3) (layer BOT-L6) (net 293))
+  (segment (start 111.425 61.55) (end 112.25042 61.55) (width 0.3) (layer TOP-L1) (net 293) (tstamp 51AED299))
+  (segment (start 111.4 61.525) (end 111.425 61.55) (width 0.3) (layer TOP-L1) (net 293) (tstamp 51AED298))
+  (via (at 111.4 61.525) (size 0.4572) (layers TOP-L1 BOT-L6) (net 293))
+  (segment (start 111.3994 61.5256) (end 111.4 61.525) (width 0.3) (layer BOT-L6) (net 293) (tstamp 51AED296))
+  (segment (start 109.84122 61.5256) (end 107.87518 61.5256) (width 0.3) (layer BOT-L6) (net 293))
+  (segment (start 107.87518 61.5256) (end 107.87458 61.525) (width 0.3) (layer BOT-L6) (net 293) (tstamp 51ADB137))
+  (segment (start 112.25042 60.425) (end 111.45 60.425) (width 0.3) (layer TOP-L1) (net 294))
+  (segment (start 111.44922 60.42578) (end 109.84122 60.42578) (width 0.3) (layer BOT-L6) (net 294) (tstamp 51AED293))
+  (segment (start 111.45 60.425) (end 111.44922 60.42578) (width 0.3) (layer BOT-L6) (net 294) (tstamp 51AED292))
+  (via (at 111.45 60.425) (size 0.4572) (layers TOP-L1 BOT-L6) (net 294))
+  (segment (start 107.82458 60.425) (end 109.84044 60.425) (width 0.3) (layer BOT-L6) (net 294))
+  (segment (start 109.84044 60.425) (end 109.84122 60.42578) (width 0.3) (layer BOT-L6) (net 294) (tstamp 51ADB13A))
+  (segment (start 99.27302 96.34102) (end 100.2156 96.34102) (width 0.2) (layer TOP-L1) (net 295))
+  (segment (start 100.2156 96.34102) (end 100.35 96.47542) (width 0.2) (layer TOP-L1) (net 295) (tstamp 51A823EB))
+  (segment (start 92.525 98.5) (end 92.1 98.925) (width 0.2) (layer TOP-L1) (net 296))
+  (segment (start 92.1 98.925) (end 92.1 100.138) (width 0.2) (layer TOP-L1) (net 296) (tstamp 51A5D0A8))
+  (segment (start 93.6266 96.99126) (end 93.6266 97.3984) (width 0.2) (layer TOP-L1) (net 296))
+  (segment (start 93.6266 97.3984) (end 92.525 98.5) (width 0.2) (layer TOP-L1) (net 296) (tstamp 51A5D097))
+  (segment (start 111.89958 99.575) (end 111.89958 102.68042) (width 0.1524) (layer TOP-L1) (net 297))
+  (segment (start 111.89958 102.68042) (end 111.705 102.875) (width 0.1524) (layer TOP-L1) (net 297) (tstamp 51AFED16))
+  (segment (start 112.92542 99.55) (end 111.92458 99.55) (width 0.1524) (layer TOP-L1) (net 297))
+  (segment (start 111.92458 99.55) (end 111.89958 99.575) (width 0.1524) (layer TOP-L1) (net 297) (tstamp 51AFED13))
+  (segment (start 95.425 65.2) (end 99.35 65.2) (width 0.1524) (layer Sig1-L3) (net 298))
+  (segment (start 105.925 61.525) (end 106.97542 61.525) (width 0.1524) (layer BOT-L6) (net 298) (tstamp 51AE19F8))
+  (segment (start 105.9 61.55) (end 105.925 61.525) (width 0.1524) (layer BOT-L6) (net 298) (tstamp 51AE19F7))
+  (via (at 105.9 61.55) (size 0.4572) (layers TOP-L1 BOT-L6) (net 298))
+  (segment (start 103 61.55) (end 105.9 61.55) (width 0.1524) (layer Sig1-L3) (net 298) (tstamp 51AE19F4))
+  (segment (start 99.35 65.2) (end 103 61.55) (width 0.1524) (layer Sig1-L3) (net 298) (tstamp 51AE19F2))
+  (segment (start 82.6 64.4) (end 83 64.8) (width 0.1524) (layer TOP-L1) (net 298))
+  (segment (start 83.4 65.2) (end 95.425 65.2) (width 0.1524) (layer Sig1-L3) (net 298) (tstamp 51AE199C))
+  (segment (start 83 64.8) (end 83.4 65.2) (width 0.1524) (layer Sig1-L3) (net 298) (tstamp 51AE199B))
+  (via (at 83 64.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 298))
+  (segment (start 83.4 63.6) (end 83 64) (width 0.1524) (layer BOT-L6) (net 298) (tstamp 51ADB243))
+  (segment (start 83.4 63.6) (end 83 64) (width 0.1524) (layer BOT-L6) (net 298) (tstamp 51ADB217))
+  (segment (start 82.6 64.4) (end 83 64) (width 0.1524) (layer TOP-L1) (net 298))
+  (via (at 83 64) (size 0.4572) (layers TOP-L1 BOT-L6) (net 298))
+  (segment (start 83.4 64.4) (end 83.8 64.8) (width 0.1524) (layer TOP-L1) (net 299))
+  (segment (start 105.8 60.425) (end 106.92542 60.425) (width 0.1524) (layer BOT-L6) (net 299) (tstamp 51AE1A05))
+  (via (at 105.8 60.425) (size 0.4572) (layers TOP-L1 BOT-L6) (net 299))
+  (segment (start 104.2 60.425) (end 105.8 60.425) (width 0.1524) (layer Sig-L5) (net 299) (tstamp 51AE1A02))
+  (segment (start 99.425 65.2) (end 104.2 60.425) (width 0.1524) (layer Sig-L5) (net 299) (tstamp 51AE1A00))
+  (segment (start 84.2 65.2) (end 99.425 65.2) (width 0.1524) (layer Sig-L5) (net 299) (tstamp 51AE19FF))
+  (segment (start 83.8 64.8) (end 84.2 65.2) (width 0.1524) (layer Sig-L5) (net 299) (tstamp 51AE19FE))
+  (via (at 83.8 64.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 299))
+  (segment (start 106.25 59.325) (end 105.525 59.325) (width 0.1524) (layer BOT-L6) (net 300))
+  (segment (start 102.55 64) (end 102.275 64.275) (width 0.1524) (layer Sig1-L3) (net 300) (tstamp 51AE19D8))
+  (segment (start 103.85 64) (end 102.55 64) (width 0.1524) (layer Sig1-L3) (net 300) (tstamp 51AE19D6))
+  (segment (start 104.675 63.175) (end 103.85 64) (width 0.1524) (layer Sig1-L3) (net 300) (tstamp 51AE19D5))
+  (via (at 104.675 63.175) (size 0.4572) (layers TOP-L1 BOT-L6) (net 300))
+  (segment (start 104.675 60.175) (end 104.675 63.175) (width 0.1524) (layer BOT-L6) (net 300) (tstamp 51AE19D2))
+  (segment (start 105.525 59.325) (end 104.675 60.175) (width 0.1524) (layer BOT-L6) (net 300) (tstamp 51AE19D0))
+  (segment (start 97.925 66) (end 100.55 66) (width 0.1524) (layer Sig1-L3) (net 300))
+  (segment (start 100.55 66) (end 102.275 64.275) (width 0.1524) (layer Sig1-L3) (net 300) (tstamp 51AE19BE))
+  (segment (start 82.6 65.2) (end 83 65.6) (width 0.1524) (layer TOP-L1) (net 300))
+  (segment (start 83.4 66) (end 97.925 66) (width 0.1524) (layer Sig1-L3) (net 300) (tstamp 51AE1983))
+  (segment (start 83 65.6) (end 83.4 66) (width 0.1524) (layer Sig1-L3) (net 300) (tstamp 51AE1982))
+  (via (at 83 65.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 300))
+  (segment (start 106.25 59.325) (end 106.90042 59.325) (width 0.1524) (layer BOT-L6) (net 300) (tstamp 51AE19CE))
+  (segment (start 96.75 66) (end 99.875 66) (width 0.1524) (layer Sig-L5) (net 301))
+  (segment (start 105.4 57.125) (end 106.425 57.125) (width 0.1524) (layer BOT-L6) (net 301) (tstamp 51AE19EA))
+  (segment (start 103.925 58.6) (end 105.4 57.125) (width 0.1524) (layer BOT-L6) (net 301) (tstamp 51AE19E8))
+  (segment (start 103.925 62.6) (end 103.925 58.6) (width 0.1524) (layer BOT-L6) (net 301) (tstamp 51AE19E7))
+  (via (at 103.925 62.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 301))
+  (segment (start 103.075 63.45) (end 103.925 62.6) (width 0.1524) (layer Sig-L5) (net 301) (tstamp 51AE19E4))
+  (segment (start 102.425 63.45) (end 103.075 63.45) (width 0.1524) (layer Sig-L5) (net 301) (tstamp 51AE19E2))
+  (segment (start 99.875 66) (end 102.425 63.45) (width 0.1524) (layer Sig-L5) (net 301) (tstamp 51AE19E0))
+  (segment (start 83.4 65.2) (end 83.8 65.6) (width 0.1524) (layer TOP-L1) (net 301))
+  (segment (start 106.425 57.125) (end 106.87542 57.125) (width 0.1524) (layer BOT-L6) (net 301) (tstamp 51AE19EE))
+  (segment (start 84.2 66) (end 96.75 66) (width 0.1524) (layer Sig-L5) (net 301) (tstamp 51AE198F))
+  (segment (start 83.8 65.6) (end 84.2 66) (width 0.1524) (layer Sig-L5) (net 301) (tstamp 51AE198E))
+  (via (at 83.8 65.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 301))
+  (segment (start 103.325 62.45) (end 103.325 65.15) (width 0.1524) (layer BOT-L6) (net 302))
+  (segment (start 101.675 66.8) (end 100.825 66.8) (width 0.1524) (layer Sig1-L3) (net 302) (tstamp 51AE19A9))
+  (segment (start 102.85 65.625) (end 101.675 66.8) (width 0.1524) (layer Sig1-L3) (net 302) (tstamp 51AE19A8))
+  (via (at 102.85 65.625) (size 0.4572) (layers TOP-L1 BOT-L6) (net 302))
+  (segment (start 103.325 65.15) (end 102.85 65.625) (width 0.1524) (layer BOT-L6) (net 302) (tstamp 51AE19A6))
+  (segment (start 104.9 54.95) (end 106.87542 54.95) (width 0.1524) (layer BOT-L6) (net 302) (tstamp 51AE1961))
+  (segment (start 103.325 56.525) (end 104.9 54.95) (width 0.1524) (layer BOT-L6) (net 302) (tstamp 51AE195F))
+  (segment (start 103.325 62.45) (end 103.325 56.525) (width 0.1524) (layer BOT-L6) (net 302) (tstamp 51AE19A4))
+  (segment (start 83 66.4) (end 83.4 66.8) (width 0.1524) (layer Sig1-L3) (net 302))
+  (segment (start 83.4 66.8) (end 99.2 66.8) (width 0.1524) (layer Sig1-L3) (net 302) (tstamp 51AE1922))
+  (segment (start 99.2 66.8) (end 100.825 66.8) (width 0.1524) (layer Sig1-L3) (net 302) (tstamp 51AE1958))
+  (segment (start 82.6 66) (end 83 66.4) (width 0.1524) (layer TOP-L1) (net 302))
+  (via (at 83 66.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 302))
+  (segment (start 109.84122 53.82432) (end 111.39932 53.82432) (width 0.3) (layer BOT-L6) (net 303))
+  (segment (start 111.4 53.825) (end 112.27542 53.825) (width 0.3) (layer TOP-L1) (net 303) (tstamp 51AED276))
+  (via (at 111.4 53.825) (size 0.4572) (layers TOP-L1 BOT-L6) (net 303))
+  (segment (start 111.39932 53.82432) (end 111.4 53.825) (width 0.3) (layer BOT-L6) (net 303) (tstamp 51AED26C))
+  (segment (start 109.84122 53.82432) (end 107.80026 53.82432) (width 0.3) (layer BOT-L6) (net 303))
+  (segment (start 107.80026 53.82432) (end 107.77458 53.85) (width 0.3) (layer BOT-L6) (net 303) (tstamp 51ADB02B))
+  (segment (start 112.27542 54.9) (end 111.45 54.9) (width 0.3) (layer TOP-L1) (net 304))
+  (segment (start 111.42586 54.92414) (end 109.84122 54.92414) (width 0.3) (layer BOT-L6) (net 304) (tstamp 51AED27D))
+  (segment (start 111.45 54.9) (end 111.42586 54.92414) (width 0.3) (layer BOT-L6) (net 304) (tstamp 51AED27C))
+  (via (at 111.45 54.9) (size 0.4572) (layers TOP-L1 BOT-L6) (net 304))
+  (segment (start 107.77458 54.95) (end 109.81536 54.95) (width 0.3) (layer BOT-L6) (net 304))
+  (segment (start 109.81536 54.95) (end 109.84122 54.92414) (width 0.3) (layer BOT-L6) (net 304) (tstamp 51ADB143))
+  (segment (start 112.27542 57.1) (end 111.375 57.1) (width 0.3) (layer TOP-L1) (net 305))
+  (segment (start 111.35122 57.12378) (end 109.84122 57.12378) (width 0.3) (layer BOT-L6) (net 305) (tstamp 51AED288))
+  (segment (start 111.375 57.1) (end 111.35122 57.12378) (width 0.3) (layer BOT-L6) (net 305) (tstamp 51AED287))
+  (via (at 111.375 57.1) (size 0.4572) (layers TOP-L1 BOT-L6) (net 305))
+  (segment (start 107.77458 57.125) (end 109.84 57.125) (width 0.3) (layer BOT-L6) (net 305))
+  (segment (start 109.84 57.125) (end 109.84122 57.12378) (width 0.3) (layer BOT-L6) (net 305) (tstamp 51ADB140))
+  (segment (start 109.84122 59.32596) (end 111.37404 59.32596) (width 0.3) (layer BOT-L6) (net 306))
+  (segment (start 111.375 59.325) (end 112.27542 59.325) (width 0.3) (layer TOP-L1) (net 306) (tstamp 51AED28D))
+  (via (at 111.375 59.325) (size 0.4572) (layers TOP-L1 BOT-L6) (net 306))
+  (segment (start 111.37404 59.32596) (end 111.375 59.325) (width 0.3) (layer BOT-L6) (net 306) (tstamp 51AED28B))
+  (segment (start 107.79958 59.325) (end 109.84026 59.325) (width 0.3) (layer BOT-L6) (net 306))
+  (segment (start 109.84026 59.325) (end 109.84122 59.32596) (width 0.3) (layer BOT-L6) (net 306) (tstamp 51ADB13D))
+  (segment (start 67.425 55.85) (end 67.425 55.375) (width 0.1524) (layer BOT-L6) (net 307))
+  (segment (start 65.825 53.775) (end 65.825 53.65) (width 0.1524) (layer TOP-L1) (net 307) (tstamp 51AC4BDC))
+  (segment (start 66.975 54.925) (end 65.825 53.775) (width 0.1524) (layer TOP-L1) (net 307) (tstamp 51AC4BDB))
+  (via (at 66.975 54.925) (size 0.4572) (layers TOP-L1 BOT-L6) (net 307))
+  (segment (start 67.425 55.375) (end 66.975 54.925) (width 0.1524) (layer BOT-L6) (net 307) (tstamp 51AC4BD9))
+  (segment (start 69 61.2) (end 68.6 60.8) (width 0.1524) (layer TOP-L1) (net 307))
+  (segment (start 67.425 59.625) (end 67.425 55.85) (width 0.1524) (layer BOT-L6) (net 307) (tstamp 51AC4BC4))
+  (segment (start 68.6 60.8) (end 67.425 59.625) (width 0.1524) (layer BOT-L6) (net 307) (tstamp 51AC4BC3))
+  (via (at 68.6 60.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 307))
+  (segment (start 67.3 52.60042) (end 66.34958 52.60042) (width 0.3) (layer TOP-L1) (net 307))
+  (segment (start 66.34958 52.60042) (end 65.825 53.125) (width 0.3) (layer TOP-L1) (net 307) (tstamp 51A6F93A))
+  (segment (start 65.825 53.125) (end 65.825 53.65) (width 0.3) (layer TOP-L1) (net 307) (tstamp 51A6F93D))
+  (segment (start 65.825 53.65) (end 64.675 53.65) (width 0.1524) (layer TOP-L1) (net 307))
+  (segment (start 64.675 53.65) (end 62.97542 51.95042) (width 0.1524) (layer TOP-L1) (net 307) (tstamp 51A598D5))
+  (segment (start 62.97542 51.95042) (end 60.8 51.95042) (width 0.1524) (layer TOP-L1) (net 307) (tstamp 51A598D7))
+  (segment (start 51.69958 62.8) (end 52.4 62.8) (width 0.1524) (layer BOT-L6) (net 308))
+  (via (at 52.4 62.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 308))
+  (segment (start 52.4 62.8) (end 52 62.4) (width 0.1524) (layer TOP-L1) (net 308))
+  (segment (start 93.3 100.82542) (end 93.3 98.35) (width 0.2) (layer TOP-L1) (net 309))
+  (segment (start 94.12444 97.52556) (end 94.12444 96.99126) (width 0.2) (layer TOP-L1) (net 309) (tstamp 51A5D094))
+  (segment (start 93.3 98.35) (end 94.12444 97.52556) (width 0.2) (layer TOP-L1) (net 309) (tstamp 51A5D092))
+  (segment (start 44.84966 86.937) (end 46.359 86.937) (width 0.5) (layer TOP-L1) (net 310))
+  (segment (start 46.359 86.937) (end 47.022 87.6) (width 0.5) (layer TOP-L1) (net 310) (tstamp 51A47DE2))
+  (segment (start 67.42 88.5225) (end 70.6005 88.5225) (width 0.3) (layer TOP-L1) (net 311))
+  (segment (start 70.6005 88.5225) (end 70.625 88.547) (width 0.3) (layer TOP-L1) (net 311) (tstamp 51A72A4D))
+  (segment (start 74.2 73.725) (end 74.2 77.84958) (width 0.1524) (layer TOP-L1) (net 312))
+  (segment (start 74.075 73.6) (end 74.2 73.725) (width 0.1524) (layer TOP-L1) (net 312) (tstamp 51A20ED9))
+  (segment (start 73.4 73.6) (end 74.075 73.6) (width 0.1524) (layer TOP-L1) (net 312) (tstamp 51A20ED2))
+  (segment (start 73 73.2) (end 73.4 73.6) (width 0.1524) (layer TOP-L1) (net 312))
+  (segment (start 74.2 77.84958) (end 70.07458 81.975) (width 0.1524) (layer TOP-L1) (net 312) (tstamp 51A99B4A))
+  (segment (start 75.52458 70.35) (end 75.425 70.25042) (width 0.1524) (layer BOT-L6) (net 313))
+  (segment (start 75.425 69.225) (end 75.4 69.2) (width 0.1524) (layer TOP-L1) (net 313) (tstamp 51A5FD35))
+  (segment (start 75.425 69.7) (end 75.425 69.225) (width 0.1524) (layer TOP-L1) (net 313) (tstamp 51A5FD34))
+  (via (at 75.425 69.7) (size 0.4572) (layers TOP-L1 BOT-L6) (net 313))
+  (segment (start 75.425 70.25042) (end 75.425 69.7) (width 0.1524) (layer BOT-L6) (net 313) (tstamp 51A5FD32))
+  (segment (start 98.825 63.4) (end 98.825 61.73458) (width 0.3) (layer TOP-L1) (net 314))
+  (segment (start 98.825 61.73458) (end 98.82 61.72958) (width 0.3) (layer TOP-L1) (net 314) (tstamp 51AF165F))
+  (segment (start 98.825 63.4) (end 98.825 65.9) (width 0.8) (layer TOP-L1) (net 314))
+  (segment (start 85 66.8) (end 85.4 67.2) (width 0.1524) (layer TOP-L1) (net 314))
+  (segment (start 97.525 67.2) (end 98.825 65.9) (width 0.1524) (layer TOP-L1) (net 314) (tstamp 51AD96F0))
+  (segment (start 85.4 67.2) (end 97.525 67.2) (width 0.1524) (layer TOP-L1) (net 314) (tstamp 51AD96EE))
+  (segment (start 93.85042 61.59) (end 93.85042 62.07458) (width 0.3) (layer TOP-L1) (net 315))
+  (segment (start 93.85042 62.07458) (end 92.525 63.4) (width 0.3) (layer TOP-L1) (net 315) (tstamp 51AF1696))
+  (segment (start 92.525 63.4) (end 92.525 65.9) (width 0.8) (layer TOP-L1) (net 315))
+  (segment (start 90.35 65.89958) (end 92.52458 65.89958) (width 0.1524) (layer TOP-L1) (net 315))
+  (segment (start 92.52458 65.89958) (end 92.525 65.9) (width 0.1524) (layer TOP-L1) (net 315) (tstamp 51AD96EA))
+  (segment (start 85.8 66.8) (end 89.44958 66.8) (width 0.1524) (layer TOP-L1) (net 315))
+  (segment (start 89.44958 66.8) (end 90.35 65.89958) (width 0.1524) (layer TOP-L1) (net 315) (tstamp 51AD96E5))
+  (segment (start 80.30042 94.475) (end 80.245 94.475) (width 0.5) (layer TOP-L1) (net 316))
+  (segment (start 80.245 94.475) (end 74.03 100.69) (width 0.5) (layer TOP-L1) (net 316) (tstamp 51B00DD1))
+  (segment (start 68.2 61.2) (end 68.6 61.6) (width 0.1524) (layer TOP-L1) (net 317))
+  (segment (start 62.9 53.6) (end 62.425 53.6) (width 0.1524) (layer TOP-L1) (net 317) (tstamp 51AC4BD4))
+  (segment (start 63.6 54.3) (end 62.9 53.6) (width 0.1524) (layer TOP-L1) (net 317) (tstamp 51AC4BD3))
+  (via (at 63.6 54.3) (size 0.4572) (layers TOP-L1 BOT-L6) (net 317))
+  (segment (start 65.225 54.3) (end 63.6 54.3) (width 0.1524) (layer BOT-L6) (net 317) (tstamp 51AC4BD0))
+  (segment (start 67.1 56.175) (end 65.225 54.3) (width 0.1524) (layer BOT-L6) (net 317) (tstamp 51AC4BCE))
+  (segment (start 67.1 60.1) (end 67.1 56.175) (width 0.1524) (layer BOT-L6) (net 317) (tstamp 51AC4BCC))
+  (segment (start 68.6 61.6) (end 67.1 60.1) (width 0.1524) (layer BOT-L6) (net 317) (tstamp 51AC4BCB))
+  (via (at 68.6 61.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 317))
+  (segment (start 60.8 52.84958) (end 60.8 53.60042) (width 0.1524) (layer TOP-L1) (net 317))
+  (segment (start 60.8 53.60042) (end 60.825 53.62542) (width 0.1524) (layer TOP-L1) (net 317) (tstamp 51A598E0))
+  (segment (start 60.825 53.62542) (end 62.39958 53.62542) (width 0.1524) (layer TOP-L1) (net 317))
+  (segment (start 62.39958 53.62542) (end 62.425 53.6) (width 0.1524) (layer TOP-L1) (net 317) (tstamp 51A598DC))
+  (segment (start 115.5 68.975) (end 118.85 68.975) (width 0.1524) (layer TOP-L1) (net 318))
+  (segment (start 119.55 69.675) (end 120.825 69.675) (width 0.1524) (layer TOP-L1) (net 318) (tstamp 51ADC41A))
+  (segment (start 118.85 68.975) (end 119.55 69.675) (width 0.1524) (layer TOP-L1) (net 318) (tstamp 51ADC419))
+  (segment (start 120.82 70.075) (end 119.25 70.075) (width 0.1524) (layer TOP-L1) (net 319))
+  (segment (start 118.65 69.475) (end 115.5 69.475) (width 0.1524) (layer TOP-L1) (net 319) (tstamp 51ADC422))
+  (segment (start 119.25 70.075) (end 118.65 69.475) (width 0.1524) (layer TOP-L1) (net 319) (tstamp 51ADC41E))
+  (segment (start 115.5 70.475) (end 117 70.475) (width 0.1524) (layer TOP-L1) (net 320))
+  (segment (start 122.87 70.28) (end 122.175 70.28) (width 0.1524) (layer TOP-L1) (net 320) (tstamp 51ADC4B1))
+  (segment (start 123.225 69.925) (end 122.87 70.28) (width 0.1524) (layer TOP-L1) (net 320) (tstamp 51ADC4B0))
+  (via (at 123.225 69.925) (size 0.4572) (layers TOP-L1 BOT-L6) (net 320))
+  (segment (start 123.225 69.825) (end 123.225 69.925) (width 0.1524) (layer Sig1-L3) (net 320) (tstamp 51ADC4A9))
+  (segment (start 122.65 69.25) (end 123.225 69.825) (width 0.1524) (layer Sig1-L3) (net 320) (tstamp 51ADC4A8))
+  (segment (start 118.225 69.25) (end 122.65 69.25) (width 0.1524) (layer Sig1-L3) (net 320) (tstamp 51ADC4A6))
+  (segment (start 117.475 70) (end 118.225 69.25) (width 0.1524) (layer Sig1-L3) (net 320) (tstamp 51ADC4A5))
+  (via (at 117.475 70) (size 0.4572) (layers TOP-L1 BOT-L6) (net 320))
+  (segment (start 117 70.475) (end 117.475 70) (width 0.1524) (layer TOP-L1) (net 320) (tstamp 51ADC49C))
+  (segment (start 115.5 70.975) (end 117.425 70.975) (width 0.1524) (layer TOP-L1) (net 321))
+  (segment (start 123.045 70.68) (end 122.175 70.68) (width 0.1524) (layer TOP-L1) (net 321) (tstamp 51ADC4C4))
+  (segment (start 123.15 70.575) (end 123.045 70.68) (width 0.1524) (layer TOP-L1) (net 321) (tstamp 51ADC4C3))
+  (via (at 123.15 70.575) (size 0.4572) (layers TOP-L1 BOT-L6) (net 321))
+  (segment (start 122.375 69.8) (end 123.15 70.575) (width 0.1524) (layer Sig1-L3) (net 321) (tstamp 51ADC4BB))
+  (segment (start 118.6 69.8) (end 122.375 69.8) (width 0.1524) (layer Sig1-L3) (net 321) (tstamp 51ADC4B9))
+  (segment (start 117.825 70.575) (end 118.6 69.8) (width 0.1524) (layer Sig1-L3) (net 321) (tstamp 51ADC4B8))
+  (via (at 117.825 70.575) (size 0.4572) (layers TOP-L1 BOT-L6) (net 321))
+  (segment (start 117.425 70.975) (end 117.825 70.575) (width 0.1524) (layer TOP-L1) (net 321) (tstamp 51ADC4B5))
+  (segment (start 117.925 72.025) (end 118.3 72.025) (width 0.1524) (layer TOP-L1) (net 322))
+  (segment (start 119.45 70.875) (end 120.825 70.875) (width 0.1524) (layer TOP-L1) (net 322) (tstamp 51ADC410))
+  (segment (start 118.3 72.025) (end 119.45 70.875) (width 0.1524) (layer TOP-L1) (net 322) (tstamp 51ADC40F))
+  (segment (start 117.925 72.525) (end 118.325 72.525) (width 0.1524) (layer TOP-L1) (net 323))
+  (segment (start 119.575 71.275) (end 120.825 71.275) (width 0.1524) (layer TOP-L1) (net 323) (tstamp 51ADC415))
+  (segment (start 118.325 72.525) (end 119.575 71.275) (width 0.1524) (layer TOP-L1) (net 323) (tstamp 51ADC414))
+  (segment (start 122.175 71.48) (end 122.98 71.48) (width 0.1524) (layer TOP-L1) (net 324))
+  (segment (start 118.825 73.525) (end 117.925 73.525) (width 0.1524) (layer TOP-L1) (net 324) (tstamp 51ADE09B))
+  (via (at 118.825 73.525) (size 0.4572) (layers TOP-L1 BOT-L6) (net 324))
+  (segment (start 120.1 72.25) (end 118.825 73.525) (width 0.1524) (layer BOT-L6) (net 324) (tstamp 51ADE097))
+  (segment (start 122.45 72.25) (end 120.1 72.25) (width 0.1524) (layer BOT-L6) (net 324) (tstamp 51ADE095))
+  (segment (start 123.1 71.6) (end 122.45 72.25) (width 0.1524) (layer BOT-L6) (net 324) (tstamp 51ADE094))
+  (via (at 123.1 71.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 324))
+  (segment (start 122.98 71.48) (end 123.1 71.6) (width 0.1524) (layer TOP-L1) (net 324) (tstamp 51ADE092))
+  (segment (start 117.925 74.025) (end 117.925 74.92542) (width 0.1524) (layer TOP-L1) (net 325))
+  (segment (start 117.925 74.92542) (end 118 75.00042) (width 0.1524) (layer TOP-L1) (net 325) (tstamp 51AF16DA))
+  (segment (start 117.925 74.025) (end 119.175 74.025) (width 0.1524) (layer TOP-L1) (net 325))
+  (segment (start 120.825 72.375) (end 120.825 72.075) (width 0.1524) (layer TOP-L1) (net 325) (tstamp 51ADDFF3))
+  (segment (start 119.175 74.025) (end 120.825 72.375) (width 0.1524) (layer TOP-L1) (net 325) (tstamp 51ADDFEF))
+  (segment (start 122.8 69) (end 123.125 68.675) (width 0.1524) (layer TOP-L1) (net 326))
+  (segment (start 122.85 68.2) (end 122.7 68.2) (width 0.1524) (layer Sig1-L3) (net 326) (tstamp 51ADC486))
+  (segment (start 123.125 68.475) (end 122.85 68.2) (width 0.1524) (layer Sig1-L3) (net 326) (tstamp 51ADC485))
+  (via (at 123.125 68.475) (size 0.4572) (layers TOP-L1 BOT-L6) (net 326))
+  (segment (start 123.125 68.675) (end 123.125 68.475) (width 0.1524) (layer TOP-L1) (net 326) (tstamp 51ADC47E))
+  (segment (start 122.75 68.2) (end 122.7 68.2) (width 0.1524) (layer Sig1-L3) (net 326))
+  (segment (start 118.925 67.425) (end 117.925 67.425) (width 0.1524) (layer TOP-L1) (net 326) (tstamp 51ADC43F))
+  (via (at 118.925 67.425) (size 0.4572) (layers TOP-L1 BOT-L6) (net 326))
+  (segment (start 120.45 67.425) (end 118.925 67.425) (width 0.1524) (layer Sig1-L3) (net 326) (tstamp 51ADC43C))
+  (segment (start 121.225 68.2) (end 120.45 67.425) (width 0.1524) (layer Sig1-L3) (net 326) (tstamp 51ADC43A))
+  (segment (start 122.75 68.2) (end 121.225 68.2) (width 0.1524) (layer Sig1-L3) (net 326) (tstamp 51ADC45E))
+  (segment (start 122.72 69.08) (end 122.175 69.08) (width 0.1524) (layer TOP-L1) (net 326) (tstamp 51ADC467))
+  (segment (start 122.8 69) (end 122.72 69.08) (width 0.1524) (layer TOP-L1) (net 326) (tstamp 51ADC47C))
+  (segment (start 117.925 65.925) (end 119.075 65.925) (width 0.1524) (layer TOP-L1) (net 327))
+  (segment (start 120.2 68.475) (end 120.825 68.475) (width 0.1524) (layer TOP-L1) (net 327) (tstamp 51ADC42A))
+  (segment (start 119.75 68.025) (end 120.2 68.475) (width 0.1524) (layer TOP-L1) (net 327) (tstamp 51ADC429))
+  (segment (start 119.75 66.6) (end 119.75 68.025) (width 0.1524) (layer TOP-L1) (net 327) (tstamp 51ADC427))
+  (segment (start 119.075 65.925) (end 119.75 66.6) (width 0.1524) (layer TOP-L1) (net 327) (tstamp 51ADC425))
+  (segment (start 117.925 66.425) (end 119.05 66.425) (width 0.1524) (layer TOP-L1) (net 328))
+  (segment (start 120.05 68.875) (end 120.825 68.875) (width 0.1524) (layer TOP-L1) (net 328) (tstamp 51ADC431))
+  (segment (start 119.425 68.25) (end 120.05 68.875) (width 0.1524) (layer TOP-L1) (net 328) (tstamp 51ADC430))
+  (segment (start 119.425 66.8) (end 119.425 68.25) (width 0.1524) (layer TOP-L1) (net 328) (tstamp 51ADC42F))
+  (segment (start 119.05 66.425) (end 119.425 66.8) (width 0.1524) (layer TOP-L1) (net 328) (tstamp 51ADC42E))
+  (segment (start 122.325 68.6) (end 122.625 68.6) (width 0.1524) (layer Sig1-L3) (net 329))
+  (segment (start 122.9 69.475) (end 122.9 69.48) (width 0.1524) (layer TOP-L1) (net 329) (tstamp 51ADC496))
+  (segment (start 123.125 69.475) (end 122.9 69.475) (width 0.1524) (layer TOP-L1) (net 329) (tstamp 51ADC494))
+  (segment (start 123.2 69.4) (end 123.125 69.475) (width 0.1524) (layer TOP-L1) (net 329) (tstamp 51ADC493))
+  (segment (start 123.2 69.175) (end 123.2 69.4) (width 0.1524) (layer TOP-L1) (net 329) (tstamp 51ADC492))
+  (via (at 123.2 69.175) (size 0.4572) (layers TOP-L1 BOT-L6) (net 329))
+  (segment (start 122.625 68.6) (end 123.2 69.175) (width 0.1524) (layer Sig1-L3) (net 329) (tstamp 51ADC48E))
+  (segment (start 117.925 67.925) (end 118.75 67.925) (width 0.1524) (layer TOP-L1) (net 329))
+  (segment (start 122.9 69.48) (end 122.175 69.48) (width 0.1524) (layer TOP-L1) (net 329) (tstamp 51ADC497))
+  (segment (start 121.025 68.6) (end 122.325 68.6) (width 0.1524) (layer Sig1-L3) (net 329) (tstamp 51ADC447))
+  (segment (start 120.325 67.9) (end 121.025 68.6) (width 0.1524) (layer Sig1-L3) (net 329) (tstamp 51ADC446))
+  (segment (start 119.125 67.9) (end 120.325 67.9) (width 0.1524) (layer Sig1-L3) (net 329) (tstamp 51ADC445))
+  (segment (start 118.925 68.1) (end 119.125 67.9) (width 0.1524) (layer Sig1-L3) (net 329) (tstamp 51ADC444))
+  (via (at 118.925 68.1) (size 0.4572) (layers TOP-L1 BOT-L6) (net 329))
+  (segment (start 118.75 67.925) (end 118.925 68.1) (width 0.1524) (layer TOP-L1) (net 329) (tstamp 51ADC442))
+  (segment (start 98.903 84.325) (end 98.903 85.372) (width 0.3) (layer TOP-L1) (net 330))
+  (segment (start 95.12266 87.10234) (end 95.12266 90.19168) (width 0.3) (layer TOP-L1) (net 330) (tstamp 51A830C3))
+  (segment (start 95.7 86.525) (end 95.12266 87.10234) (width 0.3) (layer TOP-L1) (net 330) (tstamp 51A830C2))
+  (segment (start 97.75 86.525) (end 95.7 86.525) (width 0.3) (layer TOP-L1) (net 330) (tstamp 51A830C0))
+  (segment (start 98.903 85.372) (end 97.75 86.525) (width 0.3) (layer TOP-L1) (net 330) (tstamp 51A830BA))
+  (segment (start 92.47344 91.84268) (end 86.49968 91.84268) (width 0.2) (layer TOP-L1) (net 331))
+  (segment (start 86.49968 91.84268) (end 85.62 90.963) (width 0.2) (layer TOP-L1) (net 331) (tstamp 51A5D2E4))
+
+  (zone (net 266) (net_name DRAM_VCC) (layer BOT-L6) (tstamp 51A33CD7) (hatch edge 0.508)
+    (connect_pads (clearance 0.2032))
+    (min_thickness 0.15)
+    (fill (arc_segments 16) (thermal_gap 0.508) (thermal_bridge_width 0.508))
+    (polygon
+      (pts
+        (xy 39.125 54.75) (xy 72.3 54.7) (xy 72.2 86.25) (xy 38.225 85.575) (xy 38 55.625)
+        (xy 39.25 54.825)
+      )
+    )
+    (filled_polygon
+      (pts
+        (xy 58.50442 77.24986) (xy 58.425115 77.24986) (xy 58.425115 77.279) (xy 58.225725 77.279) (xy 58.225725 77.24986)
+        (xy 58.14642 77.24986) (xy 58.14642 76.95014) (xy 58.225725 76.95014) (xy 58.225725 76.921) (xy 58.425115 76.921)
+        (xy 58.425115 76.95014) (xy 58.50442 76.95014) (xy 58.50442 77.24986)
+      )
+    )
+    (filled_polygon
+      (pts
+        (xy 72.204199 61.262517) (xy 72.203312 61.54228) (xy 72.104975 61.54228) (xy 72.035573 61.54218) (xy 71.821219 61.630749)
+        (xy 71.657076 61.794606) (xy 71.568132 62.008806) (xy 71.56793 62.240737) (xy 71.56803 62.12939) (xy 71.71378 62.27514)
+        (xy 72.200989 62.27514) (xy 72.20057 62.40728) (xy 72.114975 62.40728) (xy 72.045573 62.40718) (xy 71.831219 62.495749)
+        (xy 71.751969 62.57486) (xy 71.71378 62.57486) (xy 71.56803 62.72061) (xy 71.56793 62.609263) (xy 71.568132 62.841194)
+        (xy 71.579902 62.869541) (xy 71.578132 62.873806) (xy 71.57793 63.105737) (xy 71.57803 62.99439) (xy 71.72378 63.14014)
+        (xy 71.741969 63.14014) (xy 71.821219 63.219251) (xy 72.035573 63.30782) (xy 72.104975 63.30772) (xy 72.197716 63.30772)
+        (xy 72.197298 63.43986) (xy 71.72378 63.43986) (xy 71.57803 63.58561) (xy 71.57793 63.474263) (xy 71.578132 63.706194)
+        (xy 71.667076 63.920394) (xy 71.831219 64.084251) (xy 72.045573 64.17282) (xy 72.114975 64.17272) (xy 72.193114 64.17272)
+        (xy 72.193112 64.175367) (xy 72.194952 64.179822) (xy 72.180983 68.587087) (xy 72.162688 68.631149) (xy 72.162512 68.832767)
+        (xy 72.18007 68.875261) (xy 72.172884 71.142275) (xy 72.170025 71.14228) (xy 72.024275 71.28803) (xy 72.024275 71.87514)
+        (xy 72.10358 71.87514) (xy 72.10358 72.17486) (xy 72.024275 72.17486) (xy 72.024275 72.76197) (xy 72.093172 72.830867)
+        (xy 72.093112 72.900367) (xy 72.147782 73.032678) (xy 72.147782 73.179815) (xy 72.166284 73.224595) (xy 72.166205 73.249495)
+        (xy 72.087454 73.170606) (xy 71.901251 73.093288) (xy 71.699633 73.093112) (xy 71.513296 73.170105) (xy 71.387427 73.295754)
+        (xy 71.304224 73.212405) (xy 71.429394 73.087454) (xy 71.506712 72.901251) (xy 71.506743 72.865265) (xy 71.609733 72.90782)
+        (xy 71.679135 72.90772) (xy 71.824885 72.76197) (xy 71.824885 72.17486) (xy 71.74558 72.17486) (xy 71.74558 71.87514)
+        (xy 71.824885 71.87514) (xy 71.824885 71.690145) (xy 71.90782 71.489427) (xy 71.90772 71.420025) (xy 71.824885 71.33719)
+        (xy 71.824885 71.28803) (xy 71.679135 71.14228) (xy 71.609733 71.14218) (xy 71.395379 71.230749) (xy 71.351777 71.274275)
+        (xy 71.17486 71.274275) (xy 71.17486 71.35358) (xy 70.87514 71.35358) (xy 70.87514 71.274275) (xy 70.846 71.274275)
+        (xy 70.846 71.074885) (xy 70.87514 71.074885) (xy 70.87514 70.99558) (xy 71.17486 70.99558) (xy 71.17486 71.074885)
+        (xy 71.76197 71.074885) (xy 71.90772 70.929135) (xy 71.90782 70.859733) (xy 71.88282 70.799228) (xy 71.88282 68.489427)
+        (xy 71.88272 68.420025) (xy 71.73697 68.274275) (xy 71.14986 68.274275) (xy 71.14986 68.81122) (xy 71.29561 68.95697)
+        (xy 71.184263 68.95707) (xy 71.416194 68.956868) (xy 71.630394 68.867924) (xy 71.794251 68.703781) (xy 71.88282 68.489427)
+        (xy 71.88282 70.799228) (xy 71.819251 70.645379) (xy 71.655394 70.481236) (xy 71.602933 70.459452) (xy 71.602968 70.419715)
+        (xy 71.602968 70.020935) (xy 71.560704 69.918648) (xy 71.482513 69.840321) (xy 71.453898 69.828439) (xy 71.506712 69.701251)
+        (xy 71.506888 69.499633) (xy 71.429895 69.313296) (xy 71.287454 69.170606) (xy 71.101251 69.093288) (xy 70.899633 69.093112)
+        (xy 70.713296 69.170105) (xy 70.599927 69.283275) (xy 70.516724 69.199927) (xy 70.629394 69.087454) (xy 70.683581 68.956954)
+        (xy 70.815737 68.95707) (xy 70.70439 68.95697) (xy 70.85014 68.81122) (xy 70.85014 68.274275) (xy 70.821 68.274275)
+        (xy 70.821 68.074885) (xy 70.85014 68.074885) (xy 70.85014 67.99558) (xy 71.14986 67.99558) (xy 71.14986 68.074885)
+        (xy 71.73697 68.074885) (xy 71.88272 67.929135) (xy 71.88282 67.859733) (xy 71.794251 67.645379) (xy 71.630394 67.481236)
+        (xy 71.577933 67.459452) (xy 71.577968 67.419715) (xy 71.577968 67.020935) (xy 71.535704 66.918648) (xy 71.457513 66.840321)
+        (xy 71.3782 66.807387) (xy 71.3782 66.738558) (xy 71.429394 66.687454) (xy 71.506712 66.501251) (xy 71.506888 66.299633)
+        (xy 71.429895 66.113296) (xy 71.287454 65.970606) (xy 71.101251 65.893288) (xy 70.899633 65.893112) (xy 70.713296 65.970105)
+        (xy 70.599927 66.083275) (xy 70.516724 65.999927) (xy 70.629394 65.887454) (xy 70.706712 65.701251) (xy 70.706888 65.499633)
+        (xy 70.629895 65.313296) (xy 70.516724 65.199927) (xy 70.629394 65.087454) (xy 70.706712 64.901251) (xy 70.706888 64.699633)
+        (xy 70.629895 64.513296) (xy 70.487454 64.370606) (xy 70.301251 64.293288) (xy 70.099633 64.293112) (xy 69.913296 64.370105)
+        (xy 69.799927 64.483275) (xy 69.687454 64.370606) (xy 69.501251 64.293288) (xy 69.299633 64.293112) (xy 69.113296 64.370105)
+        (xy 68.970606 64.512546) (xy 68.893288 64.698749) (xy 68.893112 64.900367) (xy 68.970105 65.086704) (xy 69.083275 65.200072)
+        (xy 68.970606 65.312546) (xy 68.893288 65.498749) (xy 68.893112 65.700367) (xy 68.970105 65.886704) (xy 69.083275 66.000072)
+        (xy 68.970606 66.112546) (xy 68.893288 66.298749) (xy 68.893112 66.500367) (xy 68.970105 66.686704) (xy 69.083275 66.800072)
+        (xy 68.970606 66.912546) (xy 68.893288 67.098749) (xy 68.893112 67.300367) (xy 68.970105 67.486704) (xy 69.083275 67.600072)
+        (xy 68.970606 67.712546) (xy 68.893288 67.898749) (xy 68.893112 68.100367) (xy 68.970105 68.286704) (xy 69.083275 68.400072)
+        (xy 68.970606 68.512546) (xy 68.893288 68.698749) (xy 68.893112 68.900367) (xy 68.970105 69.086704) (xy 69.083275 69.200072)
+        (xy 68.970606 69.312546) (xy 68.893288 69.498749) (xy 68.893112 69.700367) (xy 68.970105 69.886704) (xy 69.083275 70.000072)
+        (xy 68.970606 70.112546) (xy 68.893288 70.298749) (xy 68.893112 70.500367) (xy 68.970105 70.686704) (xy 69.083275 70.800072)
+        (xy 68.970606 70.912546) (xy 68.893288 71.098749) (xy 68.893112 71.300367) (xy 68.970105 71.486704) (xy 69.083275 71.600072)
+        (xy 68.970606 71.712546) (xy 68.893288 71.898749) (xy 68.893112 72.100367) (xy 68.970105 72.286704) (xy 69.083275 72.400072)
+        (xy 68.970606 72.512546) (xy 68.893288 72.698749) (xy 68.893112 72.900367) (xy 68.970105 73.086704) (xy 69.083275 73.200072)
+        (xy 68.970606 73.312546) (xy 68.893288 73.498749) (xy 68.893112 73.700367) (xy 68.970105 73.886704) (xy 69.112546 74.029394)
+        (xy 69.298749 74.106712) (xy 69.500367 74.106888) (xy 69.686704 74.029895) (xy 69.829394 73.887454) (xy 69.906712 73.701251)
+        (xy 69.906888 73.499633) (xy 69.829895 73.313296) (xy 69.716724 73.199927) (xy 69.800072 73.116724) (xy 69.912546 73.229394)
+        (xy 70.098749 73.306712) (xy 70.300367 73.306888) (xy 70.486704 73.229895) (xy 70.600072 73.116724) (xy 70.695775 73.212594)
+        (xy 70.570606 73.337546) (xy 70.493288 73.523749) (xy 70.493112 73.725367) (xy 70.570105 73.911704) (xy 70.712546 74.054394)
+        (xy 70.898749 74.131712) (xy 71.100367 74.131888) (xy 71.286704 74.054895) (xy 71.412572 73.929245) (xy 71.512546 74.029394)
+        (xy 71.698749 74.106712) (xy 71.900367 74.106888) (xy 72.086704 74.029895) (xy 72.147782 73.968923) (xy 72.147782 74.054815)
+        (xy 72.163532 74.092934) (xy 72.130911 84.384752) (xy 71.106888 84.384752) (xy 71.106888 75.274633) (xy 71.029895 75.088296)
+        (xy 70.887454 74.945606) (xy 70.701251 74.868288) (xy 70.499633 74.868112) (xy 70.313296 74.945105) (xy 70.234301 75.023961)
+        (xy 70.229895 75.013296) (xy 70.087454 74.870606) (xy 69.901251 74.793288) (xy 69.699633 74.793112) (xy 69.513296 74.870105)
+        (xy 69.370606 75.012546) (xy 69.293288 75.198749) (xy 69.293112 75.400367) (xy 69.370105 75.586704) (xy 69.512546 75.729394)
+        (xy 69.698749 75.806712) (xy 69.900367 75.806888) (xy 70.086704 75.729895) (xy 70.165698 75.651038) (xy 70.170105 75.661704)
+        (xy 70.312546 75.804394) (xy 70.498749 75.881712) (xy 70.700367 75.881888) (xy 70.886704 75.804895) (xy 71.029394 75.662454)
+        (xy 71.106712 75.476251) (xy 71.106888 75.274633) (xy 71.106888 84.384752) (xy 70.952905 84.384752) (xy 70.850618 84.427016)
+        (xy 70.772291 84.505207) (xy 70.729848 84.60742) (xy 70.729752 84.718095) (xy 70.729752 86.145775) (xy 70.481888 86.14085)
+        (xy 70.481888 80.724633) (xy 70.404895 80.538296) (xy 70.262454 80.395606) (xy 70.076251 80.318288) (xy 69.874633 80.318112)
+        (xy 69.688296 80.395105) (xy 69.656888 80.426458) (xy 69.656888 78.999633) (xy 69.579895 78.813296) (xy 69.437454 78.670606)
+        (xy 69.251251 78.593288) (xy 69.23312 78.593272) (xy 69.281712 78.476251) (xy 69.281888 78.274633) (xy 69.204895 78.088296)
+        (xy 69.062454 77.945606) (xy 69.011669 77.924518) (xy 69.031712 77.876251) (xy 69.031888 77.674633) (xy 68.954895 77.488296)
+        (xy 68.812454 77.345606) (xy 68.626251 77.268288) (xy 68.424633 77.268112) (xy 68.238296 77.345105) (xy 68.095606 77.487546)
+        (xy 68.018288 77.673749) (xy 68.018112 77.875367) (xy 68.095105 78.061704) (xy 68.237546 78.204394) (xy 68.28833 78.225481)
+        (xy 68.268288 78.273749) (xy 68.268112 78.475367) (xy 68.345105 78.661704) (xy 68.487546 78.804394) (xy 68.673749 78.881712)
+        (xy 68.691879 78.881727) (xy 68.643288 78.998749) (xy 68.643227 79.06828) (xy 68.449633 79.068112) (xy 68.263296 79.145105)
+        (xy 68.120606 79.287546) (xy 68.043288 79.473749) (xy 68.043112 79.675367) (xy 68.120105 79.861704) (xy 68.262546 80.004394)
+        (xy 68.448749 80.081712) (xy 68.468259 80.081729) (xy 68.468112 80.250367) (xy 68.545105 80.436704) (xy 68.687546 80.579394)
+        (xy 68.873749 80.656712) (xy 69.075367 80.656888) (xy 69.261704 80.579895) (xy 69.404394 80.437454) (xy 69.481712 80.251251)
+        (xy 69.481888 80.049633) (xy 69.404895 79.863296) (xy 69.262454 79.720606) (xy 69.076251 79.643288) (xy 69.05674 79.64327)
+        (xy 69.056772 79.606719) (xy 69.250367 79.606888) (xy 69.436704 79.529895) (xy 69.579394 79.387454) (xy 69.656712 79.201251)
+        (xy 69.656888 78.999633) (xy 69.656888 80.426458) (xy 69.545606 80.537546) (xy 69.468288 80.723749) (xy 69.468112 80.925367)
+        (xy 69.545105 81.111704) (xy 69.687546 81.254394) (xy 69.873749 81.331712) (xy 70.075367 81.331888) (xy 70.261704 81.254895)
+        (xy 70.404394 81.112454) (xy 70.481712 80.926251) (xy 70.481888 80.724633) (xy 70.481888 86.14085) (xy 69.994939 86.131176)
+        (xy 70.111324 86.014995) (xy 70.270018 85.632816) (xy 70.270379 85.218999) (xy 70.112352 84.836544) (xy 69.819995 84.543676)
+        (xy 69.437816 84.384982) (xy 69.023999 84.384621) (xy 68.981888 84.40202) (xy 68.981888 82.324633) (xy 68.904895 82.138296)
+        (xy 68.762454 81.995606) (xy 68.576251 81.918288) (xy 68.374633 81.918112) (xy 68.188296 81.995105) (xy 68.045606 82.137546)
+        (xy 67.968288 82.323749) (xy 67.968112 82.525367) (xy 68.045105 82.711704) (xy 68.187546 82.854394) (xy 68.373749 82.931712)
+        (xy 68.575367 82.931888) (xy 68.761704 82.854895) (xy 68.904394 82.712454) (xy 68.981712 82.526251) (xy 68.981888 82.324633)
+        (xy 68.981888 84.40202) (xy 68.641544 84.542648) (xy 68.348676 84.835005) (xy 68.189982 85.217184) (xy 68.189621 85.631001)
+        (xy 68.347648 86.013456) (xy 68.434208 86.100168) (xy 66.431888 86.060386) (xy 66.431888 82.699633) (xy 66.354895 82.513296)
+        (xy 66.212454 82.370606) (xy 66.026251 82.293288) (xy 65.824633 82.293112) (xy 65.666423 82.358482) (xy 65.731712 82.201251)
+        (xy 65.731888 81.999633) (xy 65.662518 81.831745) (xy 65.825367 81.831888) (xy 66.011704 81.754895) (xy 66.154394 81.612454)
+        (xy 66.231712 81.426251) (xy 66.231888 81.224633) (xy 66.154895 81.038296) (xy 66.012454 80.895606) (xy 65.826251 80.818288)
+        (xy 65.624633 80.818112) (xy 65.438296 80.895105) (xy 65.295606 81.037546) (xy 65.218288 81.223749) (xy 65.218112 81.425367)
+        (xy 65.287481 81.593254) (xy 65.124633 81.593112) (xy 64.938296 81.670105) (xy 64.795606 81.812546) (xy 64.718288 81.998749)
+        (xy 64.718112 82.200367) (xy 64.795105 82.386704) (xy 64.937546 82.529394) (xy 65.123749 82.606712) (xy 65.325367 82.606888)
+        (xy 65.483576 82.541517) (xy 65.418288 82.698749) (xy 65.418112 82.900367) (xy 65.495105 83.086704) (xy 65.637546 83.229394)
+        (xy 65.823749 83.306712) (xy 66.025367 83.306888) (xy 66.211704 83.229895) (xy 66.354394 83.087454) (xy 66.431712 82.901251)
+        (xy 66.431888 82.699633) (xy 66.431888 86.060386) (xy 64.356888 86.019161) (xy 64.356888 63.324633) (xy 64.279895 63.138296)
+        (xy 64.137454 62.995606) (xy 63.951251 62.918288) (xy 63.749633 62.918112) (xy 63.563296 62.995105) (xy 63.420606 63.137546)
+        (xy 63.343288 63.323749) (xy 63.343112 63.525367) (xy 63.420105 63.711704) (xy 63.476416 63.768113) (xy 63.474633 63.768112)
+        (xy 63.288296 63.845105) (xy 63.145606 63.987546) (xy 63.131888 64.020582) (xy 63.131888 63.749633) (xy 63.054895 63.563296)
+        (xy 62.912454 63.420606) (xy 62.726251 63.343288) (xy 62.524633 63.343112) (xy 62.338296 63.420105) (xy 62.195606 63.562546)
+        (xy 62.118288 63.748749) (xy 62.118112 63.950367) (xy 62.195105 64.136704) (xy 62.226503 64.168157) (xy 62.174633 64.168112)
+        (xy 62.00782 64.237037) (xy 62.00782 62.665267) (xy 62.00782 62.035573) (xy 61.919251 61.821219) (xy 61.755394 61.657076)
+        (xy 61.541194 61.568132) (xy 61.309263 61.56793) (xy 61.42061 61.56803) (xy 61.27486 61.71378) (xy 61.27486 62.250725)
+        (xy 61.86197 62.250725) (xy 62.00772 62.104975) (xy 62.00782 62.035573) (xy 62.00782 62.665267) (xy 62.00772 62.595865)
+        (xy 61.86197 62.450115) (xy 61.27486 62.450115) (xy 61.27486 62.52942) (xy 61.18282 62.52942) (xy 61.18282 57.589427)
+        (xy 61.18282 56.959733) (xy 61.094251 56.745379) (xy 60.930394 56.581236) (xy 60.877933 56.559452) (xy 60.877968 56.519715)
+        (xy 60.877968 56.120935) (xy 60.835704 56.018648) (xy 60.757513 55.940321) (xy 60.6553 55.897878) (xy 60.544625 55.897782)
+        (xy 59.945185 55.897782) (xy 59.842898 55.940046) (xy 59.836132 55.9468) (xy 59.538558 55.9468) (xy 59.487454 55.895606)
+        (xy 59.301251 55.818288) (xy 59.099633 55.818112) (xy 58.913296 55.895105) (xy 58.770606 56.037546) (xy 58.693288 56.223749)
+        (xy 58.693112 56.425367) (xy 58.770105 56.611704) (xy 58.855284 56.697032) (xy 58.565533 56.697032) (xy 58.543764 56.644606)
+        (xy 58.379621 56.480749) (xy 58.165267 56.39218) (xy 58.095865 56.39228) (xy 57.950115 56.53803) (xy 57.950115 57.12514)
+        (xy 58.02942 57.12514) (xy 58.02942 57.42486) (xy 57.950115 57.42486) (xy 57.950115 58.01197) (xy 58.095865 58.15772)
+        (xy 58.165267 58.15782) (xy 58.294745 58.10432) (xy 58.370105 58.286704) (xy 58.512546 58.429394) (xy 58.698749 58.506712)
+        (xy 58.900367 58.506888) (xy 59.086704 58.429895) (xy 59.229394 58.287454) (xy 59.306712 58.101251) (xy 59.306888 57.899633)
+        (xy 59.229895 57.713296) (xy 59.203597 57.686952) (xy 59.227122 57.6303) (xy 59.227218 57.519625) (xy 59.227218 56.920185)
+        (xy 59.190694 56.831792) (xy 59.300367 56.831888) (xy 59.486704 56.754895) (xy 59.538489 56.7032) (xy 59.547854 56.7032)
+        (xy 59.505749 56.745379) (xy 59.41718 56.959733) (xy 59.41728 57.029135) (xy 59.56303 57.174885) (xy 60.15014 57.174885)
+        (xy 60.15014 57.09558) (xy 60.44986 57.09558) (xy 60.44986 57.174885) (xy 61.03697 57.174885) (xy 61.18272 57.029135)
+        (xy 61.18282 56.959733) (xy 61.18282 57.589427) (xy 61.18272 57.520025) (xy 61.03697 57.374275) (xy 60.44986 57.374275)
+        (xy 60.44986 57.91122) (xy 60.59561 58.05697) (xy 60.484263 58.05707) (xy 60.716194 58.056868) (xy 60.930394 57.967924)
+        (xy 61.094251 57.803781) (xy 61.18282 57.589427) (xy 61.18282 62.52942) (xy 60.97514 62.52942) (xy 60.97514 62.450115)
+        (xy 60.97514 62.250725) (xy 60.97514 61.71378) (xy 60.82939 61.56803) (xy 60.940737 61.56793) (xy 60.708806 61.568132)
+        (xy 60.494606 61.657076) (xy 60.330749 61.821219) (xy 60.24218 62.035573) (xy 60.24228 62.104975) (xy 60.38803 62.250725)
+        (xy 60.97514 62.250725) (xy 60.97514 62.450115) (xy 60.38803 62.450115) (xy 60.24228 62.595865) (xy 60.24218 62.665267)
+        (xy 60.267677 62.726975) (xy 60.163296 62.770105) (xy 60.15014 62.783238) (xy 60.15014 57.91122) (xy 60.15014 57.374275)
+        (xy 59.56303 57.374275) (xy 59.41728 57.520025) (xy 59.41718 57.589427) (xy 59.505749 57.803781) (xy 59.669606 57.967924)
+        (xy 59.883806 58.056868) (xy 60.115737 58.05707) (xy 60.00439 58.05697) (xy 60.15014 57.91122) (xy 60.15014 62.783238)
+        (xy 60.020606 62.912546) (xy 59.943288 63.098749) (xy 59.943112 63.300367) (xy 60.020105 63.486704) (xy 60.162546 63.629394)
+        (xy 60.348749 63.706712) (xy 60.550367 63.706888) (xy 60.648981 63.666141) (xy 60.667487 63.684679) (xy 60.7697 63.727122)
+        (xy 60.880375 63.727218) (xy 61.479815 63.727218) (xy 61.582102 63.684954) (xy 61.660429 63.606763) (xy 61.702872 63.50455)
+        (xy 61.702968 63.393875) (xy 61.702968 63.065533) (xy 61.755394 63.043764) (xy 61.919251 62.879621) (xy 62.00782 62.665267)
+        (xy 62.00782 64.237037) (xy 61.988296 64.245105) (xy 61.845606 64.387546) (xy 61.768288 64.573749) (xy 61.768112 64.775367)
+        (xy 61.845105 64.961704) (xy 61.987546 65.104394) (xy 62.173749 65.181712) (xy 62.375367 65.181888) (xy 62.561704 65.104895)
+        (xy 62.704394 64.962454) (xy 62.781712 64.776251) (xy 62.781888 64.574633) (xy 62.704895 64.388296) (xy 62.673496 64.356842)
+        (xy 62.725367 64.356888) (xy 62.911704 64.279895) (xy 63.054394 64.137454) (xy 63.131712 63.951251) (xy 63.131888 63.749633)
+        (xy 63.131888 64.020582) (xy 63.068288 64.173749) (xy 63.068112 64.375367) (xy 63.145105 64.561704) (xy 63.287546 64.704394)
+        (xy 63.473749 64.781712) (xy 63.675367 64.781888) (xy 63.861704 64.704895) (xy 64.004394 64.562454) (xy 64.081712 64.376251)
+        (xy 64.081888 64.174633) (xy 64.004895 63.988296) (xy 63.948583 63.931886) (xy 63.950367 63.931888) (xy 64.136704 63.854895)
+        (xy 64.279394 63.712454) (xy 64.356712 63.526251) (xy 64.356888 63.324633) (xy 64.356888 86.019161) (xy 64.08282 86.013716)
+        (xy 64.08282 82.715267) (xy 64.08282 82.085573) (xy 63.994251 81.871219) (xy 63.830394 81.707076) (xy 63.616194 81.618132)
+        (xy 63.384263 81.61793) (xy 63.49561 81.61803) (xy 63.34986 81.76378) (xy 63.34986 82.300725) (xy 63.93697 82.300725)
+        (xy 64.08272 82.154975) (xy 64.08282 82.085573) (xy 64.08282 82.715267) (xy 64.08272 82.645865) (xy 63.93697 82.500115)
+        (xy 63.34986 82.500115) (xy 63.34986 82.57942) (xy 63.05014 82.57942) (xy 63.05014 82.500115) (xy 63.05014 82.300725)
+        (xy 63.05014 81.76378) (xy 62.90439 81.61803) (xy 63.015737 81.61793) (xy 62.88207 81.618046) (xy 62.88207 75.809263)
+        (xy 62.88207 75.440737) (xy 62.881868 75.208806) (xy 62.792924 74.994606) (xy 62.628781 74.830749) (xy 62.414427 74.74218)
+        (xy 62.345025 74.74228) (xy 62.199275 74.88803) (xy 62.199275 75.47514) (xy 62.73622 75.47514) (xy 62.88197 75.32939)
+        (xy 62.88207 75.440737) (xy 62.88207 75.809263) (xy 62.88197 75.92061) (xy 62.73622 75.77486) (xy 62.199275 75.77486)
+        (xy 62.199275 76.36197) (xy 62.345025 76.50772) (xy 62.414427 76.50782) (xy 62.628781 76.419251) (xy 62.792924 76.255394)
+        (xy 62.881868 76.041194) (xy 62.88207 75.809263) (xy 62.88207 81.618046) (xy 62.783806 81.618132) (xy 62.569606 81.707076)
+        (xy 62.405749 81.871219) (xy 62.31718 82.085573) (xy 62.31728 82.154975) (xy 62.46303 82.300725) (xy 63.05014 82.300725)
+        (xy 63.05014 82.500115) (xy 62.46303 82.500115) (xy 62.31728 82.645865) (xy 62.31718 82.715267) (xy 62.321384 82.725443)
+        (xy 62.213296 82.770105) (xy 62.206888 82.776501) (xy 62.206888 81.024633) (xy 62.129895 80.838296) (xy 61.999885 80.708058)
+        (xy 61.999885 76.36197) (xy 61.999885 75.77486) (xy 61.92058 75.77486) (xy 61.92058 75.47514) (xy 61.999885 75.47514)
+        (xy 61.999885 74.88803) (xy 61.854135 74.74228) (xy 61.784733 74.74218) (xy 61.570379 74.830749) (xy 61.406236 74.994606)
+        (xy 61.384452 75.047066) (xy 61.344715 75.047032) (xy 61.104312 75.047032) (xy 61.092924 75.019606) (xy 60.928781 74.855749)
+        (xy 60.781888 74.795054) (xy 60.781888 64.299633) (xy 60.704895 64.113296) (xy 60.562454 63.970606) (xy 60.376251 63.893288)
+        (xy 60.174633 63.893112) (xy 59.988296 63.970105) (xy 59.845606 64.112546) (xy 59.822461 64.168284) (xy 59.624633 64.168112)
+        (xy 59.438296 64.245105) (xy 59.295606 64.387546) (xy 59.218288 64.573749) (xy 59.218112 64.775367) (xy 59.295105 64.961704)
+        (xy 59.437546 65.104394) (xy 59.623749 65.181712) (xy 59.825367 65.181888) (xy 60.011704 65.104895) (xy 60.154394 64.962454)
+        (xy 60.177538 64.906715) (xy 60.375367 64.906888) (xy 60.561704 64.829895) (xy 60.704394 64.687454) (xy 60.781712 64.501251)
+        (xy 60.781888 64.299633) (xy 60.781888 74.795054) (xy 60.714427 74.76718) (xy 60.645025 74.76728) (xy 60.499275 74.91303)
+        (xy 60.499275 75.50014) (xy 60.57858 75.50014) (xy 60.57858 75.79986) (xy 60.499275 75.79986) (xy 60.499275 76.38697)
+        (xy 60.645025 76.53272) (xy 60.714427 76.53282) (xy 60.725321 76.528318) (xy 60.737371 76.557482) (xy 60.722071 76.572782)
+        (xy 60.495185 76.572782) (xy 60.392898 76.615046) (xy 60.314571 76.693237) (xy 60.299885 76.728604) (xy 60.299885 76.38697)
+        (xy 60.299885 75.79986) (xy 60.22058 75.79986) (xy 60.22058 75.50014) (xy 60.299885 75.50014) (xy 60.299885 74.91303)
+        (xy 60.154135 74.76728) (xy 60.084733 74.76718) (xy 59.870379 74.855749) (xy 59.706236 75.019606) (xy 59.684452 75.072066)
+        (xy 59.644715 75.072032) (xy 59.245935 75.072032) (xy 59.143648 75.114296) (xy 59.065321 75.192487) (xy 59.022878 75.2947)
+        (xy 59.022782 75.405375) (xy 59.022782 75.893751) (xy 58.906738 75.845566) (xy 58.906888 75.674633) (xy 58.88282 75.616384)
+        (xy 58.88282 63.939427) (xy 58.88272 63.870025) (xy 58.73697 63.724275) (xy 58.14986 63.724275) (xy 58.14986 63.80358)
+        (xy 57.85014 63.80358) (xy 57.85014 63.724275) (xy 57.26303 63.724275) (xy 57.11728 63.870025) (xy 57.117195 63.92857)
+        (xy 57.079895 63.838296) (xy 56.937454 63.695606) (xy 56.751251 63.618288) (xy 56.549633 63.618112) (xy 56.363296 63.695105)
+        (xy 56.337558 63.720797) (xy 56.287454 63.670606) (xy 56.101251 63.593288) (xy 55.899633 63.593112) (xy 55.713296 63.670105)
+        (xy 55.637492 63.745775) (xy 55.562454 63.670606) (xy 55.376251 63.593288) (xy 55.32996 63.593247) (xy 55.392924 63.530394)
+        (xy 55.481868 63.316194) (xy 55.481882 63.299708) (xy 55.498749 63.306712) (xy 55.700367 63.306888) (xy 55.886704 63.229895)
+        (xy 56.000072 63.116724) (xy 56.112546 63.229394) (xy 56.298749 63.306712) (xy 56.500367 63.306888) (xy 56.686704 63.229895)
+        (xy 56.800072 63.116724) (xy 56.912546 63.229394) (xy 57.098749 63.306712) (xy 57.118421 63.306729) (xy 57.11718 63.309733)
+        (xy 57.11728 63.379135) (xy 57.26303 63.524885) (xy 57.85014 63.524885) (xy 57.85014 63.44558) (xy 58.14986 63.44558)
+        (xy 58.14986 63.524885) (xy 58.73697 63.524885) (xy 58.88272 63.379135) (xy 58.88282 63.309733) (xy 58.794251 63.095379)
+        (xy 58.630394 62.931236) (xy 58.577933 62.909452) (xy 58.577968 62.869715) (xy 58.577968 62.470935) (xy 58.535704 62.368648)
+        (xy 58.457513 62.290321) (xy 58.3553 62.247878) (xy 58.244625 62.247782) (xy 57.750725 62.247782) (xy 57.750725 58.01197)
+        (xy 57.750725 57.42486) (xy 57.21378 57.42486) (xy 57.06803 57.57061) (xy 57.06793 57.459263) (xy 57.068132 57.691194)
+        (xy 57.157076 57.905394) (xy 57.321219 58.069251) (xy 57.535573 58.15782) (xy 57.604975 58.15772) (xy 57.750725 58.01197)
+        (xy 57.750725 62.247782) (xy 57.645867 62.247782) (xy 57.706712 62.101251) (xy 57.706888 61.899633) (xy 57.629895 61.713296)
+        (xy 57.487454 61.570606) (xy 57.306888 61.495628) (xy 57.306888 59.174633) (xy 57.229895 58.988296) (xy 57.087454 58.845606)
+        (xy 56.906888 58.770628) (xy 56.906888 57.899633) (xy 56.829895 57.713296) (xy 56.716724 57.599927) (xy 56.829394 57.487454)
+        (xy 56.906712 57.301251) (xy 56.906888 57.099633) (xy 56.829895 56.913296) (xy 56.687454 56.770606) (xy 56.501251 56.693288)
+        (xy 56.299633 56.693112) (xy 56.113296 56.770105) (xy 56.025725 56.857522) (xy 56.025725 56.41197) (xy 56.025725 55.82486)
+        (xy 55.48878 55.82486) (xy 55.34303 55.97061) (xy 55.34293 55.859263) (xy 55.343132 56.091194) (xy 55.432076 56.305394)
+        (xy 55.596219 56.469251) (xy 55.810573 56.55782) (xy 55.879975 56.55772) (xy 56.025725 56.41197) (xy 56.025725 56.857522)
+        (xy 55.999927 56.883275) (xy 55.887454 56.770606) (xy 55.701251 56.693288) (xy 55.499633 56.693112) (xy 55.313296 56.770105)
+        (xy 55.170606 56.912546) (xy 55.093288 57.098749) (xy 55.093112 57.300367) (xy 55.170105 57.486704) (xy 55.312546 57.629394)
+        (xy 55.498749 57.706712) (xy 55.700367 57.706888) (xy 55.886704 57.629895) (xy 56.000072 57.516724) (xy 56.083275 57.600072)
+        (xy 55.970606 57.712546) (xy 55.893288 57.898749) (xy 55.893112 58.100367) (xy 55.970105 58.286704) (xy 56.112546 58.429394)
+        (xy 56.298749 58.506712) (xy 56.500367 58.506888) (xy 56.686704 58.429895) (xy 56.829394 58.287454) (xy 56.906712 58.101251)
+        (xy 56.906888 57.899633) (xy 56.906888 58.770628) (xy 56.901251 58.768288) (xy 56.699633 58.768112) (xy 56.513296 58.845105)
+        (xy 56.370606 58.987546) (xy 56.293288 59.173749) (xy 56.293112 59.375367) (xy 56.370105 59.561704) (xy 56.512546 59.704394)
+        (xy 56.698749 59.781712) (xy 56.900367 59.781888) (xy 57.086704 59.704895) (xy 57.229394 59.562454) (xy 57.306712 59.376251)
+        (xy 57.306888 59.174633) (xy 57.306888 61.495628) (xy 57.301251 61.493288) (xy 57.196766 61.493196) (xy 57.202122 61.4803)
+        (xy 57.202218 61.369625) (xy 57.202218 60.770185) (xy 57.159954 60.667898) (xy 57.081763 60.589571) (xy 56.97955 60.547128)
+        (xy 56.868875 60.547032) (xy 56.540533 60.547032) (xy 56.518764 60.494606) (xy 56.354621 60.330749) (xy 56.206888 60.269707)
+        (xy 56.140267 60.24218) (xy 56.070865 60.24228) (xy 56.049428 60.24228) (xy 56.129394 60.162454) (xy 56.206712 59.976251)
+        (xy 56.206888 59.774633) (xy 56.129895 59.588296) (xy 56.054289 59.512558) (xy 56.054394 59.512454) (xy 56.131712 59.326251)
+        (xy 56.131888 59.124633) (xy 56.054895 58.938296) (xy 55.912454 58.795606) (xy 55.726251 58.718288) (xy 55.524633 58.718112)
+        (xy 55.338296 58.795105) (xy 55.281733 58.851568) (xy 55.281888 58.674633) (xy 55.204895 58.488296) (xy 55.062454 58.345606)
+        (xy 54.876251 58.268288) (xy 54.674633 58.268112) (xy 54.488296 58.345105) (xy 54.345606 58.487546) (xy 54.268288 58.673749)
+        (xy 54.268112 58.875367) (xy 54.345105 59.061704) (xy 54.487546 59.204394) (xy 54.673749 59.281712) (xy 54.875367 59.281888)
+        (xy 55.061704 59.204895) (xy 55.118266 59.148431) (xy 55.118112 59.325367) (xy 55.195105 59.511704) (xy 55.27071 59.587441)
+        (xy 55.270606 59.587546) (xy 55.193288 59.773749) (xy 55.193112 59.975367) (xy 55.270105 60.161704) (xy 55.397202 60.289023)
+        (xy 55.296219 60.330749) (xy 55.132076 60.494606) (xy 55.043132 60.708806) (xy 55.04293 60.940737) (xy 55.04303 60.82939)
+        (xy 55.18878 60.97514) (xy 55.725725 60.97514) (xy 55.725725 60.946) (xy 55.925115 60.946) (xy 55.925115 60.97514)
+        (xy 56.00442 60.97514) (xy 56.00442 61.27486) (xy 55.925115 61.27486) (xy 55.925115 61.304) (xy 55.725725 61.304)
+        (xy 55.725725 61.27486) (xy 55.18878 61.27486) (xy 55.04303 61.42061) (xy 55.04293 61.309263) (xy 55.043132 61.541194)
+        (xy 55.048655 61.554495) (xy 54.901251 61.493288) (xy 54.699633 61.493112) (xy 54.513296 61.570105) (xy 54.506888 61.576501)
+        (xy 54.506888 60.999633) (xy 54.429895 60.813296) (xy 54.287454 60.670606) (xy 54.18282 60.627158) (xy 54.18282 58.339427)
+        (xy 54.18272 58.270025) (xy 54.03697 58.124275) (xy 53.44986 58.124275) (xy 53.44986 58.66122) (xy 53.59561 58.80697)
+        (xy 53.484263 58.80707) (xy 53.716194 58.806868) (xy 53.930394 58.717924) (xy 54.094251 58.553781) (xy 54.18282 58.339427)
+        (xy 54.18282 60.627158) (xy 54.101251 60.593288) (xy 53.899633 60.593112) (xy 53.713296 60.670105) (xy 53.631888 60.75137)
+        (xy 53.631888 60.374633) (xy 53.554895 60.188296) (xy 53.412454 60.045606) (xy 53.226251 59.968288) (xy 53.15014 59.968221)
+        (xy 53.15014 58.66122) (xy 53.15014 58.124275) (xy 52.56303 58.124275) (xy 52.41728 58.270025) (xy 52.41718 58.339427)
+        (xy 52.505749 58.553781) (xy 52.669606 58.717924) (xy 52.883806 58.806868) (xy 53.115737 58.80707) (xy 53.00439 58.80697)
+        (xy 53.15014 58.66122) (xy 53.15014 59.968221) (xy 53.024633 59.968112) (xy 52.866233 60.033561) (xy 52.7803 59.997878)
+        (xy 52.669625 59.997782) (xy 52.106888 59.997782) (xy 52.106888 57.899633) (xy 52.029895 57.713296) (xy 51.887454 57.570606)
+        (xy 51.701251 57.493288) (xy 51.499633 57.493112) (xy 51.313296 57.570105) (xy 51.170606 57.712546) (xy 51.093288 57.898749)
+        (xy 51.093112 58.100367) (xy 51.170105 58.286704) (xy 51.312546 58.429394) (xy 51.498749 58.506712) (xy 51.700367 58.506888)
+        (xy 51.886704 58.429895) (xy 52.029394 58.287454) (xy 52.106712 58.101251) (xy 52.106888 57.899633) (xy 52.106888 59.997782)
+        (xy 52.070185 59.997782) (xy 51.967898 60.040046) (xy 51.889571 60.118237) (xy 51.847128 60.22045) (xy 51.847032 60.331125)
+        (xy 51.847032 60.659466) (xy 51.794606 60.681236) (xy 51.630749 60.845379) (xy 51.54218 61.059733) (xy 51.54228 61.129135)
+        (xy 51.68803 61.274885) (xy 52.27514 61.274885) (xy 52.27514 61.19558) (xy 52.57486 61.19558) (xy 52.57486 61.274885)
+        (xy 53.16197 61.274885) (xy 53.30772 61.129135) (xy 53.30782 61.059733) (xy 53.268321 60.964139) (xy 53.411704 60.904895)
+        (xy 53.554394 60.762454) (xy 53.631712 60.576251) (xy 53.631888 60.374633) (xy 53.631888 60.75137) (xy 53.570606 60.812546)
+        (xy 53.493288 60.998749) (xy 53.493112 61.200367) (xy 53.570105 61.386704) (xy 53.712546 61.529394) (xy 53.898749 61.606712)
+        (xy 54.100367 61.606888) (xy 54.286704 61.529895) (xy 54.429394 61.387454) (xy 54.506712 61.201251) (xy 54.506888 60.999633)
+        (xy 54.506888 61.576501) (xy 54.370606 61.712546) (xy 54.293288 61.898749) (xy 54.293151 62.05502) (xy 54.170379 62.105749)
+        (xy 54.006236 62.269606) (xy 53.984452 62.322066) (xy 53.944715 62.322032) (xy 53.594755 62.322032) (xy 53.629394 62.287454)
+        (xy 53.706712 62.101251) (xy 53.706888 61.899633) (xy 53.629895 61.713296) (xy 53.487454 61.570606) (xy 53.301251 61.493288)
+        (xy 53.180877 61.493182) (xy 53.16197 61.474275) (xy 52.57486 61.474275) (xy 52.57486 62.01122) (xy 52.713605 62.149965)
+        (xy 52.716501 62.156973) (xy 52.609263 62.15707) (xy 52.716502 62.156976) (xy 52.770105 62.286704) (xy 52.883275 62.400072)
+        (xy 52.799927 62.483275) (xy 52.687454 62.370606) (xy 52.501251 62.293288) (xy 52.299633 62.293112) (xy 52.27514 62.303232)
+        (xy 52.27514 62.01122) (xy 52.27514 61.474275) (xy 51.68803 61.474275) (xy 51.54228 61.620025) (xy 51.54218 61.689427)
+        (xy 51.630749 61.903781) (xy 51.794606 62.067924) (xy 52.008806 62.156868) (xy 52.240737 62.15707) (xy 52.12939 62.15697)
+        (xy 52.27514 62.01122) (xy 52.27514 62.303232) (xy 52.141397 62.358493) (xy 52.134954 62.342898) (xy 52.056763 62.264571)
+        (xy 51.95455 62.222128) (xy 51.843875 62.222032) (xy 51.445095 62.222032) (xy 51.342808 62.264296) (xy 51.264481 62.342487)
+        (xy 51.250016 62.37732) (xy 51.235794 62.342898) (xy 51.21072 62.31778) (xy 51.287993 62.202133) (xy 51.3282 62)
+        (xy 51.287993 61.797867) (xy 51.173494 61.626506) (xy 51.002133 61.512007) (xy 50.8 61.4718) (xy 50.162909 61.4718)
+        (xy 50.1294 61.457885) (xy 50.1294 61.188117) (xy 50.145605 61.163865) (xy 50.1782 61) (xy 50.1782 60.95)
+        (xy 50.145605 60.786135) (xy 50.052783 60.647217) (xy 49.913865 60.554395) (xy 49.75 60.5218) (xy 49.586135 60.554395)
+        (xy 49.447217 60.647217) (xy 49.354395 60.786135) (xy 49.3218 60.95) (xy 49.3218 61) (xy 49.354395 61.163865)
+        (xy 49.4206 61.262947) (xy 49.4206 61.447782) (xy 49.395185 61.447782) (xy 49.292898 61.490046) (xy 49.214571 61.568237)
+        (xy 49.172128 61.67045) (xy 49.172032 61.781125) (xy 49.172032 62.179905) (xy 49.214296 62.282192) (xy 49.292487 62.360519)
+        (xy 49.32732 62.374983) (xy 49.292898 62.389206) (xy 49.214571 62.467397) (xy 49.172128 62.56961) (xy 49.172032 62.680285)
+        (xy 49.172032 63.079065) (xy 49.214296 63.181352) (xy 49.2456 63.21271) (xy 49.2456 63.312682) (xy 49.170606 63.387546)
+        (xy 49.125293 63.49667) (xy 49.114427 63.49218) (xy 49.045025 63.49228) (xy 48.931888 63.605417) (xy 48.931888 61.874633)
+        (xy 48.854895 61.688296) (xy 48.712454 61.545606) (xy 48.526251 61.468288) (xy 48.324633 61.468112) (xy 48.138296 61.545105)
+        (xy 47.995606 61.687546) (xy 47.918288 61.873749) (xy 47.918112 62.075367) (xy 47.995105 62.261704) (xy 48.137546 62.404394)
+        (xy 48.323749 62.481712) (xy 48.525367 62.481888) (xy 48.711704 62.404895) (xy 48.854394 62.262454) (xy 48.931712 62.076251)
+        (xy 48.931888 61.874633) (xy 48.931888 63.605417) (xy 48.899275 63.63803) (xy 48.899275 64.22514) (xy 49.43622 64.22514)
+        (xy 49.485252 64.176107) (xy 49.498749 64.181712) (xy 49.582061 64.181784) (xy 49.58207 64.190737) (xy 49.582062 64.181784)
+        (xy 49.617959 64.181816) (xy 49.61796 64.181816) (xy 49.695523 64.181883) (xy 49.76378 64.25014) (xy 50.300725 64.25014)
+        (xy 50.300725 63.66303) (xy 50.154975 63.51728) (xy 50.085573 63.51718) (xy 50.083502 63.518035) (xy 50.029895 63.388296)
+        (xy 49.9544 63.312669) (xy 49.9544 63.302218) (xy 50.104815 63.302218) (xy 50.207102 63.259954) (xy 50.285429 63.181763)
+        (xy 50.296791 63.1544) (xy 50.322782 63.1544) (xy 50.322782 63.154815) (xy 50.365046 63.257102) (xy 50.443237 63.335429)
+        (xy 50.54545 63.377872) (xy 50.656125 63.377968) (xy 50.764202 63.377968) (xy 50.706391 63.517192) (xy 50.645865 63.51728)
+        (xy 50.500115 63.66303) (xy 50.500115 64.25014) (xy 50.57942 64.25014) (xy 50.57942 64.54986) (xy 50.500115 64.54986)
+        (xy 50.500115 65.13697) (xy 50.645865 65.28272) (xy 50.715267 65.28282) (xy 50.929621 65.194251) (xy 51.093764 65.030394)
+        (xy 51.115547 64.977933) (xy 51.155285 64.977968) (xy 51.36844 64.977968) (xy 51.318288 65.098749) (xy 51.318112 65.300367)
+        (xy 51.395105 65.486704) (xy 51.537546 65.629394) (xy 51.723749 65.706712) (xy 51.925367 65.706888) (xy 52.111704 65.629895)
+        (xy 52.254394 65.487454) (xy 52.331712 65.301251) (xy 52.331888 65.099633) (xy 52.254895 64.913296) (xy 52.112454 64.770606)
+        (xy 51.926251 64.693288) (xy 51.777175 64.693157) (xy 51.777218 64.644625) (xy 51.777218 64.045185) (xy 51.734954 63.942898)
+        (xy 51.658807 63.866618) (xy 51.706712 63.751251) (xy 51.706888 63.549633) (xy 51.635957 63.377968) (xy 51.933059 63.377968)
+        (xy 51.893288 63.473749) (xy 51.893112 63.675367) (xy 51.970105 63.861704) (xy 52.112546 64.004394) (xy 52.298749 64.081712)
+        (xy 52.500367 64.081888) (xy 52.686704 64.004895) (xy 52.829394 63.862454) (xy 52.906712 63.676251) (xy 52.906888 63.474633)
+        (xy 52.829895 63.288296) (xy 52.729224 63.187449) (xy 52.800072 63.116724) (xy 52.912546 63.229394) (xy 53.098749 63.306712)
+        (xy 53.300367 63.306888) (xy 53.337891 63.291383) (xy 53.365046 63.357102) (xy 53.443237 63.435429) (xy 53.54545 63.477872)
+        (xy 53.656125 63.477968) (xy 53.984466 63.477968) (xy 54.006236 63.530394) (xy 54.170379 63.694251) (xy 54.384733 63.78282)
+        (xy 54.454135 63.78272) (xy 54.599885 63.63697) (xy 54.599885 63.04986) (xy 54.52058 63.04986) (xy 54.52058 62.75014)
+        (xy 54.599885 62.75014) (xy 54.599885 62.721) (xy 54.799275 62.721) (xy 54.799275 62.75014) (xy 54.87858 62.75014)
+        (xy 54.87858 63.04986) (xy 54.799275 63.04986) (xy 54.799275 63.63697) (xy 54.910284 63.747979) (xy 54.845606 63.812546)
+        (xy 54.768288 63.998749) (xy 54.768112 64.200367) (xy 54.845105 64.386704) (xy 54.987546 64.529394) (xy 55.173749 64.606712)
+        (xy 55.375367 64.606888) (xy 55.561704 64.529895) (xy 55.637507 64.454224) (xy 55.712546 64.529394) (xy 55.898749 64.606712)
+        (xy 56.100367 64.606888) (xy 56.286704 64.529895) (xy 56.312441 64.504202) (xy 56.362546 64.554394) (xy 56.548749 64.631712)
+        (xy 56.750367 64.631888) (xy 56.936704 64.554895) (xy 57.079394 64.412454) (xy 57.156712 64.226251) (xy 57.156878 64.035505)
+        (xy 57.205749 64.153781) (xy 57.369606 64.317924) (xy 57.420055 64.338872) (xy 57.343288 64.523749) (xy 57.343112 64.725367)
+        (xy 57.420105 64.911704) (xy 57.562546 65.054394) (xy 57.748749 65.131712) (xy 57.950367 65.131888) (xy 58.136704 65.054895)
+        (xy 58.279394 64.912454) (xy 58.356712 64.726251) (xy 58.356888 64.524633) (xy 58.308267 64.406961) (xy 58.416194 64.406868)
+        (xy 58.630394 64.317924) (xy 58.794251 64.153781) (xy 58.88282 63.939427) (xy 58.88282 75.616384) (xy 58.829895 75.488296)
+        (xy 58.687454 75.345606) (xy 58.501251 75.268288) (xy 58.299633 75.268112) (xy 58.113296 75.345105) (xy 57.970606 75.487546)
+        (xy 57.893288 75.673749) (xy 57.893112 75.875367) (xy 57.970105 76.061704) (xy 58.112546 76.204394) (xy 58.143579 76.21728)
+        (xy 58.079975 76.21728) (xy 58.010573 76.21718) (xy 57.796219 76.305749) (xy 57.706761 76.395051) (xy 57.706888 76.249633)
+        (xy 57.629895 76.063296) (xy 57.487454 75.920606) (xy 57.301251 75.843288) (xy 57.248464 75.843241) (xy 57.329394 75.762454)
+        (xy 57.406712 75.576251) (xy 57.406888 75.374633) (xy 57.329895 75.188296) (xy 57.187454 75.045606) (xy 57.001251 74.968288)
+        (xy 56.799633 74.968112) (xy 56.613296 75.045105) (xy 56.581254 75.07709) (xy 56.554895 75.013296) (xy 56.412454 74.870606)
+        (xy 56.226251 74.793288) (xy 56.024633 74.793112) (xy 55.838296 74.870105) (xy 55.695606 75.012546) (xy 55.618288 75.198749)
+        (xy 55.618112 75.400367) (xy 55.695105 75.586704) (xy 55.837546 75.729394) (xy 56.018856 75.80468) (xy 55.92044 75.87044)
+        (xy 55.89544 75.89544) (xy 55.877826 75.9218) (xy 55.577218 75.9218) (xy 55.577218 75.745185) (xy 55.534954 75.642898)
+        (xy 55.456763 75.564571) (xy 55.35455 75.522128) (xy 55.243875 75.522032) (xy 54.845095 75.522032) (xy 54.742808 75.564296)
+        (xy 54.664481 75.642487) (xy 54.650016 75.67732) (xy 54.635794 75.642898) (xy 54.557603 75.564571) (xy 54.45539 75.522128)
+        (xy 54.344715 75.522032) (xy 53.945935 75.522032) (xy 53.843648 75.564296) (xy 53.765321 75.642487) (xy 53.753149 75.6718)
+        (xy 53.456888 75.6718) (xy 53.456888 64.599633) (xy 53.379895 64.413296) (xy 53.237454 64.270606) (xy 53.051251 64.193288)
+        (xy 52.849633 64.193112) (xy 52.663296 64.270105) (xy 52.520606 64.412546) (xy 52.443288 64.598749) (xy 52.443112 64.800367)
+        (xy 52.520105 64.986704) (xy 52.662546 65.129394) (xy 52.674655 65.134422) (xy 52.588296 65.170105) (xy 52.445606 65.312546)
+        (xy 52.368288 65.498749) (xy 52.368112 65.700367) (xy 52.445105 65.886704) (xy 52.587546 66.029394) (xy 52.773749 66.106712)
+        (xy 52.975367 66.106888) (xy 53.161704 66.029895) (xy 53.304394 65.887454) (xy 53.381712 65.701251) (xy 53.381888 65.499633)
+        (xy 53.304895 65.313296) (xy 53.162454 65.170606) (xy 53.150344 65.165577) (xy 53.236704 65.129895) (xy 53.379394 64.987454)
+        (xy 53.456712 64.801251) (xy 53.456888 64.599633) (xy 53.456888 75.6718) (xy 53.45 75.6718) (xy 53.356888 75.690321)
+        (xy 53.356888 67.099633) (xy 53.279895 66.913296) (xy 53.137454 66.770606) (xy 52.951251 66.693288) (xy 52.749633 66.693112)
+        (xy 52.563296 66.770105) (xy 52.420606 66.912546) (xy 52.343288 67.098749) (xy 52.343112 67.300367) (xy 52.420105 67.486704)
+        (xy 52.562546 67.629394) (xy 52.748749 67.706712) (xy 52.950367 67.706888) (xy 53.136704 67.629895) (xy 53.279394 67.487454)
+        (xy 53.356712 67.301251) (xy 53.356888 67.099633) (xy 53.356888 75.690321) (xy 53.286135 75.704395) (xy 53.25707 75.723815)
+        (xy 53.25707 75.490737) (xy 53.256868 75.258806) (xy 53.167924 75.044606) (xy 53.003781 74.880749) (xy 52.789427 74.79218)
+        (xy 52.720025 74.79228) (xy 52.574275 74.93803) (xy 52.574275 75.52514) (xy 53.11122 75.52514) (xy 53.25697 75.37939)
+        (xy 53.25707 75.490737) (xy 53.25707 75.723815) (xy 53.147217 75.797217) (xy 53.115397 75.829037) (xy 53.11122 75.82486)
+        (xy 52.574275 75.82486) (xy 52.574275 76.41197) (xy 52.720025 76.55772) (xy 52.737479 76.557745) (xy 52.770105 76.636704)
+        (xy 52.826525 76.693222) (xy 52.699633 76.693112) (xy 52.513296 76.770105) (xy 52.374885 76.908274) (xy 52.374885 76.41197)
+        (xy 52.374885 75.82486) (xy 52.29558 75.82486) (xy 52.29558 75.52514) (xy 52.374885 75.52514) (xy 52.374885 74.93803)
+        (xy 52.229135 74.79228) (xy 52.159733 74.79218) (xy 51.945379 74.880749) (xy 51.781236 75.044606) (xy 51.759452 75.097066)
+        (xy 51.719715 75.097032) (xy 51.606888 75.097032) (xy 51.606888 65.899633) (xy 51.529895 65.713296) (xy 51.387454 65.570606)
+        (xy 51.201251 65.493288) (xy 50.999633 65.493112) (xy 50.813296 65.570105) (xy 50.670606 65.712546) (xy 50.593288 65.898749)
+        (xy 50.593112 66.100367) (xy 50.670105 66.286704) (xy 50.812546 66.429394) (xy 50.998749 66.506712) (xy 51.200367 66.506888)
+        (xy 51.386704 66.429895) (xy 51.529394 66.287454) (xy 51.606712 66.101251) (xy 51.606888 65.899633) (xy 51.606888 75.097032)
+        (xy 51.464693 75.097032) (xy 51.442924 75.044606) (xy 51.278781 74.880749) (xy 51.231888 74.861373) (xy 51.231888 73.899633)
+        (xy 51.154895 73.713296) (xy 51.012454 73.570606) (xy 50.856888 73.506009) (xy 50.856888 71.099633) (xy 50.779895 70.913296)
+        (xy 50.637454 70.770606) (xy 50.456888 70.695628) (xy 50.456888 69.899633) (xy 50.379895 69.713296) (xy 50.300725 69.633987)
+        (xy 50.300725 65.13697) (xy 50.300725 64.54986) (xy 49.76378 64.54986) (xy 49.61803 64.69561) (xy 49.61793 64.584263)
+        (xy 49.618132 64.816194) (xy 49.707076 65.030394) (xy 49.871219 65.194251) (xy 50.085573 65.28282) (xy 50.154975 65.28272)
+        (xy 50.300725 65.13697) (xy 50.300725 69.633987) (xy 50.237454 69.570606) (xy 50.051251 69.493288) (xy 49.849633 69.493112)
+        (xy 49.706888 69.552093) (xy 49.706888 66.299633) (xy 49.629895 66.113296) (xy 49.58207 66.065387) (xy 49.58207 64.559263)
+        (xy 49.58197 64.67061) (xy 49.43622 64.52486) (xy 48.899275 64.52486) (xy 48.899275 65.11197) (xy 49.045025 65.25772)
+        (xy 49.114427 65.25782) (xy 49.328781 65.169251) (xy 49.492924 65.005394) (xy 49.581868 64.791194) (xy 49.58207 64.559263)
+        (xy 49.58207 66.065387) (xy 49.487454 65.970606) (xy 49.301251 65.893288) (xy 49.099633 65.893112) (xy 48.913296 65.970105)
+        (xy 48.770606 66.112546) (xy 48.699885 66.282861) (xy 48.699885 65.11197) (xy 48.699885 64.52486) (xy 48.62058 64.52486)
+        (xy 48.62058 64.22514) (xy 48.699885 64.22514) (xy 48.699885 63.63803) (xy 48.554135 63.49228) (xy 48.484733 63.49218)
+        (xy 48.483379 63.492739) (xy 48.429895 63.363296) (xy 48.287454 63.220606) (xy 48.101251 63.143288) (xy 47.899633 63.143112)
+        (xy 47.713296 63.220105) (xy 47.570606 63.362546) (xy 47.493288 63.548749) (xy 47.493112 63.750367) (xy 47.533884 63.849042)
+        (xy 47.465321 63.917487) (xy 47.422878 64.0197) (xy 47.422782 64.130375) (xy 47.422782 64.729815) (xy 47.465046 64.832102)
+        (xy 47.543237 64.910429) (xy 47.64545 64.952872) (xy 47.756125 64.952968) (xy 48.084466 64.952968) (xy 48.106236 65.005394)
+        (xy 48.270379 65.169251) (xy 48.484733 65.25782) (xy 48.554135 65.25772) (xy 48.699885 65.11197) (xy 48.699885 66.282861)
+        (xy 48.693288 66.298749) (xy 48.693215 66.381474) (xy 48.601251 66.343288) (xy 48.399633 66.343112) (xy 48.213296 66.420105)
+        (xy 48.070606 66.562546) (xy 47.993288 66.748749) (xy 47.993112 66.950367) (xy 48.070105 67.136704) (xy 48.212546 67.279394)
+        (xy 48.398749 67.356712) (xy 48.600367 67.356888) (xy 48.786704 67.279895) (xy 48.929394 67.137454) (xy 49.006712 66.951251)
+        (xy 49.006784 66.868525) (xy 49.098749 66.906712) (xy 49.300367 66.906888) (xy 49.486704 66.829895) (xy 49.629394 66.687454)
+        (xy 49.706712 66.501251) (xy 49.706888 66.299633) (xy 49.706888 69.552093) (xy 49.663296 69.570105) (xy 49.520606 69.712546)
+        (xy 49.443288 69.898749) (xy 49.443112 70.100367) (xy 49.520105 70.286704) (xy 49.662546 70.429394) (xy 49.848749 70.506712)
+        (xy 50.050367 70.506888) (xy 50.236704 70.429895) (xy 50.379394 70.287454) (xy 50.456712 70.101251) (xy 50.456888 69.899633)
+        (xy 50.456888 70.695628) (xy 50.451251 70.693288) (xy 50.249633 70.693112) (xy 50.063296 70.770105) (xy 49.920606 70.912546)
+        (xy 49.843288 71.098749) (xy 49.843112 71.300367) (xy 49.920105 71.486704) (xy 49.962378 71.52905) (xy 49.876251 71.493288)
+        (xy 49.674633 71.493112) (xy 49.488296 71.570105) (xy 49.345606 71.712546) (xy 49.268288 71.898749) (xy 49.268112 72.100367)
+        (xy 49.345105 72.286704) (xy 49.487546 72.429394) (xy 49.673749 72.506712) (xy 49.875367 72.506888) (xy 50.061704 72.429895)
+        (xy 50.204394 72.287454) (xy 50.281712 72.101251) (xy 50.281888 71.899633) (xy 50.204895 71.713296) (xy 50.162621 71.670949)
+        (xy 50.248749 71.706712) (xy 50.450367 71.706888) (xy 50.636704 71.629895) (xy 50.779394 71.487454) (xy 50.856712 71.301251)
+        (xy 50.856888 71.099633) (xy 50.856888 73.506009) (xy 50.826251 73.493288) (xy 50.624633 73.493112) (xy 50.438296 73.570105)
+        (xy 50.295606 73.712546) (xy 50.218288 73.898749) (xy 50.218112 74.100367) (xy 50.295105 74.286704) (xy 50.437546 74.429394)
+        (xy 50.623749 74.506712) (xy 50.825367 74.506888) (xy 51.011704 74.429895) (xy 51.154394 74.287454) (xy 51.231712 74.101251)
+        (xy 51.231888 73.899633) (xy 51.231888 74.861373) (xy 51.064427 74.79218) (xy 50.995025 74.79228) (xy 50.849275 74.93803)
+        (xy 50.849275 75.52514) (xy 50.92858 75.52514) (xy 50.92858 75.82486) (xy 50.849275 75.82486) (xy 50.849275 76.41197)
+        (xy 50.995025 76.55772) (xy 51.064427 76.55782) (xy 51.126852 76.532026) (xy 51.170105 76.636704) (xy 51.312546 76.779394)
+        (xy 51.498749 76.856712) (xy 51.700367 76.856888) (xy 51.886704 76.779895) (xy 52.029394 76.637454) (xy 52.076705 76.523513)
+        (xy 52.159733 76.55782) (xy 52.229135 76.55772) (xy 52.374885 76.41197) (xy 52.374885 76.908274) (xy 52.370606 76.912546)
+        (xy 52.293288 77.098749) (xy 52.293112 77.300367) (xy 52.370105 77.486704) (xy 52.483275 77.600072) (xy 52.370606 77.712546)
+        (xy 52.293288 77.898749) (xy 52.293112 78.100367) (xy 52.370105 78.286704) (xy 52.512546 78.429394) (xy 52.698749 78.506712)
+        (xy 52.900367 78.506888) (xy 53.086704 78.429895) (xy 53.229394 78.287454) (xy 53.306712 78.101251) (xy 53.306888 77.899633)
+        (xy 53.229895 77.713296) (xy 53.116724 77.599927) (xy 53.229394 77.487454) (xy 53.306712 77.301251) (xy 53.306888 77.099633)
+        (xy 53.229895 76.913296) (xy 53.173474 76.856777) (xy 53.300367 76.856888) (xy 53.486704 76.779895) (xy 53.629394 76.637454)
+        (xy 53.67476 76.5282) (xy 53.753103 76.5282) (xy 53.765046 76.557102) (xy 53.843237 76.635429) (xy 53.94545 76.677872)
+        (xy 54.056125 76.677968) (xy 54.216465 76.677968) (xy 54.153423 76.74101) (xy 54.047867 76.762007) (xy 53.876506 76.876506)
+        (xy 53.762007 77.047867) (xy 53.7218 77.25) (xy 53.7218 78.26908) (xy 53.455194 78.535685) (xy 53.265464 78.573425)
+        (xy 53.04544 78.72044) (xy 52.898425 78.940464) (xy 52.8468 79.2) (xy 52.8468 79.225) (xy 52.8468 80.59324)
+        (xy 52.699633 80.593112) (xy 52.513296 80.670105) (xy 52.370606 80.812546) (xy 52.293288 80.998749) (xy 52.293112 81.200367)
+        (xy 52.370105 81.386704) (xy 52.512546 81.529394) (xy 52.520369 81.532642) (xy 52.426506 81.626506) (xy 52.393126 81.676462)
+        (xy 52.287454 81.570606) (xy 52.101251 81.493288) (xy 51.899633 81.493112) (xy 51.713296 81.570105) (xy 51.570606 81.712546)
+        (xy 51.493288 81.898749) (xy 51.493112 82.100367) (xy 51.542223 82.219225) (xy 51.493648 82.239296) (xy 51.415321 82.317487)
+        (xy 51.372878 82.4197) (xy 51.372782 82.530375) (xy 51.372782 82.647072) (xy 51.297217 82.722637) (xy 51.204395 82.861555)
+        (xy 51.1718 83.02542) (xy 51.1718 83.361354) (xy 51.170606 83.362546) (xy 51.093288 83.548749) (xy 51.093256 83.585081)
+        (xy 50.989427 83.54218) (xy 50.920025 83.54228) (xy 50.774275 83.68803) (xy 50.774275 84.27514) (xy 51.31122 84.27514)
+        (xy 51.449922 84.136437) (xy 51.456978 84.139367) (xy 51.45707 84.240737) (xy 51.456981 84.139368) (xy 51.498749 84.156712)
+        (xy 51.642981 84.156837) (xy 51.642982 84.156837) (xy 51.695523 84.156883) (xy 51.78878 84.25014) (xy 52.325725 84.25014)
+        (xy 52.325725 83.66303) (xy 52.179975 83.51728) (xy 52.110573 83.51718) (xy 52.095971 83.523213) (xy 52.029895 83.363296)
+        (xy 52.0282 83.361598) (xy 52.0282 83.352968) (xy 52.104905 83.352968) (xy 52.207192 83.310704) (xy 52.285519 83.232513)
+        (xy 52.299983 83.197679) (xy 52.314206 83.232102) (xy 52.392397 83.310429) (xy 52.49461 83.352872) (xy 52.605285 83.352968)
+        (xy 52.7802 83.352968) (xy 52.770606 83.362546) (xy 52.706376 83.517228) (xy 52.670865 83.51728) (xy 52.525115 83.66303)
+        (xy 52.525115 84.25014) (xy 52.60442 84.25014) (xy 52.60442 84.54986) (xy 52.525115 84.54986) (xy 52.525115 85.13697)
+        (xy 52.670865 85.28272) (xy 52.740267 85.28282) (xy 52.954621 85.194251) (xy 53.118764 85.030394) (xy 53.140547 84.977933)
+        (xy 53.180285 84.977968) (xy 53.454907 84.977968) (xy 53.455 85.27525) (xy 53.60075 85.421) (xy 54.546 85.421)
+        (xy 54.621 85.421) (xy 54.979 85.421) (xy 55.054 85.421) (xy 55.99925 85.421) (xy 56.145 85.27525)
+        (xy 56.1451 84.953457) (xy 56.144898 84.721526) (xy 56.055954 84.507326) (xy 55.904783 84.356419) (xy 55.931868 84.291194)
+        (xy 55.93207 84.059263) (xy 55.93197 84.17061) (xy 55.78622 84.02486) (xy 55.249275 84.02486) (xy 55.249275 84.054)
+        (xy 55.049885 84.054) (xy 55.049885 84.02486) (xy 54.97058 84.02486) (xy 54.97058 83.72514) (xy 55.049885 83.72514)
+        (xy 55.049885 83.13803) (xy 54.904135 82.99228) (xy 54.834733 82.99218) (xy 54.620379 83.080749) (xy 54.456236 83.244606)
+        (xy 54.434452 83.297066) (xy 54.394715 83.297032) (xy 53.995935 83.297032) (xy 53.893648 83.339296) (xy 53.815321 83.417487)
+        (xy 53.782387 83.4968) (xy 53.685057 83.4968) (xy 53.629895 83.363296) (xy 53.573474 83.306777) (xy 53.700367 83.306888)
+        (xy 53.886704 83.229895) (xy 54.029394 83.087454) (xy 54.106712 82.901251) (xy 54.106888 82.699633) (xy 54.029895 82.513296)
+        (xy 53.887454 82.370606) (xy 53.701251 82.293288) (xy 53.499633 82.293112) (xy 53.313296 82.370105) (xy 53.2282 82.455052)
+        (xy 53.2282 82.318787) (xy 53.610867 81.936119) (xy 53.784536 81.901575) (xy 53.933423 81.802091) (xy 53.893288 81.898749)
+        (xy 53.893112 82.100367) (xy 53.970105 82.286704) (xy 54.112546 82.429394) (xy 54.298749 82.506712) (xy 54.500367 82.506888)
+        (xy 54.658602 82.441506) (xy 54.665046 82.457102) (xy 54.743237 82.535429) (xy 54.84545 82.577872) (xy 54.956125 82.577968)
+        (xy 55.354905 82.577968) (xy 55.457192 82.535704) (xy 55.535519 82.457513) (xy 55.549983 82.422679) (xy 55.564206 82.457102)
+        (xy 55.595122 82.488072) (xy 55.570606 82.512546) (xy 55.493288 82.698749) (xy 55.493112 82.900367) (xy 55.544764 83.025374)
+        (xy 55.464427 82.99218) (xy 55.395025 82.99228) (xy 55.249275 83.13803) (xy 55.249275 83.72514) (xy 55.78622 83.72514)
+        (xy 55.93197 83.57939) (xy 55.93207 83.690737) (xy 55.931868 83.458806) (xy 55.862454 83.291641) (xy 55.898749 83.306712)
+        (xy 56.100367 83.306888) (xy 56.286704 83.229895) (xy 56.400072 83.116724) (xy 56.512546 83.229394) (xy 56.698749 83.306712)
+        (xy 56.900367 83.306888) (xy 57.086704 83.229895) (xy 57.229394 83.087454) (xy 57.306712 82.901251) (xy 57.306888 82.699633)
+        (xy 57.229895 82.513296) (xy 57.116724 82.399927) (xy 57.229394 82.287454) (xy 57.306712 82.101251) (xy 57.306888 81.899633)
+        (xy 57.229895 81.713296) (xy 57.087454 81.570606) (xy 56.901251 81.493288) (xy 56.699633 81.493112) (xy 56.689166 81.497436)
+        (xy 56.829394 81.357454) (xy 56.906712 81.171251) (xy 56.906888 80.969633) (xy 56.829895 80.783296) (xy 56.687454 80.640606)
+        (xy 56.501251 80.563288) (xy 56.299633 80.563112) (xy 56.113296 80.640105) (xy 55.970606 80.782546) (xy 55.893288 80.968749)
+        (xy 55.893112 81.170367) (xy 55.970105 81.356704) (xy 56.035318 81.422032) (xy 55.745095 81.422032) (xy 55.642808 81.464296)
+        (xy 55.564481 81.542487) (xy 55.550016 81.57732) (xy 55.535794 81.542898) (xy 55.517333 81.524405) (xy 55.579394 81.462454)
+        (xy 55.656712 81.276251) (xy 55.656888 81.074633) (xy 55.579895 80.888296) (xy 55.437454 80.745606) (xy 55.251251 80.668288)
+        (xy 55.049633 80.668112) (xy 54.863296 80.745105) (xy 54.76247 80.845754) (xy 54.687454 80.770606) (xy 54.501251 80.693288)
+        (xy 54.299633 80.693112) (xy 54.2032 80.732957) (xy 54.2032 79.8782) (xy 55.29408 79.8782) (xy 55.513031 80.097151)
+        (xy 55.519286 80.112288) (xy 55.711699 80.305037) (xy 55.963227 80.409481) (xy 56.235578 80.409718) (xy 56.487288 80.305714)
+        (xy 56.680037 80.113301) (xy 56.784481 79.861773) (xy 56.784718 79.589422) (xy 56.680714 79.337712) (xy 56.61509 79.271974)
+        (xy 56.698749 79.306712) (xy 56.900367 79.306888) (xy 57.086704 79.229895) (xy 57.229394 79.087454) (xy 57.306712 78.901251)
+        (xy 57.306888 78.699633) (xy 57.229895 78.513296) (xy 57.087454 78.370606) (xy 56.901251 78.293288) (xy 56.699633 78.293112)
+        (xy 56.513296 78.370105) (xy 56.370606 78.512546) (xy 56.293288 78.698749) (xy 56.293112 78.900367) (xy 56.334391 79.000271)
+        (xy 56.078611 78.744491) (xy 56.119251 78.703781) (xy 56.20782 78.489427) (xy 56.20772 78.420025) (xy 56.06197 78.274275)
+        (xy 55.47486 78.274275) (xy 55.47486 78.35358) (xy 55.17514 78.35358) (xy 55.17514 78.274275) (xy 55.146 78.274275)
+        (xy 55.146 78.074885) (xy 55.17514 78.074885) (xy 55.17514 77.99558) (xy 55.47486 77.99558) (xy 55.47486 78.074885)
+        (xy 56.06197 78.074885) (xy 56.20772 77.929135) (xy 56.20782 77.859733) (xy 56.138205 77.691253) (xy 56.286704 77.629895)
+        (xy 56.429394 77.487454) (xy 56.506712 77.301251) (xy 56.506888 77.099633) (xy 56.481041 77.037079) (xy 56.659536 77.001575)
+        (xy 56.87956 76.85456) (xy 56.92604 76.784997) (xy 57.098749 76.856712) (xy 57.226425 76.856823) (xy 57.170606 76.912546)
+        (xy 57.093288 77.098749) (xy 57.093112 77.300367) (xy 57.170105 77.486704) (xy 57.283275 77.600072) (xy 57.170606 77.712546)
+        (xy 57.093288 77.898749) (xy 57.093112 78.100367) (xy 57.170105 78.286704) (xy 57.312546 78.429394) (xy 57.498749 78.506712)
+        (xy 57.700367 78.506888) (xy 57.886704 78.429895) (xy 58.000072 78.316724) (xy 58.112546 78.429394) (xy 58.298749 78.506712)
+        (xy 58.500367 78.506888) (xy 58.686704 78.429895) (xy 58.829394 78.287454) (xy 58.906712 78.101251) (xy 58.906888 77.899633)
+        (xy 58.89005 77.858883) (xy 59.018764 77.730394) (xy 59.040547 77.677933) (xy 59.080285 77.677968) (xy 59.479065 77.677968)
+        (xy 59.581352 77.635704) (xy 59.659679 77.557513) (xy 59.702122 77.4553) (xy 59.702218 77.344625) (xy 59.702218 76.856123)
+        (xy 59.886704 76.779895) (xy 60.029394 76.637454) (xy 60.074583 76.528626) (xy 60.084733 76.53282) (xy 60.154135 76.53272)
+        (xy 60.299885 76.38697) (xy 60.299885 76.728604) (xy 60.272128 76.79545) (xy 60.272032 76.906125) (xy 60.272032 77.234466)
+        (xy 60.219606 77.256236) (xy 60.055749 77.420379) (xy 59.96718 77.634733) (xy 59.96728 77.704135) (xy 60.11303 77.849885)
+        (xy 60.70014 77.849885) (xy 60.70014 77.77058) (xy 60.99986 77.77058) (xy 60.99986 77.849885) (xy 61.58697 77.849885)
+        (xy 61.73272 77.704135) (xy 61.73282 77.634733) (xy 61.644251 77.420379) (xy 61.480394 77.256236) (xy 61.427933 77.234452)
+        (xy 61.427968 77.194715) (xy 61.427968 77.078018) (xy 61.502783 77.003204) (xy 61.502783 77.003203) (xy 61.595605 76.864285)
+        (xy 61.628199 76.700421) (xy 61.6282 76.70042) (xy 61.6282 76.638645) (xy 61.629394 76.637454) (xy 61.698088 76.472019)
+        (xy 61.784733 76.50782) (xy 61.854135 76.50772) (xy 61.999885 76.36197) (xy 61.999885 80.708058) (xy 61.987454 80.695606)
+        (xy 61.801251 80.618288) (xy 61.73282 80.618228) (xy 61.73282 78.264427) (xy 61.73272 78.195025) (xy 61.58697 78.049275)
+        (xy 60.99986 78.049275) (xy 60.99986 78.12858) (xy 60.70014 78.12858) (xy 60.70014 78.049275) (xy 60.11303 78.049275)
+        (xy 59.96728 78.195025) (xy 59.96718 78.264427) (xy 60.055749 78.478781) (xy 60.219606 78.642924) (xy 60.318279 78.683896)
+        (xy 60.318112 78.875367) (xy 60.395105 79.061704) (xy 60.537546 79.204394) (xy 60.723749 79.281712) (xy 60.925367 79.281888)
+        (xy 61.111704 79.204895) (xy 61.254394 79.062454) (xy 61.331712 78.876251) (xy 61.331861 78.7046) (xy 61.480394 78.642924)
+        (xy 61.644251 78.478781) (xy 61.73282 78.264427) (xy 61.73282 80.618228) (xy 61.599633 80.618112) (xy 61.413296 80.695105)
+        (xy 61.270606 80.837546) (xy 61.193288 81.023749) (xy 61.193112 81.225367) (xy 61.270105 81.411704) (xy 61.412546 81.554394)
+        (xy 61.598749 81.631712) (xy 61.800367 81.631888) (xy 61.986704 81.554895) (xy 62.129394 81.412454) (xy 62.206712 81.226251)
+        (xy 62.206888 81.024633) (xy 62.206888 82.776501) (xy 62.070606 82.912546) (xy 61.993288 83.098749) (xy 61.993264 83.126179)
+        (xy 61.931763 83.064571) (xy 61.82955 83.022128) (xy 61.718875 83.022032) (xy 61.390533 83.022032) (xy 61.368764 82.969606)
+        (xy 61.204621 82.805749) (xy 60.990267 82.71718) (xy 60.981888 82.717192) (xy 60.981888 81.049633) (xy 60.904895 80.863296)
+        (xy 60.762454 80.720606) (xy 60.576251 80.643288) (xy 60.374633 80.643112) (xy 60.256888 80.691763) (xy 60.256888 79.024633)
+        (xy 60.179895 78.838296) (xy 60.037454 78.695606) (xy 59.851251 78.618288) (xy 59.649633 78.618112) (xy 59.463296 78.695105)
+        (xy 59.320606 78.837546) (xy 59.243288 79.023749) (xy 59.243112 79.225367) (xy 59.320105 79.411704) (xy 59.462546 79.554394)
+        (xy 59.648749 79.631712) (xy 59.850367 79.631888) (xy 60.036704 79.554895) (xy 60.179394 79.412454) (xy 60.256712 79.226251)
+        (xy 60.256888 79.024633) (xy 60.256888 80.691763) (xy 60.188296 80.720105) (xy 60.045606 80.862546) (xy 59.968288 81.048749)
+        (xy 59.968112 81.250367) (xy 60.045105 81.436704) (xy 60.187546 81.579394) (xy 60.373749 81.656712) (xy 60.575367 81.656888)
+        (xy 60.761704 81.579895) (xy 60.904394 81.437454) (xy 60.981712 81.251251) (xy 60.981888 81.049633) (xy 60.981888 82.717192)
+        (xy 60.920865 82.71728) (xy 60.775115 82.86303) (xy 60.775115 83.45014) (xy 60.85442 83.45014) (xy 60.85442 83.74986)
+        (xy 60.775115 83.74986) (xy 60.775115 84.33697) (xy 60.920865 84.48272) (xy 60.990267 84.48282) (xy 61.204621 84.394251)
+        (xy 61.368764 84.230394) (xy 61.390547 84.177933) (xy 61.430285 84.177968) (xy 61.829065 84.177968) (xy 61.931352 84.135704)
+        (xy 62.009679 84.057513) (xy 62.042612 83.9782) (xy 62.1 83.9782) (xy 62.244731 83.949411) (xy 62.367428 83.867428)
+        (xy 62.52803 83.706824) (xy 62.600367 83.706888) (xy 62.670813 83.67778) (xy 62.685686 83.67778) (xy 62.742487 83.734679)
+        (xy 62.8447 83.777122) (xy 62.955375 83.777218) (xy 63.554815 83.777218) (xy 63.657102 83.734954) (xy 63.735429 83.656763)
+        (xy 63.777872 83.55455) (xy 63.777968 83.443875) (xy 63.777968 83.115533) (xy 63.830394 83.093764) (xy 63.994251 82.929621)
+        (xy 64.08282 82.715267) (xy 64.08282 86.013716) (xy 60.575725 85.944038) (xy 60.575725 84.33697) (xy 60.575725 83.74986)
+        (xy 60.575725 83.45014) (xy 60.575725 82.86303) (xy 60.429975 82.71728) (xy 60.360573 82.71718) (xy 60.146219 82.805749)
+        (xy 59.982076 82.969606) (xy 59.893132 83.183806) (xy 59.89293 83.415737) (xy 59.89303 83.30439) (xy 60.03878 83.45014)
+        (xy 60.575725 83.45014) (xy 60.575725 83.74986) (xy 60.03878 83.74986) (xy 59.931909 83.85673) (xy 59.931868 83.808806)
+        (xy 59.842924 83.594606) (xy 59.706888 83.458807) (xy 59.706888 81.899633) (xy 59.629895 81.713296) (xy 59.531888 81.615117)
+        (xy 59.531888 80.574633) (xy 59.454895 80.388296) (xy 59.312454 80.245606) (xy 59.126251 80.168288) (xy 58.924633 80.168112)
+        (xy 58.738296 80.245105) (xy 58.595606 80.387546) (xy 58.518288 80.573749) (xy 58.518112 80.775367) (xy 58.595105 80.961704)
+        (xy 58.737546 81.104394) (xy 58.923749 81.181712) (xy 59.125367 81.181888) (xy 59.311704 81.104895) (xy 59.454394 80.962454)
+        (xy 59.531712 80.776251) (xy 59.531888 80.574633) (xy 59.531888 81.615117) (xy 59.487454 81.570606) (xy 59.301251 81.493288)
+        (xy 59.099633 81.493112) (xy 58.913296 81.570105) (xy 58.770606 81.712546) (xy 58.693288 81.898749) (xy 58.693112 82.100367)
+        (xy 58.770105 82.286704) (xy 58.912546 82.429394) (xy 59.098749 82.506712) (xy 59.300367 82.506888) (xy 59.486704 82.429895)
+        (xy 59.629394 82.287454) (xy 59.706712 82.101251) (xy 59.706888 81.899633) (xy 59.706888 83.458807) (xy 59.678781 83.430749)
+        (xy 59.464427 83.34218) (xy 59.395025 83.34228) (xy 59.249275 83.48803) (xy 59.249275 84.07514) (xy 59.78622 84.07514)
+        (xy 59.89309 83.968269) (xy 59.893132 84.016194) (xy 59.982076 84.230394) (xy 60.146219 84.394251) (xy 60.360573 84.48282)
+        (xy 60.429975 84.48272) (xy 60.575725 84.33697) (xy 60.575725 85.944038) (xy 59.93207 85.93125) (xy 59.876575 85.930148)
+        (xy 59.822261 85.571015) (xy 59.800497 85.510729) (xy 59.787993 85.447867) (xy 59.765826 85.414692) (xy 59.75228 85.377168)
+        (xy 59.709104 85.329801) (xy 59.673494 85.276506) (xy 59.64032 85.25434) (xy 59.613444 85.224855) (xy 59.555425 85.197615)
+        (xy 59.502133 85.162007) (xy 59.463001 85.154223) (xy 59.426888 85.137268) (xy 59.362864 85.134304) (xy 59.3 85.1218)
+        (xy 59.207748 85.1218) (xy 59.207748 85.10772) (xy 59.395025 85.10772) (xy 59.464427 85.10782) (xy 59.678781 85.019251)
+        (xy 59.842924 84.855394) (xy 59.931868 84.641194) (xy 59.93207 84.409263) (xy 59.93197 84.52061) (xy 59.78622 84.37486)
+        (xy 59.249275 84.37486) (xy 59.249275 84.404) (xy 59.049885 84.404) (xy 59.049885 84.37486) (xy 58.97058 84.37486)
+        (xy 58.97058 84.07514) (xy 59.049885 84.07514) (xy 59.049885 83.48803) (xy 58.906888 83.345033) (xy 58.906888 82.699633)
+        (xy 58.829895 82.513296) (xy 58.687454 82.370606) (xy 58.506888 82.295628) (xy 58.506888 80.524633) (xy 58.429895 80.338296)
+        (xy 58.287454 80.195606) (xy 58.101251 80.118288) (xy 57.899633 80.118112) (xy 57.713296 80.195105) (xy 57.570606 80.337546)
+        (xy 57.493288 80.523749) (xy 57.493112 80.725367) (xy 57.570105 80.911704) (xy 57.712546 81.054394) (xy 57.898749 81.131712)
+        (xy 58.100367 81.131888) (xy 58.286704 81.054895) (xy 58.429394 80.912454) (xy 58.506712 80.726251) (xy 58.506888 80.524633)
+        (xy 58.506888 82.295628) (xy 58.501251 82.293288) (xy 58.299633 82.293112) (xy 58.113296 82.370105) (xy 57.970606 82.512546)
+        (xy 57.893288 82.698749) (xy 57.893112 82.900367) (xy 57.970105 83.086704) (xy 58.112546 83.229394) (xy 58.298749 83.306712)
+        (xy 58.500367 83.306888) (xy 58.686704 83.229895) (xy 58.829394 83.087454) (xy 58.906712 82.901251) (xy 58.906888 82.699633)
+        (xy 58.906888 83.345033) (xy 58.904135 83.34228) (xy 58.834733 83.34218) (xy 58.620379 83.430749) (xy 58.456236 83.594606)
+        (xy 58.434452 83.647066) (xy 58.394715 83.647032) (xy 58.281887 83.647032) (xy 58.106824 83.471969) (xy 58.106888 83.399633)
+        (xy 58.029895 83.213296) (xy 57.887454 83.070606) (xy 57.701251 82.993288) (xy 57.499633 82.993112) (xy 57.313296 83.070105)
+        (xy 57.170606 83.212546) (xy 57.093288 83.398749) (xy 57.093112 83.600367) (xy 57.170105 83.786704) (xy 57.312546 83.929394)
+        (xy 57.498749 84.006712) (xy 57.57192 84.006775) (xy 57.772782 84.207637) (xy 57.772782 84.579815) (xy 57.802009 84.650551)
+        (xy 57.735311 84.583969) (xy 57.520957 84.4954) (xy 57.3925 84.4955) (xy 57.24675 84.64125) (xy 57.24675 85.471)
+        (xy 57.84275 85.471) (xy 57.9885 85.32525) (xy 57.9886 85.193957) (xy 57.988398 84.962026) (xy 57.907072 84.766174)
+        (xy 57.99545 84.802872) (xy 58.106125 84.802968) (xy 58.232831 84.802968) (xy 58.137118 84.842516) (xy 58.058791 84.920707)
+        (xy 58.016348 85.02292) (xy 58.016252 85.133595) (xy 58.016252 85.893188) (xy 57.904722 85.890972) (xy 57.84275 85.829)
+        (xy 57.342 85.829) (xy 57.24675 85.829) (xy 56.92925 85.829) (xy 56.92925 85.471) (xy 56.92925 84.64125)
+        (xy 56.7835 84.4955) (xy 56.655043 84.4954) (xy 56.440689 84.583969) (xy 56.276546 84.747826) (xy 56.187602 84.962026)
+        (xy 56.1874 85.193957) (xy 56.1875 85.32525) (xy 56.33325 85.471) (xy 56.92925 85.471) (xy 56.92925 85.829)
+        (xy 56.834 85.829) (xy 56.33325 85.829) (xy 56.303097 85.859152) (xy 56.074868 85.854618) (xy 55.99925 85.779)
+        (xy 55.054 85.779) (xy 54.979 85.779) (xy 54.621 85.779) (xy 54.546 85.779) (xy 53.60075 85.779)
+        (xy 53.574802 85.804947) (xy 52.325725 85.78013) (xy 52.325725 85.13697) (xy 52.325725 84.54986) (xy 51.78878 84.54986)
+        (xy 51.64303 84.69561) (xy 51.64293 84.584263) (xy 51.643132 84.816194) (xy 51.732076 85.030394) (xy 51.896219 85.194251)
+        (xy 52.110573 85.28282) (xy 52.179975 85.28272) (xy 52.325725 85.13697) (xy 52.325725 85.78013) (xy 51.45707 85.762872)
+        (xy 51.45707 84.609263) (xy 51.45697 84.72061) (xy 51.31122 84.57486) (xy 50.774275 84.57486) (xy 50.774275 85.16197)
+        (xy 50.920025 85.30772) (xy 50.989427 85.30782) (xy 51.203781 85.219251) (xy 51.367924 85.055394) (xy 51.456868 84.841194)
+        (xy 51.45707 84.609263) (xy 51.45707 85.762872) (xy 50.649885 85.746836) (xy 50.649885 76.41197) (xy 50.649885 75.82486)
+        (xy 50.57058 75.82486) (xy 50.57058 75.52514) (xy 50.649885 75.52514) (xy 50.649885 74.93803) (xy 50.504135 74.79228)
+        (xy 50.434733 74.79218) (xy 50.220379 74.880749) (xy 50.056236 75.044606) (xy 50.034452 75.097066) (xy 49.994715 75.097032)
+        (xy 49.595935 75.097032) (xy 49.493648 75.139296) (xy 49.415321 75.217487) (xy 49.372878 75.3197) (xy 49.372782 75.430375)
+        (xy 49.372782 76.029815) (xy 49.415046 76.132102) (xy 49.42222 76.139288) (xy 49.42222 76.20042) (xy 49.454815 76.364285)
+        (xy 49.493137 76.421638) (xy 49.493112 76.450367) (xy 49.570105 76.636704) (xy 49.712546 76.779394) (xy 49.898749 76.856712)
+        (xy 50.100367 76.856888) (xy 50.286704 76.779895) (xy 50.429394 76.637454) (xy 50.462477 76.55778) (xy 50.504135 76.55772)
+        (xy 50.649885 76.41197) (xy 50.649885 85.746836) (xy 50.574885 85.745346) (xy 50.574885 85.16197) (xy 50.574885 84.57486)
+        (xy 50.49558 84.57486) (xy 50.49558 84.27514) (xy 50.574885 84.27514) (xy 50.574885 83.68803) (xy 50.429135 83.54228)
+        (xy 50.359733 83.54218) (xy 50.145379 83.630749) (xy 50.106739 83.66932) (xy 50.106888 83.499633) (xy 50.029895 83.313296)
+        (xy 49.887454 83.170606) (xy 49.701251 83.093288) (xy 49.499633 83.093112) (xy 49.356888 83.152093) (xy 49.356888 81.499633)
+        (xy 49.281888 81.318119) (xy 49.281888 75.599633) (xy 49.204895 75.413296) (xy 49.062454 75.270606) (xy 48.876251 75.193288)
+        (xy 48.731888 75.193161) (xy 48.731888 74.299633) (xy 48.654895 74.113296) (xy 48.512454 73.970606) (xy 48.346888 73.901857)
+        (xy 48.346888 71.099633) (xy 48.269895 70.913296) (xy 48.127454 70.770606) (xy 47.941251 70.693288) (xy 47.739633 70.693112)
+        (xy 47.553296 70.770105) (xy 47.410606 70.912546) (xy 47.406888 70.921499) (xy 47.406888 68.699633) (xy 47.329895 68.513296)
+        (xy 47.187454 68.370606) (xy 47.001251 68.293288) (xy 46.799633 68.293112) (xy 46.681888 68.341763) (xy 46.681888 60.299633)
+        (xy 46.604895 60.113296) (xy 46.556888 60.065205) (xy 46.556888 56.749633) (xy 46.479895 56.563296) (xy 46.337454 56.420606)
+        (xy 46.151251 56.343288) (xy 46.081888 56.343227) (xy 46.081888 55.499633) (xy 46.004895 55.313296) (xy 45.862454 55.170606)
+        (xy 45.676251 55.093288) (xy 45.474633 55.093112) (xy 45.288296 55.170105) (xy 45.145606 55.312546) (xy 45.068288 55.498749)
+        (xy 45.068112 55.700367) (xy 45.145105 55.886704) (xy 45.287546 56.029394) (xy 45.473749 56.106712) (xy 45.675367 56.106888)
+        (xy 45.861704 56.029895) (xy 46.004394 55.887454) (xy 46.081712 55.701251) (xy 46.081888 55.499633) (xy 46.081888 56.343227)
+        (xy 45.949633 56.343112) (xy 45.763296 56.420105) (xy 45.620606 56.562546) (xy 45.543288 56.748749) (xy 45.543112 56.950367)
+        (xy 45.620105 57.136704) (xy 45.762546 57.279394) (xy 45.948749 57.356712) (xy 46.150367 57.356888) (xy 46.336704 57.279895)
+        (xy 46.479394 57.137454) (xy 46.556712 56.951251) (xy 46.556888 56.749633) (xy 46.556888 60.065205) (xy 46.462454 59.970606)
+        (xy 46.276251 59.893288) (xy 46.074633 59.893112) (xy 45.888296 59.970105) (xy 45.745606 60.112546) (xy 45.668288 60.298749)
+        (xy 45.668112 60.500367) (xy 45.745105 60.686704) (xy 45.887546 60.829394) (xy 46.073749 60.906712) (xy 46.275367 60.906888)
+        (xy 46.461704 60.829895) (xy 46.604394 60.687454) (xy 46.681712 60.501251) (xy 46.681888 60.299633) (xy 46.681888 68.341763)
+        (xy 46.613296 68.370105) (xy 46.470606 68.512546) (xy 46.393288 68.698749) (xy 46.393112 68.900367) (xy 46.470105 69.086704)
+        (xy 46.612546 69.229394) (xy 46.798749 69.306712) (xy 47.000367 69.306888) (xy 47.186704 69.229895) (xy 47.329394 69.087454)
+        (xy 47.406712 68.901251) (xy 47.406888 68.699633) (xy 47.406888 70.921499) (xy 47.333288 71.098749) (xy 47.333112 71.300367)
+        (xy 47.410105 71.486704) (xy 47.552546 71.629394) (xy 47.738749 71.706712) (xy 47.940367 71.706888) (xy 48.126704 71.629895)
+        (xy 48.269394 71.487454) (xy 48.346712 71.301251) (xy 48.346888 71.099633) (xy 48.346888 73.901857) (xy 48.326251 73.893288)
+        (xy 48.124633 73.893112) (xy 47.938296 73.970105) (xy 47.795606 74.112546) (xy 47.718288 74.298749) (xy 47.718112 74.500367)
+        (xy 47.795105 74.686704) (xy 47.937546 74.829394) (xy 48.123749 74.906712) (xy 48.325367 74.906888) (xy 48.511704 74.829895)
+        (xy 48.654394 74.687454) (xy 48.731712 74.501251) (xy 48.731888 74.299633) (xy 48.731888 75.193161) (xy 48.674633 75.193112)
+        (xy 48.488296 75.270105) (xy 48.345606 75.412546) (xy 48.291803 75.542116) (xy 48.279895 75.513296) (xy 48.137454 75.370606)
+        (xy 47.951251 75.293288) (xy 47.749633 75.293112) (xy 47.563296 75.370105) (xy 47.420606 75.512546) (xy 47.343288 75.698749)
+        (xy 47.343112 75.900367) (xy 47.420105 76.086704) (xy 47.562546 76.229394) (xy 47.748749 76.306712) (xy 47.950367 76.306888)
+        (xy 48.136704 76.229895) (xy 48.279394 76.087454) (xy 48.333196 75.957883) (xy 48.345105 75.986704) (xy 48.487546 76.129394)
+        (xy 48.673749 76.206712) (xy 48.875367 76.206888) (xy 49.061704 76.129895) (xy 49.204394 75.987454) (xy 49.281712 75.801251)
+        (xy 49.281888 75.599633) (xy 49.281888 81.318119) (xy 49.279895 81.313296) (xy 49.137454 81.170606) (xy 49.056888 81.137152)
+        (xy 49.056888 77.174633) (xy 48.979895 76.988296) (xy 48.837454 76.845606) (xy 48.651251 76.768288) (xy 48.449633 76.768112)
+        (xy 48.263296 76.845105) (xy 48.120606 76.987546) (xy 48.043288 77.173749) (xy 48.043112 77.375367) (xy 48.120105 77.561704)
+        (xy 48.262546 77.704394) (xy 48.448749 77.781712) (xy 48.650367 77.781888) (xy 48.836704 77.704895) (xy 48.979394 77.562454)
+        (xy 49.056712 77.376251) (xy 49.056888 77.174633) (xy 49.056888 81.137152) (xy 48.951251 81.093288) (xy 48.749633 81.093112)
+        (xy 48.563296 81.170105) (xy 48.420606 81.312546) (xy 48.343288 81.498749) (xy 48.343112 81.700367) (xy 48.420105 81.886704)
+        (xy 48.562546 82.029394) (xy 48.748749 82.106712) (xy 48.950367 82.106888) (xy 49.136704 82.029895) (xy 49.279394 81.887454)
+        (xy 49.356712 81.701251) (xy 49.356888 81.499633) (xy 49.356888 83.152093) (xy 49.313296 83.170105) (xy 49.170606 83.312546)
+        (xy 49.093288 83.498749) (xy 49.093112 83.700367) (xy 49.170105 83.886704) (xy 49.1718 83.888401) (xy 49.1718 84.24958)
+        (xy 49.204395 84.413445) (xy 49.297217 84.552363) (xy 49.297782 84.552928) (xy 49.297782 84.779815) (xy 49.340046 84.882102)
+        (xy 49.418237 84.960429) (xy 49.52045 85.002872) (xy 49.631125 85.002968) (xy 49.959466 85.002968) (xy 49.981236 85.055394)
+        (xy 50.145379 85.219251) (xy 50.359733 85.30782) (xy 50.429135 85.30772) (xy 50.574885 85.16197) (xy 50.574885 85.745346)
+        (xy 48.331888 85.700783) (xy 48.331888 80.374633) (xy 48.254895 80.188296) (xy 48.112454 80.045606) (xy 47.926251 79.968288)
+        (xy 47.724633 79.968112) (xy 47.538296 80.045105) (xy 47.395606 80.187546) (xy 47.318288 80.373749) (xy 47.318112 80.575367)
+        (xy 47.395105 80.761704) (xy 47.537546 80.904394) (xy 47.723749 80.981712) (xy 47.925367 80.981888) (xy 48.111704 80.904895)
+        (xy 48.254394 80.762454) (xy 48.331712 80.576251) (xy 48.331888 80.374633) (xy 48.331888 85.700783) (xy 47.506888 85.684392)
+        (xy 47.506888 81.999633) (xy 47.429895 81.813296) (xy 47.287454 81.670606) (xy 47.101251 81.593288) (xy 46.899633 81.593112)
+        (xy 46.713296 81.670105) (xy 46.570606 81.812546) (xy 46.556888 81.845582) (xy 46.556888 71.924633) (xy 46.479895 71.738296)
+        (xy 46.337454 71.595606) (xy 46.151251 71.518288) (xy 45.956888 71.518118) (xy 45.956888 70.299633) (xy 45.879895 70.113296)
+        (xy 45.737454 69.970606) (xy 45.551251 69.893288) (xy 45.406888 69.893161) (xy 45.406888 56.559633) (xy 45.329895 56.373296)
+        (xy 45.187454 56.230606) (xy 45.001251 56.153288) (xy 44.799633 56.153112) (xy 44.613296 56.230105) (xy 44.470606 56.372546)
+        (xy 44.393288 56.558749) (xy 44.393112 56.760367) (xy 44.470105 56.946704) (xy 44.612546 57.089394) (xy 44.798749 57.166712)
+        (xy 45.000367 57.166888) (xy 45.186704 57.089895) (xy 45.329394 56.947454) (xy 45.406712 56.761251) (xy 45.406888 56.559633)
+        (xy 45.406888 69.893161) (xy 45.349633 69.893112) (xy 45.163296 69.970105) (xy 45.020606 70.112546) (xy 45.006888 70.145582)
+        (xy 45.006888 69.499633) (xy 44.929895 69.313296) (xy 44.787454 69.170606) (xy 44.601251 69.093288) (xy 44.399633 69.093112)
+        (xy 44.30686 69.131444) (xy 44.306888 69.099633) (xy 44.229895 68.913296) (xy 44.202142 68.885494) (xy 44.336704 68.829895)
+        (xy 44.479394 68.687454) (xy 44.556712 68.501251) (xy 44.556888 68.299633) (xy 44.496888 68.154422) (xy 44.496888 61.719633)
+        (xy 44.419895 61.533296) (xy 44.277454 61.390606) (xy 44.091251 61.313288) (xy 43.931888 61.313148) (xy 43.931888 58.674633)
+        (xy 43.854895 58.488296) (xy 43.781888 58.415161) (xy 43.781888 56.799633) (xy 43.704895 56.613296) (xy 43.562454 56.470606)
+        (xy 43.376251 56.393288) (xy 43.174633 56.393112) (xy 42.988296 56.470105) (xy 42.845606 56.612546) (xy 42.768288 56.798749)
+        (xy 42.768112 57.000367) (xy 42.845105 57.186704) (xy 42.987546 57.329394) (xy 43.173749 57.406712) (xy 43.375367 57.406888)
+        (xy 43.561704 57.329895) (xy 43.704394 57.187454) (xy 43.781712 57.001251) (xy 43.781888 56.799633) (xy 43.781888 58.415161)
+        (xy 43.712454 58.345606) (xy 43.526251 58.268288) (xy 43.324633 58.268112) (xy 43.146888 58.341554) (xy 43.146888 57.849633)
+        (xy 43.069895 57.663296) (xy 42.927454 57.520606) (xy 42.741251 57.443288) (xy 42.539633 57.443112) (xy 42.353296 57.520105)
+        (xy 42.210606 57.662546) (xy 42.133288 57.848749) (xy 42.133241 57.902333) (xy 42.051251 57.868288) (xy 41.849633 57.868112)
+        (xy 41.756888 57.906433) (xy 41.756888 55.929633) (xy 41.679895 55.743296) (xy 41.537454 55.600606) (xy 41.351251 55.523288)
+        (xy 41.149633 55.523112) (xy 40.963296 55.600105) (xy 40.820606 55.742546) (xy 40.743288 55.928749) (xy 40.743112 56.130367)
+        (xy 40.820105 56.316704) (xy 40.962546 56.459394) (xy 41.148749 56.536712) (xy 41.350367 56.536888) (xy 41.536704 56.459895)
+        (xy 41.679394 56.317454) (xy 41.756712 56.131251) (xy 41.756888 55.929633) (xy 41.756888 57.906433) (xy 41.663296 57.945105)
+        (xy 41.520606 58.087546) (xy 41.443288 58.273749) (xy 41.443112 58.475367) (xy 41.520105 58.661704) (xy 41.662546 58.804394)
+        (xy 41.848749 58.881712) (xy 42.050367 58.881888) (xy 42.236704 58.804895) (xy 42.379394 58.662454) (xy 42.456712 58.476251)
+        (xy 42.456758 58.422666) (xy 42.538749 58.456712) (xy 42.740367 58.456888) (xy 42.926704 58.379895) (xy 43.069394 58.237454)
+        (xy 43.146712 58.051251) (xy 43.146888 57.849633) (xy 43.146888 58.341554) (xy 43.138296 58.345105) (xy 42.995606 58.487546)
+        (xy 42.918288 58.673749) (xy 42.918112 58.875367) (xy 42.995105 59.061704) (xy 43.137546 59.204394) (xy 43.323749 59.281712)
+        (xy 43.525367 59.281888) (xy 43.711704 59.204895) (xy 43.854394 59.062454) (xy 43.931712 58.876251) (xy 43.931888 58.674633)
+        (xy 43.931888 61.313148) (xy 43.889633 61.313112) (xy 43.703296 61.390105) (xy 43.560606 61.532546) (xy 43.483288 61.718749)
+        (xy 43.483112 61.920367) (xy 43.560105 62.106704) (xy 43.702546 62.249394) (xy 43.888749 62.326712) (xy 44.090367 62.326888)
+        (xy 44.276704 62.249895) (xy 44.419394 62.107454) (xy 44.496712 61.921251) (xy 44.496888 61.719633) (xy 44.496888 68.154422)
+        (xy 44.479895 68.113296) (xy 44.337454 67.970606) (xy 44.151251 67.893288) (xy 43.949633 67.893112) (xy 43.763296 67.970105)
+        (xy 43.620606 68.112546) (xy 43.543288 68.298749) (xy 43.543112 68.500367) (xy 43.620105 68.686704) (xy 43.647857 68.714505)
+        (xy 43.531888 68.762422) (xy 43.531888 67.899633) (xy 43.454895 67.713296) (xy 43.312454 67.570606) (xy 43.186888 67.518466)
+        (xy 43.186888 60.299633) (xy 43.109895 60.113296) (xy 42.967454 59.970606) (xy 42.781251 59.893288) (xy 42.579633 59.893112)
+        (xy 42.484242 59.932526) (xy 42.529394 59.887454) (xy 42.606712 59.701251) (xy 42.606888 59.499633) (xy 42.529895 59.313296)
+        (xy 42.387454 59.170606) (xy 42.201251 59.093288) (xy 41.999633 59.093112) (xy 41.813296 59.170105) (xy 41.670606 59.312546)
+        (xy 41.593288 59.498749) (xy 41.593112 59.700367) (xy 41.670105 59.886704) (xy 41.812546 60.029394) (xy 41.998749 60.106712)
+        (xy 42.200367 60.106888) (xy 42.295757 60.067473) (xy 42.250606 60.112546) (xy 42.173288 60.298749) (xy 42.173112 60.500367)
+        (xy 42.250105 60.686704) (xy 42.392546 60.829394) (xy 42.578749 60.906712) (xy 42.780367 60.906888) (xy 42.966704 60.829895)
+        (xy 43.109394 60.687454) (xy 43.186712 60.501251) (xy 43.186888 60.299633) (xy 43.186888 67.518466) (xy 43.126251 67.493288)
+        (xy 42.924633 67.493112) (xy 42.738296 67.570105) (xy 42.595606 67.712546) (xy 42.518288 67.898749) (xy 42.518112 68.100367)
+        (xy 42.595105 68.286704) (xy 42.737546 68.429394) (xy 42.923749 68.506712) (xy 43.125367 68.506888) (xy 43.311704 68.429895)
+        (xy 43.454394 68.287454) (xy 43.531712 68.101251) (xy 43.531888 67.899633) (xy 43.531888 68.762422) (xy 43.513296 68.770105)
+        (xy 43.370606 68.912546) (xy 43.293288 69.098749) (xy 43.293112 69.300367) (xy 43.370105 69.486704) (xy 43.512546 69.629394)
+        (xy 43.698749 69.706712) (xy 43.900367 69.706888) (xy 43.993139 69.668555) (xy 43.993112 69.700367) (xy 44.070105 69.886704)
+        (xy 44.212546 70.029394) (xy 44.398749 70.106712) (xy 44.600367 70.106888) (xy 44.786704 70.029895) (xy 44.929394 69.887454)
+        (xy 45.006712 69.701251) (xy 45.006888 69.499633) (xy 45.006888 70.145582) (xy 44.943288 70.298749) (xy 44.943112 70.500367)
+        (xy 45.020105 70.686704) (xy 45.162546 70.829394) (xy 45.348749 70.906712) (xy 45.550367 70.906888) (xy 45.736704 70.829895)
+        (xy 45.879394 70.687454) (xy 45.956712 70.501251) (xy 45.956888 70.299633) (xy 45.956888 71.518118) (xy 45.949633 71.518112)
+        (xy 45.763296 71.595105) (xy 45.620606 71.737546) (xy 45.543288 71.923749) (xy 45.543112 72.125367) (xy 45.612436 72.293145)
+        (xy 45.574633 72.293112) (xy 45.388296 72.370105) (xy 45.245606 72.512546) (xy 45.168288 72.698749) (xy 45.168112 72.900367)
+        (xy 45.245105 73.086704) (xy 45.387546 73.229394) (xy 45.573749 73.306712) (xy 45.775367 73.306888) (xy 45.961704 73.229895)
+        (xy 46.104394 73.087454) (xy 46.181712 72.901251) (xy 46.181888 72.699633) (xy 46.112563 72.531854) (xy 46.150367 72.531888)
+        (xy 46.336704 72.454895) (xy 46.479394 72.312454) (xy 46.556712 72.126251) (xy 46.556888 71.924633) (xy 46.556888 81.845582)
+        (xy 46.506888 81.965996) (xy 46.506888 78.924633) (xy 46.429895 78.738296) (xy 46.287454 78.595606) (xy 46.101251 78.518288)
+        (xy 45.899633 78.518112) (xy 45.713296 78.595105) (xy 45.570606 78.737546) (xy 45.493288 78.923749) (xy 45.493112 79.125367)
+        (xy 45.570105 79.311704) (xy 45.712546 79.454394) (xy 45.898749 79.531712) (xy 46.100367 79.531888) (xy 46.286704 79.454895)
+        (xy 46.429394 79.312454) (xy 46.506712 79.126251) (xy 46.506888 78.924633) (xy 46.506888 81.965996) (xy 46.493288 81.998749)
+        (xy 46.493112 82.200367) (xy 46.570105 82.386704) (xy 46.712546 82.529394) (xy 46.898749 82.606712) (xy 47.100367 82.606888)
+        (xy 47.286704 82.529895) (xy 47.429394 82.387454) (xy 47.506712 82.201251) (xy 47.506888 81.999633) (xy 47.506888 85.684392)
+        (xy 45.781888 85.650121) (xy 45.781888 80.349633) (xy 45.704895 80.163296) (xy 45.562454 80.020606) (xy 45.533276 80.00849)
+        (xy 45.579394 79.962454) (xy 45.656712 79.776251) (xy 45.656888 79.574633) (xy 45.579895 79.388296) (xy 45.437454 79.245606)
+        (xy 45.251251 79.168288) (xy 45.049633 79.168112) (xy 44.863296 79.245105) (xy 44.720606 79.387546) (xy 44.643288 79.573749)
+        (xy 44.643112 79.775367) (xy 44.720105 79.961704) (xy 44.862546 80.104394) (xy 44.891723 80.116509) (xy 44.845606 80.162546)
+        (xy 44.768288 80.348749) (xy 44.768112 80.550367) (xy 44.845105 80.736704) (xy 44.987546 80.879394) (xy 45.173749 80.956712)
+        (xy 45.375367 80.956888) (xy 45.561704 80.879895) (xy 45.704394 80.737454) (xy 45.781712 80.551251) (xy 45.781888 80.349633)
+        (xy 45.781888 85.650121) (xy 44.881888 85.63224) (xy 44.881888 81.199633) (xy 44.804895 81.013296) (xy 44.662454 80.870606)
+        (xy 44.476251 80.793288) (xy 44.281888 80.793118) (xy 44.281888 77.974633) (xy 44.204895 77.788296) (xy 44.106888 77.690117)
+        (xy 44.106888 71.499633) (xy 44.029895 71.313296) (xy 43.887454 71.170606) (xy 43.701251 71.093288) (xy 43.499633 71.093112)
+        (xy 43.313296 71.170105) (xy 43.170606 71.312546) (xy 43.093288 71.498749) (xy 43.093112 71.700367) (xy 43.170105 71.886704)
+        (xy 43.312546 72.029394) (xy 43.498749 72.106712) (xy 43.700367 72.106888) (xy 43.886704 72.029895) (xy 44.029394 71.887454)
+        (xy 44.106712 71.701251) (xy 44.106888 71.499633) (xy 44.106888 77.690117) (xy 44.062454 77.645606) (xy 43.876251 77.568288)
+        (xy 43.674633 77.568112) (xy 43.488296 77.645105) (xy 43.345606 77.787546) (xy 43.268288 77.973749) (xy 43.268112 78.175367)
+        (xy 43.345105 78.361704) (xy 43.487546 78.504394) (xy 43.673749 78.581712) (xy 43.875367 78.581888) (xy 44.061704 78.504895)
+        (xy 44.204394 78.362454) (xy 44.281712 78.176251) (xy 44.281888 77.974633) (xy 44.281888 80.793118) (xy 44.274633 80.793112)
+        (xy 44.088296 80.870105) (xy 43.945606 81.012546) (xy 43.868288 81.198749) (xy 43.868112 81.400367) (xy 43.945105 81.586704)
+        (xy 44.087546 81.729394) (xy 44.273749 81.806712) (xy 44.475367 81.806888) (xy 44.661704 81.729895) (xy 44.804394 81.587454)
+        (xy 44.881712 81.401251) (xy 44.881888 81.199633) (xy 44.881888 85.63224) (xy 44.081888 85.616346) (xy 44.081888 82.349633)
+        (xy 44.004895 82.163296) (xy 43.862454 82.020606) (xy 43.676251 81.943288) (xy 43.474633 81.943112) (xy 43.406888 81.971103)
+        (xy 43.406888 80.774633) (xy 43.329895 80.588296) (xy 43.187454 80.445606) (xy 43.001251 80.368288) (xy 42.799633 80.368112)
+        (xy 42.681888 80.416763) (xy 42.681888 70.199633) (xy 42.604895 70.013296) (xy 42.462454 69.870606) (xy 42.276251 69.793288)
+        (xy 42.074633 69.793112) (xy 41.931888 69.852093) (xy 41.931888 67.224633) (xy 41.854895 67.038296) (xy 41.712454 66.895606)
+        (xy 41.526251 66.818288) (xy 41.324633 66.818112) (xy 41.138296 66.895105) (xy 41.006888 67.026283) (xy 41.006888 61.899633)
+        (xy 40.929895 61.713296) (xy 40.787454 61.570606) (xy 40.601251 61.493288) (xy 40.399633 61.493112) (xy 40.213296 61.570105)
+        (xy 40.070606 61.712546) (xy 39.993288 61.898749) (xy 39.993112 62.100367) (xy 40.070105 62.286704) (xy 40.212546 62.429394)
+        (xy 40.398749 62.506712) (xy 40.600367 62.506888) (xy 40.786704 62.429895) (xy 40.929394 62.287454) (xy 41.006712 62.101251)
+        (xy 41.006888 61.899633) (xy 41.006888 67.026283) (xy 40.995606 67.037546) (xy 40.946888 67.154872) (xy 40.946888 65.149633)
+        (xy 40.869895 64.963296) (xy 40.727454 64.820606) (xy 40.541251 64.743288) (xy 40.516888 64.743266) (xy 40.516888 63.989633)
+        (xy 40.481888 63.904926) (xy 40.481888 62.899633) (xy 40.404895 62.713296) (xy 40.262454 62.570606) (xy 40.076251 62.493288)
+        (xy 39.874633 62.493112) (xy 39.688296 62.570105) (xy 39.545606 62.712546) (xy 39.468288 62.898749) (xy 39.468112 63.100367)
+        (xy 39.545105 63.286704) (xy 39.687546 63.429394) (xy 39.873749 63.506712) (xy 40.075367 63.506888) (xy 40.261704 63.429895)
+        (xy 40.404394 63.287454) (xy 40.481712 63.101251) (xy 40.481888 62.899633) (xy 40.481888 63.904926) (xy 40.439895 63.803296)
+        (xy 40.297454 63.660606) (xy 40.111251 63.583288) (xy 39.909633 63.583112) (xy 39.723296 63.660105) (xy 39.580606 63.802546)
+        (xy 39.503288 63.988749) (xy 39.503112 64.190367) (xy 39.580105 64.376704) (xy 39.722546 64.519394) (xy 39.908749 64.596712)
+        (xy 40.110367 64.596888) (xy 40.296704 64.519895) (xy 40.439394 64.377454) (xy 40.516712 64.191251) (xy 40.516888 63.989633)
+        (xy 40.516888 64.743266) (xy 40.339633 64.743112) (xy 40.153296 64.820105) (xy 40.010606 64.962546) (xy 39.933288 65.148749)
+        (xy 39.933112 65.350367) (xy 40.010105 65.536704) (xy 40.152546 65.679394) (xy 40.338749 65.756712) (xy 40.540367 65.756888)
+        (xy 40.726704 65.679895) (xy 40.869394 65.537454) (xy 40.946712 65.351251) (xy 40.946888 65.149633) (xy 40.946888 67.154872)
+        (xy 40.918288 67.223749) (xy 40.918112 67.425367) (xy 40.995105 67.611704) (xy 41.137546 67.754394) (xy 41.323749 67.831712)
+        (xy 41.525367 67.831888) (xy 41.711704 67.754895) (xy 41.854394 67.612454) (xy 41.931712 67.426251) (xy 41.931888 67.224633)
+        (xy 41.931888 69.852093) (xy 41.888296 69.870105) (xy 41.745606 70.012546) (xy 41.668288 70.198749) (xy 41.668112 70.400367)
+        (xy 41.745105 70.586704) (xy 41.887546 70.729394) (xy 42.073749 70.806712) (xy 42.275367 70.806888) (xy 42.461704 70.729895)
+        (xy 42.604394 70.587454) (xy 42.681712 70.401251) (xy 42.681888 70.199633) (xy 42.681888 80.416763) (xy 42.613296 80.445105)
+        (xy 42.470606 80.587546) (xy 42.393288 80.773749) (xy 42.393112 80.975367) (xy 42.470105 81.161704) (xy 42.612546 81.304394)
+        (xy 42.798749 81.381712) (xy 43.000367 81.381888) (xy 43.186704 81.304895) (xy 43.329394 81.162454) (xy 43.406712 80.976251)
+        (xy 43.406888 80.774633) (xy 43.406888 81.971103) (xy 43.288296 82.020105) (xy 43.145606 82.162546) (xy 43.068288 82.348749)
+        (xy 43.068112 82.550367) (xy 43.145105 82.736704) (xy 43.287546 82.879394) (xy 43.473749 82.956712) (xy 43.675367 82.956888)
+        (xy 43.861704 82.879895) (xy 44.004394 82.737454) (xy 44.081712 82.551251) (xy 44.081888 82.349633) (xy 44.081888 85.616346)
+        (xy 42.181888 85.578598) (xy 42.181888 75.624633) (xy 42.104895 75.438296) (xy 42.006888 75.340117) (xy 42.006888 72.949633)
+        (xy 41.929895 72.763296) (xy 41.787454 72.620606) (xy 41.601251 72.543288) (xy 41.399633 72.543112) (xy 41.213296 72.620105)
+        (xy 41.070606 72.762546) (xy 40.993288 72.948749) (xy 40.993112 73.150367) (xy 41.070105 73.336704) (xy 41.212546 73.479394)
+        (xy 41.398749 73.556712) (xy 41.600367 73.556888) (xy 41.786704 73.479895) (xy 41.929394 73.337454) (xy 42.006712 73.151251)
+        (xy 42.006888 72.949633) (xy 42.006888 75.340117) (xy 41.962454 75.295606) (xy 41.776251 75.218288) (xy 41.574633 75.218112)
+        (xy 41.388296 75.295105) (xy 41.245606 75.437546) (xy 41.168288 75.623749) (xy 41.168112 75.825367) (xy 41.245105 76.011704)
+        (xy 41.387546 76.154394) (xy 41.573749 76.231712) (xy 41.775367 76.231888) (xy 41.961704 76.154895) (xy 42.104394 76.012454)
+        (xy 42.181712 75.826251) (xy 42.181888 75.624633) (xy 42.181888 85.578598) (xy 41.931888 85.573631) (xy 41.931888 79.999633)
+        (xy 41.931888 78.374633) (xy 41.854895 78.188296) (xy 41.712454 78.045606) (xy 41.526251 77.968288) (xy 41.324633 77.968112)
+        (xy 41.138296 78.045105) (xy 40.995606 78.187546) (xy 40.918288 78.373749) (xy 40.918112 78.575367) (xy 40.995105 78.761704)
+        (xy 41.137546 78.904394) (xy 41.323749 78.981712) (xy 41.525367 78.981888) (xy 41.711704 78.904895) (xy 41.854394 78.762454)
+        (xy 41.931712 78.576251) (xy 41.931888 78.374633) (xy 41.931888 79.999633) (xy 41.854895 79.813296) (xy 41.712454 79.670606)
+        (xy 41.526251 79.593288) (xy 41.324633 79.593112) (xy 41.138296 79.670105) (xy 41.131888 79.676501) (xy 41.131888 79.149633)
+        (xy 41.054895 78.963296) (xy 40.912454 78.820606) (xy 40.726251 78.743288) (xy 40.524633 78.743112) (xy 40.356888 78.812422)
+        (xy 40.356888 75.899633) (xy 40.279895 75.713296) (xy 40.137454 75.570606) (xy 39.951251 75.493288) (xy 39.749633 75.493112)
+        (xy 39.563296 75.570105) (xy 39.420606 75.712546) (xy 39.343288 75.898749) (xy 39.343112 76.100367) (xy 39.420105 76.286704)
+        (xy 39.562546 76.429394) (xy 39.748749 76.506712) (xy 39.950367 76.506888) (xy 40.136704 76.429895) (xy 40.279394 76.287454)
+        (xy 40.356712 76.101251) (xy 40.356888 75.899633) (xy 40.356888 78.812422) (xy 40.338296 78.820105) (xy 40.195606 78.962546)
+        (xy 40.118288 79.148749) (xy 40.118112 79.350367) (xy 40.182092 79.505212) (xy 40.099275 79.58803) (xy 40.099275 80.17514)
+        (xy 40.63622 80.17514) (xy 40.78197 80.02939) (xy 40.78207 80.140737) (xy 40.781868 79.908806) (xy 40.718783 79.756882)
+        (xy 40.725367 79.756888) (xy 40.911704 79.679895) (xy 41.054394 79.537454) (xy 41.131712 79.351251) (xy 41.131888 79.149633)
+        (xy 41.131888 79.676501) (xy 40.995606 79.812546) (xy 40.918288 79.998749) (xy 40.918112 80.200367) (xy 40.995105 80.386704)
+        (xy 41.137546 80.529394) (xy 41.323749 80.606712) (xy 41.525367 80.606888) (xy 41.711704 80.529895) (xy 41.854394 80.387454)
+        (xy 41.931712 80.201251) (xy 41.931888 79.999633) (xy 41.931888 85.573631) (xy 41.684718 85.56872) (xy 41.684718 83.639422)
+        (xy 41.580714 83.387712) (xy 41.388301 83.194963) (xy 41.136773 83.090519) (xy 40.864422 83.090282) (xy 40.762529 83.132383)
+        (xy 40.502218 82.872072) (xy 40.502218 82.645185) (xy 40.468021 82.562422) (xy 40.502122 82.4803) (xy 40.502218 82.369625)
+        (xy 40.502218 82.030226) (xy 40.528781 82.019251) (xy 40.692924 81.855394) (xy 40.781868 81.641194) (xy 40.78207 81.409263)
+        (xy 40.78207 81.040737) (xy 40.781868 80.808806) (xy 40.76783 80.775) (xy 40.781868 80.741194) (xy 40.78207 80.509263)
+        (xy 40.78197 80.62061) (xy 40.63622 80.47486) (xy 40.572968 80.47486) (xy 40.528781 80.430749) (xy 40.314427 80.34218)
+        (xy 40.245025 80.34228) (xy 40.112445 80.47486) (xy 40.099275 80.47486) (xy 40.099275 80.48803) (xy 40.099275 81.06197)
+        (xy 40.099275 81.07514) (xy 40.112445 81.07514) (xy 40.245025 81.20772) (xy 40.314427 81.20782) (xy 40.528781 81.119251)
+        (xy 40.572968 81.07514) (xy 40.63622 81.07514) (xy 40.78197 80.92939) (xy 40.78207 81.040737) (xy 40.78207 81.409263)
+        (xy 40.78197 81.52061) (xy 40.63622 81.37486) (xy 40.099275 81.37486) (xy 40.099275 81.404) (xy 39.899885 81.404)
+        (xy 39.899885 81.37486) (xy 39.82058 81.37486) (xy 39.82058 81.141275) (xy 39.886715 81.07514) (xy 39.899885 81.07514)
+        (xy 39.899885 81.06197) (xy 39.899885 80.48803) (xy 39.899885 80.47486) (xy 39.886715 80.47486) (xy 39.82058 80.408725)
+        (xy 39.82058 80.17514) (xy 39.899885 80.17514) (xy 39.899885 79.58803) (xy 39.79451 79.482655) (xy 39.794718 79.244422)
+        (xy 39.690714 78.992712) (xy 39.498301 78.799963) (xy 39.246773 78.695519) (xy 38.974422 78.695282) (xy 38.722712 78.799286)
+        (xy 38.529963 78.991699) (xy 38.425519 79.243227) (xy 38.425282 79.515578) (xy 38.529286 79.767288) (xy 38.654742 79.892963)
+        (xy 38.622878 79.9697) (xy 38.622782 80.080375) (xy 38.622782 80.679815) (xy 38.662156 80.775108) (xy 38.622878 80.8697)
+        (xy 38.622782 80.980375) (xy 38.622782 81.579815) (xy 38.665046 81.682102) (xy 38.67861 81.695689) (xy 38.647878 81.7697)
+        (xy 38.647782 81.880375) (xy 38.647782 82.479815) (xy 38.681978 82.562577) (xy 38.647878 82.6447) (xy 38.647782 82.755375)
+        (xy 38.647782 83.354815) (xy 38.67097 83.410936) (xy 38.529963 83.551699) (xy 38.425519 83.803227) (xy 38.425282 84.075578)
+        (xy 38.529286 84.327288) (xy 38.721699 84.520037) (xy 38.973227 84.624481) (xy 39.245578 84.624718) (xy 39.497288 84.520714)
+        (xy 39.690037 84.328301) (xy 39.794481 84.076773) (xy 39.794718 83.804422) (xy 39.690714 83.552712) (xy 39.677754 83.539729)
+        (xy 39.76961 83.577872) (xy 39.880285 83.577968) (xy 39.996982 83.577968) (xy 40.315294 83.89628) (xy 40.315282 83.910578)
+        (xy 40.419286 84.162288) (xy 40.611699 84.355037) (xy 40.863227 84.459481) (xy 41.135578 84.459718) (xy 41.387288 84.355714)
+        (xy 41.580037 84.163301) (xy 41.684481 83.911773) (xy 41.684718 83.639422) (xy 41.684718 85.56872) (xy 38.29945 85.501464)
+        (xy 38.080938 56.415248) (xy 38.967095 56.415248) (xy 39.069382 56.372984) (xy 39.147709 56.294793) (xy 39.190152 56.19258)
+        (xy 39.190248 56.081905) (xy 39.190248 54.952285) (xy 39.392346 54.822943) (xy 39.395095 54.824593) (xy 46.57179 54.813776)
+        (xy 46.503288 54.978749) (xy 46.503112 55.180367) (xy 46.580105 55.366704) (xy 46.722546 55.509394) (xy 46.908749 55.586712)
+        (xy 47.110367 55.586888) (xy 47.296704 55.509895) (xy 47.422782 55.384037) (xy 47.422782 55.829815) (xy 47.465046 55.932102)
+        (xy 47.4718 55.938867) (xy 47.4718 56.275) (xy 47.493171 56.38244) (xy 47.493112 56.450367) (xy 47.570105 56.636704)
+        (xy 47.712546 56.779394) (xy 47.898749 56.856712) (xy 48.100367 56.856888) (xy 48.286704 56.779895) (xy 48.429394 56.637454)
+        (xy 48.506712 56.451251) (xy 48.506793 56.357788) (xy 48.554135 56.35772) (xy 48.699885 56.21197) (xy 48.699885 55.62486)
+        (xy 48.62058 55.62486) (xy 48.62058 55.32514) (xy 48.699885 55.32514) (xy 48.699885 55.296) (xy 48.899275 55.296)
+        (xy 48.899275 55.32514) (xy 48.97858 55.32514) (xy 48.97858 55.62486) (xy 48.899275 55.62486) (xy 48.899275 56.21197)
+        (xy 49.045025 56.35772) (xy 49.093192 56.357789) (xy 49.093112 56.450367) (xy 49.170105 56.636704) (xy 49.312546 56.779394)
+        (xy 49.498749 56.856712) (xy 49.700367 56.856888) (xy 49.886704 56.779895) (xy 50.029394 56.637454) (xy 50.106712 56.451251)
+        (xy 50.106761 56.394613) (xy 50.259733 56.45782) (xy 50.329135 56.45772) (xy 50.474885 56.31197) (xy 50.474885 55.72486)
+        (xy 50.39558 55.72486) (xy 50.39558 55.42514) (xy 50.474885 55.42514) (xy 50.474885 55.396) (xy 50.674275 55.396)
+        (xy 50.674275 55.42514) (xy 51.21122 55.42514) (xy 51.35697 55.27939) (xy 51.35707 55.390737) (xy 51.356868 55.158806)
+        (xy 51.267924 54.944606) (xy 51.129984 54.806906) (xy 51.944217 54.805679) (xy 51.788296 54.870105) (xy 51.645606 55.012546)
+        (xy 51.568288 55.198749) (xy 51.568112 55.400367) (xy 51.645105 55.586704) (xy 51.779965 55.7218) (xy 51.4 55.7218)
+        (xy 51.239988 55.753628) (xy 51.21122 55.72486) (xy 50.674275 55.72486) (xy 50.674275 56.31197) (xy 50.693216 56.330911)
+        (xy 50.693112 56.450367) (xy 50.770105 56.636704) (xy 50.826525 56.693222) (xy 50.699633 56.693112) (xy 50.513296 56.770105)
+        (xy 50.370606 56.912546) (xy 50.293288 57.098749) (xy 50.293112 57.300367) (xy 50.370105 57.486704) (xy 50.512546 57.629394)
+        (xy 50.698749 57.706712) (xy 50.900367 57.706888) (xy 51.086704 57.629895) (xy 51.229394 57.487454) (xy 51.306712 57.301251)
+        (xy 51.306888 57.099633) (xy 51.229895 56.913296) (xy 51.173474 56.856777) (xy 51.300367 56.856888) (xy 51.486704 56.779895)
+        (xy 51.629394 56.637454) (xy 51.653998 56.5782) (xy 52.203103 56.5782) (xy 52.215046 56.607102) (xy 52.293237 56.685429)
+        (xy 52.29602 56.686584) (xy 52.29602 56.850414) (xy 52.296019 56.85042) (xy 52.322997 56.986043) (xy 52.399821 57.101019)
+        (xy 52.649839 57.351036) (xy 52.505749 57.495379) (xy 52.41718 57.709733) (xy 52.41728 57.779135) (xy 52.56303 57.924885)
+        (xy 53.15014 57.924885) (xy 53.15014 57.84558) (xy 53.44986 57.84558) (xy 53.44986 57.924885) (xy 54.03697 57.924885)
+        (xy 54.18272 57.779135) (xy 54.18282 57.709733) (xy 54.169791 57.678202) (xy 54.286704 57.629895) (xy 54.429394 57.487454)
+        (xy 54.506712 57.301251) (xy 54.506888 57.099633) (xy 54.44286 56.944674) (xy 54.659536 56.901575) (xy 54.87956 56.75456)
+        (xy 55.026575 56.534536) (xy 55.0782 56.275) (xy 55.0782 56.25) (xy 55.0782 55.459537) (xy 55.285578 55.459718)
+        (xy 55.342977 55.436001) (xy 55.34293 55.490737) (xy 55.342979 55.436) (xy 55.383073 55.419433) (xy 55.48878 55.52514)
+        (xy 56.025725 55.52514) (xy 56.025725 55.496) (xy 56.225115 55.496) (xy 56.225115 55.52514) (xy 56.30442 55.52514)
+        (xy 56.30442 55.82486) (xy 56.225115 55.82486) (xy 56.225115 56.41197) (xy 56.370865 56.55772) (xy 56.440267 56.55782)
+        (xy 56.654621 56.469251) (xy 56.718172 56.40581) (xy 56.718112 56.475367) (xy 56.795105 56.661704) (xy 56.937546 56.804394)
+        (xy 57.068198 56.858645) (xy 57.068132 56.858806) (xy 57.06793 57.090737) (xy 57.06803 56.97939) (xy 57.21378 57.12514)
+        (xy 57.750725 57.12514) (xy 57.750725 56.53803) (xy 57.719164 56.506469) (xy 57.731712 56.476251) (xy 57.731888 56.274633)
+        (xy 57.654895 56.088296) (xy 57.5794 56.012669) (xy 57.5794 55.87542) (xy 57.552423 55.739797) (xy 57.552422 55.739796)
+        (xy 57.502218 55.66466) (xy 57.502218 55.664659) (xy 57.502218 55.320185) (xy 57.459954 55.217898) (xy 57.381763 55.139571)
+        (xy 57.27955 55.097128) (xy 57.168875 55.097032) (xy 56.840533 55.097032) (xy 56.818764 55.044606) (xy 56.654621 54.880749)
+        (xy 56.456478 54.798878) (xy 63.454483 54.788331) (xy 63.498749 54.806712) (xy 63.700367 54.806888) (xy 63.746342 54.787891)
+        (xy 65.209488 54.785686) (xy 66.7456 56.321797) (xy 66.7456 60.099994) (xy 66.745599 60.1) (xy 66.772577 60.235623)
+        (xy 66.849401 60.350599) (xy 68.093204 61.594401) (xy 68.093112 61.700367) (xy 68.170105 61.886704) (xy 68.312546 62.029394)
+        (xy 68.498749 62.106712) (xy 68.700367 62.106888) (xy 68.886704 62.029895) (xy 69.000072 61.916724) (xy 69.112546 62.029394)
+        (xy 69.298749 62.106712) (xy 69.500367 62.106888) (xy 69.686704 62.029895) (xy 69.749397 61.96731) (xy 69.817487 62.035519)
+        (xy 69.85232 62.049983) (xy 69.817898 62.064206) (xy 69.739571 62.142397) (xy 69.697128 62.24461) (xy 69.697032 62.355285)
+        (xy 69.697032 62.754065) (xy 69.739296 62.856352) (xy 69.78302 62.900152) (xy 69.770606 62.912546) (xy 69.693288 63.098749)
+        (xy 69.693112 63.300367) (xy 69.770105 63.486704) (xy 69.912546 63.629394) (xy 70.098749 63.706712) (xy 70.300367 63.706888)
+        (xy 70.486704 63.629895) (xy 70.629394 63.487454) (xy 70.706712 63.301251) (xy 70.706888 63.099633) (xy 70.6532 62.969698)
+        (xy 70.6532 62.967555) (xy 70.732102 62.934954) (xy 70.810429 62.856763) (xy 70.852872 62.75455) (xy 70.852968 62.643875)
+        (xy 70.852968 62.245095) (xy 70.810704 62.142808) (xy 70.732513 62.064481) (xy 70.697679 62.050016) (xy 70.730025 62.036652)
+        (xy 70.898749 62.106712) (xy 71.100367 62.106888) (xy 71.286704 62.029895) (xy 71.429394 61.887454) (xy 71.506712 61.701251)
+        (xy 71.506888 61.499633) (xy 71.429895 61.313296) (xy 71.287454 61.170606) (xy 71.101251 61.093288) (xy 70.899633 61.093112)
+        (xy 70.728703 61.163738) (xy 70.6303 61.122878) (xy 70.593939 61.122846) (xy 70.629394 61.087454) (xy 70.706712 60.901251)
+        (xy 70.706888 60.699633) (xy 70.629895 60.513296) (xy 70.516724 60.399927) (xy 70.600072 60.316724) (xy 70.712546 60.429394)
+        (xy 70.898749 60.506712) (xy 71.100367 60.506888) (xy 71.286704 60.429895) (xy 71.400072 60.316724) (xy 71.512546 60.429394)
+        (xy 71.587 60.46031) (xy 71.563296 60.470105) (xy 71.420606 60.612546) (xy 71.343288 60.798749) (xy 71.343112 61.000367)
+        (xy 71.420105 61.186704) (xy 71.562546 61.329394) (xy 71.748749 61.406712) (xy 71.950367 61.406888) (xy 72.136704 61.329895)
+        (xy 72.204199 61.262517)
+      )
+    )
+  )
+  (zone (net 267) (net_name GND) (layer GND-L2) (tstamp 51AECEC4) (hatch edge 0.508)
+    (connect_pads (clearance 0.2032))
+    (min_thickness 0.15)
+    (fill (arc_segments 16) (thermal_gap 0.508) (thermal_bridge_width 0.508))
+    (polygon
+      (pts
+        (xy 127.1 103.55) (xy 36.6 104.05) (xy 36.45 26.025) (xy 126.95 25.225)
+      )
+    )
+    (filled_polygon
+      (pts
+        (xy 126.9968 103.475569) (xy 125.606888 103.483247) (xy 125.606888 71.674633) (xy 125.529895 71.488296) (xy 125.421 71.37921)
+        (xy 125.421 68.508) (xy 125.421 67.354) (xy 125.421 66.996) (xy 125.421 65.842) (xy 124.896 65.842)
+        (xy 124.399509 66.011969) (xy 124.005855 66.358999) (xy 123.925 66.52754) (xy 123.844145 66.358999) (xy 123.450491 66.011969)
+        (xy 122.954 65.842) (xy 122.429 65.842) (xy 122.429 66.996) (xy 123.901322 66.996) (xy 123.948678 66.996)
+        (xy 125.421 66.996) (xy 125.421 67.354) (xy 123.948678 67.354) (xy 123.901322 67.354) (xy 122.429 67.354)
+        (xy 122.429 68.508) (xy 122.61817 68.508) (xy 122.618112 68.575367) (xy 122.695105 68.761704) (xy 122.79571 68.862485)
+        (xy 122.770606 68.887546) (xy 122.693288 69.073749) (xy 122.693112 69.275367) (xy 122.770105 69.461704) (xy 122.870754 69.562529)
+        (xy 122.795606 69.637546) (xy 122.718288 69.823749) (xy 122.718112 70.025367) (xy 122.795105 70.211704) (xy 122.795841 70.212441)
+        (xy 122.720606 70.287546) (xy 122.643288 70.473749) (xy 122.643112 70.675367) (xy 122.720105 70.861704) (xy 122.862546 71.004394)
+        (xy 123.048749 71.081712) (xy 123.250367 71.081888) (xy 123.436704 71.004895) (xy 123.579394 70.862454) (xy 123.656712 70.676251)
+        (xy 123.656888 70.474633) (xy 123.579895 70.288296) (xy 123.579158 70.287558) (xy 123.654394 70.212454) (xy 123.731712 70.026251)
+        (xy 123.731888 69.824633) (xy 123.654895 69.638296) (xy 123.554245 69.53747) (xy 123.629394 69.462454) (xy 123.706712 69.276251)
+        (xy 123.706888 69.074633) (xy 123.629895 68.888296) (xy 123.529289 68.787514) (xy 123.554394 68.762454) (xy 123.631712 68.576251)
+        (xy 123.631888 68.374633) (xy 123.572369 68.230587) (xy 123.844145 67.991001) (xy 123.925 67.822459) (xy 124.005855 67.991001)
+        (xy 124.399509 68.338031) (xy 124.896 68.508) (xy 125.421 68.508) (xy 125.421 71.37921) (xy 125.387454 71.345606)
+        (xy 125.201251 71.268288) (xy 124.999633 71.268112) (xy 124.813296 71.345105) (xy 124.670606 71.487546) (xy 124.593288 71.673749)
+        (xy 124.593112 71.875367) (xy 124.670105 72.061704) (xy 124.67578 72.067389) (xy 124.399509 72.161969) (xy 124.005855 72.508999)
+        (xy 123.913006 72.70254) (xy 123.844145 72.558999) (xy 123.450491 72.211969) (xy 123.143396 72.106838) (xy 123.200367 72.106888)
+        (xy 123.386704 72.029895) (xy 123.529394 71.887454) (xy 123.606712 71.701251) (xy 123.606888 71.499633) (xy 123.529895 71.313296)
+        (xy 123.387454 71.170606) (xy 123.201251 71.093288) (xy 122.999633 71.093112) (xy 122.813296 71.170105) (xy 122.670606 71.312546)
+        (xy 122.593288 71.498749) (xy 122.593112 71.700367) (xy 122.670105 71.886704) (xy 122.812546 72.029394) (xy 122.842904 72.042)
+        (xy 122.429 72.042) (xy 122.429 73.196) (xy 123.948678 73.196) (xy 123.973865 73.146) (xy 125.421 73.146)
+        (xy 125.421 72.170658) (xy 125.529394 72.062454) (xy 125.606712 71.876251) (xy 125.606888 71.674633) (xy 125.606888 103.483247)
+        (xy 125.421 103.484274) (xy 125.421 74.658) (xy 125.421 73.504) (xy 123.901322 73.504) (xy 123.876134 73.554)
+        (xy 122.429 73.554) (xy 122.429 74.708) (xy 122.954 74.708) (xy 123.450491 74.538031) (xy 123.844145 74.191001)
+        (xy 123.936993 73.997459) (xy 124.005855 74.141001) (xy 124.399509 74.488031) (xy 124.896 74.658) (xy 125.421 74.658)
+        (xy 125.421 103.484274) (xy 122.071 103.502783) (xy 122.071 74.708) (xy 122.071 73.554) (xy 122.071 73.196)
+        (xy 122.071 72.042) (xy 122.071 68.508) (xy 122.071 67.354) (xy 122.071 66.996) (xy 122.071 65.842)
+        (xy 121.546 65.842) (xy 121.049509 66.011969) (xy 120.655855 66.358999) (xy 120.448339 66.791565) (xy 120.551322 66.996)
+        (xy 122.071 66.996) (xy 122.071 67.354) (xy 120.551322 67.354) (xy 120.448339 67.558435) (xy 120.655855 67.991001)
+        (xy 121.049509 68.338031) (xy 121.546 68.508) (xy 122.071 68.508) (xy 122.071 72.042) (xy 121.546 72.042)
+        (xy 121.049509 72.211969) (xy 120.655855 72.558999) (xy 120.448339 72.991565) (xy 120.551322 73.196) (xy 122.071 73.196)
+        (xy 122.071 73.554) (xy 120.551322 73.554) (xy 120.448339 73.758435) (xy 120.655855 74.191001) (xy 121.049509 74.538031)
+        (xy 121.546 74.708) (xy 122.071 74.708) (xy 122.071 103.502783) (xy 119.431888 103.517363) (xy 119.431888 67.999633)
+        (xy 119.354895 67.813296) (xy 119.304224 67.762536) (xy 119.354394 67.712454) (xy 119.431712 67.526251) (xy 119.431888 67.324633)
+        (xy 119.354895 67.138296) (xy 119.212454 66.995606) (xy 119.026251 66.918288) (xy 118.824633 66.918112) (xy 118.638296 66.995105)
+        (xy 118.495606 67.137546) (xy 118.418288 67.323749) (xy 118.418112 67.525367) (xy 118.495105 67.711704) (xy 118.545775 67.762463)
+        (xy 118.495606 67.812546) (xy 118.418288 67.998749) (xy 118.418112 68.200367) (xy 118.495105 68.386704) (xy 118.637546 68.529394)
+        (xy 118.823749 68.606712) (xy 119.025367 68.606888) (xy 119.211704 68.529895) (xy 119.354394 68.387454) (xy 119.431712 68.201251)
+        (xy 119.431888 67.999633) (xy 119.431888 103.517363) (xy 119.331888 103.517916) (xy 119.331888 73.424633) (xy 119.254895 73.238296)
+        (xy 119.112454 73.095606) (xy 118.926251 73.018288) (xy 118.724633 73.018112) (xy 118.538296 73.095105) (xy 118.395606 73.237546)
+        (xy 118.331888 73.390996) (xy 118.331888 70.474633) (xy 118.254895 70.288296) (xy 118.112454 70.145606) (xy 117.98172 70.09132)
+        (xy 117.981888 69.899633) (xy 117.904895 69.713296) (xy 117.762454 69.570606) (xy 117.576251 69.493288) (xy 117.374633 69.493112)
+        (xy 117.188296 69.570105) (xy 117.045606 69.712546) (xy 116.968288 69.898749) (xy 116.968112 70.100367) (xy 117.045105 70.286704)
+        (xy 117.187546 70.429394) (xy 117.318279 70.483679) (xy 117.318112 70.675367) (xy 117.395105 70.861704) (xy 117.537546 71.004394)
+        (xy 117.723749 71.081712) (xy 117.925367 71.081888) (xy 118.111704 71.004895) (xy 118.254394 70.862454) (xy 118.331712 70.676251)
+        (xy 118.331888 70.474633) (xy 118.331888 73.390996) (xy 118.318288 73.423749) (xy 118.318112 73.625367) (xy 118.395105 73.811704)
+        (xy 118.537546 73.954394) (xy 118.723749 74.031712) (xy 118.925367 74.031888) (xy 119.111704 73.954895) (xy 119.254394 73.812454)
+        (xy 119.331712 73.626251) (xy 119.331888 73.424633) (xy 119.331888 103.517916) (xy 116.431888 103.533938) (xy 116.431888 90.549633)
+        (xy 116.354895 90.363296) (xy 116.212454 90.220606) (xy 116.026251 90.143288) (xy 116.008319 90.143272) (xy 116.161704 90.079895)
+        (xy 116.304394 89.937454) (xy 116.381712 89.751251) (xy 116.381888 89.549633) (xy 116.304895 89.363296) (xy 116.162454 89.220606)
+        (xy 115.992226 89.149921) (xy 116.161704 89.079895) (xy 116.304394 88.937454) (xy 116.381712 88.751251) (xy 116.381888 88.549633)
+        (xy 116.304895 88.363296) (xy 116.162454 88.220606) (xy 116.004695 88.155099) (xy 116.186704 88.079895) (xy 116.329394 87.937454)
+        (xy 116.406712 87.751251) (xy 116.406888 87.549633) (xy 116.329895 87.363296) (xy 116.187454 87.220606) (xy 116.001251 87.143288)
+        (xy 115.983319 87.143272) (xy 116.136704 87.079895) (xy 116.279394 86.937454) (xy 116.356712 86.751251) (xy 116.356888 86.549633)
+        (xy 116.281888 86.368119) (xy 116.281888 71.924633) (xy 116.204895 71.738296) (xy 116.062454 71.595606) (xy 115.931888 71.54139)
+        (xy 115.931888 41.474633) (xy 115.854895 41.288296) (xy 115.712454 41.145606) (xy 115.526251 41.068288) (xy 115.481762 41.068249)
+        (xy 115.481888 40.924633) (xy 115.404895 40.738296) (xy 115.262454 40.595606) (xy 115.076251 40.518288) (xy 114.874633 40.518112)
+        (xy 114.688296 40.595105) (xy 114.545606 40.737546) (xy 114.468288 40.923749) (xy 114.468112 41.125367) (xy 114.545105 41.311704)
+        (xy 114.687546 41.454394) (xy 114.873749 41.531712) (xy 114.918237 41.53175) (xy 114.918112 41.675367) (xy 114.995105 41.861704)
+        (xy 115.137546 42.004394) (xy 115.323749 42.081712) (xy 115.525367 42.081888) (xy 115.711704 42.004895) (xy 115.854394 41.862454)
+        (xy 115.931712 41.676251) (xy 115.931888 41.474633) (xy 115.931888 71.54139) (xy 115.876251 71.518288) (xy 115.674633 71.518112)
+        (xy 115.531888 71.577093) (xy 115.531888 44.924633) (xy 115.454895 44.738296) (xy 115.312454 44.595606) (xy 115.126251 44.518288)
+        (xy 114.924633 44.518112) (xy 114.738296 44.595105) (xy 114.681888 44.651414) (xy 114.681888 43.424633) (xy 114.604895 43.238296)
+        (xy 114.462454 43.095606) (xy 114.276251 43.018288) (xy 114.206806 43.018227) (xy 114.206888 42.924633) (xy 114.129895 42.738296)
+        (xy 113.987454 42.595606) (xy 113.813837 42.523514) (xy 113.813837 36.798343) (xy 113.764876 36.265514) (xy 113.655167 36.000651)
+        (xy 113.490379 35.943738) (xy 113.490379 33.908999) (xy 113.490379 31.368999) (xy 113.490379 28.828999) (xy 113.490248 28.828681)
+        (xy 113.490248 27.201905) (xy 113.490248 25.677905) (xy 113.447984 25.575618) (xy 113.369793 25.497291) (xy 113.26758 25.454848)
+        (xy 113.156905 25.454752) (xy 111.632905 25.454752) (xy 111.530618 25.497016) (xy 111.452291 25.575207) (xy 111.409848 25.67742)
+        (xy 111.409752 25.788095) (xy 111.409752 27.312095) (xy 111.452016 27.414382) (xy 111.530207 27.492709) (xy 111.63242 27.535152)
+        (xy 111.743095 27.535248) (xy 113.267095 27.535248) (xy 113.369382 27.492984) (xy 113.447709 27.414793) (xy 113.490152 27.31258)
+        (xy 113.490248 27.201905) (xy 113.490248 28.828681) (xy 113.332352 28.446544) (xy 113.039995 28.153676) (xy 112.657816 27.994982)
+        (xy 112.243999 27.994621) (xy 111.861544 28.152648) (xy 111.568676 28.445005) (xy 111.409982 28.827184) (xy 111.409621 29.241001)
+        (xy 111.567648 29.623456) (xy 111.860005 29.916324) (xy 112.242184 30.075018) (xy 112.656001 30.075379) (xy 113.038456 29.917352)
+        (xy 113.331324 29.624995) (xy 113.490018 29.242816) (xy 113.490379 28.828999) (xy 113.490379 31.368999) (xy 113.332352 30.986544)
+        (xy 113.039995 30.693676) (xy 112.657816 30.534982) (xy 112.243999 30.534621) (xy 111.861544 30.692648) (xy 111.568676 30.985005)
+        (xy 111.409982 31.367184) (xy 111.409621 31.781001) (xy 111.567648 32.163456) (xy 111.860005 32.456324) (xy 112.242184 32.615018)
+        (xy 112.656001 32.615379) (xy 113.038456 32.457352) (xy 113.331324 32.164995) (xy 113.490018 31.782816) (xy 113.490379 31.368999)
+        (xy 113.490379 33.908999) (xy 113.332352 33.526544) (xy 113.039995 33.233676) (xy 112.657816 33.074982) (xy 112.243999 33.074621)
+        (xy 111.861544 33.232648) (xy 111.568676 33.525005) (xy 111.409982 33.907184) (xy 111.409621 34.321001) (xy 111.567648 34.703456)
+        (xy 111.860005 34.996324) (xy 112.242184 35.155018) (xy 112.656001 35.155379) (xy 113.038456 34.997352) (xy 113.331324 34.704995)
+        (xy 113.490018 34.322816) (xy 113.490379 33.908999) (xy 113.490379 35.943738) (xy 113.433909 35.924236) (xy 113.180764 36.17738)
+        (xy 113.180764 35.671091) (xy 113.104349 35.449833) (xy 112.593343 35.291163) (xy 112.060514 35.340124) (xy 111.795651 35.449833)
+        (xy 111.719236 35.671091) (xy 112.45 36.401856) (xy 113.180764 35.671091) (xy 113.180764 36.17738) (xy 112.703144 36.655)
+        (xy 113.433909 37.385764) (xy 113.655167 37.309349) (xy 113.813837 36.798343) (xy 113.813837 42.523514) (xy 113.801251 42.518288)
+        (xy 113.599633 42.518112) (xy 113.413296 42.595105) (xy 113.335469 42.672795) (xy 113.279895 42.538296) (xy 113.244841 42.503181)
+        (xy 113.361704 42.454895) (xy 113.504394 42.312454) (xy 113.581712 42.126251) (xy 113.581888 41.924633) (xy 113.504895 41.738296)
+        (xy 113.362454 41.595606) (xy 113.180764 41.520161) (xy 113.180764 37.638909) (xy 112.45 36.908144) (xy 112.196856 37.161288)
+        (xy 112.196856 36.655) (xy 111.466091 35.924236) (xy 111.244833 36.000651) (xy 111.086163 36.511657) (xy 111.135124 37.044486)
+        (xy 111.244833 37.309349) (xy 111.466091 37.385764) (xy 112.196856 36.655) (xy 112.196856 37.161288) (xy 111.719236 37.638909)
+        (xy 111.795651 37.860167) (xy 112.306657 38.018837) (xy 112.839486 37.969876) (xy 113.104349 37.860167) (xy 113.180764 37.638909)
+        (xy 113.180764 41.520161) (xy 113.176251 41.518288) (xy 112.974633 41.518112) (xy 112.788296 41.595105) (xy 112.645606 41.737546)
+        (xy 112.568288 41.923749) (xy 112.568112 42.125367) (xy 112.645105 42.311704) (xy 112.680158 42.346818) (xy 112.563296 42.395105)
+        (xy 112.420606 42.537546) (xy 112.343288 42.723749) (xy 112.343112 42.925367) (xy 112.420105 43.111704) (xy 112.562546 43.254394)
+        (xy 112.748749 43.331712) (xy 112.950367 43.331888) (xy 113.136704 43.254895) (xy 113.21453 43.177204) (xy 113.270105 43.311704)
+        (xy 113.412546 43.454394) (xy 113.598749 43.531712) (xy 113.668193 43.531772) (xy 113.668112 43.625367) (xy 113.745105 43.811704)
+        (xy 113.887546 43.954394) (xy 114.073749 44.031712) (xy 114.275367 44.031888) (xy 114.461704 43.954895) (xy 114.604394 43.812454)
+        (xy 114.681712 43.626251) (xy 114.681888 43.424633) (xy 114.681888 44.651414) (xy 114.595606 44.737546) (xy 114.518288 44.923749)
+        (xy 114.518112 45.125367) (xy 114.595105 45.311704) (xy 114.737546 45.454394) (xy 114.923749 45.531712) (xy 115.125367 45.531888)
+        (xy 115.311704 45.454895) (xy 115.454394 45.312454) (xy 115.531712 45.126251) (xy 115.531888 44.924633) (xy 115.531888 71.577093)
+        (xy 115.488296 71.595105) (xy 115.345606 71.737546) (xy 115.268288 71.923749) (xy 115.268112 72.125367) (xy 115.345105 72.311704)
+        (xy 115.487546 72.454394) (xy 115.673749 72.531712) (xy 115.875367 72.531888) (xy 116.061704 72.454895) (xy 116.204394 72.312454)
+        (xy 116.281712 72.126251) (xy 116.281888 71.924633) (xy 116.281888 86.368119) (xy 116.279895 86.363296) (xy 116.186888 86.270126)
+        (xy 116.186888 78.149633) (xy 116.181888 78.137532) (xy 116.181888 76.874633) (xy 116.104895 76.688296) (xy 116.096888 76.680275)
+        (xy 116.096888 73.919633) (xy 116.019895 73.733296) (xy 115.877454 73.590606) (xy 115.691251 73.513288) (xy 115.489633 73.513112)
+        (xy 115.303296 73.590105) (xy 115.160606 73.732546) (xy 115.083288 73.918749) (xy 115.083112 74.120367) (xy 115.160105 74.306704)
+        (xy 115.302546 74.449394) (xy 115.488749 74.526712) (xy 115.690367 74.526888) (xy 115.876704 74.449895) (xy 116.019394 74.307454)
+        (xy 116.096712 74.121251) (xy 116.096888 73.919633) (xy 116.096888 76.680275) (xy 115.962454 76.545606) (xy 115.776251 76.468288)
+        (xy 115.574633 76.468112) (xy 115.388296 76.545105) (xy 115.245606 76.687546) (xy 115.168288 76.873749) (xy 115.168112 77.075367)
+        (xy 115.245105 77.261704) (xy 115.387546 77.404394) (xy 115.573749 77.481712) (xy 115.775367 77.481888) (xy 115.961704 77.404895)
+        (xy 116.104394 77.262454) (xy 116.181712 77.076251) (xy 116.181888 76.874633) (xy 116.181888 78.137532) (xy 116.109895 77.963296)
+        (xy 115.967454 77.820606) (xy 115.781251 77.743288) (xy 115.579633 77.743112) (xy 115.393296 77.820105) (xy 115.250606 77.962546)
+        (xy 115.173288 78.148749) (xy 115.173112 78.350367) (xy 115.250105 78.536704) (xy 115.392546 78.679394) (xy 115.578749 78.756712)
+        (xy 115.780367 78.756888) (xy 115.966704 78.679895) (xy 116.109394 78.537454) (xy 116.186712 78.351251) (xy 116.186888 78.149633)
+        (xy 116.186888 86.270126) (xy 116.137454 86.220606) (xy 115.951251 86.143288) (xy 115.749633 86.143112) (xy 115.563296 86.220105)
+        (xy 115.420606 86.362546) (xy 115.343288 86.548749) (xy 115.343163 86.691833) (xy 115.226251 86.643288) (xy 115.058635 86.643141)
+        (xy 115.211704 86.579895) (xy 115.354394 86.437454) (xy 115.431712 86.251251) (xy 115.431888 86.049633) (xy 115.354895 85.863296)
+        (xy 115.212454 85.720606) (xy 115.136888 85.689228) (xy 115.136888 77.869633) (xy 115.059895 77.683296) (xy 114.917454 77.540606)
+        (xy 114.731251 77.463288) (xy 114.529633 77.463112) (xy 114.381888 77.524159) (xy 114.381888 73.424633) (xy 114.304895 73.238296)
+        (xy 114.162454 73.095606) (xy 113.976251 73.018288) (xy 113.774633 73.018112) (xy 113.588296 73.095105) (xy 113.509718 73.173545)
+        (xy 113.509718 58.114422) (xy 113.405714 57.862712) (xy 113.213301 57.669963) (xy 112.961773 57.565519) (xy 112.689422 57.565282)
+        (xy 112.437712 57.669286) (xy 112.244963 57.861699) (xy 112.140519 58.113227) (xy 112.140282 58.385578) (xy 112.244286 58.637288)
+        (xy 112.436699 58.830037) (xy 112.688227 58.934481) (xy 112.960578 58.934718) (xy 113.212288 58.830714) (xy 113.405037 58.638301)
+        (xy 113.509481 58.386773) (xy 113.509718 58.114422) (xy 113.509718 73.173545) (xy 113.445606 73.237546) (xy 113.368288 73.423749)
+        (xy 113.368112 73.625367) (xy 113.445105 73.811704) (xy 113.587546 73.954394) (xy 113.773749 74.031712) (xy 113.975367 74.031888)
+        (xy 114.161704 73.954895) (xy 114.304394 73.812454) (xy 114.381712 73.626251) (xy 114.381888 73.424633) (xy 114.381888 77.524159)
+        (xy 114.343296 77.540105) (xy 114.200606 77.682546) (xy 114.123288 77.868749) (xy 114.123112 78.070367) (xy 114.200105 78.256704)
+        (xy 114.342546 78.399394) (xy 114.528749 78.476712) (xy 114.730367 78.476888) (xy 114.916704 78.399895) (xy 115.059394 78.257454)
+        (xy 115.136712 78.071251) (xy 115.136888 77.869633) (xy 115.136888 85.689228) (xy 115.026251 85.643288) (xy 114.824633 85.643112)
+        (xy 114.638296 85.720105) (xy 114.495606 85.862546) (xy 114.418288 86.048749) (xy 114.418112 86.250367) (xy 114.495105 86.436704)
+        (xy 114.637546 86.579394) (xy 114.823749 86.656712) (xy 114.991364 86.656858) (xy 114.838296 86.720105) (xy 114.695606 86.862546)
+        (xy 114.618288 87.048749) (xy 114.618112 87.250367) (xy 114.695105 87.436704) (xy 114.837546 87.579394) (xy 114.991136 87.64317)
+        (xy 114.924633 87.643112) (xy 114.738296 87.720105) (xy 114.595606 87.862546) (xy 114.518288 88.048749) (xy 114.518112 88.250367)
+        (xy 114.595105 88.436704) (xy 114.737546 88.579394) (xy 114.923749 88.656712) (xy 114.94168 88.656727) (xy 114.788296 88.720105)
+        (xy 114.645606 88.862546) (xy 114.568288 89.048749) (xy 114.568112 89.250367) (xy 114.645105 89.436704) (xy 114.787546 89.579394)
+        (xy 114.927595 89.637547) (xy 114.788296 89.695105) (xy 114.645606 89.837546) (xy 114.568288 90.023749) (xy 114.568112 90.225367)
+        (xy 114.645105 90.411704) (xy 114.787546 90.554394) (xy 114.973749 90.631712) (xy 115.175367 90.631888) (xy 115.361704 90.554895)
+        (xy 115.453989 90.46277) (xy 115.418288 90.548749) (xy 115.418154 90.702211) (xy 115.276251 90.643288) (xy 115.074633 90.643112)
+        (xy 114.888296 90.720105) (xy 114.745606 90.862546) (xy 114.668288 91.048749) (xy 114.668112 91.250367) (xy 114.745105 91.436704)
+        (xy 114.887546 91.579394) (xy 115.073749 91.656712) (xy 115.275367 91.656888) (xy 115.318209 91.639185) (xy 115.318112 91.750367)
+        (xy 115.395105 91.936704) (xy 115.537546 92.079394) (xy 115.723749 92.156712) (xy 115.925367 92.156888) (xy 116.111704 92.079895)
+        (xy 116.254394 91.937454) (xy 116.331712 91.751251) (xy 116.331888 91.549633) (xy 116.254895 91.363296) (xy 116.112454 91.220606)
+        (xy 115.958863 91.156829) (xy 116.025367 91.156888) (xy 116.211704 91.079895) (xy 116.354394 90.937454) (xy 116.431712 90.751251)
+        (xy 116.431888 90.549633) (xy 116.431888 103.533938) (xy 116.356888 103.534352) (xy 116.356888 93.549633) (xy 116.279895 93.363296)
+        (xy 116.137454 93.220606) (xy 115.951251 93.143288) (xy 115.781806 93.14314) (xy 115.781888 93.049633) (xy 115.704895 92.863296)
+        (xy 115.562454 92.720606) (xy 115.376251 92.643288) (xy 115.174633 92.643112) (xy 114.988296 92.720105) (xy 114.845606 92.862546)
+        (xy 114.768288 93.048749) (xy 114.768112 93.250367) (xy 114.845105 93.436704) (xy 114.987546 93.579394) (xy 115.173749 93.656712)
+        (xy 115.343193 93.656859) (xy 115.343112 93.750367) (xy 115.420105 93.936704) (xy 115.562546 94.079394) (xy 115.748749 94.156712)
+        (xy 115.950367 94.156888) (xy 116.136704 94.079895) (xy 116.279394 93.937454) (xy 116.356712 93.751251) (xy 116.356888 93.549633)
+        (xy 116.356888 103.534352) (xy 115.526529 103.53894) (xy 115.5266 103.458043) (xy 115.5266 102.291957) (xy 115.526398 102.060026)
+        (xy 115.437454 101.845826) (xy 115.273311 101.681969) (xy 115.058957 101.5934) (xy 114.56975 101.5935) (xy 114.424 101.73925)
+        (xy 114.424 102.696) (xy 115.38075 102.696) (xy 115.5265 102.55025) (xy 115.5266 102.291957) (xy 115.5266 103.458043)
+        (xy 115.5265 103.19975) (xy 115.38075 103.054) (xy 114.499 103.054) (xy 114.424 103.054) (xy 114.066 103.054)
+        (xy 114.066 102.696) (xy 114.066 101.73925) (xy 113.981888 101.655138) (xy 113.981888 78.374633) (xy 113.904895 78.188296)
+        (xy 113.762454 78.045606) (xy 113.607944 77.981448) (xy 113.611704 77.979895) (xy 113.754394 77.837454) (xy 113.831712 77.651251)
+        (xy 113.831888 77.449633) (xy 113.754895 77.263296) (xy 113.612454 77.120606) (xy 113.426251 77.043288) (xy 113.224633 77.043112)
+        (xy 113.216888 77.046312) (xy 113.216888 72.419633) (xy 113.139895 72.233296) (xy 112.997454 72.090606) (xy 112.811251 72.013288)
+        (xy 112.609633 72.013112) (xy 112.423296 72.090105) (xy 112.280606 72.232546) (xy 112.203288 72.418749) (xy 112.203112 72.620367)
+        (xy 112.280105 72.806704) (xy 112.422546 72.949394) (xy 112.608749 73.026712) (xy 112.810367 73.026888) (xy 112.996704 72.949895)
+        (xy 113.139394 72.807454) (xy 113.216712 72.621251) (xy 113.216888 72.419633) (xy 113.216888 77.046312) (xy 113.038296 77.120105)
+        (xy 112.895606 77.262546) (xy 112.818288 77.448749) (xy 112.818112 77.650367) (xy 112.895105 77.836704) (xy 113.037546 77.979394)
+        (xy 113.192055 78.043551) (xy 113.188296 78.045105) (xy 113.045606 78.187546) (xy 112.981851 78.341083) (xy 112.981888 78.299633)
+        (xy 112.904895 78.113296) (xy 112.762454 77.970606) (xy 112.576251 77.893288) (xy 112.374633 77.893112) (xy 112.188296 77.970105)
+        (xy 112.045606 78.112546) (xy 111.968288 78.298749) (xy 111.968112 78.500367) (xy 112.021719 78.630105) (xy 111.956888 78.565161)
+        (xy 111.956888 60.324633) (xy 111.956888 54.799633) (xy 111.906888 54.678623) (xy 111.906888 53.724633) (xy 111.829895 53.538296)
+        (xy 111.687454 53.395606) (xy 111.656888 53.382913) (xy 111.656888 45.424633) (xy 111.579895 45.238296) (xy 111.437454 45.095606)
+        (xy 111.251251 45.018288) (xy 111.049633 45.018112) (xy 110.863296 45.095105) (xy 110.720606 45.237546) (xy 110.643288 45.423749)
+        (xy 110.64319 45.535702) (xy 110.601251 45.518288) (xy 110.399633 45.518112) (xy 110.213296 45.595105) (xy 110.070606 45.737546)
+        (xy 109.993288 45.923749) (xy 109.993172 46.056456) (xy 109.901251 46.018288) (xy 109.699633 46.018112) (xy 109.513296 46.095105)
+        (xy 109.370606 46.237546) (xy 109.293288 46.423749) (xy 109.293112 46.625367) (xy 109.370105 46.811704) (xy 109.512546 46.954394)
+        (xy 109.698749 47.031712) (xy 109.900367 47.031888) (xy 109.993227 46.993518) (xy 109.993112 47.125367) (xy 110.070105 47.311704)
+        (xy 110.212546 47.454394) (xy 110.398749 47.531712) (xy 110.600367 47.531888) (xy 110.786704 47.454895) (xy 110.929394 47.312454)
+        (xy 111.006712 47.126251) (xy 111.006888 46.924633) (xy 110.929895 46.738296) (xy 110.787454 46.595606) (xy 110.617226 46.524921)
+        (xy 110.786704 46.454895) (xy 110.929394 46.312454) (xy 111.006712 46.126251) (xy 111.006809 46.014297) (xy 111.048749 46.031712)
+        (xy 111.250367 46.031888) (xy 111.436704 45.954895) (xy 111.579394 45.812454) (xy 111.656712 45.626251) (xy 111.656888 45.424633)
+        (xy 111.656888 53.382913) (xy 111.501251 53.318288) (xy 111.299633 53.318112) (xy 111.113296 53.395105) (xy 110.970606 53.537546)
+        (xy 110.893288 53.723749) (xy 110.893112 53.925367) (xy 110.970105 54.111704) (xy 111.112546 54.254394) (xy 111.298749 54.331712)
+        (xy 111.500367 54.331888) (xy 111.686704 54.254895) (xy 111.829394 54.112454) (xy 111.906712 53.926251) (xy 111.906888 53.724633)
+        (xy 111.906888 54.678623) (xy 111.879895 54.613296) (xy 111.737454 54.470606) (xy 111.551251 54.393288) (xy 111.349633 54.393112)
+        (xy 111.163296 54.470105) (xy 111.020606 54.612546) (xy 110.943288 54.798749) (xy 110.943112 55.000367) (xy 111.020105 55.186704)
+        (xy 111.162546 55.329394) (xy 111.348749 55.406712) (xy 111.550367 55.406888) (xy 111.736704 55.329895) (xy 111.879394 55.187454)
+        (xy 111.956712 55.001251) (xy 111.956888 54.799633) (xy 111.956888 60.324633) (xy 111.881888 60.143119) (xy 111.881888 59.224633)
+        (xy 111.881888 56.999633) (xy 111.804895 56.813296) (xy 111.662454 56.670606) (xy 111.476251 56.593288) (xy 111.274633 56.593112)
+        (xy 111.088296 56.670105) (xy 110.945606 56.812546) (xy 110.868288 56.998749) (xy 110.868112 57.200367) (xy 110.945105 57.386704)
+        (xy 111.087546 57.529394) (xy 111.273749 57.606712) (xy 111.475367 57.606888) (xy 111.661704 57.529895) (xy 111.804394 57.387454)
+        (xy 111.881712 57.201251) (xy 111.881888 56.999633) (xy 111.881888 59.224633) (xy 111.804895 59.038296) (xy 111.662454 58.895606)
+        (xy 111.476251 58.818288) (xy 111.274633 58.818112) (xy 111.088296 58.895105) (xy 110.945606 59.037546) (xy 110.868288 59.223749)
+        (xy 110.868112 59.425367) (xy 110.945105 59.611704) (xy 111.087546 59.754394) (xy 111.273749 59.831712) (xy 111.475367 59.831888)
+        (xy 111.661704 59.754895) (xy 111.804394 59.612454) (xy 111.881712 59.426251) (xy 111.881888 59.224633) (xy 111.881888 60.143119)
+        (xy 111.879895 60.138296) (xy 111.737454 59.995606) (xy 111.551251 59.918288) (xy 111.349633 59.918112) (xy 111.163296 59.995105)
+        (xy 111.020606 60.137546) (xy 110.943288 60.323749) (xy 110.943112 60.525367) (xy 111.020105 60.711704) (xy 111.162546 60.854394)
+        (xy 111.348749 60.931712) (xy 111.550367 60.931888) (xy 111.736704 60.854895) (xy 111.879394 60.712454) (xy 111.956712 60.526251)
+        (xy 111.956888 60.324633) (xy 111.956888 78.565161) (xy 111.906888 78.515073) (xy 111.906888 61.424633) (xy 111.829895 61.238296)
+        (xy 111.687454 61.095606) (xy 111.501251 61.018288) (xy 111.299633 61.018112) (xy 111.113296 61.095105) (xy 110.970606 61.237546)
+        (xy 110.893288 61.423749) (xy 110.893112 61.625367) (xy 110.970105 61.811704) (xy 111.112546 61.954394) (xy 111.298749 62.031712)
+        (xy 111.500367 62.031888) (xy 111.686704 61.954895) (xy 111.829394 61.812454) (xy 111.906712 61.626251) (xy 111.906888 61.424633)
+        (xy 111.906888 78.515073) (xy 111.887454 78.495606) (xy 111.701251 78.418288) (xy 111.499633 78.418112) (xy 111.313296 78.495105)
+        (xy 111.170606 78.637546) (xy 111.093288 78.823749) (xy 111.093112 79.025367) (xy 111.170105 79.211704) (xy 111.312546 79.354394)
+        (xy 111.498749 79.431712) (xy 111.700367 79.431888) (xy 111.886704 79.354895) (xy 112.029394 79.212454) (xy 112.106712 79.026251)
+        (xy 112.106888 78.824633) (xy 112.05328 78.694894) (xy 112.187546 78.829394) (xy 112.373749 78.906712) (xy 112.575367 78.906888)
+        (xy 112.761704 78.829895) (xy 112.904394 78.687454) (xy 112.968148 78.533916) (xy 112.968112 78.575367) (xy 113.045105 78.761704)
+        (xy 113.187546 78.904394) (xy 113.373749 78.981712) (xy 113.575367 78.981888) (xy 113.761704 78.904895) (xy 113.904394 78.762454)
+        (xy 113.981712 78.576251) (xy 113.981888 78.374633) (xy 113.981888 101.655138) (xy 113.92025 101.5935) (xy 113.431043 101.5934)
+        (xy 113.281888 101.655029) (xy 113.281888 79.299633) (xy 113.204895 79.113296) (xy 113.062454 78.970606) (xy 112.876251 78.893288)
+        (xy 112.674633 78.893112) (xy 112.488296 78.970105) (xy 112.345606 79.112546) (xy 112.268288 79.298749) (xy 112.268112 79.500367)
+        (xy 112.345105 79.686704) (xy 112.487546 79.829394) (xy 112.673749 79.906712) (xy 112.875367 79.906888) (xy 113.061704 79.829895)
+        (xy 113.204394 79.687454) (xy 113.281712 79.501251) (xy 113.281888 79.299633) (xy 113.281888 101.655029) (xy 113.216689 101.681969)
+        (xy 113.052546 101.845826) (xy 112.963602 102.060026) (xy 112.9634 102.291957) (xy 112.9635 102.55025) (xy 113.10925 102.696)
+        (xy 114.066 102.696) (xy 114.066 103.054) (xy 113.991 103.054) (xy 113.10925 103.054) (xy 112.9635 103.19975)
+        (xy 112.9634 103.458043) (xy 112.963482 103.553101) (xy 112.405092 103.556186) (xy 112.532523 103.428978) (xy 112.681529 103.07013)
+        (xy 112.681868 102.681575) (xy 112.533488 102.322467) (xy 112.506888 102.29582) (xy 112.506888 79.999633) (xy 112.429895 79.813296)
+        (xy 112.287454 79.670606) (xy 112.101251 79.593288) (xy 111.899633 79.593112) (xy 111.713296 79.670105) (xy 111.570606 79.812546)
+        (xy 111.493288 79.998749) (xy 111.493112 80.200367) (xy 111.570105 80.386704) (xy 111.712546 80.529394) (xy 111.898749 80.606712)
+        (xy 112.100367 80.606888) (xy 112.286704 80.529895) (xy 112.429394 80.387454) (xy 112.506712 80.201251) (xy 112.506888 79.999633)
+        (xy 112.506888 102.29582) (xy 112.258978 102.047477) (xy 111.90013 101.898471) (xy 111.511575 101.898132) (xy 111.152467 102.046512)
+        (xy 110.877477 102.321022) (xy 110.728471 102.67987) (xy 110.728132 103.068425) (xy 110.876512 103.427533) (xy 111.01262 103.563879)
+        (xy 109.066888 103.574628) (xy 109.066888 68.219633) (xy 108.989895 68.033296) (xy 108.847454 67.890606) (xy 108.661251 67.813288)
+        (xy 108.459633 67.813112) (xy 108.375896 67.847711) (xy 108.436712 67.701251) (xy 108.436888 67.499633) (xy 108.359895 67.313296)
+        (xy 108.217454 67.170606) (xy 108.031251 67.093288) (xy 107.829633 67.093112) (xy 107.643296 67.170105) (xy 107.500606 67.312546)
+        (xy 107.423288 67.498749) (xy 107.423112 67.700367) (xy 107.500105 67.886704) (xy 107.642546 68.029394) (xy 107.828749 68.106712)
+        (xy 108.030367 68.106888) (xy 108.114103 68.072288) (xy 108.053288 68.218749) (xy 108.053112 68.420367) (xy 108.130105 68.606704)
+        (xy 108.272546 68.749394) (xy 108.458749 68.826712) (xy 108.660367 68.826888) (xy 108.846704 68.749895) (xy 108.989394 68.607454)
+        (xy 109.066712 68.421251) (xy 109.066888 68.219633) (xy 109.066888 103.574628) (xy 106.726888 103.587557) (xy 106.726888 68.469633)
+        (xy 106.649895 68.283296) (xy 106.507454 68.140606) (xy 106.406888 68.098847) (xy 106.406888 61.449633) (xy 106.329895 61.263296)
+        (xy 106.306888 61.240248) (xy 106.306888 60.324633) (xy 106.229895 60.138296) (xy 106.087454 59.995606) (xy 105.901251 59.918288)
+        (xy 105.699633 59.918112) (xy 105.513296 59.995105) (xy 105.370606 60.137546) (xy 105.293288 60.323749) (xy 105.293112 60.525367)
+        (xy 105.370105 60.711704) (xy 105.512546 60.854394) (xy 105.698749 60.931712) (xy 105.900367 60.931888) (xy 106.086704 60.854895)
+        (xy 106.229394 60.712454) (xy 106.306712 60.526251) (xy 106.306888 60.324633) (xy 106.306888 61.240248) (xy 106.187454 61.120606)
+        (xy 106.001251 61.043288) (xy 105.799633 61.043112) (xy 105.613296 61.120105) (xy 105.470606 61.262546) (xy 105.393288 61.448749)
+        (xy 105.393112 61.650367) (xy 105.470105 61.836704) (xy 105.612546 61.979394) (xy 105.798749 62.056712) (xy 106.000367 62.056888)
+        (xy 106.186704 61.979895) (xy 106.329394 61.837454) (xy 106.406712 61.651251) (xy 106.406888 61.449633) (xy 106.406888 68.098847)
+        (xy 106.321251 68.063288) (xy 106.119633 68.063112) (xy 105.933296 68.140105) (xy 105.790606 68.282546) (xy 105.713288 68.468749)
+        (xy 105.713112 68.670367) (xy 105.790105 68.856704) (xy 105.932546 68.999394) (xy 106.118749 69.076712) (xy 106.320367 69.076888)
+        (xy 106.506704 68.999895) (xy 106.649394 68.857454) (xy 106.726712 68.671251) (xy 106.726888 68.469633) (xy 106.726888 103.587557)
+        (xy 105.506888 103.594297) (xy 105.506888 68.699633) (xy 105.429895 68.513296) (xy 105.287454 68.370606) (xy 105.181888 68.326771)
+        (xy 105.181888 63.074633) (xy 105.104895 62.888296) (xy 104.962454 62.745606) (xy 104.776251 62.668288) (xy 104.574633 62.668112)
+        (xy 104.418722 62.732532) (xy 104.431712 62.701251) (xy 104.431888 62.499633) (xy 104.354895 62.313296) (xy 104.212454 62.170606)
+        (xy 104.026251 62.093288) (xy 103.824633 62.093112) (xy 103.638296 62.170105) (xy 103.495606 62.312546) (xy 103.418288 62.498749)
+        (xy 103.418112 62.700367) (xy 103.495105 62.886704) (xy 103.637546 63.029394) (xy 103.823749 63.106712) (xy 104.025367 63.106888)
+        (xy 104.181277 63.042467) (xy 104.168288 63.073749) (xy 104.168112 63.275367) (xy 104.245105 63.461704) (xy 104.387546 63.604394)
+        (xy 104.573749 63.681712) (xy 104.775367 63.681888) (xy 104.961704 63.604895) (xy 105.104394 63.462454) (xy 105.181712 63.276251)
+        (xy 105.181888 63.074633) (xy 105.181888 68.326771) (xy 105.101251 68.293288) (xy 104.899633 68.293112) (xy 104.713296 68.370105)
+        (xy 104.570606 68.512546) (xy 104.493288 68.698749) (xy 104.493112 68.900367) (xy 104.570105 69.086704) (xy 104.712546 69.229394)
+        (xy 104.898749 69.306712) (xy 105.100367 69.306888) (xy 105.286704 69.229895) (xy 105.429394 69.087454) (xy 105.506712 68.901251)
+        (xy 105.506888 68.699633) (xy 105.506888 103.594297) (xy 103.356888 103.606175) (xy 103.356888 65.524633) (xy 103.279895 65.338296)
+        (xy 103.137454 65.195606) (xy 103.03756 65.154126) (xy 103.129394 65.062454) (xy 103.206712 64.876251) (xy 103.206888 64.674633)
+        (xy 103.129895 64.488296) (xy 102.987454 64.345606) (xy 102.801251 64.268288) (xy 102.599633 64.268112) (xy 102.413296 64.345105)
+        (xy 102.270606 64.487546) (xy 102.193288 64.673749) (xy 102.193112 64.875367) (xy 102.270105 65.061704) (xy 102.412546 65.204394)
+        (xy 102.512439 65.245873) (xy 102.420606 65.337546) (xy 102.343288 65.523749) (xy 102.343112 65.725367) (xy 102.420105 65.911704)
+        (xy 102.562546 66.054394) (xy 102.748749 66.131712) (xy 102.950367 66.131888) (xy 103.136704 66.054895) (xy 103.279394 65.912454)
+        (xy 103.356712 65.726251) (xy 103.356888 65.524633) (xy 103.356888 103.606175) (xy 101.109718 103.618591) (xy 101.109718 95.289422)
+        (xy 101.005714 95.037712) (xy 100.959718 94.991635) (xy 100.959718 92.289422) (xy 100.855714 92.037712) (xy 100.663301 91.844963)
+        (xy 100.606888 91.821538) (xy 100.606888 90.499633) (xy 100.529895 90.313296) (xy 100.387454 90.170606) (xy 100.201251 90.093288)
+        (xy 99.999633 90.093112) (xy 99.813296 90.170105) (xy 99.670606 90.312546) (xy 99.593288 90.498749) (xy 99.593112 90.700367)
+        (xy 99.670105 90.886704) (xy 99.812546 91.029394) (xy 99.998749 91.106712) (xy 100.200367 91.106888) (xy 100.386704 91.029895)
+        (xy 100.529394 90.887454) (xy 100.606712 90.701251) (xy 100.606888 90.499633) (xy 100.606888 91.821538) (xy 100.411773 91.740519)
+        (xy 100.139422 91.740282) (xy 99.887712 91.844286) (xy 99.694963 92.036699) (xy 99.590519 92.288227) (xy 99.590282 92.560578)
+        (xy 99.694286 92.812288) (xy 99.886699 93.005037) (xy 100.138227 93.109481) (xy 100.410578 93.109718) (xy 100.662288 93.005714)
+        (xy 100.855037 92.813301) (xy 100.959481 92.561773) (xy 100.959718 92.289422) (xy 100.959718 94.991635) (xy 100.813301 94.844963)
+        (xy 100.561773 94.740519) (xy 100.289422 94.740282) (xy 100.037712 94.844286) (xy 99.844963 95.036699) (xy 99.740519 95.288227)
+        (xy 99.740282 95.560578) (xy 99.844286 95.812288) (xy 100.036699 96.005037) (xy 100.288227 96.109481) (xy 100.560578 96.109718)
+        (xy 100.812288 96.005714) (xy 101.005037 95.813301) (xy 101.109481 95.561773) (xy 101.109718 95.289422) (xy 101.109718 103.618591)
+        (xy 99.381888 103.628137) (xy 99.381888 89.199633) (xy 99.304895 89.013296) (xy 99.162454 88.870606) (xy 98.976251 88.793288)
+        (xy 98.774633 88.793112) (xy 98.588296 88.870105) (xy 98.499992 88.958254) (xy 98.437454 88.895606) (xy 98.251251 88.818288)
+        (xy 98.049633 88.818112) (xy 97.863296 88.895105) (xy 97.720606 89.037546) (xy 97.643288 89.223749) (xy 97.643112 89.425367)
+        (xy 97.720105 89.611704) (xy 97.862546 89.754394) (xy 98.048749 89.831712) (xy 98.250367 89.831888) (xy 98.436704 89.754895)
+        (xy 98.525007 89.666745) (xy 98.587546 89.729394) (xy 98.773749 89.806712) (xy 98.975367 89.806888) (xy 99.161704 89.729895)
+        (xy 99.304394 89.587454) (xy 99.381712 89.401251) (xy 99.381888 89.199633) (xy 99.381888 103.628137) (xy 99.256888 103.628827)
+        (xy 99.256888 97.849633) (xy 99.179895 97.663296) (xy 99.037454 97.520606) (xy 98.851251 97.443288) (xy 98.649633 97.443112)
+        (xy 98.463296 97.520105) (xy 98.320606 97.662546) (xy 98.243288 97.848749) (xy 98.243112 98.050367) (xy 98.320105 98.236704)
+        (xy 98.462546 98.379394) (xy 98.648749 98.456712) (xy 98.850367 98.456888) (xy 99.036704 98.379895) (xy 99.179394 98.237454)
+        (xy 99.256712 98.051251) (xy 99.256888 97.849633) (xy 99.256888 103.628827) (xy 97.006888 103.641258) (xy 97.006888 47.174633)
+        (xy 97.006888 36.349633) (xy 96.929895 36.163296) (xy 96.787454 36.020606) (xy 96.601251 35.943288) (xy 96.399633 35.943112)
+        (xy 96.398011 35.943782) (xy 96.429394 35.912454) (xy 96.506712 35.726251) (xy 96.506888 35.524633) (xy 96.429895 35.338296)
+        (xy 96.287454 35.195606) (xy 96.101251 35.118288) (xy 95.899633 35.118112) (xy 95.713296 35.195105) (xy 95.570606 35.337546)
+        (xy 95.493288 35.523749) (xy 95.493207 35.616522) (xy 95.429895 35.463296) (xy 95.287454 35.320606) (xy 95.101251 35.243288)
+        (xy 94.899633 35.243112) (xy 94.713296 35.320105) (xy 94.570606 35.462546) (xy 94.493288 35.648749) (xy 94.493112 35.850367)
+        (xy 94.570105 36.036704) (xy 94.712546 36.179394) (xy 94.898749 36.256712) (xy 95.018237 36.256816) (xy 95.018112 36.400367)
+        (xy 95.095105 36.586704) (xy 95.237546 36.729394) (xy 95.423749 36.806712) (xy 95.625367 36.806888) (xy 95.811704 36.729895)
+        (xy 95.954394 36.587454) (xy 95.993161 36.494092) (xy 95.993112 36.550367) (xy 96.070105 36.736704) (xy 96.212546 36.879394)
+        (xy 96.398749 36.956712) (xy 96.600367 36.956888) (xy 96.786704 36.879895) (xy 96.929394 36.737454) (xy 97.006712 36.551251)
+        (xy 97.006888 36.349633) (xy 97.006888 47.174633) (xy 96.929895 46.988296) (xy 96.787454 46.845606) (xy 96.601251 46.768288)
+        (xy 96.506888 46.768205) (xy 96.506888 46.249633) (xy 96.429895 46.063296) (xy 96.287454 45.920606) (xy 96.101251 45.843288)
+        (xy 95.899633 45.843112) (xy 95.713296 45.920105) (xy 95.570606 46.062546) (xy 95.506888 46.215996) (xy 95.506888 45.874633)
+        (xy 95.429895 45.688296) (xy 95.287454 45.545606) (xy 95.101251 45.468288) (xy 95.006888 45.468205) (xy 95.006888 36.699633)
+        (xy 94.929895 36.513296) (xy 94.787454 36.370606) (xy 94.601251 36.293288) (xy 94.499674 36.293199) (xy 94.506712 36.276251)
+        (xy 94.506888 36.074633) (xy 94.429895 35.888296) (xy 94.287454 35.745606) (xy 94.101251 35.668288) (xy 93.899633 35.668112)
+        (xy 93.713296 35.745105) (xy 93.570606 35.887546) (xy 93.493288 36.073749) (xy 93.493112 36.275367) (xy 93.570105 36.461704)
+        (xy 93.712546 36.604394) (xy 93.898749 36.681712) (xy 94.000325 36.6818) (xy 93.993288 36.698749) (xy 93.993112 36.900367)
+        (xy 94.070105 37.086704) (xy 94.212546 37.229394) (xy 94.398749 37.306712) (xy 94.600367 37.306888) (xy 94.786704 37.229895)
+        (xy 94.929394 37.087454) (xy 95.006712 36.901251) (xy 95.006888 36.699633) (xy 95.006888 45.468205) (xy 94.899633 45.468112)
+        (xy 94.713296 45.545105) (xy 94.570606 45.687546) (xy 94.493288 45.873749) (xy 94.493249 45.918193) (xy 94.399633 45.918112)
+        (xy 94.213296 45.995105) (xy 94.070606 46.137546) (xy 93.993288 46.323749) (xy 93.993272 46.34168) (xy 93.929895 46.188296)
+        (xy 93.787454 46.045606) (xy 93.601251 45.968288) (xy 93.399633 45.968112) (xy 93.213296 46.045105) (xy 93.070606 46.187546)
+        (xy 92.993288 46.373749) (xy 92.993112 46.575367) (xy 93.070105 46.761704) (xy 93.212546 46.904394) (xy 93.398749 46.981712)
+        (xy 93.521079 46.981818) (xy 93.493288 47.048749) (xy 93.493112 47.250367) (xy 93.570105 47.436704) (xy 93.712546 47.579394)
+        (xy 93.898749 47.656712) (xy 94.100367 47.656888) (xy 94.286704 47.579895) (xy 94.429394 47.437454) (xy 94.506712 47.251251)
+        (xy 94.506888 47.049633) (xy 94.458185 46.931763) (xy 94.600367 46.931888) (xy 94.786704 46.854895) (xy 94.929394 46.712454)
+        (xy 95.006712 46.526251) (xy 95.00675 46.481806) (xy 95.100367 46.481888) (xy 95.286704 46.404895) (xy 95.429394 46.262454)
+        (xy 95.506712 46.076251) (xy 95.506888 45.874633) (xy 95.506888 46.215996) (xy 95.493288 46.248749) (xy 95.493112 46.450367)
+        (xy 95.570105 46.636704) (xy 95.712546 46.779394) (xy 95.898749 46.856712) (xy 96.100367 46.856888) (xy 96.286704 46.779895)
+        (xy 96.429394 46.637454) (xy 96.506712 46.451251) (xy 96.506888 46.249633) (xy 96.506888 46.768205) (xy 96.399633 46.768112)
+        (xy 96.213296 46.845105) (xy 96.070606 46.987546) (xy 95.994744 47.170242) (xy 95.929895 47.013296) (xy 95.787454 46.870606)
+        (xy 95.601251 46.793288) (xy 95.399633 46.793112) (xy 95.213296 46.870105) (xy 95.070606 47.012546) (xy 94.993288 47.198749)
+        (xy 94.993112 47.400367) (xy 95.070105 47.586704) (xy 95.212546 47.729394) (xy 95.398749 47.806712) (xy 95.600367 47.806888)
+        (xy 95.786704 47.729895) (xy 95.929394 47.587454) (xy 96.005255 47.404757) (xy 96.070105 47.561704) (xy 96.212546 47.704394)
+        (xy 96.398749 47.781712) (xy 96.600367 47.781888) (xy 96.786704 47.704895) (xy 96.929394 47.562454) (xy 97.006712 47.376251)
+        (xy 97.006888 47.174633) (xy 97.006888 103.641258) (xy 96.781888 103.642501) (xy 96.781888 89.149633) (xy 96.704895 88.963296)
+        (xy 96.562454 88.820606) (xy 96.376251 88.743288) (xy 96.174633 88.743112) (xy 95.988296 88.820105) (xy 95.981888 88.826501)
+        (xy 95.981888 73.949633) (xy 95.904895 73.763296) (xy 95.762454 73.620606) (xy 95.576251 73.543288) (xy 95.374633 73.543112)
+        (xy 95.188296 73.620105) (xy 95.045606 73.762546) (xy 94.968288 73.948749) (xy 94.968112 74.150367) (xy 95.045105 74.336704)
+        (xy 95.187546 74.479394) (xy 95.373749 74.556712) (xy 95.575367 74.556888) (xy 95.761704 74.479895) (xy 95.904394 74.337454)
+        (xy 95.981712 74.151251) (xy 95.981888 73.949633) (xy 95.981888 88.826501) (xy 95.845606 88.962546) (xy 95.768288 89.148749)
+        (xy 95.768112 89.350367) (xy 95.845105 89.536704) (xy 95.987546 89.679394) (xy 96.173749 89.756712) (xy 96.375367 89.756888)
+        (xy 96.561704 89.679895) (xy 96.704394 89.537454) (xy 96.781712 89.351251) (xy 96.781888 89.149633) (xy 96.781888 103.642501)
+        (xy 96.671097 103.643114) (xy 96.686064 103.607071) (xy 96.686337 103.294301) (xy 96.566897 103.005235) (xy 96.345928 102.78388)
+        (xy 96.057071 102.663936) (xy 95.744301 102.663663) (xy 95.455235 102.783103) (xy 95.23388 103.004072) (xy 95.113936 103.292929)
+        (xy 95.113663 103.605699) (xy 95.132634 103.651614) (xy 94.406888 103.655623) (xy 94.406888 98.674633) (xy 94.329895 98.488296)
+        (xy 94.231888 98.390117) (xy 94.231888 73.324633) (xy 94.154895 73.138296) (xy 94.012454 72.995606) (xy 93.856888 72.931009)
+        (xy 93.856888 57.649633) (xy 93.779895 57.463296) (xy 93.637454 57.320606) (xy 93.451251 57.243288) (xy 93.249633 57.243112)
+        (xy 93.063296 57.320105) (xy 93.006888 57.376414) (xy 93.006888 46.874633) (xy 92.929895 46.688296) (xy 92.787454 46.545606)
+        (xy 92.601251 46.468288) (xy 92.503911 46.468203) (xy 92.531712 46.401251) (xy 92.531888 46.199633) (xy 92.506888 46.139128)
+        (xy 92.506888 35.974633) (xy 92.429895 35.788296) (xy 92.287454 35.645606) (xy 92.101251 35.568288) (xy 91.899633 35.568112)
+        (xy 91.713296 35.645105) (xy 91.570606 35.787546) (xy 91.493288 35.973749) (xy 91.493112 36.175367) (xy 91.570105 36.361704)
+        (xy 91.712546 36.504394) (xy 91.898749 36.581712) (xy 92.100367 36.581888) (xy 92.286704 36.504895) (xy 92.429394 36.362454)
+        (xy 92.506712 36.176251) (xy 92.506888 35.974633) (xy 92.506888 46.139128) (xy 92.454895 46.013296) (xy 92.312454 45.870606)
+        (xy 92.126251 45.793288) (xy 91.924633 45.793112) (xy 91.738296 45.870105) (xy 91.595606 46.012546) (xy 91.518288 46.198749)
+        (xy 91.518112 46.400367) (xy 91.535823 46.44323) (xy 91.506828 46.443205) (xy 91.506888 46.374633) (xy 91.429895 46.188296)
+        (xy 91.287454 46.045606) (xy 91.101251 45.968288) (xy 90.899633 45.968112) (xy 90.713296 46.045105) (xy 90.570606 46.187546)
+        (xy 90.493288 46.373749) (xy 90.493112 46.575367) (xy 90.570105 46.761704) (xy 90.712546 46.904394) (xy 90.898749 46.981712)
+        (xy 90.993171 46.981794) (xy 90.993112 47.050367) (xy 91.070105 47.236704) (xy 91.212546 47.379394) (xy 91.398749 47.456712)
+        (xy 91.600367 47.456888) (xy 91.786704 47.379895) (xy 91.929394 47.237454) (xy 91.9949 47.079695) (xy 92.070105 47.261704)
+        (xy 92.148961 47.340698) (xy 92.138296 47.345105) (xy 91.995606 47.487546) (xy 91.918288 47.673749) (xy 91.918112 47.875367)
+        (xy 91.995105 48.061704) (xy 92.137546 48.204394) (xy 92.323749 48.281712) (xy 92.525367 48.281888) (xy 92.711704 48.204895)
+        (xy 92.854394 48.062454) (xy 92.931712 47.876251) (xy 92.931888 47.674633) (xy 92.854895 47.488296) (xy 92.776038 47.409301)
+        (xy 92.786704 47.404895) (xy 92.929394 47.262454) (xy 93.006712 47.076251) (xy 93.006888 46.874633) (xy 93.006888 57.376414)
+        (xy 92.920606 57.462546) (xy 92.843288 57.648749) (xy 92.843112 57.850367) (xy 92.920105 58.036704) (xy 93.062546 58.179394)
+        (xy 93.248749 58.256712) (xy 93.450367 58.256888) (xy 93.636704 58.179895) (xy 93.779394 58.037454) (xy 93.856712 57.851251)
+        (xy 93.856888 57.649633) (xy 93.856888 72.931009) (xy 93.826251 72.918288) (xy 93.624633 72.918112) (xy 93.438296 72.995105)
+        (xy 93.295606 73.137546) (xy 93.218288 73.323749) (xy 93.218112 73.525367) (xy 93.295105 73.711704) (xy 93.437546 73.854394)
+        (xy 93.623749 73.931712) (xy 93.825367 73.931888) (xy 94.011704 73.854895) (xy 94.154394 73.712454) (xy 94.231712 73.526251)
+        (xy 94.231888 73.324633) (xy 94.231888 98.390117) (xy 94.187454 98.345606) (xy 94.001251 98.268288) (xy 93.990248 98.268278)
+        (xy 93.990248 84.856905) (xy 93.990248 83.332905) (xy 93.947984 83.230618) (xy 93.869793 83.152291) (xy 93.76758 83.109848)
+        (xy 93.656905 83.109752) (xy 92.456888 83.109752) (xy 92.456888 72.749633) (xy 92.379895 72.563296) (xy 92.237454 72.420606)
+        (xy 92.051251 72.343288) (xy 91.849633 72.343112) (xy 91.663296 72.420105) (xy 91.520606 72.562546) (xy 91.443288 72.748749)
+        (xy 91.443112 72.950367) (xy 91.520105 73.136704) (xy 91.662546 73.279394) (xy 91.848749 73.356712) (xy 92.050367 73.356888)
+        (xy 92.236704 73.279895) (xy 92.379394 73.137454) (xy 92.456712 72.951251) (xy 92.456888 72.749633) (xy 92.456888 83.109752)
+        (xy 92.132905 83.109752) (xy 92.030618 83.152016) (xy 91.952291 83.230207) (xy 91.909848 83.33242) (xy 91.909752 83.443095)
+        (xy 91.909752 84.967095) (xy 91.952016 85.069382) (xy 92.030207 85.147709) (xy 92.13242 85.190152) (xy 92.243095 85.190248)
+        (xy 93.767095 85.190248) (xy 93.869382 85.147984) (xy 93.947709 85.069793) (xy 93.990152 84.96758) (xy 93.990248 84.856905)
+        (xy 93.990248 98.268278) (xy 93.799633 98.268112) (xy 93.613296 98.345105) (xy 93.470606 98.487546) (xy 93.393288 98.673749)
+        (xy 93.393112 98.875367) (xy 93.470105 99.061704) (xy 93.612546 99.204394) (xy 93.798749 99.281712) (xy 94.000367 99.281888)
+        (xy 94.186704 99.204895) (xy 94.329394 99.062454) (xy 94.406712 98.876251) (xy 94.406888 98.674633) (xy 94.406888 103.655623)
+        (xy 92.256888 103.667502) (xy 92.256888 97.774633) (xy 92.184718 97.599968) (xy 92.184718 95.039422) (xy 92.080714 94.787712)
+        (xy 91.942491 94.649248) (xy 92.004394 94.587454) (xy 92.081712 94.401251) (xy 92.081888 94.199633) (xy 92.064718 94.158078)
+        (xy 92.064718 90.959422) (xy 91.960714 90.707712) (xy 91.768301 90.514963) (xy 91.516773 90.410519) (xy 91.244422 90.410282)
+        (xy 90.992712 90.514286) (xy 90.906888 90.59996) (xy 90.906888 86.699633) (xy 90.829895 86.513296) (xy 90.687454 86.370606)
+        (xy 90.606888 86.337152) (xy 90.606888 72.324633) (xy 90.529895 72.138296) (xy 90.387454 71.995606) (xy 90.201251 71.918288)
+        (xy 89.999633 71.918112) (xy 89.813296 71.995105) (xy 89.670606 72.137546) (xy 89.593288 72.323749) (xy 89.593112 72.525367)
+        (xy 89.670105 72.711704) (xy 89.812546 72.854394) (xy 89.998749 72.931712) (xy 90.200367 72.931888) (xy 90.386704 72.854895)
+        (xy 90.529394 72.712454) (xy 90.606712 72.526251) (xy 90.606888 72.324633) (xy 90.606888 86.337152) (xy 90.501251 86.293288)
+        (xy 90.299633 86.293112) (xy 90.113296 86.370105) (xy 89.970606 86.512546) (xy 89.893288 86.698749) (xy 89.893112 86.900367)
+        (xy 89.970105 87.086704) (xy 90.112546 87.229394) (xy 90.298749 87.306712) (xy 90.500367 87.306888) (xy 90.686704 87.229895)
+        (xy 90.829394 87.087454) (xy 90.906712 86.901251) (xy 90.906888 86.699633) (xy 90.906888 90.59996) (xy 90.799963 90.706699)
+        (xy 90.695519 90.958227) (xy 90.695282 91.230578) (xy 90.799286 91.482288) (xy 90.991699 91.675037) (xy 91.243227 91.779481)
+        (xy 91.515578 91.779718) (xy 91.767288 91.675714) (xy 91.960037 91.483301) (xy 92.064481 91.231773) (xy 92.064718 90.959422)
+        (xy 92.064718 94.158078) (xy 92.004895 94.013296) (xy 91.862454 93.870606) (xy 91.676251 93.793288) (xy 91.474633 93.793112)
+        (xy 91.288296 93.870105) (xy 91.145606 94.012546) (xy 91.068288 94.198749) (xy 91.068112 94.400367) (xy 91.143057 94.581747)
+        (xy 91.112712 94.594286) (xy 90.919963 94.786699) (xy 90.815519 95.038227) (xy 90.815282 95.310578) (xy 90.919286 95.562288)
+        (xy 91.111699 95.755037) (xy 91.363227 95.859481) (xy 91.635578 95.859718) (xy 91.887288 95.755714) (xy 92.080037 95.563301)
+        (xy 92.184481 95.311773) (xy 92.184718 95.039422) (xy 92.184718 97.599968) (xy 92.179895 97.588296) (xy 92.037454 97.445606)
+        (xy 91.851251 97.368288) (xy 91.649633 97.368112) (xy 91.463296 97.445105) (xy 91.320606 97.587546) (xy 91.243288 97.773749)
+        (xy 91.243112 97.975367) (xy 91.320105 98.161704) (xy 91.462546 98.304394) (xy 91.648749 98.381712) (xy 91.850367 98.381888)
+        (xy 92.036704 98.304895) (xy 92.179394 98.162454) (xy 92.256712 97.976251) (xy 92.256888 97.774633) (xy 92.256888 103.667502)
+        (xy 86.606888 103.698717) (xy 86.606888 44.349633) (xy 86.529895 44.163296) (xy 86.387454 44.020606) (xy 86.201251 43.943288)
+        (xy 85.999633 43.943112) (xy 85.813296 44.020105) (xy 85.670606 44.162546) (xy 85.593288 44.348749) (xy 85.593112 44.550367)
+        (xy 85.621165 44.618261) (xy 85.581888 44.618226) (xy 85.581888 44.074633) (xy 85.504895 43.888296) (xy 85.362454 43.745606)
+        (xy 85.176251 43.668288) (xy 84.974633 43.668112) (xy 84.788296 43.745105) (xy 84.645606 43.887546) (xy 84.568288 44.073749)
+        (xy 84.568112 44.275367) (xy 84.645105 44.461704) (xy 84.787546 44.604394) (xy 84.973749 44.681712) (xy 85.175367 44.681888)
+        (xy 85.361704 44.604895) (xy 85.504394 44.462454) (xy 85.581712 44.276251) (xy 85.581888 44.074633) (xy 85.581888 44.618226)
+        (xy 85.449633 44.618112) (xy 85.263296 44.695105) (xy 85.120606 44.837546) (xy 85.043288 45.023749) (xy 85.043112 45.225367)
+        (xy 85.120105 45.411704) (xy 85.262546 45.554394) (xy 85.448749 45.631712) (xy 85.650367 45.631888) (xy 85.836704 45.554895)
+        (xy 85.979394 45.412454) (xy 86.056712 45.226251) (xy 86.056888 45.024633) (xy 86.028834 44.956738) (xy 86.200367 44.956888)
+        (xy 86.386704 44.879895) (xy 86.529394 44.737454) (xy 86.606712 44.551251) (xy 86.606888 44.349633) (xy 86.606888 103.698717)
+        (xy 85.106888 103.707004) (xy 85.106888 68.699633) (xy 85.029895 68.513296) (xy 84.916724 68.399927) (xy 85.029394 68.287454)
+        (xy 85.106712 68.101251) (xy 85.106888 67.899633) (xy 85.029895 67.713296) (xy 84.916724 67.599927) (xy 85.029394 67.487454)
+        (xy 85.106712 67.301251) (xy 85.106888 67.099633) (xy 85.029895 66.913296) (xy 84.887454 66.770606) (xy 84.701251 66.693288)
+        (xy 84.499633 66.693112) (xy 84.313296 66.770105) (xy 84.199927 66.883275) (xy 84.116724 66.799927) (xy 84.229394 66.687454)
+        (xy 84.306712 66.501251) (xy 84.306888 66.299633) (xy 84.229895 66.113296) (xy 84.116724 65.999927) (xy 84.229394 65.887454)
+        (xy 84.306712 65.701251) (xy 84.306888 65.499633) (xy 84.229895 65.313296) (xy 84.116724 65.199927) (xy 84.200072 65.116724)
+        (xy 84.312546 65.229394) (xy 84.498749 65.306712) (xy 84.700367 65.306888) (xy 84.886704 65.229895) (xy 85.029394 65.087454)
+        (xy 85.106712 64.901251) (xy 85.106888 64.699633) (xy 85.029895 64.513296) (xy 84.916724 64.399927) (xy 85.029394 64.287454)
+        (xy 85.106712 64.101251) (xy 85.106888 63.899633) (xy 85.029895 63.713296) (xy 84.916724 63.599927) (xy 85.029394 63.487454)
+        (xy 85.106712 63.301251) (xy 85.106888 63.099633) (xy 85.029895 62.913296) (xy 84.916724 62.799927) (xy 85.029394 62.687454)
+        (xy 85.106712 62.501251) (xy 85.106888 62.299633) (xy 85.029895 62.113296) (xy 84.916724 61.999927) (xy 85.029394 61.887454)
+        (xy 85.106712 61.701251) (xy 85.106888 61.499633) (xy 85.029895 61.313296) (xy 84.916724 61.199927) (xy 85.029394 61.087454)
+        (xy 85.106712 60.901251) (xy 85.106888 60.699633) (xy 85.029895 60.513296) (xy 84.916724 60.399927) (xy 85.029394 60.287454)
+        (xy 85.106712 60.101251) (xy 85.106888 59.899633) (xy 85.029895 59.713296) (xy 84.916724 59.599927) (xy 85.029394 59.487454)
+        (xy 85.106712 59.301251) (xy 85.106888 59.099633) (xy 85.029895 58.913296) (xy 84.916724 58.799927) (xy 85.029394 58.687454)
+        (xy 85.106712 58.501251) (xy 85.106888 58.299633) (xy 85.029895 58.113296) (xy 84.887454 57.970606) (xy 84.781888 57.926771)
+        (xy 84.781888 46.424633) (xy 84.704895 46.238296) (xy 84.562454 46.095606) (xy 84.376251 46.018288) (xy 84.256888 46.018183)
+        (xy 84.256888 45.374633) (xy 84.179895 45.188296) (xy 84.037454 45.045606) (xy 83.851251 44.968288) (xy 83.649633 44.968112)
+        (xy 83.463296 45.045105) (xy 83.320606 45.187546) (xy 83.261625 45.329586) (xy 83.254895 45.313296) (xy 83.112454 45.170606)
+        (xy 82.926251 45.093288) (xy 82.724633 45.093112) (xy 82.538296 45.170105) (xy 82.395606 45.312546) (xy 82.331888 45.465996)
+        (xy 82.331888 45.249633) (xy 82.254895 45.063296) (xy 82.112454 44.920606) (xy 81.926251 44.843288) (xy 81.724633 44.843112)
+        (xy 81.538296 44.920105) (xy 81.395606 45.062546) (xy 81.318288 45.248749) (xy 81.318112 45.450367) (xy 81.395105 45.636704)
+        (xy 81.537546 45.779394) (xy 81.723749 45.856712) (xy 81.925367 45.856888) (xy 82.111704 45.779895) (xy 82.254394 45.637454)
+        (xy 82.331712 45.451251) (xy 82.331888 45.249633) (xy 82.331888 45.465996) (xy 82.318288 45.498749) (xy 82.318112 45.700367)
+        (xy 82.395105 45.886704) (xy 82.537546 46.029394) (xy 82.723749 46.106712) (xy 82.925367 46.106888) (xy 83.111704 46.029895)
+        (xy 83.254394 45.887454) (xy 83.313374 45.745413) (xy 83.320105 45.761704) (xy 83.462546 45.904394) (xy 83.648749 45.981712)
+        (xy 83.850367 45.981888) (xy 84.036704 45.904895) (xy 84.179394 45.762454) (xy 84.256712 45.576251) (xy 84.256888 45.374633)
+        (xy 84.256888 46.018183) (xy 84.174633 46.018112) (xy 83.988296 46.095105) (xy 83.845606 46.237546) (xy 83.768288 46.423749)
+        (xy 83.76825 46.466627) (xy 83.704895 46.313296) (xy 83.562454 46.170606) (xy 83.376251 46.093288) (xy 83.174633 46.093112)
+        (xy 82.988296 46.170105) (xy 82.845606 46.312546) (xy 82.791803 46.442116) (xy 82.779895 46.413296) (xy 82.637454 46.270606)
+        (xy 82.451251 46.193288) (xy 82.249633 46.193112) (xy 82.063296 46.270105) (xy 81.920606 46.412546) (xy 81.843288 46.598749)
+        (xy 81.843112 46.800367) (xy 81.920105 46.986704) (xy 82.062546 47.129394) (xy 82.248749 47.206712) (xy 82.450367 47.206888)
+        (xy 82.636704 47.129895) (xy 82.779394 46.987454) (xy 82.833196 46.857883) (xy 82.845105 46.886704) (xy 82.987546 47.029394)
+        (xy 83.173749 47.106712) (xy 83.375367 47.106888) (xy 83.561704 47.029895) (xy 83.704394 46.887454) (xy 83.781712 46.701251)
+        (xy 83.781749 46.658372) (xy 83.845105 46.811704) (xy 83.987546 46.954394) (xy 84.173749 47.031712) (xy 84.375367 47.031888)
+        (xy 84.561704 46.954895) (xy 84.704394 46.812454) (xy 84.781712 46.626251) (xy 84.781888 46.424633) (xy 84.781888 57.926771)
+        (xy 84.701251 57.893288) (xy 84.499633 57.893112) (xy 84.313296 57.970105) (xy 84.199927 58.083275) (xy 84.087454 57.970606)
+        (xy 83.901251 57.893288) (xy 83.699633 57.893112) (xy 83.513296 57.970105) (xy 83.399927 58.083275) (xy 83.287454 57.970606)
+        (xy 83.101251 57.893288) (xy 82.899633 57.893112) (xy 82.713296 57.970105) (xy 82.599927 58.083275) (xy 82.487454 57.970606)
+        (xy 82.301251 57.893288) (xy 82.099633 57.893112) (xy 81.913296 57.970105) (xy 81.799927 58.083275) (xy 81.781888 58.065204)
+        (xy 81.781888 46.774633) (xy 81.704895 46.588296) (xy 81.562454 46.445606) (xy 81.376251 46.368288) (xy 81.174633 46.368112)
+        (xy 80.988296 46.445105) (xy 80.845606 46.587546) (xy 80.768288 46.773749) (xy 80.768112 46.975367) (xy 80.845105 47.161704)
+        (xy 80.987546 47.304394) (xy 81.173749 47.381712) (xy 81.375367 47.381888) (xy 81.561704 47.304895) (xy 81.704394 47.162454)
+        (xy 81.781712 46.976251) (xy 81.781888 46.774633) (xy 81.781888 58.065204) (xy 81.687454 57.970606) (xy 81.501251 57.893288)
+        (xy 81.299633 57.893112) (xy 81.113296 57.970105) (xy 80.999927 58.083275) (xy 80.887454 57.970606) (xy 80.701251 57.893288)
+        (xy 80.499633 57.893112) (xy 80.313296 57.970105) (xy 80.199927 58.083275) (xy 80.087454 57.970606) (xy 79.906888 57.895628)
+        (xy 79.906888 56.349633) (xy 79.829895 56.163296) (xy 79.687454 56.020606) (xy 79.506804 55.945593) (xy 79.506888 55.849633)
+        (xy 79.429895 55.663296) (xy 79.287454 55.520606) (xy 79.101251 55.443288) (xy 78.899633 55.443112) (xy 78.713296 55.520105)
+        (xy 78.570606 55.662546) (xy 78.493288 55.848749) (xy 78.493112 56.050367) (xy 78.570105 56.236704) (xy 78.712546 56.379394)
+        (xy 78.893195 56.454406) (xy 78.893112 56.550367) (xy 78.970105 56.736704) (xy 79.112546 56.879394) (xy 79.298749 56.956712)
+        (xy 79.500367 56.956888) (xy 79.686704 56.879895) (xy 79.829394 56.737454) (xy 79.906712 56.551251) (xy 79.906888 56.349633)
+        (xy 79.906888 57.895628) (xy 79.901251 57.893288) (xy 79.699633 57.893112) (xy 79.513296 57.970105) (xy 79.399927 58.083275)
+        (xy 79.287454 57.970606) (xy 79.101251 57.893288) (xy 78.899633 57.893112) (xy 78.713296 57.970105) (xy 78.599927 58.083275)
+        (xy 78.487454 57.970606) (xy 78.301251 57.893288) (xy 78.099633 57.893112) (xy 77.913296 57.970105) (xy 77.799927 58.083275)
+        (xy 77.687454 57.970606) (xy 77.501251 57.893288) (xy 77.299633 57.893112) (xy 77.113296 57.970105) (xy 76.999927 58.083275)
+        (xy 76.887454 57.970606) (xy 76.701251 57.893288) (xy 76.681888 57.893271) (xy 76.681888 46.824633) (xy 76.604895 46.638296)
+        (xy 76.462454 46.495606) (xy 76.276251 46.418288) (xy 76.074633 46.418112) (xy 76.072936 46.418812) (xy 76.129394 46.362454)
+        (xy 76.206712 46.176251) (xy 76.206888 45.974633) (xy 76.129895 45.788296) (xy 75.987454 45.645606) (xy 75.801251 45.568288)
+        (xy 75.599633 45.568112) (xy 75.413296 45.645105) (xy 75.270606 45.787546) (xy 75.193288 45.973749) (xy 75.193112 46.175367)
+        (xy 75.270105 46.361704) (xy 75.344529 46.436258) (xy 75.301251 46.418288) (xy 75.181871 46.418183) (xy 75.181888 46.399633)
+        (xy 75.104895 46.213296) (xy 74.962454 46.070606) (xy 74.906888 46.047533) (xy 74.906888 37.049633) (xy 74.829895 36.863296)
+        (xy 74.687454 36.720606) (xy 74.501251 36.643288) (xy 74.323705 36.643133) (xy 74.329394 36.637454) (xy 74.406712 36.451251)
+        (xy 74.406888 36.249633) (xy 74.329895 36.063296) (xy 74.187454 35.920606) (xy 74.001251 35.843288) (xy 73.799633 35.843112)
+        (xy 73.613296 35.920105) (xy 73.470606 36.062546) (xy 73.393288 36.248749) (xy 73.393112 36.450367) (xy 73.470105 36.636704)
+        (xy 73.612546 36.779394) (xy 73.798749 36.856712) (xy 73.976294 36.856866) (xy 73.970606 36.862546) (xy 73.893288 37.048749)
+        (xy 73.893112 37.250367) (xy 73.970105 37.436704) (xy 74.112546 37.579394) (xy 74.298749 37.656712) (xy 74.500367 37.656888)
+        (xy 74.686704 37.579895) (xy 74.829394 37.437454) (xy 74.906712 37.251251) (xy 74.906888 37.049633) (xy 74.906888 46.047533)
+        (xy 74.776251 45.993288) (xy 74.574633 45.993112) (xy 74.388296 46.070105) (xy 74.245606 46.212546) (xy 74.168288 46.398749)
+        (xy 74.168112 46.600367) (xy 74.245105 46.786704) (xy 74.387546 46.929394) (xy 74.573749 47.006712) (xy 74.693128 47.006816)
+        (xy 74.693112 47.025367) (xy 74.770105 47.211704) (xy 74.912546 47.354394) (xy 75.098749 47.431712) (xy 75.300367 47.431888)
+        (xy 75.486704 47.354895) (xy 75.629394 47.212454) (xy 75.687547 47.072404) (xy 75.745105 47.211704) (xy 75.887546 47.354394)
+        (xy 76.073749 47.431712) (xy 76.275367 47.431888) (xy 76.461704 47.354895) (xy 76.604394 47.212454) (xy 76.681712 47.026251)
+        (xy 76.681888 46.824633) (xy 76.681888 57.893271) (xy 76.499633 57.893112) (xy 76.313296 57.970105) (xy 76.199927 58.083275)
+        (xy 76.087454 57.970606) (xy 75.901251 57.893288) (xy 75.699633 57.893112) (xy 75.513296 57.970105) (xy 75.399927 58.083275)
+        (xy 75.287454 57.970606) (xy 75.101251 57.893288) (xy 74.899633 57.893112) (xy 74.713296 57.970105) (xy 74.599927 58.083275)
+        (xy 74.487454 57.970606) (xy 74.301251 57.893288) (xy 74.099633 57.893112) (xy 73.913296 57.970105) (xy 73.799927 58.083275)
+        (xy 73.687454 57.970606) (xy 73.501251 57.893288) (xy 73.406888 57.893205) (xy 73.406888 36.774633) (xy 73.329895 36.588296)
+        (xy 73.187454 36.445606) (xy 73.001251 36.368288) (xy 72.799633 36.368112) (xy 72.613296 36.445105) (xy 72.470606 36.587546)
+        (xy 72.406888 36.740996) (xy 72.406888 36.474633) (xy 72.329895 36.288296) (xy 72.187454 36.145606) (xy 72.001251 36.068288)
+        (xy 71.799633 36.068112) (xy 71.613296 36.145105) (xy 71.470606 36.287546) (xy 71.393288 36.473749) (xy 71.393112 36.675367)
+        (xy 71.470105 36.861704) (xy 71.612546 37.004394) (xy 71.798749 37.081712) (xy 72.000367 37.081888) (xy 72.186704 37.004895)
+        (xy 72.329394 36.862454) (xy 72.406712 36.676251) (xy 72.406888 36.474633) (xy 72.406888 36.740996) (xy 72.393288 36.773749)
+        (xy 72.393112 36.975367) (xy 72.470105 37.161704) (xy 72.612546 37.304394) (xy 72.798749 37.381712) (xy 73.000367 37.381888)
+        (xy 73.186704 37.304895) (xy 73.329394 37.162454) (xy 73.406712 36.976251) (xy 73.406888 36.774633) (xy 73.406888 57.893205)
+        (xy 73.299633 57.893112) (xy 73.113296 57.970105) (xy 72.999927 58.083275) (xy 72.887454 57.970606) (xy 72.701251 57.893288)
+        (xy 72.499633 57.893112) (xy 72.313296 57.970105) (xy 72.199927 58.083275) (xy 72.087454 57.970606) (xy 71.901251 57.893288)
+        (xy 71.699633 57.893112) (xy 71.513296 57.970105) (xy 71.431888 58.05137) (xy 71.431888 36.974633) (xy 71.354895 36.788296)
+        (xy 71.212454 36.645606) (xy 71.026251 36.568288) (xy 70.824633 36.568112) (xy 70.638296 36.645105) (xy 70.495606 36.787546)
+        (xy 70.418288 36.973749) (xy 70.418112 37.175367) (xy 70.495105 37.361704) (xy 70.637546 37.504394) (xy 70.823749 37.581712)
+        (xy 71.025367 37.581888) (xy 71.211704 37.504895) (xy 71.354394 37.362454) (xy 71.431712 37.176251) (xy 71.431888 36.974633)
+        (xy 71.431888 58.05137) (xy 71.399927 58.083275) (xy 71.287454 57.970606) (xy 71.206888 57.937152) (xy 71.206888 47.424633)
+        (xy 71.129895 47.238296) (xy 70.987454 47.095606) (xy 70.801251 47.018288) (xy 70.599633 47.018112) (xy 70.413296 47.095105)
+        (xy 70.406888 47.101501) (xy 70.406888 36.774633) (xy 70.329895 36.588296) (xy 70.187454 36.445606) (xy 70.001251 36.368288)
+        (xy 69.799633 36.368112) (xy 69.613296 36.445105) (xy 69.470606 36.587546) (xy 69.393288 36.773749) (xy 69.393112 36.975367)
+        (xy 69.470105 37.161704) (xy 69.612546 37.304394) (xy 69.798749 37.381712) (xy 70.000367 37.381888) (xy 70.186704 37.304895)
+        (xy 70.329394 37.162454) (xy 70.406712 36.976251) (xy 70.406888 36.774633) (xy 70.406888 47.101501) (xy 70.270606 47.237546)
+        (xy 70.193288 47.423749) (xy 70.193205 47.518193) (xy 70.099633 47.518112) (xy 69.913296 47.595105) (xy 69.770606 47.737546)
+        (xy 69.693288 47.923749) (xy 69.693112 48.125367) (xy 69.770105 48.311704) (xy 69.912546 48.454394) (xy 70.098749 48.531712)
+        (xy 70.300367 48.531888) (xy 70.486704 48.454895) (xy 70.629394 48.312454) (xy 70.706712 48.126251) (xy 70.706794 48.031806)
+        (xy 70.800367 48.031888) (xy 70.986704 47.954895) (xy 71.129394 47.812454) (xy 71.206712 47.626251) (xy 71.206888 47.424633)
+        (xy 71.206888 57.937152) (xy 71.101251 57.893288) (xy 70.899633 57.893112) (xy 70.713296 57.970105) (xy 70.599927 58.083275)
+        (xy 70.487454 57.970606) (xy 70.301251 57.893288) (xy 70.099633 57.893112) (xy 69.913296 57.970105) (xy 69.799927 58.083275)
+        (xy 69.687454 57.970606) (xy 69.501251 57.893288) (xy 69.381888 57.893183) (xy 69.381888 37.299633) (xy 69.304895 37.113296)
+        (xy 69.162454 36.970606) (xy 68.976251 36.893288) (xy 68.774633 36.893112) (xy 68.588296 36.970105) (xy 68.445606 37.112546)
+        (xy 68.406888 37.205789) (xy 68.406888 36.524633) (xy 68.329895 36.338296) (xy 68.187454 36.195606) (xy 68.001251 36.118288)
+        (xy 67.799633 36.118112) (xy 67.613296 36.195105) (xy 67.470606 36.337546) (xy 67.393288 36.523749) (xy 67.393112 36.725367)
+        (xy 67.470105 36.911704) (xy 67.612546 37.054394) (xy 67.798749 37.131712) (xy 68.000367 37.131888) (xy 68.186704 37.054895)
+        (xy 68.329394 36.912454) (xy 68.406712 36.726251) (xy 68.406888 36.524633) (xy 68.406888 37.205789) (xy 68.368288 37.298749)
+        (xy 68.368112 37.500367) (xy 68.445105 37.686704) (xy 68.587546 37.829394) (xy 68.773749 37.906712) (xy 68.975367 37.906888)
+        (xy 69.161704 37.829895) (xy 69.304394 37.687454) (xy 69.381712 37.501251) (xy 69.381888 37.299633) (xy 69.381888 57.893183)
+        (xy 69.299633 57.893112) (xy 69.113296 57.970105) (xy 68.999927 58.083275) (xy 68.887454 57.970606) (xy 68.701251 57.893288)
+        (xy 68.499633 57.893112) (xy 68.313296 57.970105) (xy 68.170606 58.112546) (xy 68.093288 58.298749) (xy 68.093112 58.500367)
+        (xy 68.170105 58.686704) (xy 68.283275 58.800072) (xy 68.170606 58.912546) (xy 68.093288 59.098749) (xy 68.093112 59.300367)
+        (xy 68.170105 59.486704) (xy 68.283275 59.600072) (xy 68.170606 59.712546) (xy 68.093288 59.898749) (xy 68.093112 60.100367)
+        (xy 68.170105 60.286704) (xy 68.283275 60.400072) (xy 68.170606 60.512546) (xy 68.093288 60.698749) (xy 68.093112 60.900367)
+        (xy 68.170105 61.086704) (xy 68.283275 61.200072) (xy 68.170606 61.312546) (xy 68.093288 61.498749) (xy 68.093112 61.700367)
+        (xy 68.170105 61.886704) (xy 68.312546 62.029394) (xy 68.498749 62.106712) (xy 68.700367 62.106888) (xy 68.886704 62.029895)
+        (xy 69.000072 61.916724) (xy 69.112546 62.029394) (xy 69.298749 62.106712) (xy 69.500367 62.106888) (xy 69.686704 62.029895)
+        (xy 69.829394 61.887454) (xy 69.906712 61.701251) (xy 69.906888 61.499633) (xy 69.829895 61.313296) (xy 69.687454 61.170606)
+        (xy 69.501251 61.093288) (xy 69.299633 61.093112) (xy 69.113296 61.170105) (xy 68.999927 61.283275) (xy 68.916724 61.199927)
+        (xy 69.029394 61.087454) (xy 69.106712 60.901251) (xy 69.106888 60.699633) (xy 69.029895 60.513296) (xy 68.916724 60.399927)
+        (xy 69.000072 60.316724) (xy 69.112546 60.429394) (xy 69.298749 60.506712) (xy 69.500367 60.506888) (xy 69.686704 60.429895)
+        (xy 69.800072 60.316724) (xy 69.883275 60.400072) (xy 69.770606 60.512546) (xy 69.693288 60.698749) (xy 69.693112 60.900367)
+        (xy 69.770105 61.086704) (xy 69.912546 61.229394) (xy 70.098749 61.306712) (xy 70.300367 61.306888) (xy 70.486704 61.229895)
+        (xy 70.629394 61.087454) (xy 70.706712 60.901251) (xy 70.706888 60.699633) (xy 70.629895 60.513296) (xy 70.516724 60.399927)
+        (xy 70.600072 60.316724) (xy 70.712546 60.429394) (xy 70.898749 60.506712) (xy 71.100367 60.506888) (xy 71.286704 60.429895)
+        (xy 71.400072 60.316724) (xy 71.512546 60.429394) (xy 71.587 60.46031) (xy 71.563296 60.470105) (xy 71.420606 60.612546)
+        (xy 71.343288 60.798749) (xy 71.343112 61.000367) (xy 71.420105 61.186704) (xy 71.562546 61.329394) (xy 71.748749 61.406712)
+        (xy 71.950367 61.406888) (xy 72.136704 61.329895) (xy 72.279394 61.187454) (xy 72.356712 61.001251) (xy 72.356888 60.799633)
+        (xy 72.279895 60.613296) (xy 72.137454 60.470606) (xy 72.062999 60.439689) (xy 72.086704 60.429895) (xy 72.200072 60.316724)
+        (xy 72.312546 60.429394) (xy 72.498749 60.506712) (xy 72.700367 60.506888) (xy 72.886704 60.429895) (xy 73.000072 60.316724)
+        (xy 73.112546 60.429394) (xy 73.298749 60.506712) (xy 73.500367 60.506888) (xy 73.686704 60.429895) (xy 73.800072 60.316724)
+        (xy 73.912546 60.429394) (xy 74.098749 60.506712) (xy 74.300367 60.506888) (xy 74.486704 60.429895) (xy 74.600072 60.316724)
+        (xy 74.712546 60.429394) (xy 74.898749 60.506712) (xy 75.100367 60.506888) (xy 75.286704 60.429895) (xy 75.400072 60.316724)
+        (xy 75.512546 60.429394) (xy 75.698749 60.506712) (xy 75.900367 60.506888) (xy 76.086704 60.429895) (xy 76.200072 60.316724)
+        (xy 76.312546 60.429394) (xy 76.498749 60.506712) (xy 76.700367 60.506888) (xy 76.886704 60.429895) (xy 77.000072 60.316724)
+        (xy 77.112546 60.429394) (xy 77.298749 60.506712) (xy 77.500367 60.506888) (xy 77.686704 60.429895) (xy 77.800072 60.316724)
+        (xy 77.912546 60.429394) (xy 78.098749 60.506712) (xy 78.300367 60.506888) (xy 78.486704 60.429895) (xy 78.600072 60.316724)
+        (xy 78.712546 60.429394) (xy 78.898749 60.506712) (xy 79.100367 60.506888) (xy 79.286704 60.429895) (xy 79.400072 60.316724)
+        (xy 79.512546 60.429394) (xy 79.698749 60.506712) (xy 79.900367 60.506888) (xy 80.086704 60.429895) (xy 80.200072 60.316724)
+        (xy 80.312546 60.429394) (xy 80.498749 60.506712) (xy 80.700367 60.506888) (xy 80.886704 60.429895) (xy 81.000072 60.316724)
+        (xy 81.112546 60.429394) (xy 81.298749 60.506712) (xy 81.500367 60.506888) (xy 81.686704 60.429895) (xy 81.812572 60.304245)
+        (xy 81.912546 60.404394) (xy 82.098749 60.481712) (xy 82.300367 60.481888) (xy 82.486704 60.404895) (xy 82.587572 60.304202)
+        (xy 82.712546 60.429394) (xy 82.898749 60.506712) (xy 83.100367 60.506888) (xy 83.286704 60.429895) (xy 83.400072 60.316724)
+        (xy 83.483275 60.400072) (xy 83.370606 60.512546) (xy 83.293288 60.698749) (xy 83.293112 60.900367) (xy 83.370105 61.086704)
+        (xy 83.483275 61.200072) (xy 83.399927 61.283275) (xy 83.287454 61.170606) (xy 83.101251 61.093288) (xy 82.899633 61.093112)
+        (xy 82.713296 61.170105) (xy 82.570606 61.312546) (xy 82.493288 61.498749) (xy 82.493112 61.700367) (xy 82.570105 61.886704)
+        (xy 82.712546 62.029394) (xy 82.898749 62.106712) (xy 83.100367 62.106888) (xy 83.286704 62.029895) (xy 83.400072 61.916724)
+        (xy 83.483275 62.000072) (xy 83.370606 62.112546) (xy 83.293288 62.298749) (xy 83.293112 62.500367) (xy 83.370105 62.686704)
+        (xy 83.483275 62.800072) (xy 83.399927 62.883275) (xy 83.287454 62.770606) (xy 83.101251 62.693288) (xy 82.899633 62.693112)
+        (xy 82.713296 62.770105) (xy 82.570606 62.912546) (xy 82.493288 63.098749) (xy 82.493112 63.300367) (xy 82.570105 63.486704)
+        (xy 82.683275 63.600072) (xy 82.570606 63.712546) (xy 82.493288 63.898749) (xy 82.493112 64.100367) (xy 82.570105 64.286704)
+        (xy 82.683275 64.400072) (xy 82.570606 64.512546) (xy 82.493288 64.698749) (xy 82.493112 64.900367) (xy 82.570105 65.086704)
+        (xy 82.683275 65.200072) (xy 82.570606 65.312546) (xy 82.493288 65.498749) (xy 82.493112 65.700367) (xy 82.570105 65.886704)
+        (xy 82.683275 66.000072) (xy 82.570606 66.112546) (xy 82.493288 66.298749) (xy 82.493112 66.500367) (xy 82.570105 66.686704)
+        (xy 82.712546 66.829394) (xy 82.898749 66.906712) (xy 83.100367 66.906888) (xy 83.286704 66.829895) (xy 83.400072 66.716724)
+        (xy 83.483275 66.800072) (xy 83.370606 66.912546) (xy 83.293288 67.098749) (xy 83.293112 67.300367) (xy 83.370105 67.486704)
+        (xy 83.483275 67.600072) (xy 83.370606 67.712546) (xy 83.293288 67.898749) (xy 83.293112 68.100367) (xy 83.370105 68.286704)
+        (xy 83.483275 68.400072) (xy 83.370606 68.512546) (xy 83.293288 68.698749) (xy 83.293112 68.900367) (xy 83.370105 69.086704)
+        (xy 83.512546 69.229394) (xy 83.698749 69.306712) (xy 83.900367 69.306888) (xy 84.086704 69.229895) (xy 84.200072 69.116724)
+        (xy 84.312546 69.229394) (xy 84.498749 69.306712) (xy 84.700367 69.306888) (xy 84.886704 69.229895) (xy 85.029394 69.087454)
+        (xy 85.106712 68.901251) (xy 85.106888 68.699633) (xy 85.106888 103.707004) (xy 83.115248 103.718008) (xy 83.115248 88.006905)
+        (xy 83.115248 86.482905) (xy 83.072984 86.380618) (xy 82.994793 86.302291) (xy 82.89258 86.259848) (xy 82.781905 86.259752)
+        (xy 82.606888 86.259752) (xy 82.606888 75.199633) (xy 82.606888 69.499633) (xy 82.529895 69.313296) (xy 82.387454 69.170606)
+        (xy 82.201251 69.093288) (xy 81.999633 69.093112) (xy 81.813296 69.170105) (xy 81.670606 69.312546) (xy 81.593288 69.498749)
+        (xy 81.593112 69.700367) (xy 81.670105 69.886704) (xy 81.812546 70.029394) (xy 81.998749 70.106712) (xy 82.200367 70.106888)
+        (xy 82.386704 70.029895) (xy 82.529394 69.887454) (xy 82.606712 69.701251) (xy 82.606888 69.499633) (xy 82.606888 75.199633)
+        (xy 82.529895 75.013296) (xy 82.387454 74.870606) (xy 82.201251 74.793288) (xy 81.999633 74.793112) (xy 81.813296 74.870105)
+        (xy 81.670606 75.012546) (xy 81.593288 75.198749) (xy 81.593112 75.400367) (xy 81.670105 75.586704) (xy 81.812546 75.729394)
+        (xy 81.998749 75.806712) (xy 82.200367 75.806888) (xy 82.386704 75.729895) (xy 82.529394 75.587454) (xy 82.606712 75.401251)
+        (xy 82.606888 75.199633) (xy 82.606888 86.259752) (xy 81.257905 86.259752) (xy 81.166888 86.297359) (xy 81.166888 63.889633)
+        (xy 81.089895 63.703296) (xy 80.947454 63.560606) (xy 80.761251 63.483288) (xy 80.559633 63.483112) (xy 80.373296 63.560105)
+        (xy 80.230606 63.702546) (xy 80.227244 63.710641) (xy 80.087454 63.570606) (xy 79.901251 63.493288) (xy 79.699633 63.493112)
+        (xy 79.513296 63.570105) (xy 79.506888 63.576501) (xy 79.506888 63.099633) (xy 79.429895 62.913296) (xy 79.287454 62.770606)
+        (xy 79.101251 62.693288) (xy 78.899633 62.693112) (xy 78.713296 62.770105) (xy 78.599927 62.883275) (xy 78.487454 62.770606)
+        (xy 78.301251 62.693288) (xy 78.099633 62.693112) (xy 77.913296 62.770105) (xy 77.799927 62.883275) (xy 77.687454 62.770606)
+        (xy 77.501251 62.693288) (xy 77.299633 62.693112) (xy 77.113296 62.770105) (xy 76.999927 62.883275) (xy 76.887454 62.770606)
+        (xy 76.701251 62.693288) (xy 76.499633 62.693112) (xy 76.313296 62.770105) (xy 76.199927 62.883275) (xy 76.087454 62.770606)
+        (xy 75.901251 62.693288) (xy 75.699633 62.693112) (xy 75.513296 62.770105) (xy 75.399927 62.883275) (xy 75.287454 62.770606)
+        (xy 75.101251 62.693288) (xy 74.899633 62.693112) (xy 74.713296 62.770105) (xy 74.599927 62.883275) (xy 74.487454 62.770606)
+        (xy 74.301251 62.693288) (xy 74.099633 62.693112) (xy 73.913296 62.770105) (xy 73.770606 62.912546) (xy 73.693288 63.098749)
+        (xy 73.693112 63.300367) (xy 73.770105 63.486704) (xy 73.883275 63.600072) (xy 73.770606 63.712546) (xy 73.693288 63.898749)
+        (xy 73.693112 64.100367) (xy 73.770105 64.286704) (xy 73.883275 64.400072) (xy 73.770606 64.512546) (xy 73.693288 64.698749)
+        (xy 73.693112 64.900367) (xy 73.770105 65.086704) (xy 73.883275 65.200072) (xy 73.770606 65.312546) (xy 73.693288 65.498749)
+        (xy 73.693112 65.700367) (xy 73.770105 65.886704) (xy 73.912546 66.029394) (xy 74.098749 66.106712) (xy 74.300367 66.106888)
+        (xy 74.486704 66.029895) (xy 74.629394 65.887454) (xy 74.706712 65.701251) (xy 74.706888 65.499633) (xy 74.629895 65.313296)
+        (xy 74.516724 65.199927) (xy 74.629394 65.087454) (xy 74.706712 64.901251) (xy 74.706888 64.699633) (xy 74.629895 64.513296)
+        (xy 74.516724 64.399927) (xy 74.629394 64.287454) (xy 74.706712 64.101251) (xy 74.706888 63.899633) (xy 74.629895 63.713296)
+        (xy 74.516724 63.599927) (xy 74.600072 63.516724) (xy 74.712546 63.629394) (xy 74.898749 63.706712) (xy 75.100367 63.706888)
+        (xy 75.286704 63.629895) (xy 75.400072 63.516724) (xy 75.512546 63.629394) (xy 75.698749 63.706712) (xy 75.900367 63.706888)
+        (xy 76.086704 63.629895) (xy 76.200072 63.516724) (xy 76.312546 63.629394) (xy 76.498749 63.706712) (xy 76.700367 63.706888)
+        (xy 76.886704 63.629895) (xy 77.000072 63.516724) (xy 77.112546 63.629394) (xy 77.298749 63.706712) (xy 77.500367 63.706888)
+        (xy 77.686704 63.629895) (xy 77.800072 63.516724) (xy 77.912546 63.629394) (xy 78.098749 63.706712) (xy 78.300367 63.706888)
+        (xy 78.486704 63.629895) (xy 78.600072 63.516724) (xy 78.712546 63.629394) (xy 78.898749 63.706712) (xy 79.100367 63.706888)
+        (xy 79.286704 63.629895) (xy 79.429394 63.487454) (xy 79.506712 63.301251) (xy 79.506888 63.099633) (xy 79.506888 63.576501)
+        (xy 79.370606 63.712546) (xy 79.293288 63.898749) (xy 79.293112 64.100367) (xy 79.370105 64.286704) (xy 79.512546 64.429394)
+        (xy 79.698749 64.506712) (xy 79.900367 64.506888) (xy 80.086704 64.429895) (xy 80.229394 64.287454) (xy 80.232755 64.279358)
+        (xy 80.372546 64.419394) (xy 80.558749 64.496712) (xy 80.760367 64.496888) (xy 80.946704 64.419895) (xy 81.089394 64.277454)
+        (xy 81.166712 64.091251) (xy 81.166888 63.889633) (xy 81.166888 86.297359) (xy 81.155618 86.302016) (xy 81.077291 86.380207)
+        (xy 81.034848 86.48242) (xy 81.034752 86.593095) (xy 81.034752 88.117095) (xy 81.077016 88.219382) (xy 81.155207 88.297709)
+        (xy 81.25742 88.340152) (xy 81.368095 88.340248) (xy 82.892095 88.340248) (xy 82.994382 88.297984) (xy 83.072709 88.219793)
+        (xy 83.115152 88.11758) (xy 83.115248 88.006905) (xy 83.115248 103.718008) (xy 82.556888 103.721093) (xy 82.556888 94.124633)
+        (xy 82.479895 93.938296) (xy 82.337454 93.795606) (xy 82.151251 93.718288) (xy 81.949633 93.718112) (xy 81.763296 93.795105)
+        (xy 81.620606 93.937546) (xy 81.543288 94.123749) (xy 81.543112 94.325367) (xy 81.620105 94.511704) (xy 81.762546 94.654394)
+        (xy 81.948749 94.731712) (xy 82.150367 94.731888) (xy 82.336704 94.654895) (xy 82.479394 94.512454) (xy 82.556712 94.326251)
+        (xy 82.556888 94.124633) (xy 82.556888 103.721093) (xy 80.306888 103.733524) (xy 80.306888 73.499633) (xy 80.229895 73.313296)
+        (xy 80.116724 73.199927) (xy 80.229394 73.087454) (xy 80.306712 72.901251) (xy 80.306888 72.699633) (xy 80.229895 72.513296)
+        (xy 80.116724 72.399927) (xy 80.229394 72.287454) (xy 80.306712 72.101251) (xy 80.306888 71.899633) (xy 80.306888 67.899633)
+        (xy 80.306888 65.499633) (xy 80.229895 65.313296) (xy 80.087454 65.170606) (xy 79.901251 65.093288) (xy 79.699633 65.093112)
+        (xy 79.513296 65.170105) (xy 79.370606 65.312546) (xy 79.293288 65.498749) (xy 79.293112 65.700367) (xy 79.370105 65.886704)
+        (xy 79.512546 66.029394) (xy 79.698749 66.106712) (xy 79.900367 66.106888) (xy 80.086704 66.029895) (xy 80.229394 65.887454)
+        (xy 80.306712 65.701251) (xy 80.306888 65.499633) (xy 80.306888 67.899633) (xy 80.229895 67.713296) (xy 80.087454 67.570606)
+        (xy 79.901251 67.493288) (xy 79.699633 67.493112) (xy 79.513296 67.570105) (xy 79.370606 67.712546) (xy 79.293288 67.898749)
+        (xy 79.293112 68.100367) (xy 79.370105 68.286704) (xy 79.512546 68.429394) (xy 79.698749 68.506712) (xy 79.900367 68.506888)
+        (xy 80.086704 68.429895) (xy 80.229394 68.287454) (xy 80.306712 68.101251) (xy 80.306888 67.899633) (xy 80.306888 71.899633)
+        (xy 80.229895 71.713296) (xy 80.087454 71.570606) (xy 79.901251 71.493288) (xy 79.699633 71.493112) (xy 79.513296 71.570105)
+        (xy 79.399927 71.683275) (xy 79.287454 71.570606) (xy 79.101251 71.493288) (xy 78.899633 71.493112) (xy 78.713296 71.570105)
+        (xy 78.570606 71.712546) (xy 78.493288 71.898749) (xy 78.493112 72.100367) (xy 78.570105 72.286704) (xy 78.683275 72.400072)
+        (xy 78.599927 72.483275) (xy 78.487454 72.370606) (xy 78.301251 72.293288) (xy 78.099633 72.293112) (xy 77.913296 72.370105)
+        (xy 77.799927 72.483275) (xy 77.716724 72.399927) (xy 77.829394 72.287454) (xy 77.906712 72.101251) (xy 77.906888 71.899633)
+        (xy 77.829895 71.713296) (xy 77.687454 71.570606) (xy 77.501251 71.493288) (xy 77.299633 71.493112) (xy 77.113296 71.570105)
+        (xy 76.970606 71.712546) (xy 76.893288 71.898749) (xy 76.893112 72.100367) (xy 76.970105 72.286704) (xy 77.083275 72.400072)
+        (xy 76.999927 72.483275) (xy 76.887454 72.370606) (xy 76.701251 72.293288) (xy 76.499633 72.293112) (xy 76.313296 72.370105)
+        (xy 76.199927 72.483275) (xy 76.087454 72.370606) (xy 75.931888 72.306009) (xy 75.931888 69.599633) (xy 75.854895 69.413296)
+        (xy 75.712454 69.270606) (xy 75.526251 69.193288) (xy 75.324633 69.193112) (xy 75.322787 69.193874) (xy 75.429394 69.087454)
+        (xy 75.506712 68.901251) (xy 75.506888 68.699633) (xy 75.429895 68.513296) (xy 75.287454 68.370606) (xy 75.101251 68.293288)
+        (xy 74.899633 68.293112) (xy 74.713296 68.370105) (xy 74.592321 68.490868) (xy 74.503724 68.402116) (xy 74.622794 68.283254)
+        (xy 74.700112 68.097051) (xy 74.700288 67.895433) (xy 74.623295 67.709096) (xy 74.515518 67.60113) (xy 74.629394 67.487454)
+        (xy 74.706712 67.301251) (xy 74.706888 67.099633) (xy 74.629895 66.913296) (xy 74.487454 66.770606) (xy 74.301251 66.693288)
+        (xy 74.099633 66.693112) (xy 73.913296 66.770105) (xy 73.770606 66.912546) (xy 73.693288 67.098749) (xy 73.693112 67.300367)
+        (xy 73.770105 67.486704) (xy 73.877881 67.594669) (xy 73.764006 67.708346) (xy 73.686688 67.894549) (xy 73.686512 68.096167)
+        (xy 73.763505 68.282504) (xy 73.883075 68.402283) (xy 73.764006 68.521146) (xy 73.686688 68.707349) (xy 73.686512 68.908967)
+        (xy 73.763505 69.095304) (xy 73.905946 69.237994) (xy 74.092149 69.315312) (xy 74.293767 69.315488) (xy 74.480104 69.238495)
+        (xy 74.601078 69.117731) (xy 74.712546 69.229394) (xy 74.898749 69.306712) (xy 75.100367 69.306888) (xy 75.102212 69.306125)
+        (xy 74.995606 69.412546) (xy 74.918288 69.598749) (xy 74.918112 69.800367) (xy 74.995105 69.986704) (xy 75.137546 70.129394)
+        (xy 75.323749 70.206712) (xy 75.525367 70.206888) (xy 75.711704 70.129895) (xy 75.854394 69.987454) (xy 75.931712 69.801251)
+        (xy 75.931888 69.599633) (xy 75.931888 72.306009) (xy 75.901251 72.293288) (xy 75.699633 72.293112) (xy 75.513296 72.370105)
+        (xy 75.399927 72.483275) (xy 75.287454 72.370606) (xy 75.101251 72.293288) (xy 74.899633 72.293112) (xy 74.713296 72.370105)
+        (xy 74.570606 72.512546) (xy 74.493288 72.698749) (xy 74.493112 72.900367) (xy 74.570105 73.086704) (xy 74.683275 73.200072)
+        (xy 74.570606 73.312546) (xy 74.493288 73.498749) (xy 74.493112 73.700367) (xy 74.570105 73.886704) (xy 74.712546 74.029394)
+        (xy 74.898749 74.106712) (xy 75.100367 74.106888) (xy 75.286704 74.029895) (xy 75.400072 73.916724) (xy 75.512546 74.029394)
+        (xy 75.698749 74.106712) (xy 75.900367 74.106888) (xy 76.086704 74.029895) (xy 76.200072 73.916724) (xy 76.312546 74.029394)
+        (xy 76.498749 74.106712) (xy 76.700367 74.106888) (xy 76.886704 74.029895) (xy 77.000072 73.916724) (xy 77.112546 74.029394)
+        (xy 77.298749 74.106712) (xy 77.500367 74.106888) (xy 77.686704 74.029895) (xy 77.800072 73.916724) (xy 77.912546 74.029394)
+        (xy 78.098749 74.106712) (xy 78.300367 74.106888) (xy 78.486704 74.029895) (xy 78.600072 73.916724) (xy 78.712546 74.029394)
+        (xy 78.898749 74.106712) (xy 79.100367 74.106888) (xy 79.286704 74.029895) (xy 79.400072 73.916724) (xy 79.512546 74.029394)
+        (xy 79.698749 74.106712) (xy 79.900367 74.106888) (xy 80.086704 74.029895) (xy 80.229394 73.887454) (xy 80.306712 73.701251)
+        (xy 80.306888 73.499633) (xy 80.306888 103.733524) (xy 75.146593 103.762033) (xy 75.146593 100.468909) (xy 75.130248 100.429351)
+        (xy 75.130248 92.786905) (xy 75.130248 91.262905) (xy 75.087984 91.160618) (xy 75.009793 91.082291) (xy 74.90758 91.039848)
+        (xy 74.796905 91.039752) (xy 73.906888 91.039752) (xy 73.906888 71.099633) (xy 73.829895 70.913296) (xy 73.687454 70.770606)
+        (xy 73.501251 70.693288) (xy 73.299633 70.693112) (xy 73.113296 70.770105) (xy 72.970606 70.912546) (xy 72.893288 71.098749)
+        (xy 72.893112 71.300367) (xy 72.970105 71.486704) (xy 73.112546 71.629394) (xy 73.298749 71.706712) (xy 73.500367 71.706888)
+        (xy 73.686704 71.629895) (xy 73.829394 71.487454) (xy 73.906712 71.301251) (xy 73.906888 71.099633) (xy 73.906888 91.039752)
+        (xy 73.272905 91.039752) (xy 73.170618 91.082016) (xy 73.106888 91.145635) (xy 73.106888 72.699633) (xy 73.029895 72.513296)
+        (xy 72.916724 72.399927) (xy 73.029394 72.287454) (xy 73.106712 72.101251) (xy 73.106888 71.899633) (xy 73.029895 71.713296)
+        (xy 72.887454 71.570606) (xy 72.701251 71.493288) (xy 72.499633 71.493112) (xy 72.331888 71.562422) (xy 72.331888 71.099633)
+        (xy 72.306888 71.039128) (xy 72.306888 62.299633) (xy 72.229895 62.113296) (xy 72.087454 61.970606) (xy 71.901251 61.893288)
+        (xy 71.699633 61.893112) (xy 71.513296 61.970105) (xy 71.370606 62.112546) (xy 71.293288 62.298749) (xy 71.293112 62.500367)
+        (xy 71.370105 62.686704) (xy 71.512546 62.829394) (xy 71.698749 62.906712) (xy 71.900367 62.906888) (xy 72.086704 62.829895)
+        (xy 72.229394 62.687454) (xy 72.306712 62.501251) (xy 72.306888 62.299633) (xy 72.306888 71.039128) (xy 72.254895 70.913296)
+        (xy 72.112454 70.770606) (xy 71.926251 70.693288) (xy 71.724633 70.693112) (xy 71.538296 70.770105) (xy 71.506888 70.801458)
+        (xy 71.506888 68.699633) (xy 71.429895 68.513296) (xy 71.287454 68.370606) (xy 71.101251 68.293288) (xy 70.899633 68.293112)
+        (xy 70.713296 68.370105) (xy 70.599927 68.483275) (xy 70.516724 68.399927) (xy 70.629394 68.287454) (xy 70.706712 68.101251)
+        (xy 70.706888 67.899633) (xy 70.629895 67.713296) (xy 70.516724 67.599927) (xy 70.629394 67.487454) (xy 70.706712 67.301251)
+        (xy 70.706888 67.099633) (xy 70.629895 66.913296) (xy 70.516724 66.799927) (xy 70.629394 66.687454) (xy 70.706712 66.501251)
+        (xy 70.706888 66.299633) (xy 70.629895 66.113296) (xy 70.516724 65.999927) (xy 70.600072 65.916724) (xy 70.712546 66.029394)
+        (xy 70.898749 66.106712) (xy 71.100367 66.106888) (xy 71.286704 66.029895) (xy 71.429394 65.887454) (xy 71.506712 65.701251)
+        (xy 71.506888 65.499633) (xy 71.429895 65.313296) (xy 71.287454 65.170606) (xy 71.101251 65.093288) (xy 70.899633 65.093112)
+        (xy 70.713296 65.170105) (xy 70.599927 65.283275) (xy 70.516724 65.199927) (xy 70.629394 65.087454) (xy 70.706712 64.901251)
+        (xy 70.706888 64.699633) (xy 70.706888 63.099633) (xy 70.629895 62.913296) (xy 70.487454 62.770606) (xy 70.301251 62.693288)
+        (xy 70.099633 62.693112) (xy 69.913296 62.770105) (xy 69.770606 62.912546) (xy 69.693288 63.098749) (xy 69.693112 63.300367)
+        (xy 69.770105 63.486704) (xy 69.912546 63.629394) (xy 70.098749 63.706712) (xy 70.300367 63.706888) (xy 70.486704 63.629895)
+        (xy 70.629394 63.487454) (xy 70.706712 63.301251) (xy 70.706888 63.099633) (xy 70.706888 64.699633) (xy 70.629895 64.513296)
+        (xy 70.487454 64.370606) (xy 70.301251 64.293288) (xy 70.099633 64.293112) (xy 69.913296 64.370105) (xy 69.799927 64.483275)
+        (xy 69.687454 64.370606) (xy 69.501251 64.293288) (xy 69.299633 64.293112) (xy 69.113296 64.370105) (xy 68.970606 64.512546)
+        (xy 68.893288 64.698749) (xy 68.893112 64.900367) (xy 68.970105 65.086704) (xy 69.083275 65.200072) (xy 68.970606 65.312546)
+        (xy 68.893288 65.498749) (xy 68.893112 65.700367) (xy 68.970105 65.886704) (xy 69.083275 66.000072) (xy 68.970606 66.112546)
+        (xy 68.893288 66.298749) (xy 68.893112 66.500367) (xy 68.970105 66.686704) (xy 69.083275 66.800072) (xy 68.970606 66.912546)
+        (xy 68.893288 67.098749) (xy 68.893112 67.300367) (xy 68.970105 67.486704) (xy 69.083275 67.600072) (xy 68.970606 67.712546)
+        (xy 68.893288 67.898749) (xy 68.893112 68.100367) (xy 68.970105 68.286704) (xy 69.083275 68.400072) (xy 68.970606 68.512546)
+        (xy 68.893288 68.698749) (xy 68.893112 68.900367) (xy 68.970105 69.086704) (xy 69.083275 69.200072) (xy 68.970606 69.312546)
+        (xy 68.893288 69.498749) (xy 68.893112 69.700367) (xy 68.970105 69.886704) (xy 69.083275 70.000072) (xy 68.970606 70.112546)
+        (xy 68.893288 70.298749) (xy 68.893112 70.500367) (xy 68.970105 70.686704) (xy 69.083275 70.800072) (xy 68.970606 70.912546)
+        (xy 68.893288 71.098749) (xy 68.893112 71.300367) (xy 68.970105 71.486704) (xy 69.083275 71.600072) (xy 68.970606 71.712546)
+        (xy 68.893288 71.898749) (xy 68.893112 72.100367) (xy 68.970105 72.286704) (xy 69.083275 72.400072) (xy 68.970606 72.512546)
+        (xy 68.893288 72.698749) (xy 68.893112 72.900367) (xy 68.970105 73.086704) (xy 69.083275 73.200072) (xy 68.970606 73.312546)
+        (xy 68.893288 73.498749) (xy 68.893112 73.700367) (xy 68.970105 73.886704) (xy 69.112546 74.029394) (xy 69.298749 74.106712)
+        (xy 69.500367 74.106888) (xy 69.686704 74.029895) (xy 69.829394 73.887454) (xy 69.906712 73.701251) (xy 69.906888 73.499633)
+        (xy 69.829895 73.313296) (xy 69.716724 73.199927) (xy 69.800072 73.116724) (xy 69.912546 73.229394) (xy 70.098749 73.306712)
+        (xy 70.300367 73.306888) (xy 70.486704 73.229895) (xy 70.629394 73.087454) (xy 70.706712 72.901251) (xy 70.706888 72.699633)
+        (xy 70.629895 72.513296) (xy 70.516724 72.399927) (xy 70.629394 72.287454) (xy 70.706712 72.101251) (xy 70.706888 71.899633)
+        (xy 70.629895 71.713296) (xy 70.516724 71.599927) (xy 70.629394 71.487454) (xy 70.706712 71.301251) (xy 70.706888 71.099633)
+        (xy 70.629895 70.913296) (xy 70.516724 70.799927) (xy 70.629394 70.687454) (xy 70.706712 70.501251) (xy 70.706888 70.299633)
+        (xy 70.629895 70.113296) (xy 70.516724 69.999927) (xy 70.629394 69.887454) (xy 70.706712 69.701251) (xy 70.706888 69.499633)
+        (xy 70.629895 69.313296) (xy 70.516724 69.199927) (xy 70.600072 69.116724) (xy 70.712546 69.229394) (xy 70.898749 69.306712)
+        (xy 71.100367 69.306888) (xy 71.286704 69.229895) (xy 71.429394 69.087454) (xy 71.506712 68.901251) (xy 71.506888 68.699633)
+        (xy 71.506888 70.801458) (xy 71.395606 70.912546) (xy 71.318288 71.098749) (xy 71.318112 71.300367) (xy 71.395105 71.486704)
+        (xy 71.537546 71.629394) (xy 71.723749 71.706712) (xy 71.925367 71.706888) (xy 72.111704 71.629895) (xy 72.254394 71.487454)
+        (xy 72.331712 71.301251) (xy 72.331888 71.099633) (xy 72.331888 71.562422) (xy 72.313296 71.570105) (xy 72.170606 71.712546)
+        (xy 72.093288 71.898749) (xy 72.093112 72.100367) (xy 72.170105 72.286704) (xy 72.283275 72.400072) (xy 72.170606 72.512546)
+        (xy 72.093288 72.698749) (xy 72.093112 72.900367) (xy 72.170105 73.086704) (xy 72.312546 73.229394) (xy 72.498749 73.306712)
+        (xy 72.700367 73.306888) (xy 72.886704 73.229895) (xy 73.029394 73.087454) (xy 73.106712 72.901251) (xy 73.106888 72.699633)
+        (xy 73.106888 91.145635) (xy 73.092291 91.160207) (xy 73.049848 91.26242) (xy 73.049752 91.373095) (xy 73.049752 92.897095)
+        (xy 73.092016 92.999382) (xy 73.170207 93.077709) (xy 73.27242 93.120152) (xy 73.383095 93.120248) (xy 74.907095 93.120248)
+        (xy 75.009382 93.077984) (xy 75.087709 92.999793) (xy 75.130152 92.89758) (xy 75.130248 92.786905) (xy 75.130248 100.429351)
+        (xy 74.976989 100.058437) (xy 74.663215 99.744114) (xy 74.25304 99.573795) (xy 73.808909 99.573407) (xy 73.398437 99.743011)
+        (xy 73.084114 100.056785) (xy 72.913795 100.46696) (xy 72.913627 100.65851) (xy 72.882238 100.28826) (xy 72.810248 100.11446)
+        (xy 72.810248 86.131905) (xy 72.810248 84.607905) (xy 72.767984 84.505618) (xy 72.689793 84.427291) (xy 72.58758 84.384848)
+        (xy 72.476905 84.384752) (xy 72.306888 84.384752) (xy 72.306888 73.499633) (xy 72.229895 73.313296) (xy 72.087454 73.170606)
+        (xy 71.901251 73.093288) (xy 71.699633 73.093112) (xy 71.513296 73.170105) (xy 71.387427 73.295754) (xy 71.287454 73.195606)
+        (xy 71.101251 73.118288) (xy 70.899633 73.118112) (xy 70.713296 73.195105) (xy 70.570606 73.337546) (xy 70.493288 73.523749)
+        (xy 70.493112 73.725367) (xy 70.570105 73.911704) (xy 70.712546 74.054394) (xy 70.898749 74.131712) (xy 71.100367 74.131888)
+        (xy 71.286704 74.054895) (xy 71.412572 73.929245) (xy 71.512546 74.029394) (xy 71.698749 74.106712) (xy 71.900367 74.106888)
+        (xy 72.086704 74.029895) (xy 72.229394 73.887454) (xy 72.306712 73.701251) (xy 72.306888 73.499633) (xy 72.306888 84.384752)
+        (xy 71.106888 84.384752) (xy 71.106888 75.274633) (xy 71.029895 75.088296) (xy 70.887454 74.945606) (xy 70.701251 74.868288)
+        (xy 70.499633 74.868112) (xy 70.313296 74.945105) (xy 70.234301 75.023961) (xy 70.229895 75.013296) (xy 70.087454 74.870606)
+        (xy 69.901251 74.793288) (xy 69.699633 74.793112) (xy 69.513296 74.870105) (xy 69.370606 75.012546) (xy 69.293288 75.198749)
+        (xy 69.293112 75.400367) (xy 69.370105 75.586704) (xy 69.512546 75.729394) (xy 69.698749 75.806712) (xy 69.900367 75.806888)
+        (xy 70.086704 75.729895) (xy 70.165698 75.651038) (xy 70.170105 75.661704) (xy 70.312546 75.804394) (xy 70.498749 75.881712)
+        (xy 70.700367 75.881888) (xy 70.886704 75.804895) (xy 71.029394 75.662454) (xy 71.106712 75.476251) (xy 71.106888 75.274633)
+        (xy 71.106888 84.384752) (xy 70.952905 84.384752) (xy 70.850618 84.427016) (xy 70.772291 84.505207) (xy 70.729848 84.60742)
+        (xy 70.729752 84.718095) (xy 70.729752 86.242095) (xy 70.772016 86.344382) (xy 70.850207 86.422709) (xy 70.95242 86.465152)
+        (xy 71.063095 86.465248) (xy 72.587095 86.465248) (xy 72.689382 86.422984) (xy 72.767709 86.344793) (xy 72.810152 86.24258)
+        (xy 72.810248 86.131905) (xy 72.810248 100.11446) (xy 72.758534 99.989612) (xy 72.529405 99.903739) (xy 72.276261 100.156883)
+        (xy 72.276261 99.650595) (xy 72.190388 99.421466) (xy 71.651627 99.25) (xy 71.08826 99.297762) (xy 70.789612 99.421466)
+        (xy 70.703739 99.650595) (xy 71.49 100.436856) (xy 72.276261 99.650595) (xy 72.276261 100.156883) (xy 71.743144 100.69)
+        (xy 72.529405 101.476261) (xy 72.758534 101.390388) (xy 72.913413 100.903743) (xy 72.913407 100.911091) (xy 73.083011 101.321563)
+        (xy 73.396785 101.635886) (xy 73.80696 101.806205) (xy 74.251091 101.806593) (xy 74.661563 101.636989) (xy 74.975886 101.323215)
+        (xy 75.146205 100.91304) (xy 75.146593 100.468909) (xy 75.146593 103.762033) (xy 72.276261 103.777892) (xy 72.276261 101.729405)
+        (xy 71.49 100.943144) (xy 71.236856 101.196288) (xy 71.236856 100.69) (xy 70.593837 100.046981) (xy 70.593837 85.568343)
+        (xy 70.544876 85.035514) (xy 70.481888 84.883446) (xy 70.481888 80.724633) (xy 70.404895 80.538296) (xy 70.262454 80.395606)
+        (xy 70.076251 80.318288) (xy 69.874633 80.318112) (xy 69.688296 80.395105) (xy 69.656888 80.426458) (xy 69.656888 78.999633)
+        (xy 69.579895 78.813296) (xy 69.437454 78.670606) (xy 69.251251 78.593288) (xy 69.23312 78.593272) (xy 69.281712 78.476251)
+        (xy 69.281888 78.274633) (xy 69.204895 78.088296) (xy 69.062454 77.945606) (xy 69.011669 77.924518) (xy 69.031712 77.876251)
+        (xy 69.031888 77.674633) (xy 68.954895 77.488296) (xy 68.812454 77.345606) (xy 68.626251 77.268288) (xy 68.424633 77.268112)
+        (xy 68.238296 77.345105) (xy 68.095606 77.487546) (xy 68.018288 77.673749) (xy 68.018112 77.875367) (xy 68.095105 78.061704)
+        (xy 68.237546 78.204394) (xy 68.28833 78.225481) (xy 68.268288 78.273749) (xy 68.268112 78.475367) (xy 68.345105 78.661704)
+        (xy 68.487546 78.804394) (xy 68.673749 78.881712) (xy 68.691879 78.881727) (xy 68.643288 78.998749) (xy 68.643227 79.06828)
+        (xy 68.449633 79.068112) (xy 68.263296 79.145105) (xy 68.120606 79.287546) (xy 68.043288 79.473749) (xy 68.043112 79.675367)
+        (xy 68.120105 79.861704) (xy 68.262546 80.004394) (xy 68.448749 80.081712) (xy 68.468259 80.081729) (xy 68.468112 80.250367)
+        (xy 68.545105 80.436704) (xy 68.687546 80.579394) (xy 68.873749 80.656712) (xy 69.075367 80.656888) (xy 69.261704 80.579895)
+        (xy 69.404394 80.437454) (xy 69.481712 80.251251) (xy 69.481888 80.049633) (xy 69.404895 79.863296) (xy 69.262454 79.720606)
+        (xy 69.076251 79.643288) (xy 69.05674 79.64327) (xy 69.056772 79.606719) (xy 69.250367 79.606888) (xy 69.436704 79.529895)
+        (xy 69.579394 79.387454) (xy 69.656712 79.201251) (xy 69.656888 78.999633) (xy 69.656888 80.426458) (xy 69.545606 80.537546)
+        (xy 69.468288 80.723749) (xy 69.468112 80.925367) (xy 69.545105 81.111704) (xy 69.687546 81.254394) (xy 69.873749 81.331712)
+        (xy 70.075367 81.331888) (xy 70.261704 81.254895) (xy 70.404394 81.112454) (xy 70.481712 80.926251) (xy 70.481888 80.724633)
+        (xy 70.481888 84.883446) (xy 70.435167 84.770651) (xy 70.213909 84.694236) (xy 69.960764 84.94738) (xy 69.960764 84.441091)
+        (xy 69.884349 84.219833) (xy 69.373343 84.061163) (xy 68.840514 84.110124) (xy 68.575651 84.219833) (xy 68.499236 84.441091)
+        (xy 69.23 85.171856) (xy 69.960764 84.441091) (xy 69.960764 84.94738) (xy 69.483144 85.425) (xy 70.213909 86.155764)
+        (xy 70.435167 86.079349) (xy 70.593837 85.568343) (xy 70.593837 100.046981) (xy 70.450595 99.903739) (xy 70.221466 99.989612)
+        (xy 70.05 100.528373) (xy 70.097762 101.09174) (xy 70.221466 101.390388) (xy 70.450595 101.476261) (xy 71.236856 100.69)
+        (xy 71.236856 101.196288) (xy 70.703739 101.729405) (xy 70.789612 101.958534) (xy 71.328373 102.13) (xy 71.89174 102.082238)
+        (xy 72.190388 101.958534) (xy 72.276261 101.729405) (xy 72.276261 103.777892) (xy 69.960764 103.790684) (xy 69.960764 86.408909)
+        (xy 69.23 85.678144) (xy 68.976856 85.931288) (xy 68.976856 85.425) (xy 68.246091 84.694236) (xy 68.024833 84.770651)
+        (xy 67.866163 85.281657) (xy 67.915124 85.814486) (xy 68.024833 86.079349) (xy 68.246091 86.155764) (xy 68.976856 85.425)
+        (xy 68.976856 85.931288) (xy 68.499236 86.408909) (xy 68.575651 86.630167) (xy 69.086657 86.788837) (xy 69.619486 86.739876)
+        (xy 69.884349 86.630167) (xy 69.960764 86.408909) (xy 69.960764 103.790684) (xy 68.984718 103.796077) (xy 68.984718 90.264422)
+        (xy 68.880714 90.012712) (xy 68.688301 89.819963) (xy 68.436773 89.715519) (xy 68.164422 89.715282) (xy 67.912712 89.819286)
+        (xy 67.719963 90.011699) (xy 67.615519 90.263227) (xy 67.615282 90.535578) (xy 67.719286 90.787288) (xy 67.911699 90.980037)
+        (xy 68.163227 91.084481) (xy 68.435578 91.084718) (xy 68.687288 90.980714) (xy 68.880037 90.788301) (xy 68.984481 90.536773)
+        (xy 68.984718 90.264422) (xy 68.984718 103.796077) (xy 67.481888 103.80438) (xy 67.481888 54.824633) (xy 67.406888 54.643119)
+        (xy 67.406888 37.299633) (xy 67.329895 37.113296) (xy 67.187454 36.970606) (xy 67.001251 36.893288) (xy 66.799633 36.893112)
+        (xy 66.613296 36.970105) (xy 66.470606 37.112546) (xy 66.393288 37.298749) (xy 66.393112 37.500367) (xy 66.470105 37.686704)
+        (xy 66.612546 37.829394) (xy 66.798749 37.906712) (xy 67.000367 37.906888) (xy 67.186704 37.829895) (xy 67.329394 37.687454)
+        (xy 67.406712 37.501251) (xy 67.406888 37.299633) (xy 67.406888 54.643119) (xy 67.404895 54.638296) (xy 67.262454 54.495606)
+        (xy 67.076251 54.418288) (xy 66.874633 54.418112) (xy 66.688296 54.495105) (xy 66.545606 54.637546) (xy 66.468288 54.823749)
+        (xy 66.468112 55.025367) (xy 66.545105 55.211704) (xy 66.687546 55.354394) (xy 66.873749 55.431712) (xy 67.075367 55.431888)
+        (xy 67.261704 55.354895) (xy 67.404394 55.212454) (xy 67.481712 55.026251) (xy 67.481888 54.824633) (xy 67.481888 103.80438)
+        (xy 66.431888 103.810181) (xy 66.431888 82.699633) (xy 66.354895 82.513296) (xy 66.212454 82.370606) (xy 66.026251 82.293288)
+        (xy 65.824633 82.293112) (xy 65.666423 82.358482) (xy 65.731712 82.201251) (xy 65.731888 81.999633) (xy 65.662518 81.831745)
+        (xy 65.825367 81.831888) (xy 66.011704 81.754895) (xy 66.154394 81.612454) (xy 66.231712 81.426251) (xy 66.231888 81.224633)
+        (xy 66.154895 81.038296) (xy 66.012454 80.895606) (xy 65.826251 80.818288) (xy 65.624633 80.818112) (xy 65.438296 80.895105)
+        (xy 65.295606 81.037546) (xy 65.218288 81.223749) (xy 65.218112 81.425367) (xy 65.287481 81.593254) (xy 65.124633 81.593112)
+        (xy 64.938296 81.670105) (xy 64.795606 81.812546) (xy 64.718288 81.998749) (xy 64.718112 82.200367) (xy 64.795105 82.386704)
+        (xy 64.937546 82.529394) (xy 65.123749 82.606712) (xy 65.325367 82.606888) (xy 65.483576 82.541517) (xy 65.418288 82.698749)
+        (xy 65.418112 82.900367) (xy 65.495105 83.086704) (xy 65.637546 83.229394) (xy 65.823749 83.306712) (xy 66.025367 83.306888)
+        (xy 66.211704 83.229895) (xy 66.354394 83.087454) (xy 66.431712 82.901251) (xy 66.431888 82.699633) (xy 66.431888 103.810181)
+        (xy 64.356888 103.821645) (xy 64.356888 63.324633) (xy 64.279895 63.138296) (xy 64.137454 62.995606) (xy 64.106888 62.982913)
+        (xy 64.106888 54.199633) (xy 64.029895 54.013296) (xy 63.887454 53.870606) (xy 63.701251 53.793288) (xy 63.499633 53.793112)
+        (xy 63.313296 53.870105) (xy 63.170606 54.012546) (xy 63.093288 54.198749) (xy 63.093112 54.400367) (xy 63.170105 54.586704)
+        (xy 63.312546 54.729394) (xy 63.498749 54.806712) (xy 63.700367 54.806888) (xy 63.886704 54.729895) (xy 64.029394 54.587454)
+        (xy 64.106712 54.401251) (xy 64.106888 54.199633) (xy 64.106888 62.982913) (xy 63.951251 62.918288) (xy 63.749633 62.918112)
+        (xy 63.563296 62.995105) (xy 63.420606 63.137546) (xy 63.343288 63.323749) (xy 63.343112 63.525367) (xy 63.420105 63.711704)
+        (xy 63.476416 63.768113) (xy 63.474633 63.768112) (xy 63.288296 63.845105) (xy 63.145606 63.987546) (xy 63.131888 64.020582)
+        (xy 63.131888 63.749633) (xy 63.054895 63.563296) (xy 62.912454 63.420606) (xy 62.726251 63.343288) (xy 62.524633 63.343112)
+        (xy 62.338296 63.420105) (xy 62.195606 63.562546) (xy 62.118288 63.748749) (xy 62.118112 63.950367) (xy 62.195105 64.136704)
+        (xy 62.226503 64.168157) (xy 62.174633 64.168112) (xy 61.988296 64.245105) (xy 61.845606 64.387546) (xy 61.768288 64.573749)
+        (xy 61.768112 64.775367) (xy 61.845105 64.961704) (xy 61.987546 65.104394) (xy 62.173749 65.181712) (xy 62.375367 65.181888)
+        (xy 62.561704 65.104895) (xy 62.704394 64.962454) (xy 62.781712 64.776251) (xy 62.781888 64.574633) (xy 62.704895 64.388296)
+        (xy 62.673496 64.356842) (xy 62.725367 64.356888) (xy 62.911704 64.279895) (xy 63.054394 64.137454) (xy 63.131712 63.951251)
+        (xy 63.131888 63.749633) (xy 63.131888 64.020582) (xy 63.068288 64.173749) (xy 63.068112 64.375367) (xy 63.145105 64.561704)
+        (xy 63.287546 64.704394) (xy 63.473749 64.781712) (xy 63.675367 64.781888) (xy 63.861704 64.704895) (xy 64.004394 64.562454)
+        (xy 64.081712 64.376251) (xy 64.081888 64.174633) (xy 64.004895 63.988296) (xy 63.948583 63.931886) (xy 63.950367 63.931888)
+        (xy 64.136704 63.854895) (xy 64.279394 63.712454) (xy 64.356712 63.526251) (xy 64.356888 63.324633) (xy 64.356888 103.821645)
+        (xy 63.006888 103.829104) (xy 63.006888 82.299633) (xy 62.929895 82.113296) (xy 62.787454 81.970606) (xy 62.601251 81.893288)
+        (xy 62.506888 81.893205) (xy 62.506888 76.249633) (xy 62.429895 76.063296) (xy 62.287454 75.920606) (xy 62.101251 75.843288)
+        (xy 61.899633 75.843112) (xy 61.713296 75.920105) (xy 61.570606 76.062546) (xy 61.493288 76.248749) (xy 61.493112 76.450367)
+        (xy 61.570105 76.636704) (xy 61.712546 76.779394) (xy 61.898749 76.856712) (xy 62.100367 76.856888) (xy 62.286704 76.779895)
+        (xy 62.429394 76.637454) (xy 62.506712 76.451251) (xy 62.506888 76.249633) (xy 62.506888 81.893205) (xy 62.399633 81.893112)
+        (xy 62.213296 81.970105) (xy 62.206888 81.976501) (xy 62.206888 81.024633) (xy 62.129895 80.838296) (xy 62.106888 80.815248)
+        (xy 62.106888 77.899633) (xy 62.029895 77.713296) (xy 61.887454 77.570606) (xy 61.701251 77.493288) (xy 61.499633 77.493112)
+        (xy 61.313296 77.570105) (xy 61.170606 77.712546) (xy 61.093288 77.898749) (xy 61.093112 78.100367) (xy 61.170105 78.286704)
+        (xy 61.312546 78.429394) (xy 61.498749 78.506712) (xy 61.700367 78.506888) (xy 61.886704 78.429895) (xy 62.029394 78.287454)
+        (xy 62.106712 78.101251) (xy 62.106888 77.899633) (xy 62.106888 80.815248) (xy 61.987454 80.695606) (xy 61.801251 80.618288)
+        (xy 61.599633 80.618112) (xy 61.413296 80.695105) (xy 61.270606 80.837546) (xy 61.193288 81.023749) (xy 61.193112 81.225367)
+        (xy 61.270105 81.411704) (xy 61.412546 81.554394) (xy 61.598749 81.631712) (xy 61.800367 81.631888) (xy 61.986704 81.554895)
+        (xy 62.129394 81.412454) (xy 62.206712 81.226251) (xy 62.206888 81.024633) (xy 62.206888 81.976501) (xy 62.070606 82.112546)
+        (xy 61.993288 82.298749) (xy 61.993112 82.500367) (xy 62.070105 82.686704) (xy 62.212546 82.829394) (xy 62.398749 82.906712)
+        (xy 62.600367 82.906888) (xy 62.786704 82.829895) (xy 62.929394 82.687454) (xy 63.006712 82.501251) (xy 63.006888 82.299633)
+        (xy 63.006888 103.829104) (xy 60.981888 103.840291) (xy 60.981888 81.049633) (xy 60.956888 80.989128) (xy 60.956888 62.299633)
+        (xy 60.879895 62.113296) (xy 60.737454 61.970606) (xy 60.551251 61.893288) (xy 60.349633 61.893112) (xy 60.163296 61.970105)
+        (xy 60.106888 62.026414) (xy 60.106888 57.099633) (xy 60.029895 56.913296) (xy 59.887454 56.770606) (xy 59.701251 56.693288)
+        (xy 59.499633 56.693112) (xy 59.313296 56.770105) (xy 59.170606 56.912546) (xy 59.093288 57.098749) (xy 59.093112 57.300367)
+        (xy 59.170105 57.486704) (xy 59.312546 57.629394) (xy 59.498749 57.706712) (xy 59.700367 57.706888) (xy 59.886704 57.629895)
+        (xy 60.029394 57.487454) (xy 60.106712 57.301251) (xy 60.106888 57.099633) (xy 60.106888 62.026414) (xy 60.020606 62.112546)
+        (xy 59.943288 62.298749) (xy 59.943112 62.500367) (xy 60.020105 62.686704) (xy 60.162546 62.829394) (xy 60.348749 62.906712)
+        (xy 60.550367 62.906888) (xy 60.736704 62.829895) (xy 60.879394 62.687454) (xy 60.956712 62.501251) (xy 60.956888 62.299633)
+        (xy 60.956888 80.989128) (xy 60.906888 80.868119) (xy 60.906888 76.249633) (xy 60.829895 76.063296) (xy 60.781888 76.015205)
+        (xy 60.781888 64.299633) (xy 60.704895 64.113296) (xy 60.562454 63.970606) (xy 60.376251 63.893288) (xy 60.174633 63.893112)
+        (xy 59.988296 63.970105) (xy 59.845606 64.112546) (xy 59.822461 64.168284) (xy 59.624633 64.168112) (xy 59.438296 64.245105)
+        (xy 59.306888 64.376283) (xy 59.306888 63.499633) (xy 59.229895 63.313296) (xy 59.087454 63.170606) (xy 58.906888 63.095628)
+        (xy 58.906888 56.224633) (xy 58.829895 56.038296) (xy 58.687454 55.895606) (xy 58.501251 55.818288) (xy 58.299633 55.818112)
+        (xy 58.113296 55.895105) (xy 57.970606 56.037546) (xy 57.893288 56.223749) (xy 57.893112 56.425367) (xy 57.970105 56.611704)
+        (xy 58.112546 56.754394) (xy 58.298749 56.831712) (xy 58.500367 56.831888) (xy 58.686704 56.754895) (xy 58.829394 56.612454)
+        (xy 58.906712 56.426251) (xy 58.906888 56.224633) (xy 58.906888 63.095628) (xy 58.901251 63.093288) (xy 58.699633 63.093112)
+        (xy 58.513296 63.170105) (xy 58.370606 63.312546) (xy 58.293288 63.498749) (xy 58.293112 63.700367) (xy 58.370105 63.886704)
+        (xy 58.512546 64.029394) (xy 58.698749 64.106712) (xy 58.900367 64.106888) (xy 59.086704 64.029895) (xy 59.229394 63.887454)
+        (xy 59.306712 63.701251) (xy 59.306888 63.499633) (xy 59.306888 64.376283) (xy 59.295606 64.387546) (xy 59.218288 64.573749)
+        (xy 59.218112 64.775367) (xy 59.295105 64.961704) (xy 59.437546 65.104394) (xy 59.623749 65.181712) (xy 59.825367 65.181888)
+        (xy 60.011704 65.104895) (xy 60.154394 64.962454) (xy 60.177538 64.906715) (xy 60.375367 64.906888) (xy 60.561704 64.829895)
+        (xy 60.704394 64.687454) (xy 60.781712 64.501251) (xy 60.781888 64.299633) (xy 60.781888 76.015205) (xy 60.687454 75.920606)
+        (xy 60.501251 75.843288) (xy 60.299633 75.843112) (xy 60.113296 75.920105) (xy 59.970606 76.062546) (xy 59.893288 76.248749)
+        (xy 59.893112 76.450367) (xy 59.970105 76.636704) (xy 60.112546 76.779394) (xy 60.298749 76.856712) (xy 60.500367 76.856888)
+        (xy 60.686704 76.779895) (xy 60.829394 76.637454) (xy 60.906712 76.451251) (xy 60.906888 76.249633) (xy 60.906888 80.868119)
+        (xy 60.904895 80.863296) (xy 60.762454 80.720606) (xy 60.576251 80.643288) (xy 60.506888 80.643227) (xy 60.506888 77.899633)
+        (xy 60.429895 77.713296) (xy 60.287454 77.570606) (xy 60.101251 77.493288) (xy 59.899633 77.493112) (xy 59.713296 77.570105)
+        (xy 59.570606 77.712546) (xy 59.493288 77.898749) (xy 59.493112 78.100367) (xy 59.570105 78.286704) (xy 59.712546 78.429394)
+        (xy 59.898749 78.506712) (xy 60.100367 78.506888) (xy 60.286704 78.429895) (xy 60.429394 78.287454) (xy 60.506712 78.101251)
+        (xy 60.506888 77.899633) (xy 60.506888 80.643227) (xy 60.374633 80.643112) (xy 60.256888 80.691763) (xy 60.256888 79.024633)
+        (xy 60.179895 78.838296) (xy 60.037454 78.695606) (xy 59.851251 78.618288) (xy 59.649633 78.618112) (xy 59.463296 78.695105)
+        (xy 59.320606 78.837546) (xy 59.243288 79.023749) (xy 59.243112 79.225367) (xy 59.320105 79.411704) (xy 59.462546 79.554394)
+        (xy 59.648749 79.631712) (xy 59.850367 79.631888) (xy 60.036704 79.554895) (xy 60.179394 79.412454) (xy 60.256712 79.226251)
+        (xy 60.256888 79.024633) (xy 60.256888 80.691763) (xy 60.188296 80.720105) (xy 60.045606 80.862546) (xy 59.968288 81.048749)
+        (xy 59.968112 81.250367) (xy 60.045105 81.436704) (xy 60.187546 81.579394) (xy 60.373749 81.656712) (xy 60.575367 81.656888)
+        (xy 60.761704 81.579895) (xy 60.904394 81.437454) (xy 60.981712 81.251251) (xy 60.981888 81.049633) (xy 60.981888 103.840291)
+        (xy 60.531888 103.842778) (xy 60.531888 83.474633) (xy 60.454895 83.288296) (xy 60.312454 83.145606) (xy 60.126251 83.068288)
+        (xy 59.924633 83.068112) (xy 59.738296 83.145105) (xy 59.624905 83.258297) (xy 59.531888 83.165117) (xy 59.531888 80.574633)
+        (xy 59.454895 80.388296) (xy 59.312454 80.245606) (xy 59.126251 80.168288) (xy 58.924633 80.168112) (xy 58.906888 80.175444)
+        (xy 58.906888 77.899633) (xy 58.829895 77.713296) (xy 58.687454 77.570606) (xy 58.501251 77.493288) (xy 58.299633 77.493112)
+        (xy 58.113296 77.570105) (xy 57.999927 77.683275) (xy 57.916724 77.599927) (xy 58.029394 77.487454) (xy 58.106712 77.301251)
+        (xy 58.106888 77.099633) (xy 58.029895 76.913296) (xy 57.948496 76.831755) (xy 58.100367 76.831888) (xy 58.286704 76.754895)
+        (xy 58.429394 76.612454) (xy 58.506712 76.426251) (xy 58.50684 76.279213) (xy 58.686704 76.204895) (xy 58.829394 76.062454)
+        (xy 58.906712 75.876251) (xy 58.906888 75.674633) (xy 58.829895 75.488296) (xy 58.687454 75.345606) (xy 58.501251 75.268288)
+        (xy 58.356888 75.268161) (xy 58.356888 64.524633) (xy 58.279895 64.338296) (xy 58.137454 64.195606) (xy 57.951251 64.118288)
+        (xy 57.749633 64.118112) (xy 57.706888 64.135773) (xy 57.706888 63.599633) (xy 57.706888 61.899633) (xy 57.706888 57.899633)
+        (xy 57.629895 57.713296) (xy 57.487454 57.570606) (xy 57.301251 57.493288) (xy 57.099633 57.493112) (xy 56.913296 57.570105)
+        (xy 56.906888 57.576501) (xy 56.906888 57.099633) (xy 56.829895 56.913296) (xy 56.687454 56.770606) (xy 56.501251 56.693288)
+        (xy 56.373574 56.693176) (xy 56.429394 56.637454) (xy 56.506712 56.451251) (xy 56.506888 56.249633) (xy 56.429895 56.063296)
+        (xy 56.287454 55.920606) (xy 56.101251 55.843288) (xy 55.899633 55.843112) (xy 55.834718 55.869934) (xy 55.834718 54.639422)
+        (xy 55.730714 54.387712) (xy 55.538301 54.194963) (xy 55.381888 54.130014) (xy 55.381888 48.374633) (xy 55.304895 48.188296)
+        (xy 55.162454 48.045606) (xy 54.976251 47.968288) (xy 54.774633 47.968112) (xy 54.588296 48.045105) (xy 54.445606 48.187546)
+        (xy 54.368288 48.373749) (xy 54.368112 48.575367) (xy 54.445105 48.761704) (xy 54.587546 48.904394) (xy 54.773749 48.981712)
+        (xy 54.975367 48.981888) (xy 55.161704 48.904895) (xy 55.304394 48.762454) (xy 55.381712 48.576251) (xy 55.381888 48.374633)
+        (xy 55.381888 54.130014) (xy 55.286773 54.090519) (xy 55.014422 54.090282) (xy 54.762712 54.194286) (xy 54.569963 54.386699)
+        (xy 54.465519 54.638227) (xy 54.465282 54.910578) (xy 54.569286 55.162288) (xy 54.761699 55.355037) (xy 55.013227 55.459481)
+        (xy 55.285578 55.459718) (xy 55.537288 55.355714) (xy 55.730037 55.163301) (xy 55.834481 54.911773) (xy 55.834718 54.639422)
+        (xy 55.834718 55.869934) (xy 55.713296 55.920105) (xy 55.570606 56.062546) (xy 55.493288 56.248749) (xy 55.493112 56.450367)
+        (xy 55.570105 56.636704) (xy 55.626525 56.693222) (xy 55.499633 56.693112) (xy 55.313296 56.770105) (xy 55.170606 56.912546)
+        (xy 55.093288 57.098749) (xy 55.093112 57.300367) (xy 55.170105 57.486704) (xy 55.312546 57.629394) (xy 55.498749 57.706712)
+        (xy 55.700367 57.706888) (xy 55.886704 57.629895) (xy 56.000072 57.516724) (xy 56.112546 57.629394) (xy 56.298749 57.706712)
+        (xy 56.500367 57.706888) (xy 56.686704 57.629895) (xy 56.829394 57.487454) (xy 56.906712 57.301251) (xy 56.906888 57.099633)
+        (xy 56.906888 57.576501) (xy 56.770606 57.712546) (xy 56.693288 57.898749) (xy 56.693112 58.100367) (xy 56.770105 58.286704)
+        (xy 56.912546 58.429394) (xy 57.098749 58.506712) (xy 57.300367 58.506888) (xy 57.486704 58.429895) (xy 57.629394 58.287454)
+        (xy 57.706712 58.101251) (xy 57.706888 57.899633) (xy 57.706888 61.899633) (xy 57.629895 61.713296) (xy 57.487454 61.570606)
+        (xy 57.306888 61.495628) (xy 57.306888 59.174633) (xy 57.229895 58.988296) (xy 57.087454 58.845606) (xy 56.901251 58.768288)
+        (xy 56.699633 58.768112) (xy 56.513296 58.845105) (xy 56.370606 58.987546) (xy 56.293288 59.173749) (xy 56.293112 59.375367)
+        (xy 56.370105 59.561704) (xy 56.512546 59.704394) (xy 56.698749 59.781712) (xy 56.900367 59.781888) (xy 57.086704 59.704895)
+        (xy 57.229394 59.562454) (xy 57.306712 59.376251) (xy 57.306888 59.174633) (xy 57.306888 61.495628) (xy 57.301251 61.493288)
+        (xy 57.099633 61.493112) (xy 56.913296 61.570105) (xy 56.770606 61.712546) (xy 56.693288 61.898749) (xy 56.693112 62.100367)
+        (xy 56.770105 62.286704) (xy 56.912546 62.429394) (xy 57.098749 62.506712) (xy 57.300367 62.506888) (xy 57.486704 62.429895)
+        (xy 57.629394 62.287454) (xy 57.706712 62.101251) (xy 57.706888 61.899633) (xy 57.706888 63.599633) (xy 57.629895 63.413296)
+        (xy 57.487454 63.270606) (xy 57.301251 63.193288) (xy 57.099633 63.193112) (xy 56.913296 63.270105) (xy 56.906888 63.276501)
+        (xy 56.906888 62.699633) (xy 56.829895 62.513296) (xy 56.687454 62.370606) (xy 56.501251 62.293288) (xy 56.299633 62.293112)
+        (xy 56.206888 62.331433) (xy 56.206888 59.774633) (xy 56.129895 59.588296) (xy 56.054289 59.512558) (xy 56.054394 59.512454)
+        (xy 56.131712 59.326251) (xy 56.131888 59.124633) (xy 56.054895 58.938296) (xy 55.912454 58.795606) (xy 55.726251 58.718288)
+        (xy 55.524633 58.718112) (xy 55.338296 58.795105) (xy 55.281733 58.851568) (xy 55.281888 58.674633) (xy 55.204895 58.488296)
+        (xy 55.062454 58.345606) (xy 54.906888 58.281009) (xy 54.906888 56.149633) (xy 54.829895 55.963296) (xy 54.687454 55.820606)
+        (xy 54.501251 55.743288) (xy 54.299633 55.743112) (xy 54.113296 55.820105) (xy 53.970606 55.962546) (xy 53.893288 56.148749)
+        (xy 53.893112 56.350367) (xy 53.970105 56.536704) (xy 54.112546 56.679394) (xy 54.298749 56.756712) (xy 54.500367 56.756888)
+        (xy 54.686704 56.679895) (xy 54.829394 56.537454) (xy 54.906712 56.351251) (xy 54.906888 56.149633) (xy 54.906888 58.281009)
+        (xy 54.876251 58.268288) (xy 54.674633 58.268112) (xy 54.506888 58.337422) (xy 54.506888 57.899633) (xy 54.429895 57.713296)
+        (xy 54.287454 57.570606) (xy 54.101251 57.493288) (xy 53.899633 57.493112) (xy 53.881888 57.500444) (xy 53.881888 48.574633)
+        (xy 53.804895 48.388296) (xy 53.662454 48.245606) (xy 53.476251 48.168288) (xy 53.274633 48.168112) (xy 53.088296 48.245105)
+        (xy 52.945606 48.387546) (xy 52.868288 48.573749) (xy 52.868112 48.775367) (xy 52.945105 48.961704) (xy 53.087546 49.104394)
+        (xy 53.273749 49.181712) (xy 53.475367 49.181888) (xy 53.661704 49.104895) (xy 53.804394 48.962454) (xy 53.881712 48.776251)
+        (xy 53.881888 48.574633) (xy 53.881888 57.500444) (xy 53.713296 57.570105) (xy 53.570606 57.712546) (xy 53.493288 57.898749)
+        (xy 53.493112 58.100367) (xy 53.570105 58.286704) (xy 53.712546 58.429394) (xy 53.898749 58.506712) (xy 54.100367 58.506888)
+        (xy 54.286704 58.429895) (xy 54.429394 58.287454) (xy 54.506712 58.101251) (xy 54.506888 57.899633) (xy 54.506888 58.337422)
+        (xy 54.488296 58.345105) (xy 54.345606 58.487546) (xy 54.268288 58.673749) (xy 54.268112 58.875367) (xy 54.345105 59.061704)
+        (xy 54.487546 59.204394) (xy 54.673749 59.281712) (xy 54.875367 59.281888) (xy 55.061704 59.204895) (xy 55.118266 59.148431)
+        (xy 55.118112 59.325367) (xy 55.195105 59.511704) (xy 55.27071 59.587441) (xy 55.270606 59.587546) (xy 55.193288 59.773749)
+        (xy 55.193112 59.975367) (xy 55.270105 60.161704) (xy 55.412546 60.304394) (xy 55.598749 60.381712) (xy 55.800367 60.381888)
+        (xy 55.986704 60.304895) (xy 56.129394 60.162454) (xy 56.206712 59.976251) (xy 56.206888 59.774633) (xy 56.206888 62.331433)
+        (xy 56.113296 62.370105) (xy 56.106888 62.376501) (xy 56.106888 61.899633) (xy 56.029895 61.713296) (xy 55.887454 61.570606)
+        (xy 55.701251 61.493288) (xy 55.573574 61.493176) (xy 55.629394 61.437454) (xy 55.706712 61.251251) (xy 55.706888 61.049633)
+        (xy 55.629895 60.863296) (xy 55.487454 60.720606) (xy 55.301251 60.643288) (xy 55.099633 60.643112) (xy 54.913296 60.720105)
+        (xy 54.770606 60.862546) (xy 54.693288 61.048749) (xy 54.693112 61.250367) (xy 54.770105 61.436704) (xy 54.826525 61.493222)
+        (xy 54.699633 61.493112) (xy 54.513296 61.570105) (xy 54.506888 61.576501) (xy 54.506888 60.999633) (xy 54.429895 60.813296)
+        (xy 54.287454 60.670606) (xy 54.101251 60.593288) (xy 53.899633 60.593112) (xy 53.713296 60.670105) (xy 53.570606 60.812546)
+        (xy 53.493288 60.998749) (xy 53.493112 61.200367) (xy 53.570105 61.386704) (xy 53.712546 61.529394) (xy 53.898749 61.606712)
+        (xy 54.100367 61.606888) (xy 54.286704 61.529895) (xy 54.429394 61.387454) (xy 54.506712 61.201251) (xy 54.506888 60.999633)
+        (xy 54.506888 61.576501) (xy 54.370606 61.712546) (xy 54.293288 61.898749) (xy 54.293112 62.100367) (xy 54.370105 62.286704)
+        (xy 54.512546 62.429394) (xy 54.698749 62.506712) (xy 54.900367 62.506888) (xy 55.086704 62.429895) (xy 55.200072 62.316724)
+        (xy 55.312546 62.429394) (xy 55.498749 62.506712) (xy 55.700367 62.506888) (xy 55.886704 62.429895) (xy 56.029394 62.287454)
+        (xy 56.106712 62.101251) (xy 56.106888 61.899633) (xy 56.106888 62.376501) (xy 55.970606 62.512546) (xy 55.893288 62.698749)
+        (xy 55.893112 62.900367) (xy 55.970105 63.086704) (xy 56.112546 63.229394) (xy 56.298749 63.306712) (xy 56.500367 63.306888)
+        (xy 56.686704 63.229895) (xy 56.829394 63.087454) (xy 56.906712 62.901251) (xy 56.906888 62.699633) (xy 56.906888 63.276501)
+        (xy 56.770606 63.412546) (xy 56.693288 63.598749) (xy 56.69327 63.618237) (xy 56.549633 63.618112) (xy 56.363296 63.695105)
+        (xy 56.337558 63.720797) (xy 56.287454 63.670606) (xy 56.101251 63.593288) (xy 55.899633 63.593112) (xy 55.713296 63.670105)
+        (xy 55.637492 63.745775) (xy 55.562454 63.670606) (xy 55.376251 63.593288) (xy 55.174633 63.593112) (xy 54.988296 63.670105)
+        (xy 54.906502 63.751755) (xy 54.906712 63.751251) (xy 54.906888 63.549633) (xy 54.829895 63.363296) (xy 54.687454 63.220606)
+        (xy 54.501251 63.143288) (xy 54.299633 63.143112) (xy 54.113296 63.220105) (xy 53.970606 63.362546) (xy 53.893288 63.548749)
+        (xy 53.893112 63.750367) (xy 53.970105 63.936704) (xy 54.112546 64.079394) (xy 54.298749 64.156712) (xy 54.500367 64.156888)
+        (xy 54.686704 64.079895) (xy 54.768497 63.998244) (xy 54.768288 63.998749) (xy 54.768112 64.200367) (xy 54.845105 64.386704)
+        (xy 54.987546 64.529394) (xy 55.173749 64.606712) (xy 55.375367 64.606888) (xy 55.561704 64.529895) (xy 55.637507 64.454224)
+        (xy 55.712546 64.529394) (xy 55.898749 64.606712) (xy 56.100367 64.606888) (xy 56.286704 64.529895) (xy 56.312441 64.504202)
+        (xy 56.362546 64.554394) (xy 56.548749 64.631712) (xy 56.750367 64.631888) (xy 56.936704 64.554895) (xy 57.079394 64.412454)
+        (xy 57.156712 64.226251) (xy 57.156729 64.206762) (xy 57.300367 64.206888) (xy 57.486704 64.129895) (xy 57.629394 63.987454)
+        (xy 57.706712 63.801251) (xy 57.706888 63.599633) (xy 57.706888 64.135773) (xy 57.563296 64.195105) (xy 57.420606 64.337546)
+        (xy 57.343288 64.523749) (xy 57.343112 64.725367) (xy 57.420105 64.911704) (xy 57.562546 65.054394) (xy 57.748749 65.131712)
+        (xy 57.950367 65.131888) (xy 58.136704 65.054895) (xy 58.279394 64.912454) (xy 58.356712 64.726251) (xy 58.356888 64.524633)
+        (xy 58.356888 75.268161) (xy 58.299633 75.268112) (xy 58.113296 75.345105) (xy 57.970606 75.487546) (xy 57.893288 75.673749)
+        (xy 57.893159 75.820786) (xy 57.713296 75.895105) (xy 57.570606 76.037546) (xy 57.493288 76.223749) (xy 57.493112 76.425367)
+        (xy 57.570105 76.611704) (xy 57.651503 76.693244) (xy 57.499633 76.693112) (xy 57.406888 76.731433) (xy 57.406888 75.374633)
+        (xy 57.329895 75.188296) (xy 57.187454 75.045606) (xy 57.001251 74.968288) (xy 56.799633 74.968112) (xy 56.613296 75.045105)
+        (xy 56.581254 75.07709) (xy 56.554895 75.013296) (xy 56.412454 74.870606) (xy 56.226251 74.793288) (xy 56.024633 74.793112)
+        (xy 55.838296 74.870105) (xy 55.695606 75.012546) (xy 55.618288 75.198749) (xy 55.618112 75.400367) (xy 55.695105 75.586704)
+        (xy 55.837546 75.729394) (xy 56.023749 75.806712) (xy 56.225367 75.806888) (xy 56.411704 75.729895) (xy 56.443745 75.697909)
+        (xy 56.470105 75.761704) (xy 56.587154 75.878958) (xy 56.501251 75.843288) (xy 56.299633 75.843112) (xy 56.113296 75.920105)
+        (xy 55.970606 76.062546) (xy 55.893288 76.248749) (xy 55.893112 76.450367) (xy 55.970105 76.636704) (xy 56.112546 76.779394)
+        (xy 56.298749 76.856712) (xy 56.500367 76.856888) (xy 56.686704 76.779895) (xy 56.829394 76.637454) (xy 56.906712 76.451251)
+        (xy 56.906888 76.249633) (xy 56.829895 76.063296) (xy 56.712845 75.946041) (xy 56.798749 75.981712) (xy 57.000367 75.981888)
+        (xy 57.186704 75.904895) (xy 57.329394 75.762454) (xy 57.406712 75.576251) (xy 57.406888 75.374633) (xy 57.406888 76.731433)
+        (xy 57.313296 76.770105) (xy 57.170606 76.912546) (xy 57.093288 77.098749) (xy 57.093112 77.300367) (xy 57.170105 77.486704)
+        (xy 57.283275 77.600072) (xy 57.170606 77.712546) (xy 57.093288 77.898749) (xy 57.093112 78.100367) (xy 57.170105 78.286704)
+        (xy 57.312546 78.429394) (xy 57.498749 78.506712) (xy 57.700367 78.506888) (xy 57.886704 78.429895) (xy 58.000072 78.316724)
+        (xy 58.112546 78.429394) (xy 58.298749 78.506712) (xy 58.500367 78.506888) (xy 58.686704 78.429895) (xy 58.829394 78.287454)
+        (xy 58.906712 78.101251) (xy 58.906888 77.899633) (xy 58.906888 80.175444) (xy 58.738296 80.245105) (xy 58.595606 80.387546)
+        (xy 58.518288 80.573749) (xy 58.518112 80.775367) (xy 58.595105 80.961704) (xy 58.737546 81.104394) (xy 58.923749 81.181712)
+        (xy 59.125367 81.181888) (xy 59.311704 81.104895) (xy 59.454394 80.962454) (xy 59.531712 80.776251) (xy 59.531888 80.574633)
+        (xy 59.531888 83.165117) (xy 59.487454 83.120606) (xy 59.301251 83.043288) (xy 59.099633 83.043112) (xy 58.913296 83.120105)
+        (xy 58.906888 83.126501) (xy 58.906888 82.699633) (xy 58.829895 82.513296) (xy 58.687454 82.370606) (xy 58.506888 82.295628)
+        (xy 58.506888 80.524633) (xy 58.429895 80.338296) (xy 58.287454 80.195606) (xy 58.101251 80.118288) (xy 57.899633 80.118112)
+        (xy 57.713296 80.195105) (xy 57.570606 80.337546) (xy 57.493288 80.523749) (xy 57.493112 80.725367) (xy 57.511376 80.76957)
+        (xy 57.487454 80.745606) (xy 57.306888 80.670628) (xy 57.306888 78.699633) (xy 57.229895 78.513296) (xy 57.087454 78.370606)
+        (xy 56.901251 78.293288) (xy 56.699633 78.293112) (xy 56.513296 78.370105) (xy 56.506888 78.376501) (xy 56.506888 77.899633)
+        (xy 56.429895 77.713296) (xy 56.287454 77.570606) (xy 56.101251 77.493288) (xy 55.899633 77.493112) (xy 55.713296 77.570105)
+        (xy 55.570606 77.712546) (xy 55.493288 77.898749) (xy 55.493112 78.100367) (xy 55.570105 78.286704) (xy 55.712546 78.429394)
+        (xy 55.898749 78.506712) (xy 56.100367 78.506888) (xy 56.286704 78.429895) (xy 56.429394 78.287454) (xy 56.506712 78.101251)
+        (xy 56.506888 77.899633) (xy 56.506888 78.376501) (xy 56.370606 78.512546) (xy 56.293288 78.698749) (xy 56.293112 78.900367)
+        (xy 56.370105 79.086704) (xy 56.385756 79.102382) (xy 56.236773 79.040519) (xy 55.964422 79.040282) (xy 55.712712 79.144286)
+        (xy 55.519963 79.336699) (xy 55.415519 79.588227) (xy 55.415282 79.860578) (xy 55.519286 80.112288) (xy 55.711699 80.305037)
+        (xy 55.963227 80.409481) (xy 56.235578 80.409718) (xy 56.487288 80.305714) (xy 56.680037 80.113301) (xy 56.784481 79.861773)
+        (xy 56.784718 79.589422) (xy 56.680714 79.337712) (xy 56.61509 79.271974) (xy 56.698749 79.306712) (xy 56.900367 79.306888)
+        (xy 57.086704 79.229895) (xy 57.229394 79.087454) (xy 57.306712 78.901251) (xy 57.306888 78.699633) (xy 57.306888 80.670628)
+        (xy 57.301251 80.668288) (xy 57.099633 80.668112) (xy 56.913296 80.745105) (xy 56.843077 80.8152) (xy 56.829895 80.783296)
+        (xy 56.687454 80.640606) (xy 56.501251 80.563288) (xy 56.299633 80.563112) (xy 56.113296 80.640105) (xy 55.970606 80.782546)
+        (xy 55.893288 80.968749) (xy 55.893112 81.170367) (xy 55.970105 81.356704) (xy 56.112546 81.499394) (xy 56.298749 81.576712)
+        (xy 56.500367 81.576888) (xy 56.510833 81.572563) (xy 56.370606 81.712546) (xy 56.293288 81.898749) (xy 56.293112 82.100367)
+        (xy 56.370105 82.286704) (xy 56.512546 82.429394) (xy 56.698749 82.506712) (xy 56.900367 82.506888) (xy 57.086704 82.429895)
+        (xy 57.229394 82.287454) (xy 57.306712 82.101251) (xy 57.306888 81.899633) (xy 57.229895 81.713296) (xy 57.198452 81.681799)
+        (xy 57.300367 81.681888) (xy 57.486704 81.604895) (xy 57.629394 81.462454) (xy 57.706712 81.276251) (xy 57.706888 81.074633)
+        (xy 57.688623 81.030429) (xy 57.712546 81.054394) (xy 57.898749 81.131712) (xy 58.100367 81.131888) (xy 58.286704 81.054895)
+        (xy 58.429394 80.912454) (xy 58.506712 80.726251) (xy 58.506888 80.524633) (xy 58.506888 82.295628) (xy 58.501251 82.293288)
+        (xy 58.299633 82.293112) (xy 58.113296 82.370105) (xy 57.970606 82.512546) (xy 57.893288 82.698749) (xy 57.893112 82.900367)
+        (xy 57.970105 83.086704) (xy 58.112546 83.229394) (xy 58.298749 83.306712) (xy 58.500367 83.306888) (xy 58.686704 83.229895)
+        (xy 58.829394 83.087454) (xy 58.906712 82.901251) (xy 58.906888 82.699633) (xy 58.906888 83.126501) (xy 58.770606 83.262546)
+        (xy 58.693288 83.448749) (xy 58.693112 83.650367) (xy 58.770105 83.836704) (xy 58.912546 83.979394) (xy 59.098749 84.056712)
+        (xy 59.300367 84.056888) (xy 59.486704 83.979895) (xy 59.600094 83.866702) (xy 59.737546 84.004394) (xy 59.923749 84.081712)
+        (xy 60.125367 84.081888) (xy 60.311704 84.004895) (xy 60.454394 83.862454) (xy 60.531712 83.676251) (xy 60.531888 83.474633)
+        (xy 60.531888 103.842778) (xy 57.790739 103.857922) (xy 57.790739 98.058808) (xy 57.743777 97.475097) (xy 57.61075 97.153941)
+        (xy 57.376367 97.061777) (xy 57.123223 97.314921) (xy 57.123223 96.808633) (xy 57.031059 96.57425) (xy 56.531888 96.41302)
+        (xy 56.531888 83.474633) (xy 56.454895 83.288296) (xy 56.312454 83.145606) (xy 56.126251 83.068288) (xy 55.924633 83.068112)
+        (xy 55.738296 83.145105) (xy 55.706888 83.176458) (xy 55.706888 82.699633) (xy 55.656888 82.578623) (xy 55.656888 81.074633)
+        (xy 55.579895 80.888296) (xy 55.437454 80.745606) (xy 55.251251 80.668288) (xy 55.049633 80.668112) (xy 54.863296 80.745105)
+        (xy 54.76247 80.845754) (xy 54.687454 80.770606) (xy 54.501251 80.693288) (xy 54.299633 80.693112) (xy 54.113296 80.770105)
+        (xy 53.970606 80.912546) (xy 53.893288 81.098749) (xy 53.893112 81.300367) (xy 53.970105 81.486704) (xy 54.083275 81.600072)
+        (xy 53.970606 81.712546) (xy 53.893288 81.898749) (xy 53.893112 82.100367) (xy 53.970105 82.286704) (xy 54.112546 82.429394)
+        (xy 54.298749 82.506712) (xy 54.500367 82.506888) (xy 54.686704 82.429895) (xy 54.829394 82.287454) (xy 54.906712 82.101251)
+        (xy 54.906888 81.899633) (xy 54.829895 81.713296) (xy 54.716724 81.599927) (xy 54.787529 81.529245) (xy 54.862546 81.604394)
+        (xy 55.048749 81.681712) (xy 55.250367 81.681888) (xy 55.436704 81.604895) (xy 55.579394 81.462454) (xy 55.656712 81.276251)
+        (xy 55.656888 81.074633) (xy 55.656888 82.578623) (xy 55.629895 82.513296) (xy 55.487454 82.370606) (xy 55.301251 82.293288)
+        (xy 55.099633 82.293112) (xy 54.913296 82.370105) (xy 54.770606 82.512546) (xy 54.693288 82.698749) (xy 54.693112 82.900367)
+        (xy 54.770105 83.086704) (xy 54.912546 83.229394) (xy 55.098749 83.306712) (xy 55.300367 83.306888) (xy 55.486704 83.229895)
+        (xy 55.629394 83.087454) (xy 55.706712 82.901251) (xy 55.706888 82.699633) (xy 55.706888 83.176458) (xy 55.595606 83.287546)
+        (xy 55.518288 83.473749) (xy 55.518112 83.675367) (xy 55.595105 83.861704) (xy 55.737546 84.004394) (xy 55.923749 84.081712)
+        (xy 56.125367 84.081888) (xy 56.311704 84.004895) (xy 56.454394 83.862454) (xy 56.531712 83.676251) (xy 56.531888 83.474633)
+        (xy 56.531888 96.41302) (xy 56.473808 96.394261) (xy 55.890097 96.441223) (xy 55.840248 96.461871) (xy 55.840248 86.306905)
+        (xy 55.840248 84.782905) (xy 55.797984 84.680618) (xy 55.719793 84.602291) (xy 55.61758 84.559848) (xy 55.506905 84.559752)
+        (xy 54.106888 84.559752) (xy 54.106888 82.699633) (xy 54.029895 82.513296) (xy 53.887454 82.370606) (xy 53.706888 82.295628)
+        (xy 53.706888 61.899633) (xy 53.629895 61.713296) (xy 53.487454 61.570606) (xy 53.301251 61.493288) (xy 53.099633 61.493112)
+        (xy 52.913296 61.570105) (xy 52.906888 61.576501) (xy 52.906888 57.899633) (xy 52.829895 57.713296) (xy 52.687454 57.570606)
+        (xy 52.581888 57.526771) (xy 52.581888 55.199633) (xy 52.504895 55.013296) (xy 52.381888 54.890073) (xy 52.381888 49.124633)
+        (xy 52.304895 48.938296) (xy 52.162454 48.795606) (xy 51.976251 48.718288) (xy 51.828929 48.718159) (xy 51.856712 48.651251)
+        (xy 51.856888 48.449633) (xy 51.779895 48.263296) (xy 51.637454 48.120606) (xy 51.451251 48.043288) (xy 51.249633 48.043112)
+        (xy 51.063296 48.120105) (xy 50.920606 48.262546) (xy 50.843288 48.448749) (xy 50.843112 48.650367) (xy 50.920105 48.836704)
+        (xy 51.062546 48.979394) (xy 51.248749 49.056712) (xy 51.39607 49.05684) (xy 51.368288 49.123749) (xy 51.368112 49.325367)
+        (xy 51.445105 49.511704) (xy 51.587546 49.654394) (xy 51.773749 49.731712) (xy 51.975367 49.731888) (xy 52.161704 49.654895)
+        (xy 52.304394 49.512454) (xy 52.381712 49.326251) (xy 52.381888 49.124633) (xy 52.381888 54.890073) (xy 52.362454 54.870606)
+        (xy 52.176251 54.793288) (xy 51.974633 54.793112) (xy 51.788296 54.870105) (xy 51.645606 55.012546) (xy 51.568288 55.198749)
+        (xy 51.568112 55.400367) (xy 51.645105 55.586704) (xy 51.787546 55.729394) (xy 51.973749 55.806712) (xy 52.175367 55.806888)
+        (xy 52.361704 55.729895) (xy 52.504394 55.587454) (xy 52.581712 55.401251) (xy 52.581888 55.199633) (xy 52.581888 57.526771)
+        (xy 52.501251 57.493288) (xy 52.299633 57.493112) (xy 52.113296 57.570105) (xy 51.999927 57.683275) (xy 51.887454 57.570606)
+        (xy 51.701251 57.493288) (xy 51.499633 57.493112) (xy 51.313296 57.570105) (xy 51.306888 57.576501) (xy 51.306888 57.099633)
+        (xy 51.229895 56.913296) (xy 51.087454 56.770606) (xy 50.901251 56.693288) (xy 50.773574 56.693176) (xy 50.829394 56.637454)
+        (xy 50.906712 56.451251) (xy 50.906888 56.249633) (xy 50.829895 56.063296) (xy 50.687454 55.920606) (xy 50.501251 55.843288)
+        (xy 50.381888 55.843183) (xy 50.381888 49.474633) (xy 50.304895 49.288296) (xy 50.162454 49.145606) (xy 49.976251 49.068288)
+        (xy 49.774633 49.068112) (xy 49.588296 49.145105) (xy 49.445606 49.287546) (xy 49.368288 49.473749) (xy 49.368112 49.675367)
+        (xy 49.445105 49.861704) (xy 49.587546 50.004394) (xy 49.773749 50.081712) (xy 49.975367 50.081888) (xy 50.161704 50.004895)
+        (xy 50.304394 49.862454) (xy 50.381712 49.676251) (xy 50.381888 49.474633) (xy 50.381888 55.843183) (xy 50.299633 55.843112)
+        (xy 50.113296 55.920105) (xy 49.970606 56.062546) (xy 49.893288 56.248749) (xy 49.893112 56.450367) (xy 49.970105 56.636704)
+        (xy 50.112546 56.779394) (xy 50.298749 56.856712) (xy 50.426425 56.856823) (xy 50.370606 56.912546) (xy 50.293288 57.098749)
+        (xy 50.293112 57.300367) (xy 50.370105 57.486704) (xy 50.512546 57.629394) (xy 50.698749 57.706712) (xy 50.900367 57.706888)
+        (xy 51.086704 57.629895) (xy 51.229394 57.487454) (xy 51.306712 57.301251) (xy 51.306888 57.099633) (xy 51.306888 57.576501)
+        (xy 51.170606 57.712546) (xy 51.093288 57.898749) (xy 51.093112 58.100367) (xy 51.170105 58.286704) (xy 51.312546 58.429394)
+        (xy 51.498749 58.506712) (xy 51.700367 58.506888) (xy 51.886704 58.429895) (xy 52.000072 58.316724) (xy 52.112546 58.429394)
+        (xy 52.298749 58.506712) (xy 52.500367 58.506888) (xy 52.686704 58.429895) (xy 52.829394 58.287454) (xy 52.906712 58.101251)
+        (xy 52.906888 57.899633) (xy 52.906888 61.576501) (xy 52.799927 61.683275) (xy 52.687454 61.570606) (xy 52.501251 61.493288)
+        (xy 52.299633 61.493112) (xy 52.113296 61.570105) (xy 51.970606 61.712546) (xy 51.893288 61.898749) (xy 51.893112 62.100367)
+        (xy 51.970105 62.286704) (xy 52.083275 62.400072) (xy 51.970606 62.512546) (xy 51.893288 62.698749) (xy 51.893112 62.900367)
+        (xy 51.970105 63.086704) (xy 52.070775 63.18755) (xy 51.970606 63.287546) (xy 51.893288 63.473749) (xy 51.893112 63.675367)
+        (xy 51.970105 63.861704) (xy 52.112546 64.004394) (xy 52.298749 64.081712) (xy 52.500367 64.081888) (xy 52.686704 64.004895)
+        (xy 52.829394 63.862454) (xy 52.906712 63.676251) (xy 52.906888 63.474633) (xy 52.829895 63.288296) (xy 52.729224 63.187449)
+        (xy 52.829394 63.087454) (xy 52.906712 62.901251) (xy 52.906888 62.699633) (xy 52.829895 62.513296) (xy 52.716724 62.399927)
+        (xy 52.800072 62.316724) (xy 52.912546 62.429394) (xy 53.098749 62.506712) (xy 53.300367 62.506888) (xy 53.486704 62.429895)
+        (xy 53.629394 62.287454) (xy 53.706712 62.101251) (xy 53.706888 61.899633) (xy 53.706888 82.295628) (xy 53.701251 82.293288)
+        (xy 53.499633 82.293112) (xy 53.456888 82.310773) (xy 53.456888 64.599633) (xy 53.379895 64.413296) (xy 53.237454 64.270606)
+        (xy 53.051251 64.193288) (xy 52.849633 64.193112) (xy 52.663296 64.270105) (xy 52.520606 64.412546) (xy 52.443288 64.598749)
+        (xy 52.443112 64.800367) (xy 52.520105 64.986704) (xy 52.662546 65.129394) (xy 52.674655 65.134422) (xy 52.588296 65.170105)
+        (xy 52.445606 65.312546) (xy 52.368288 65.498749) (xy 52.368112 65.700367) (xy 52.445105 65.886704) (xy 52.587546 66.029394)
+        (xy 52.773749 66.106712) (xy 52.975367 66.106888) (xy 53.161704 66.029895) (xy 53.304394 65.887454) (xy 53.381712 65.701251)
+        (xy 53.381888 65.499633) (xy 53.304895 65.313296) (xy 53.162454 65.170606) (xy 53.150344 65.165577) (xy 53.236704 65.129895)
+        (xy 53.379394 64.987454) (xy 53.456712 64.801251) (xy 53.456888 64.599633) (xy 53.456888 82.310773) (xy 53.356888 82.352093)
+        (xy 53.356888 67.099633) (xy 53.279895 66.913296) (xy 53.137454 66.770606) (xy 52.951251 66.693288) (xy 52.749633 66.693112)
+        (xy 52.563296 66.770105) (xy 52.420606 66.912546) (xy 52.343288 67.098749) (xy 52.343112 67.300367) (xy 52.420105 67.486704)
+        (xy 52.562546 67.629394) (xy 52.748749 67.706712) (xy 52.950367 67.706888) (xy 53.136704 67.629895) (xy 53.279394 67.487454)
+        (xy 53.356712 67.301251) (xy 53.356888 67.099633) (xy 53.356888 82.352093) (xy 53.313296 82.370105) (xy 53.306888 82.376501)
+        (xy 53.306888 81.899633) (xy 53.229895 81.713296) (xy 53.087454 81.570606) (xy 53.037937 81.550044) (xy 53.086704 81.529895)
+        (xy 53.229394 81.387454) (xy 53.306712 81.201251) (xy 53.306888 80.999633) (xy 53.306888 77.899633) (xy 53.229895 77.713296)
+        (xy 53.116724 77.599927) (xy 53.229394 77.487454) (xy 53.306712 77.301251) (xy 53.306888 77.099633) (xy 53.229895 76.913296)
+        (xy 53.087454 76.770606) (xy 52.901251 76.693288) (xy 52.773574 76.693176) (xy 52.829394 76.637454) (xy 52.906712 76.451251)
+        (xy 52.906888 76.249633) (xy 52.829895 76.063296) (xy 52.687454 75.920606) (xy 52.501251 75.843288) (xy 52.331888 75.84314)
+        (xy 52.331888 65.099633) (xy 52.254895 64.913296) (xy 52.112454 64.770606) (xy 51.926251 64.693288) (xy 51.724633 64.693112)
+        (xy 51.538296 64.770105) (xy 51.395606 64.912546) (xy 51.318288 65.098749) (xy 51.318112 65.300367) (xy 51.395105 65.486704)
+        (xy 51.537546 65.629394) (xy 51.723749 65.706712) (xy 51.925367 65.706888) (xy 52.111704 65.629895) (xy 52.254394 65.487454)
+        (xy 52.331712 65.301251) (xy 52.331888 65.099633) (xy 52.331888 75.84314) (xy 52.299633 75.843112) (xy 52.113296 75.920105)
+        (xy 51.970606 76.062546) (xy 51.893288 76.248749) (xy 51.893112 76.450367) (xy 51.970105 76.636704) (xy 52.112546 76.779394)
+        (xy 52.298749 76.856712) (xy 52.426425 76.856823) (xy 52.370606 76.912546) (xy 52.293288 77.098749) (xy 52.293112 77.300367)
+        (xy 52.370105 77.486704) (xy 52.483275 77.600072) (xy 52.370606 77.712546) (xy 52.293288 77.898749) (xy 52.293112 78.100367)
+        (xy 52.370105 78.286704) (xy 52.512546 78.429394) (xy 52.698749 78.506712) (xy 52.900367 78.506888) (xy 53.086704 78.429895)
+        (xy 53.229394 78.287454) (xy 53.306712 78.101251) (xy 53.306888 77.899633) (xy 53.306888 80.999633) (xy 53.229895 80.813296)
+        (xy 53.087454 80.670606) (xy 52.901251 80.593288) (xy 52.699633 80.593112) (xy 52.513296 80.670105) (xy 52.370606 80.812546)
+        (xy 52.293288 80.998749) (xy 52.293112 81.200367) (xy 52.370105 81.386704) (xy 52.512546 81.529394) (xy 52.562062 81.549955)
+        (xy 52.513296 81.570105) (xy 52.399927 81.683275) (xy 52.287454 81.570606) (xy 52.101251 81.493288) (xy 51.899633 81.493112)
+        (xy 51.713296 81.570105) (xy 51.606888 81.676327) (xy 51.606888 65.899633) (xy 51.529895 65.713296) (xy 51.387454 65.570606)
+        (xy 51.306888 65.537152) (xy 51.306888 61.899633) (xy 51.229895 61.713296) (xy 51.087454 61.570606) (xy 50.901251 61.493288)
+        (xy 50.699633 61.493112) (xy 50.513296 61.570105) (xy 50.370606 61.712546) (xy 50.293288 61.898749) (xy 50.293112 62.100367)
+        (xy 50.370105 62.286704) (xy 50.512546 62.429394) (xy 50.698749 62.506712) (xy 50.900367 62.506888) (xy 51.086704 62.429895)
+        (xy 51.229394 62.287454) (xy 51.306712 62.101251) (xy 51.306888 61.899633) (xy 51.306888 65.537152) (xy 51.201251 65.493288)
+        (xy 50.999633 65.493112) (xy 50.906888 65.531433) (xy 50.906888 63.549633) (xy 50.829895 63.363296) (xy 50.687454 63.220606)
+        (xy 50.501251 63.143288) (xy 50.299633 63.143112) (xy 50.113296 63.220105) (xy 49.970606 63.362546) (xy 49.893288 63.548749)
+        (xy 49.893112 63.750367) (xy 49.970105 63.936704) (xy 50.112546 64.079394) (xy 50.298749 64.156712) (xy 50.500367 64.156888)
+        (xy 50.686704 64.079895) (xy 50.829394 63.937454) (xy 50.906712 63.751251) (xy 50.906888 63.549633) (xy 50.906888 65.531433)
+        (xy 50.813296 65.570105) (xy 50.670606 65.712546) (xy 50.593288 65.898749) (xy 50.593112 66.100367) (xy 50.670105 66.286704)
+        (xy 50.812546 66.429394) (xy 50.998749 66.506712) (xy 51.200367 66.506888) (xy 51.386704 66.429895) (xy 51.529394 66.287454)
+        (xy 51.606712 66.101251) (xy 51.606888 65.899633) (xy 51.606888 81.676327) (xy 51.570606 81.712546) (xy 51.493288 81.898749)
+        (xy 51.493112 82.100367) (xy 51.570105 82.286704) (xy 51.712546 82.429394) (xy 51.898749 82.506712) (xy 52.100367 82.506888)
+        (xy 52.286704 82.429895) (xy 52.400072 82.316724) (xy 52.512546 82.429394) (xy 52.698749 82.506712) (xy 52.900367 82.506888)
+        (xy 53.086704 82.429895) (xy 53.229394 82.287454) (xy 53.306712 82.101251) (xy 53.306888 81.899633) (xy 53.306888 82.376501)
+        (xy 53.170606 82.512546) (xy 53.093288 82.698749) (xy 53.093112 82.900367) (xy 53.170105 83.086704) (xy 53.312546 83.229394)
+        (xy 53.498749 83.306712) (xy 53.700367 83.306888) (xy 53.886704 83.229895) (xy 54.029394 83.087454) (xy 54.106712 82.901251)
+        (xy 54.106888 82.699633) (xy 54.106888 84.559752) (xy 53.982905 84.559752) (xy 53.880618 84.602016) (xy 53.802291 84.680207)
+        (xy 53.759848 84.78242) (xy 53.759752 84.893095) (xy 53.759752 86.417095) (xy 53.802016 86.519382) (xy 53.880207 86.597709)
+        (xy 53.98242 86.640152) (xy 54.093095 86.640248) (xy 55.617095 86.640248) (xy 55.719382 86.597984) (xy 55.797709 86.519793)
+        (xy 55.840152 86.41758) (xy 55.840248 86.306905) (xy 55.840248 96.461871) (xy 55.568941 96.57425) (xy 55.476777 96.808633)
+        (xy 56.3 97.631856) (xy 57.123223 96.808633) (xy 57.123223 97.314921) (xy 56.553144 97.885) (xy 57.376367 98.708223)
+        (xy 57.61075 98.616059) (xy 57.790739 98.058808) (xy 57.790739 103.857922) (xy 57.467195 103.85971) (xy 57.467248 103.798905)
+        (xy 57.467248 102.020905) (xy 57.424984 101.918618) (xy 57.346793 101.840291) (xy 57.24458 101.797848) (xy 57.133905 101.797752)
+        (xy 57.123223 101.797752) (xy 57.123223 98.961367) (xy 56.3 98.138144) (xy 56.046856 98.391288) (xy 56.046856 97.885)
+        (xy 55.223633 97.061777) (xy 54.98925 97.153941) (xy 54.809261 97.711192) (xy 54.856223 98.294903) (xy 54.98925 98.616059)
+        (xy 55.223633 98.708223) (xy 56.046856 97.885) (xy 56.046856 98.391288) (xy 55.476777 98.961367) (xy 55.568941 99.19575)
+        (xy 56.126192 99.375739) (xy 56.709903 99.328777) (xy 57.031059 99.19575) (xy 57.123223 98.961367) (xy 57.123223 101.797752)
+        (xy 55.355905 101.797752) (xy 55.253618 101.840016) (xy 55.175291 101.918207) (xy 55.132848 102.02042) (xy 55.132752 102.131095)
+        (xy 55.132752 103.872607) (xy 52.906888 103.884904) (xy 52.906888 83.549633) (xy 52.829895 83.363296) (xy 52.687454 83.220606)
+        (xy 52.501251 83.143288) (xy 52.299633 83.143112) (xy 52.113296 83.220105) (xy 51.970606 83.362546) (xy 51.893288 83.548749)
+        (xy 51.893112 83.750367) (xy 51.970105 83.936704) (xy 52.112546 84.079394) (xy 52.298749 84.156712) (xy 52.500367 84.156888)
+        (xy 52.686704 84.079895) (xy 52.829394 83.937454) (xy 52.906712 83.751251) (xy 52.906888 83.549633) (xy 52.906888 103.884904)
+        (xy 51.306888 103.893744) (xy 51.306888 76.249633) (xy 51.231888 76.068119) (xy 51.231888 73.899633) (xy 51.154895 73.713296)
+        (xy 51.012454 73.570606) (xy 50.856888 73.506009) (xy 50.856888 71.099633) (xy 50.779895 70.913296) (xy 50.637454 70.770606)
+        (xy 50.456888 70.695628) (xy 50.456888 69.899633) (xy 50.379895 69.713296) (xy 50.237454 69.570606) (xy 50.051251 69.493288)
+        (xy 49.849633 69.493112) (xy 49.706888 69.552093) (xy 49.706888 66.299633) (xy 49.629895 66.113296) (xy 49.487454 65.970606)
+        (xy 49.306888 65.895628) (xy 49.306888 63.549633) (xy 49.306888 56.074633) (xy 49.229895 55.888296) (xy 49.087454 55.745606)
+        (xy 48.901251 55.668288) (xy 48.699633 55.668112) (xy 48.513296 55.745105) (xy 48.370606 55.887546) (xy 48.293288 56.073749)
+        (xy 48.293112 56.275367) (xy 48.370105 56.461704) (xy 48.512546 56.604394) (xy 48.698749 56.681712) (xy 48.900367 56.681888)
+        (xy 49.086704 56.604895) (xy 49.229394 56.462454) (xy 49.306712 56.276251) (xy 49.306888 56.074633) (xy 49.306888 63.549633)
+        (xy 49.229895 63.363296) (xy 49.087454 63.220606) (xy 48.931888 63.156009) (xy 48.931888 61.874633) (xy 48.854895 61.688296)
+        (xy 48.712454 61.545606) (xy 48.526251 61.468288) (xy 48.324633 61.468112) (xy 48.138296 61.545105) (xy 47.995606 61.687546)
+        (xy 47.918288 61.873749) (xy 47.918112 62.075367) (xy 47.995105 62.261704) (xy 48.137546 62.404394) (xy 48.323749 62.481712)
+        (xy 48.525367 62.481888) (xy 48.711704 62.404895) (xy 48.854394 62.262454) (xy 48.931712 62.076251) (xy 48.931888 61.874633)
+        (xy 48.931888 63.156009) (xy 48.901251 63.143288) (xy 48.699633 63.143112) (xy 48.513296 63.220105) (xy 48.370606 63.362546)
+        (xy 48.293288 63.548749) (xy 48.293112 63.750367) (xy 48.370105 63.936704) (xy 48.512546 64.079394) (xy 48.698749 64.156712)
+        (xy 48.900367 64.156888) (xy 49.086704 64.079895) (xy 49.229394 63.937454) (xy 49.306712 63.751251) (xy 49.306888 63.549633)
+        (xy 49.306888 65.895628) (xy 49.301251 65.893288) (xy 49.099633 65.893112) (xy 48.913296 65.970105) (xy 48.770606 66.112546)
+        (xy 48.693288 66.298749) (xy 48.693215 66.381474) (xy 48.601251 66.343288) (xy 48.399633 66.343112) (xy 48.213296 66.420105)
+        (xy 48.070606 66.562546) (xy 47.993288 66.748749) (xy 47.993112 66.950367) (xy 48.070105 67.136704) (xy 48.212546 67.279394)
+        (xy 48.398749 67.356712) (xy 48.600367 67.356888) (xy 48.786704 67.279895) (xy 48.929394 67.137454) (xy 49.006712 66.951251)
+        (xy 49.006784 66.868525) (xy 49.098749 66.906712) (xy 49.300367 66.906888) (xy 49.486704 66.829895) (xy 49.629394 66.687454)
+        (xy 49.706712 66.501251) (xy 49.706888 66.299633) (xy 49.706888 69.552093) (xy 49.663296 69.570105) (xy 49.520606 69.712546)
+        (xy 49.443288 69.898749) (xy 49.443112 70.100367) (xy 49.520105 70.286704) (xy 49.662546 70.429394) (xy 49.848749 70.506712)
+        (xy 50.050367 70.506888) (xy 50.236704 70.429895) (xy 50.379394 70.287454) (xy 50.456712 70.101251) (xy 50.456888 69.899633)
+        (xy 50.456888 70.695628) (xy 50.451251 70.693288) (xy 50.249633 70.693112) (xy 50.063296 70.770105) (xy 49.920606 70.912546)
+        (xy 49.843288 71.098749) (xy 49.843112 71.300367) (xy 49.920105 71.486704) (xy 49.962378 71.52905) (xy 49.876251 71.493288)
+        (xy 49.674633 71.493112) (xy 49.488296 71.570105) (xy 49.345606 71.712546) (xy 49.268288 71.898749) (xy 49.268112 72.100367)
+        (xy 49.345105 72.286704) (xy 49.487546 72.429394) (xy 49.673749 72.506712) (xy 49.875367 72.506888) (xy 50.061704 72.429895)
+        (xy 50.204394 72.287454) (xy 50.281712 72.101251) (xy 50.281888 71.899633) (xy 50.204895 71.713296) (xy 50.162621 71.670949)
+        (xy 50.248749 71.706712) (xy 50.450367 71.706888) (xy 50.636704 71.629895) (xy 50.779394 71.487454) (xy 50.856712 71.301251)
+        (xy 50.856888 71.099633) (xy 50.856888 73.506009) (xy 50.826251 73.493288) (xy 50.624633 73.493112) (xy 50.438296 73.570105)
+        (xy 50.295606 73.712546) (xy 50.218288 73.898749) (xy 50.218112 74.100367) (xy 50.295105 74.286704) (xy 50.437546 74.429394)
+        (xy 50.623749 74.506712) (xy 50.825367 74.506888) (xy 51.011704 74.429895) (xy 51.154394 74.287454) (xy 51.231712 74.101251)
+        (xy 51.231888 73.899633) (xy 51.231888 76.068119) (xy 51.229895 76.063296) (xy 51.087454 75.920606) (xy 50.901251 75.843288)
+        (xy 50.699633 75.843112) (xy 50.513296 75.920105) (xy 50.370606 76.062546) (xy 50.293288 76.248749) (xy 50.293112 76.450367)
+        (xy 50.370105 76.636704) (xy 50.512546 76.779394) (xy 50.698749 76.856712) (xy 50.900367 76.856888) (xy 51.086704 76.779895)
+        (xy 51.229394 76.637454) (xy 51.306712 76.451251) (xy 51.306888 76.249633) (xy 51.306888 103.893744) (xy 50.906888 103.895954)
+        (xy 50.906888 83.499633) (xy 50.829895 83.313296) (xy 50.687454 83.170606) (xy 50.501251 83.093288) (xy 50.299633 83.093112)
+        (xy 50.113296 83.170105) (xy 49.970606 83.312546) (xy 49.893288 83.498749) (xy 49.893112 83.700367) (xy 49.970105 83.886704)
+        (xy 50.112546 84.029394) (xy 50.298749 84.106712) (xy 50.500367 84.106888) (xy 50.686704 84.029895) (xy 50.829394 83.887454)
+        (xy 50.906712 83.701251) (xy 50.906888 83.499633) (xy 50.906888 103.895954) (xy 49.356888 103.904518) (xy 49.356888 81.499633)
+        (xy 49.281888 81.318119) (xy 49.281888 75.599633) (xy 49.204895 75.413296) (xy 49.062454 75.270606) (xy 48.876251 75.193288)
+        (xy 48.731888 75.193161) (xy 48.731888 74.299633) (xy 48.654895 74.113296) (xy 48.512454 73.970606) (xy 48.346888 73.901857)
+        (xy 48.346888 71.099633) (xy 48.269895 70.913296) (xy 48.127454 70.770606) (xy 47.941251 70.693288) (xy 47.881888 70.693236)
+        (xy 47.881888 52.199633) (xy 47.804895 52.013296) (xy 47.662454 51.870606) (xy 47.476251 51.793288) (xy 47.381888 51.793205)
+        (xy 47.381888 50.949633) (xy 47.304895 50.763296) (xy 47.162454 50.620606) (xy 46.976251 50.543288) (xy 46.774633 50.543112)
+        (xy 46.588296 50.620105) (xy 46.445606 50.762546) (xy 46.381808 50.916188) (xy 46.381888 50.824633) (xy 46.304895 50.638296)
+        (xy 46.162454 50.495606) (xy 45.976251 50.418288) (xy 45.881888 50.418205) (xy 45.881888 49.749633) (xy 45.804895 49.563296)
+        (xy 45.662454 49.420606) (xy 45.476251 49.343288) (xy 45.274633 49.343112) (xy 45.088296 49.420105) (xy 44.945606 49.562546)
+        (xy 44.868288 49.748749) (xy 44.868112 49.950367) (xy 44.945105 50.136704) (xy 45.087546 50.279394) (xy 45.273749 50.356712)
+        (xy 45.475367 50.356888) (xy 45.661704 50.279895) (xy 45.804394 50.137454) (xy 45.881712 49.951251) (xy 45.881888 49.749633)
+        (xy 45.881888 50.418205) (xy 45.774633 50.418112) (xy 45.588296 50.495105) (xy 45.445606 50.637546) (xy 45.368288 50.823749)
+        (xy 45.368112 51.025367) (xy 45.445105 51.211704) (xy 45.587546 51.354394) (xy 45.773749 51.431712) (xy 45.868259 51.431794)
+        (xy 45.868112 51.600367) (xy 45.945105 51.786704) (xy 46.087546 51.929394) (xy 46.273749 52.006712) (xy 46.475367 52.006888)
+        (xy 46.661704 51.929895) (xy 46.804394 51.787454) (xy 46.881712 51.601251) (xy 46.88175 51.556806) (xy 46.975367 51.556888)
+        (xy 47.161704 51.479895) (xy 47.304394 51.337454) (xy 47.381712 51.151251) (xy 47.381888 50.949633) (xy 47.381888 51.793205)
+        (xy 47.274633 51.793112) (xy 47.088296 51.870105) (xy 46.945606 52.012546) (xy 46.868288 52.198749) (xy 46.868112 52.400367)
+        (xy 46.945105 52.586704) (xy 47.087546 52.729394) (xy 47.273749 52.806712) (xy 47.475367 52.806888) (xy 47.661704 52.729895)
+        (xy 47.804394 52.587454) (xy 47.881712 52.401251) (xy 47.881888 52.199633) (xy 47.881888 70.693236) (xy 47.739633 70.693112)
+        (xy 47.553296 70.770105) (xy 47.516888 70.806449) (xy 47.516888 54.979633) (xy 47.439895 54.793296) (xy 47.297454 54.650606)
+        (xy 47.111251 54.573288) (xy 46.909633 54.573112) (xy 46.723296 54.650105) (xy 46.580606 54.792546) (xy 46.503288 54.978749)
+        (xy 46.503112 55.180367) (xy 46.580105 55.366704) (xy 46.722546 55.509394) (xy 46.908749 55.586712) (xy 47.110367 55.586888)
+        (xy 47.296704 55.509895) (xy 47.439394 55.367454) (xy 47.516712 55.181251) (xy 47.516888 54.979633) (xy 47.516888 70.806449)
+        (xy 47.410606 70.912546) (xy 47.406888 70.921499) (xy 47.406888 68.699633) (xy 47.329895 68.513296) (xy 47.187454 68.370606)
+        (xy 47.001251 68.293288) (xy 46.799633 68.293112) (xy 46.681888 68.341763) (xy 46.681888 60.299633) (xy 46.604895 60.113296)
+        (xy 46.556888 60.065205) (xy 46.556888 56.749633) (xy 46.479895 56.563296) (xy 46.337454 56.420606) (xy 46.151251 56.343288)
+        (xy 46.081888 56.343227) (xy 46.081888 55.499633) (xy 46.004895 55.313296) (xy 45.862454 55.170606) (xy 45.676251 55.093288)
+        (xy 45.474633 55.093112) (xy 45.406888 55.121103) (xy 45.406888 51.324633) (xy 45.329895 51.138296) (xy 45.187454 50.995606)
+        (xy 45.001251 50.918288) (xy 44.799633 50.918112) (xy 44.613296 50.995105) (xy 44.470606 51.137546) (xy 44.393288 51.323749)
+        (xy 44.393112 51.525367) (xy 44.470105 51.711704) (xy 44.612546 51.854394) (xy 44.798749 51.931712) (xy 45.000367 51.931888)
+        (xy 45.186704 51.854895) (xy 45.329394 51.712454) (xy 45.406712 51.526251) (xy 45.406888 51.324633) (xy 45.406888 55.121103)
+        (xy 45.288296 55.170105) (xy 45.145606 55.312546) (xy 45.068288 55.498749) (xy 45.068112 55.700367) (xy 45.145105 55.886704)
+        (xy 45.287546 56.029394) (xy 45.473749 56.106712) (xy 45.675367 56.106888) (xy 45.861704 56.029895) (xy 46.004394 55.887454)
+        (xy 46.081712 55.701251) (xy 46.081888 55.499633) (xy 46.081888 56.343227) (xy 45.949633 56.343112) (xy 45.763296 56.420105)
+        (xy 45.620606 56.562546) (xy 45.543288 56.748749) (xy 45.543112 56.950367) (xy 45.620105 57.136704) (xy 45.762546 57.279394)
+        (xy 45.948749 57.356712) (xy 46.150367 57.356888) (xy 46.336704 57.279895) (xy 46.479394 57.137454) (xy 46.556712 56.951251)
+        (xy 46.556888 56.749633) (xy 46.556888 60.065205) (xy 46.462454 59.970606) (xy 46.276251 59.893288) (xy 46.074633 59.893112)
+        (xy 45.888296 59.970105) (xy 45.745606 60.112546) (xy 45.668288 60.298749) (xy 45.668112 60.500367) (xy 45.745105 60.686704)
+        (xy 45.887546 60.829394) (xy 46.073749 60.906712) (xy 46.275367 60.906888) (xy 46.461704 60.829895) (xy 46.604394 60.687454)
+        (xy 46.681712 60.501251) (xy 46.681888 60.299633) (xy 46.681888 68.341763) (xy 46.613296 68.370105) (xy 46.470606 68.512546)
+        (xy 46.393288 68.698749) (xy 46.393112 68.900367) (xy 46.470105 69.086704) (xy 46.612546 69.229394) (xy 46.798749 69.306712)
+        (xy 47.000367 69.306888) (xy 47.186704 69.229895) (xy 47.329394 69.087454) (xy 47.406712 68.901251) (xy 47.406888 68.699633)
+        (xy 47.406888 70.921499) (xy 47.333288 71.098749) (xy 47.333112 71.300367) (xy 47.410105 71.486704) (xy 47.552546 71.629394)
+        (xy 47.738749 71.706712) (xy 47.940367 71.706888) (xy 48.126704 71.629895) (xy 48.269394 71.487454) (xy 48.346712 71.301251)
+        (xy 48.346888 71.099633) (xy 48.346888 73.901857) (xy 48.326251 73.893288) (xy 48.124633 73.893112) (xy 47.938296 73.970105)
+        (xy 47.795606 74.112546) (xy 47.718288 74.298749) (xy 47.718112 74.500367) (xy 47.795105 74.686704) (xy 47.937546 74.829394)
+        (xy 48.123749 74.906712) (xy 48.325367 74.906888) (xy 48.511704 74.829895) (xy 48.654394 74.687454) (xy 48.731712 74.501251)
+        (xy 48.731888 74.299633) (xy 48.731888 75.193161) (xy 48.674633 75.193112) (xy 48.488296 75.270105) (xy 48.345606 75.412546)
+        (xy 48.291803 75.542116) (xy 48.279895 75.513296) (xy 48.137454 75.370606) (xy 47.951251 75.293288) (xy 47.749633 75.293112)
+        (xy 47.563296 75.370105) (xy 47.420606 75.512546) (xy 47.343288 75.698749) (xy 47.343112 75.900367) (xy 47.420105 76.086704)
+        (xy 47.562546 76.229394) (xy 47.748749 76.306712) (xy 47.950367 76.306888) (xy 48.136704 76.229895) (xy 48.279394 76.087454)
+        (xy 48.333196 75.957883) (xy 48.345105 75.986704) (xy 48.487546 76.129394) (xy 48.673749 76.206712) (xy 48.875367 76.206888)
+        (xy 49.061704 76.129895) (xy 49.204394 75.987454) (xy 49.281712 75.801251) (xy 49.281888 75.599633) (xy 49.281888 81.318119)
+        (xy 49.279895 81.313296) (xy 49.137454 81.170606) (xy 49.056888 81.137152) (xy 49.056888 77.174633) (xy 48.979895 76.988296)
+        (xy 48.837454 76.845606) (xy 48.651251 76.768288) (xy 48.449633 76.768112) (xy 48.263296 76.845105) (xy 48.120606 76.987546)
+        (xy 48.043288 77.173749) (xy 48.043112 77.375367) (xy 48.120105 77.561704) (xy 48.262546 77.704394) (xy 48.448749 77.781712)
+        (xy 48.650367 77.781888) (xy 48.836704 77.704895) (xy 48.979394 77.562454) (xy 49.056712 77.376251) (xy 49.056888 77.174633)
+        (xy 49.056888 81.137152) (xy 48.951251 81.093288) (xy 48.749633 81.093112) (xy 48.563296 81.170105) (xy 48.420606 81.312546)
+        (xy 48.343288 81.498749) (xy 48.343112 81.700367) (xy 48.420105 81.886704) (xy 48.562546 82.029394) (xy 48.748749 82.106712)
+        (xy 48.950367 82.106888) (xy 49.136704 82.029895) (xy 49.279394 81.887454) (xy 49.356712 81.701251) (xy 49.356888 81.499633)
+        (xy 49.356888 103.904518) (xy 48.331888 103.910181) (xy 48.331888 80.374633) (xy 48.254895 80.188296) (xy 48.112454 80.045606)
+        (xy 47.926251 79.968288) (xy 47.724633 79.968112) (xy 47.538296 80.045105) (xy 47.395606 80.187546) (xy 47.318288 80.373749)
+        (xy 47.318112 80.575367) (xy 47.395105 80.761704) (xy 47.537546 80.904394) (xy 47.723749 80.981712) (xy 47.925367 80.981888)
+        (xy 48.111704 80.904895) (xy 48.254394 80.762454) (xy 48.331712 80.576251) (xy 48.331888 80.374633) (xy 48.331888 103.910181)
+        (xy 47.506888 103.914739) (xy 47.506888 81.999633) (xy 47.429895 81.813296) (xy 47.287454 81.670606) (xy 47.101251 81.593288)
+        (xy 46.899633 81.593112) (xy 46.713296 81.670105) (xy 46.570606 81.812546) (xy 46.556888 81.845582) (xy 46.556888 71.924633)
+        (xy 46.479895 71.738296) (xy 46.337454 71.595606) (xy 46.151251 71.518288) (xy 45.956888 71.518118) (xy 45.956888 70.299633)
+        (xy 45.879895 70.113296) (xy 45.737454 69.970606) (xy 45.551251 69.893288) (xy 45.406888 69.893161) (xy 45.406888 56.559633)
+        (xy 45.329895 56.373296) (xy 45.187454 56.230606) (xy 45.001251 56.153288) (xy 44.881888 56.153183) (xy 44.881888 52.299633)
+        (xy 44.804895 52.113296) (xy 44.662454 51.970606) (xy 44.476251 51.893288) (xy 44.381888 51.893205) (xy 44.381888 49.999633)
+        (xy 44.304895 49.813296) (xy 44.162454 49.670606) (xy 43.976251 49.593288) (xy 43.881888 49.593205) (xy 43.881888 48.774633)
+        (xy 43.804895 48.588296) (xy 43.662454 48.445606) (xy 43.476251 48.368288) (xy 43.274633 48.368112) (xy 43.088296 48.445105)
+        (xy 42.945606 48.587546) (xy 42.868288 48.773749) (xy 42.868112 48.975367) (xy 42.945105 49.161704) (xy 43.087546 49.304394)
+        (xy 43.273749 49.381712) (xy 43.475367 49.381888) (xy 43.661704 49.304895) (xy 43.804394 49.162454) (xy 43.881712 48.976251)
+        (xy 43.881888 48.774633) (xy 43.881888 49.593205) (xy 43.774633 49.593112) (xy 43.588296 49.670105) (xy 43.445606 49.812546)
+        (xy 43.368288 49.998749) (xy 43.368112 50.200367) (xy 43.445105 50.386704) (xy 43.587546 50.529394) (xy 43.773749 50.606712)
+        (xy 43.975367 50.606888) (xy 44.161704 50.529895) (xy 44.304394 50.387454) (xy 44.381712 50.201251) (xy 44.381888 49.999633)
+        (xy 44.381888 51.893205) (xy 44.274633 51.893112) (xy 44.088296 51.970105) (xy 43.945606 52.112546) (xy 43.868288 52.298749)
+        (xy 43.868112 52.500367) (xy 43.945105 52.686704) (xy 44.087546 52.829394) (xy 44.273749 52.906712) (xy 44.475367 52.906888)
+        (xy 44.661704 52.829895) (xy 44.804394 52.687454) (xy 44.881712 52.501251) (xy 44.881888 52.299633) (xy 44.881888 56.153183)
+        (xy 44.799633 56.153112) (xy 44.613296 56.230105) (xy 44.470606 56.372546) (xy 44.393288 56.558749) (xy 44.393112 56.760367)
+        (xy 44.470105 56.946704) (xy 44.612546 57.089394) (xy 44.798749 57.166712) (xy 45.000367 57.166888) (xy 45.186704 57.089895)
+        (xy 45.329394 56.947454) (xy 45.406712 56.761251) (xy 45.406888 56.559633) (xy 45.406888 69.893161) (xy 45.349633 69.893112)
+        (xy 45.163296 69.970105) (xy 45.020606 70.112546) (xy 45.006888 70.145582) (xy 45.006888 69.499633) (xy 44.929895 69.313296)
+        (xy 44.787454 69.170606) (xy 44.601251 69.093288) (xy 44.399633 69.093112) (xy 44.30686 69.131444) (xy 44.306888 69.099633)
+        (xy 44.229895 68.913296) (xy 44.202142 68.885494) (xy 44.336704 68.829895) (xy 44.479394 68.687454) (xy 44.556712 68.501251)
+        (xy 44.556888 68.299633) (xy 44.496888 68.154422) (xy 44.496888 61.719633) (xy 44.419895 61.533296) (xy 44.277454 61.390606)
+        (xy 44.091251 61.313288) (xy 43.931888 61.313148) (xy 43.931888 58.674633) (xy 43.854895 58.488296) (xy 43.781888 58.415161)
+        (xy 43.781888 56.799633) (xy 43.704895 56.613296) (xy 43.562454 56.470606) (xy 43.381888 56.395628) (xy 43.381888 51.874633)
+        (xy 43.304895 51.688296) (xy 43.162454 51.545606) (xy 42.976251 51.468288) (xy 42.881888 51.468205) (xy 42.881888 50.774633)
+        (xy 42.804895 50.588296) (xy 42.662454 50.445606) (xy 42.476251 50.368288) (xy 42.274633 50.368112) (xy 42.088296 50.445105)
+        (xy 41.945606 50.587546) (xy 41.868288 50.773749) (xy 41.868112 50.975367) (xy 41.945105 51.161704) (xy 42.087546 51.304394)
+        (xy 42.273749 51.381712) (xy 42.475367 51.381888) (xy 42.661704 51.304895) (xy 42.804394 51.162454) (xy 42.881712 50.976251)
+        (xy 42.881888 50.774633) (xy 42.881888 51.468205) (xy 42.774633 51.468112) (xy 42.588296 51.545105) (xy 42.445606 51.687546)
+        (xy 42.368288 51.873749) (xy 42.368112 52.075367) (xy 42.445105 52.261704) (xy 42.587546 52.404394) (xy 42.773749 52.481712)
+        (xy 42.975367 52.481888) (xy 43.161704 52.404895) (xy 43.304394 52.262454) (xy 43.381712 52.076251) (xy 43.381888 51.874633)
+        (xy 43.381888 56.395628) (xy 43.376251 56.393288) (xy 43.174633 56.393112) (xy 42.988296 56.470105) (xy 42.845606 56.612546)
+        (xy 42.768288 56.798749) (xy 42.768112 57.000367) (xy 42.845105 57.186704) (xy 42.987546 57.329394) (xy 43.173749 57.406712)
+        (xy 43.375367 57.406888) (xy 43.561704 57.329895) (xy 43.704394 57.187454) (xy 43.781712 57.001251) (xy 43.781888 56.799633)
+        (xy 43.781888 58.415161) (xy 43.712454 58.345606) (xy 43.526251 58.268288) (xy 43.324633 58.268112) (xy 43.146888 58.341554)
+        (xy 43.146888 57.849633) (xy 43.069895 57.663296) (xy 42.927454 57.520606) (xy 42.741251 57.443288) (xy 42.539633 57.443112)
+        (xy 42.353296 57.520105) (xy 42.210606 57.662546) (xy 42.133288 57.848749) (xy 42.133241 57.902333) (xy 42.051251 57.868288)
+        (xy 41.849633 57.868112) (xy 41.756888 57.906433) (xy 41.756888 55.929633) (xy 41.679895 55.743296) (xy 41.537454 55.600606)
+        (xy 41.351251 55.523288) (xy 41.149633 55.523112) (xy 40.963296 55.600105) (xy 40.820606 55.742546) (xy 40.743288 55.928749)
+        (xy 40.743112 56.130367) (xy 40.820105 56.316704) (xy 40.962546 56.459394) (xy 41.148749 56.536712) (xy 41.350367 56.536888)
+        (xy 41.536704 56.459895) (xy 41.679394 56.317454) (xy 41.756712 56.131251) (xy 41.756888 55.929633) (xy 41.756888 57.906433)
+        (xy 41.663296 57.945105) (xy 41.520606 58.087546) (xy 41.443288 58.273749) (xy 41.443112 58.475367) (xy 41.520105 58.661704)
+        (xy 41.662546 58.804394) (xy 41.848749 58.881712) (xy 42.050367 58.881888) (xy 42.236704 58.804895) (xy 42.379394 58.662454)
+        (xy 42.456712 58.476251) (xy 42.456758 58.422666) (xy 42.538749 58.456712) (xy 42.740367 58.456888) (xy 42.926704 58.379895)
+        (xy 43.069394 58.237454) (xy 43.146712 58.051251) (xy 43.146888 57.849633) (xy 43.146888 58.341554) (xy 43.138296 58.345105)
+        (xy 42.995606 58.487546) (xy 42.918288 58.673749) (xy 42.918112 58.875367) (xy 42.995105 59.061704) (xy 43.137546 59.204394)
+        (xy 43.323749 59.281712) (xy 43.525367 59.281888) (xy 43.711704 59.204895) (xy 43.854394 59.062454) (xy 43.931712 58.876251)
+        (xy 43.931888 58.674633) (xy 43.931888 61.313148) (xy 43.889633 61.313112) (xy 43.703296 61.390105) (xy 43.560606 61.532546)
+        (xy 43.483288 61.718749) (xy 43.483112 61.920367) (xy 43.560105 62.106704) (xy 43.702546 62.249394) (xy 43.888749 62.326712)
+        (xy 44.090367 62.326888) (xy 44.276704 62.249895) (xy 44.419394 62.107454) (xy 44.496712 61.921251) (xy 44.496888 61.719633)
+        (xy 44.496888 68.154422) (xy 44.479895 68.113296) (xy 44.337454 67.970606) (xy 44.151251 67.893288) (xy 43.949633 67.893112)
+        (xy 43.763296 67.970105) (xy 43.620606 68.112546) (xy 43.543288 68.298749) (xy 43.543112 68.500367) (xy 43.620105 68.686704)
+        (xy 43.647857 68.714505) (xy 43.531888 68.762422) (xy 43.531888 67.899633) (xy 43.454895 67.713296) (xy 43.312454 67.570606)
+        (xy 43.186888 67.518466) (xy 43.186888 60.299633) (xy 43.109895 60.113296) (xy 42.967454 59.970606) (xy 42.781251 59.893288)
+        (xy 42.579633 59.893112) (xy 42.484242 59.932526) (xy 42.529394 59.887454) (xy 42.606712 59.701251) (xy 42.606888 59.499633)
+        (xy 42.529895 59.313296) (xy 42.387454 59.170606) (xy 42.201251 59.093288) (xy 41.999633 59.093112) (xy 41.813296 59.170105)
+        (xy 41.670606 59.312546) (xy 41.593288 59.498749) (xy 41.593112 59.700367) (xy 41.670105 59.886704) (xy 41.812546 60.029394)
+        (xy 41.998749 60.106712) (xy 42.200367 60.106888) (xy 42.295757 60.067473) (xy 42.250606 60.112546) (xy 42.173288 60.298749)
+        (xy 42.173112 60.500367) (xy 42.250105 60.686704) (xy 42.392546 60.829394) (xy 42.578749 60.906712) (xy 42.780367 60.906888)
+        (xy 42.966704 60.829895) (xy 43.109394 60.687454) (xy 43.186712 60.501251) (xy 43.186888 60.299633) (xy 43.186888 67.518466)
+        (xy 43.126251 67.493288) (xy 42.924633 67.493112) (xy 42.738296 67.570105) (xy 42.595606 67.712546) (xy 42.518288 67.898749)
+        (xy 42.518112 68.100367) (xy 42.595105 68.286704) (xy 42.737546 68.429394) (xy 42.923749 68.506712) (xy 43.125367 68.506888)
+        (xy 43.311704 68.429895) (xy 43.454394 68.287454) (xy 43.531712 68.101251) (xy 43.531888 67.899633) (xy 43.531888 68.762422)
+        (xy 43.513296 68.770105) (xy 43.370606 68.912546) (xy 43.293288 69.098749) (xy 43.293112 69.300367) (xy 43.370105 69.486704)
+        (xy 43.512546 69.629394) (xy 43.698749 69.706712) (xy 43.900367 69.706888) (xy 43.993139 69.668555) (xy 43.993112 69.700367)
+        (xy 44.070105 69.886704) (xy 44.212546 70.029394) (xy 44.398749 70.106712) (xy 44.600367 70.106888) (xy 44.786704 70.029895)
+        (xy 44.929394 69.887454) (xy 45.006712 69.701251) (xy 45.006888 69.499633) (xy 45.006888 70.145582) (xy 44.943288 70.298749)
+        (xy 44.943112 70.500367) (xy 45.020105 70.686704) (xy 45.162546 70.829394) (xy 45.348749 70.906712) (xy 45.550367 70.906888)
+        (xy 45.736704 70.829895) (xy 45.879394 70.687454) (xy 45.956712 70.501251) (xy 45.956888 70.299633) (xy 45.956888 71.518118)
+        (xy 45.949633 71.518112) (xy 45.763296 71.595105) (xy 45.620606 71.737546) (xy 45.543288 71.923749) (xy 45.543112 72.125367)
+        (xy 45.612436 72.293145) (xy 45.574633 72.293112) (xy 45.388296 72.370105) (xy 45.245606 72.512546) (xy 45.168288 72.698749)
+        (xy 45.168112 72.900367) (xy 45.245105 73.086704) (xy 45.387546 73.229394) (xy 45.573749 73.306712) (xy 45.775367 73.306888)
+        (xy 45.961704 73.229895) (xy 46.104394 73.087454) (xy 46.181712 72.901251) (xy 46.181888 72.699633) (xy 46.112563 72.531854)
+        (xy 46.150367 72.531888) (xy 46.336704 72.454895) (xy 46.479394 72.312454) (xy 46.556712 72.126251) (xy 46.556888 71.924633)
+        (xy 46.556888 81.845582) (xy 46.506888 81.965996) (xy 46.506888 78.924633) (xy 46.429895 78.738296) (xy 46.287454 78.595606)
+        (xy 46.101251 78.518288) (xy 45.899633 78.518112) (xy 45.713296 78.595105) (xy 45.570606 78.737546) (xy 45.493288 78.923749)
+        (xy 45.493112 79.125367) (xy 45.570105 79.311704) (xy 45.712546 79.454394) (xy 45.898749 79.531712) (xy 46.100367 79.531888)
+        (xy 46.286704 79.454895) (xy 46.429394 79.312454) (xy 46.506712 79.126251) (xy 46.506888 78.924633) (xy 46.506888 81.965996)
+        (xy 46.493288 81.998749) (xy 46.493112 82.200367) (xy 46.570105 82.386704) (xy 46.712546 82.529394) (xy 46.898749 82.606712)
+        (xy 47.100367 82.606888) (xy 47.286704 82.529895) (xy 47.429394 82.387454) (xy 47.506712 82.201251) (xy 47.506888 81.999633)
+        (xy 47.506888 103.914739) (xy 45.781888 103.924269) (xy 45.781888 89.474633) (xy 45.781888 80.349633) (xy 45.704895 80.163296)
+        (xy 45.562454 80.020606) (xy 45.533276 80.00849) (xy 45.579394 79.962454) (xy 45.656712 79.776251) (xy 45.656888 79.574633)
+        (xy 45.579895 79.388296) (xy 45.437454 79.245606) (xy 45.251251 79.168288) (xy 45.049633 79.168112) (xy 44.863296 79.245105)
+        (xy 44.720606 79.387546) (xy 44.643288 79.573749) (xy 44.643112 79.775367) (xy 44.720105 79.961704) (xy 44.862546 80.104394)
+        (xy 44.891723 80.116509) (xy 44.845606 80.162546) (xy 44.768288 80.348749) (xy 44.768112 80.550367) (xy 44.845105 80.736704)
+        (xy 44.987546 80.879394) (xy 45.173749 80.956712) (xy 45.375367 80.956888) (xy 45.561704 80.879895) (xy 45.704394 80.737454)
+        (xy 45.781712 80.551251) (xy 45.781888 80.349633) (xy 45.781888 89.474633) (xy 45.704895 89.288296) (xy 45.562454 89.145606)
+        (xy 45.376251 89.068288) (xy 45.174633 89.068112) (xy 44.988296 89.145105) (xy 44.881888 89.251327) (xy 44.881888 81.199633)
+        (xy 44.804895 81.013296) (xy 44.662454 80.870606) (xy 44.476251 80.793288) (xy 44.281888 80.793118) (xy 44.281888 77.974633)
+        (xy 44.204895 77.788296) (xy 44.106888 77.690117) (xy 44.106888 71.499633) (xy 44.029895 71.313296) (xy 43.887454 71.170606)
+        (xy 43.701251 71.093288) (xy 43.499633 71.093112) (xy 43.313296 71.170105) (xy 43.170606 71.312546) (xy 43.093288 71.498749)
+        (xy 43.093112 71.700367) (xy 43.170105 71.886704) (xy 43.312546 72.029394) (xy 43.498749 72.106712) (xy 43.700367 72.106888)
+        (xy 43.886704 72.029895) (xy 44.029394 71.887454) (xy 44.106712 71.701251) (xy 44.106888 71.499633) (xy 44.106888 77.690117)
+        (xy 44.062454 77.645606) (xy 43.876251 77.568288) (xy 43.674633 77.568112) (xy 43.488296 77.645105) (xy 43.345606 77.787546)
+        (xy 43.268288 77.973749) (xy 43.268112 78.175367) (xy 43.345105 78.361704) (xy 43.487546 78.504394) (xy 43.673749 78.581712)
+        (xy 43.875367 78.581888) (xy 44.061704 78.504895) (xy 44.204394 78.362454) (xy 44.281712 78.176251) (xy 44.281888 77.974633)
+        (xy 44.281888 80.793118) (xy 44.274633 80.793112) (xy 44.088296 80.870105) (xy 43.945606 81.012546) (xy 43.868288 81.198749)
+        (xy 43.868112 81.400367) (xy 43.945105 81.586704) (xy 44.087546 81.729394) (xy 44.273749 81.806712) (xy 44.475367 81.806888)
+        (xy 44.661704 81.729895) (xy 44.804394 81.587454) (xy 44.881712 81.401251) (xy 44.881888 81.199633) (xy 44.881888 89.251327)
+        (xy 44.845606 89.287546) (xy 44.768288 89.473749) (xy 44.768112 89.675367) (xy 44.845105 89.861704) (xy 44.987546 90.004394)
+        (xy 45.173749 90.081712) (xy 45.375367 90.081888) (xy 45.561704 90.004895) (xy 45.704394 89.862454) (xy 45.781712 89.676251)
+        (xy 45.781888 89.474633) (xy 45.781888 103.924269) (xy 44.081888 103.933661) (xy 44.081888 82.349633) (xy 44.004895 82.163296)
+        (xy 43.862454 82.020606) (xy 43.676251 81.943288) (xy 43.474633 81.943112) (xy 43.406888 81.971103) (xy 43.406888 80.774633)
+        (xy 43.329895 80.588296) (xy 43.187454 80.445606) (xy 43.001251 80.368288) (xy 42.799633 80.368112) (xy 42.681888 80.416763)
+        (xy 42.681888 70.199633) (xy 42.604895 70.013296) (xy 42.462454 69.870606) (xy 42.276251 69.793288) (xy 42.074633 69.793112)
+        (xy 41.931888 69.852093) (xy 41.931888 67.224633) (xy 41.854895 67.038296) (xy 41.712454 66.895606) (xy 41.526251 66.818288)
+        (xy 41.324633 66.818112) (xy 41.138296 66.895105) (xy 41.006888 67.026283) (xy 41.006888 61.899633) (xy 40.929895 61.713296)
+        (xy 40.787454 61.570606) (xy 40.601251 61.493288) (xy 40.399633 61.493112) (xy 40.213296 61.570105) (xy 40.070606 61.712546)
+        (xy 39.993288 61.898749) (xy 39.993112 62.100367) (xy 40.070105 62.286704) (xy 40.212546 62.429394) (xy 40.398749 62.506712)
+        (xy 40.600367 62.506888) (xy 40.786704 62.429895) (xy 40.929394 62.287454) (xy 41.006712 62.101251) (xy 41.006888 61.899633)
+        (xy 41.006888 67.026283) (xy 40.995606 67.037546) (xy 40.946888 67.154872) (xy 40.946888 65.149633) (xy 40.869895 64.963296)
+        (xy 40.727454 64.820606) (xy 40.541251 64.743288) (xy 40.516888 64.743266) (xy 40.516888 63.989633) (xy 40.481888 63.904926)
+        (xy 40.481888 62.899633) (xy 40.404895 62.713296) (xy 40.262454 62.570606) (xy 40.076251 62.493288) (xy 39.874633 62.493112)
+        (xy 39.688296 62.570105) (xy 39.545606 62.712546) (xy 39.468288 62.898749) (xy 39.468112 63.100367) (xy 39.545105 63.286704)
+        (xy 39.687546 63.429394) (xy 39.873749 63.506712) (xy 40.075367 63.506888) (xy 40.261704 63.429895) (xy 40.404394 63.287454)
+        (xy 40.481712 63.101251) (xy 40.481888 62.899633) (xy 40.481888 63.904926) (xy 40.439895 63.803296) (xy 40.297454 63.660606)
+        (xy 40.111251 63.583288) (xy 39.909633 63.583112) (xy 39.723296 63.660105) (xy 39.580606 63.802546) (xy 39.503288 63.988749)
+        (xy 39.503112 64.190367) (xy 39.580105 64.376704) (xy 39.722546 64.519394) (xy 39.908749 64.596712) (xy 40.110367 64.596888)
+        (xy 40.296704 64.519895) (xy 40.439394 64.377454) (xy 40.516712 64.191251) (xy 40.516888 63.989633) (xy 40.516888 64.743266)
+        (xy 40.339633 64.743112) (xy 40.153296 64.820105) (xy 40.010606 64.962546) (xy 39.933288 65.148749) (xy 39.933112 65.350367)
+        (xy 40.010105 65.536704) (xy 40.152546 65.679394) (xy 40.338749 65.756712) (xy 40.540367 65.756888) (xy 40.726704 65.679895)
+        (xy 40.869394 65.537454) (xy 40.946712 65.351251) (xy 40.946888 65.149633) (xy 40.946888 67.154872) (xy 40.918288 67.223749)
+        (xy 40.918112 67.425367) (xy 40.995105 67.611704) (xy 41.137546 67.754394) (xy 41.323749 67.831712) (xy 41.525367 67.831888)
+        (xy 41.711704 67.754895) (xy 41.854394 67.612454) (xy 41.931712 67.426251) (xy 41.931888 67.224633) (xy 41.931888 69.852093)
+        (xy 41.888296 69.870105) (xy 41.745606 70.012546) (xy 41.668288 70.198749) (xy 41.668112 70.400367) (xy 41.745105 70.586704)
+        (xy 41.887546 70.729394) (xy 42.073749 70.806712) (xy 42.275367 70.806888) (xy 42.461704 70.729895) (xy 42.604394 70.587454)
+        (xy 42.681712 70.401251) (xy 42.681888 70.199633) (xy 42.681888 80.416763) (xy 42.613296 80.445105) (xy 42.470606 80.587546)
+        (xy 42.393288 80.773749) (xy 42.393112 80.975367) (xy 42.470105 81.161704) (xy 42.612546 81.304394) (xy 42.798749 81.381712)
+        (xy 43.000367 81.381888) (xy 43.186704 81.304895) (xy 43.329394 81.162454) (xy 43.406712 80.976251) (xy 43.406888 80.774633)
+        (xy 43.406888 81.971103) (xy 43.288296 82.020105) (xy 43.145606 82.162546) (xy 43.068288 82.348749) (xy 43.068112 82.550367)
+        (xy 43.145105 82.736704) (xy 43.287546 82.879394) (xy 43.473749 82.956712) (xy 43.675367 82.956888) (xy 43.861704 82.879895)
+        (xy 44.004394 82.737454) (xy 44.081712 82.551251) (xy 44.081888 82.349633) (xy 44.081888 103.933661) (xy 42.181888 103.944159)
+        (xy 42.181888 75.624633) (xy 42.104895 75.438296) (xy 42.006888 75.340117) (xy 42.006888 72.949633) (xy 41.929895 72.763296)
+        (xy 41.787454 72.620606) (xy 41.601251 72.543288) (xy 41.399633 72.543112) (xy 41.213296 72.620105) (xy 41.070606 72.762546)
+        (xy 40.993288 72.948749) (xy 40.993112 73.150367) (xy 41.070105 73.336704) (xy 41.212546 73.479394) (xy 41.398749 73.556712)
+        (xy 41.600367 73.556888) (xy 41.786704 73.479895) (xy 41.929394 73.337454) (xy 42.006712 73.151251) (xy 42.006888 72.949633)
+        (xy 42.006888 75.340117) (xy 41.962454 75.295606) (xy 41.776251 75.218288) (xy 41.574633 75.218112) (xy 41.388296 75.295105)
+        (xy 41.245606 75.437546) (xy 41.168288 75.623749) (xy 41.168112 75.825367) (xy 41.245105 76.011704) (xy 41.387546 76.154394)
+        (xy 41.573749 76.231712) (xy 41.775367 76.231888) (xy 41.961704 76.154895) (xy 42.104394 76.012454) (xy 42.181712 75.826251)
+        (xy 42.181888 75.624633) (xy 42.181888 103.944159) (xy 41.931888 103.94554) (xy 41.931888 79.999633) (xy 41.931888 78.374633)
+        (xy 41.854895 78.188296) (xy 41.712454 78.045606) (xy 41.526251 77.968288) (xy 41.324633 77.968112) (xy 41.138296 78.045105)
+        (xy 40.995606 78.187546) (xy 40.918288 78.373749) (xy 40.918112 78.575367) (xy 40.995105 78.761704) (xy 41.137546 78.904394)
+        (xy 41.323749 78.981712) (xy 41.525367 78.981888) (xy 41.711704 78.904895) (xy 41.854394 78.762454) (xy 41.931712 78.576251)
+        (xy 41.931888 78.374633) (xy 41.931888 79.999633) (xy 41.854895 79.813296) (xy 41.712454 79.670606) (xy 41.526251 79.593288)
+        (xy 41.324633 79.593112) (xy 41.138296 79.670105) (xy 41.131888 79.676501) (xy 41.131888 79.149633) (xy 41.054895 78.963296)
+        (xy 40.912454 78.820606) (xy 40.726251 78.743288) (xy 40.524633 78.743112) (xy 40.356888 78.812422) (xy 40.356888 75.899633)
+        (xy 40.279895 75.713296) (xy 40.137454 75.570606) (xy 39.951251 75.493288) (xy 39.749633 75.493112) (xy 39.563296 75.570105)
+        (xy 39.420606 75.712546) (xy 39.343288 75.898749) (xy 39.343112 76.100367) (xy 39.420105 76.286704) (xy 39.562546 76.429394)
+        (xy 39.748749 76.506712) (xy 39.950367 76.506888) (xy 40.136704 76.429895) (xy 40.279394 76.287454) (xy 40.356712 76.101251)
+        (xy 40.356888 75.899633) (xy 40.356888 78.812422) (xy 40.338296 78.820105) (xy 40.195606 78.962546) (xy 40.118288 79.148749)
+        (xy 40.118112 79.350367) (xy 40.195105 79.536704) (xy 40.337546 79.679394) (xy 40.523749 79.756712) (xy 40.725367 79.756888)
+        (xy 40.911704 79.679895) (xy 41.054394 79.537454) (xy 41.131712 79.351251) (xy 41.131888 79.149633) (xy 41.131888 79.676501)
+        (xy 40.995606 79.812546) (xy 40.918288 79.998749) (xy 40.918112 80.200367) (xy 40.995105 80.386704) (xy 41.137546 80.529394)
+        (xy 41.323749 80.606712) (xy 41.525367 80.606888) (xy 41.711704 80.529895) (xy 41.854394 80.387454) (xy 41.931712 80.201251)
+        (xy 41.931888 79.999633) (xy 41.931888 103.94554) (xy 39.794718 103.957348) (xy 39.794718 83.804422) (xy 39.794718 79.244422)
+        (xy 39.690714 78.992712) (xy 39.498301 78.799963) (xy 39.246773 78.695519) (xy 39.190248 78.695469) (xy 39.190248 56.081905)
+        (xy 39.190248 54.557905) (xy 39.147984 54.455618) (xy 39.069793 54.377291) (xy 38.96758 54.334848) (xy 38.856905 54.334752)
+        (xy 37.332905 54.334752) (xy 37.230618 54.377016) (xy 37.152291 54.455207) (xy 37.109848 54.55742) (xy 37.109752 54.668095)
+        (xy 37.109752 56.192095) (xy 37.152016 56.294382) (xy 37.230207 56.372709) (xy 37.33242 56.415152) (xy 37.443095 56.415248)
+        (xy 38.967095 56.415248) (xy 39.069382 56.372984) (xy 39.147709 56.294793) (xy 39.190152 56.19258) (xy 39.190248 56.081905)
+        (xy 39.190248 78.695469) (xy 38.974422 78.695282) (xy 38.722712 78.799286) (xy 38.529963 78.991699) (xy 38.425519 79.243227)
+        (xy 38.425282 79.515578) (xy 38.529286 79.767288) (xy 38.721699 79.960037) (xy 38.973227 80.064481) (xy 39.245578 80.064718)
+        (xy 39.497288 79.960714) (xy 39.690037 79.768301) (xy 39.794481 79.516773) (xy 39.794718 79.244422) (xy 39.794718 83.804422)
+        (xy 39.690714 83.552712) (xy 39.498301 83.359963) (xy 39.246773 83.255519) (xy 38.974422 83.255282) (xy 38.722712 83.359286)
+        (xy 38.529963 83.551699) (xy 38.425519 83.803227) (xy 38.425282 84.075578) (xy 38.529286 84.327288) (xy 38.721699 84.520037)
+        (xy 38.973227 84.624481) (xy 39.245578 84.624718) (xy 39.497288 84.520714) (xy 39.690037 84.328301) (xy 39.794481 84.076773)
+        (xy 39.794718 83.804422) (xy 39.794718 103.957348) (xy 38.056888 103.966949) (xy 38.056888 82.699633) (xy 37.979895 82.513296)
+        (xy 37.837454 82.370606) (xy 37.651251 82.293288) (xy 37.449633 82.293112) (xy 37.263296 82.370105) (xy 37.120606 82.512546)
+        (xy 37.043288 82.698749) (xy 37.043112 82.900367) (xy 37.120105 83.086704) (xy 37.262546 83.229394) (xy 37.448749 83.306712)
+        (xy 37.650367 83.306888) (xy 37.836704 83.229895) (xy 37.979394 83.087454) (xy 38.056712 82.901251) (xy 38.056888 82.699633)
+        (xy 38.056888 103.966949) (xy 36.674855 103.974585) (xy 36.525143 26.099339) (xy 126.875145 25.300665) (xy 126.953369 66.14674)
+        (xy 126.800491 66.011969) (xy 126.304 65.842) (xy 125.779 65.842) (xy 125.779 66.921) (xy 125.779 66.996)
+        (xy 125.779 67.354) (xy 125.779 67.429) (xy 125.779 68.508) (xy 126.304 68.508) (xy 126.800491 68.338031)
+        (xy 126.9573 68.199793) (xy 126.965166 72.30714) (xy 126.800491 72.161969) (xy 126.304 71.992) (xy 125.779 71.992)
+        (xy 125.779 73.071) (xy 125.779 73.146) (xy 125.779 73.504) (xy 125.779 73.579) (xy 125.779 74.658)
+        (xy 126.304 74.658) (xy 126.800491 74.488031) (xy 126.969058 74.339428) (xy 126.9968 88.824958) (xy 126.9968 103.475569)
+      )
+    )
+  )
+  (zone (net 267) (net_name GND) (layer GND-L4) (tstamp 51AED472) (hatch edge 0.508)
+    (connect_pads (clearance 0.2032))
+    (min_thickness 0.15)
+    (fill (arc_segments 16) (thermal_gap 0.508) (thermal_bridge_width 0.508))
+    (polygon
+      (pts
+        (xy 36.425 25.6) (xy 127.025 25.125) (xy 126.975 104.625) (xy 36.3 104.2) (xy 36.45 25.65)
+      )
+    )
+    (filled_polygon
+      (pts
+        (xy 126.949951 25.2032) (xy 126.924216 66.12104) (xy 126.800491 66.011969) (xy 126.304 65.842) (xy 125.779 65.842)
+        (xy 125.779 66.921) (xy 125.779 66.996) (xy 125.779 67.354) (xy 125.779 67.429) (xy 125.779 68.508)
+        (xy 126.304 68.508) (xy 126.800491 68.338031) (xy 126.922889 68.230128) (xy 126.92035 72.267632) (xy 126.800491 72.161969)
+        (xy 126.304 71.992) (xy 125.779 71.992) (xy 125.779 73.071) (xy 125.779 73.146) (xy 125.779 73.504)
+        (xy 125.779 73.579) (xy 125.779 74.658) (xy 126.304 74.658) (xy 126.800491 74.488031) (xy 126.919019 74.38354)
+        (xy 126.900047 104.549648) (xy 125.606888 104.543586) (xy 125.606888 71.674633) (xy 125.529895 71.488296) (xy 125.421 71.37921)
+        (xy 125.421 68.508) (xy 125.421 67.354) (xy 125.421 66.996) (xy 125.421 65.842) (xy 124.896 65.842)
+        (xy 124.399509 66.011969) (xy 124.005855 66.358999) (xy 123.925 66.52754) (xy 123.844145 66.358999) (xy 123.450491 66.011969)
+        (xy 122.954 65.842) (xy 122.429 65.842) (xy 122.429 66.996) (xy 123.901322 66.996) (xy 123.948678 66.996)
+        (xy 125.421 66.996) (xy 125.421 67.354) (xy 123.948678 67.354) (xy 123.901322 67.354) (xy 122.429 67.354)
+        (xy 122.429 68.508) (xy 122.61817 68.508) (xy 122.618112 68.575367) (xy 122.695105 68.761704) (xy 122.79571 68.862485)
+        (xy 122.770606 68.887546) (xy 122.693288 69.073749) (xy 122.693112 69.275367) (xy 122.770105 69.461704) (xy 122.870754 69.562529)
+        (xy 122.795606 69.637546) (xy 122.718288 69.823749) (xy 122.718112 70.025367) (xy 122.795105 70.211704) (xy 122.795841 70.212441)
+        (xy 122.720606 70.287546) (xy 122.643288 70.473749) (xy 122.643112 70.675367) (xy 122.720105 70.861704) (xy 122.862546 71.004394)
+        (xy 123.048749 71.081712) (xy 123.250367 71.081888) (xy 123.436704 71.004895) (xy 123.579394 70.862454) (xy 123.656712 70.676251)
+        (xy 123.656888 70.474633) (xy 123.579895 70.288296) (xy 123.579158 70.287558) (xy 123.654394 70.212454) (xy 123.731712 70.026251)
+        (xy 123.731888 69.824633) (xy 123.654895 69.638296) (xy 123.554245 69.53747) (xy 123.629394 69.462454) (xy 123.706712 69.276251)
+        (xy 123.706888 69.074633) (xy 123.629895 68.888296) (xy 123.529289 68.787514) (xy 123.554394 68.762454) (xy 123.631712 68.576251)
+        (xy 123.631888 68.374633) (xy 123.572369 68.230587) (xy 123.844145 67.991001) (xy 123.925 67.822459) (xy 124.005855 67.991001)
+        (xy 124.399509 68.338031) (xy 124.896 68.508) (xy 125.421 68.508) (xy 125.421 71.37921) (xy 125.387454 71.345606)
+        (xy 125.201251 71.268288) (xy 124.999633 71.268112) (xy 124.813296 71.345105) (xy 124.670606 71.487546) (xy 124.593288 71.673749)
+        (xy 124.593112 71.875367) (xy 124.670105 72.061704) (xy 124.67578 72.067389) (xy 124.399509 72.161969) (xy 124.005855 72.508999)
+        (xy 123.913006 72.70254) (xy 123.844145 72.558999) (xy 123.450491 72.211969) (xy 123.143396 72.106838) (xy 123.200367 72.106888)
+        (xy 123.386704 72.029895) (xy 123.529394 71.887454) (xy 123.606712 71.701251) (xy 123.606888 71.499633) (xy 123.529895 71.313296)
+        (xy 123.387454 71.170606) (xy 123.201251 71.093288) (xy 122.999633 71.093112) (xy 122.813296 71.170105) (xy 122.670606 71.312546)
+        (xy 122.593288 71.498749) (xy 122.593112 71.700367) (xy 122.670105 71.886704) (xy 122.812546 72.029394) (xy 122.842904 72.042)
+        (xy 122.429 72.042) (xy 122.429 73.196) (xy 123.948678 73.196) (xy 123.973865 73.146) (xy 125.421 73.146)
+        (xy 125.421 72.170658) (xy 125.529394 72.062454) (xy 125.606712 71.876251) (xy 125.606888 71.674633) (xy 125.606888 104.543586)
+        (xy 125.421 104.542715) (xy 125.421 74.658) (xy 125.421 73.504) (xy 123.901322 73.504) (xy 123.876134 73.554)
+        (xy 122.429 73.554) (xy 122.429 74.708) (xy 122.954 74.708) (xy 123.450491 74.538031) (xy 123.844145 74.191001)
+        (xy 123.936993 73.997459) (xy 124.005855 74.141001) (xy 124.399509 74.488031) (xy 124.896 74.658) (xy 125.421 74.658)
+        (xy 125.421 104.542715) (xy 122.071 104.527013) (xy 122.071 74.708) (xy 122.071 73.554) (xy 122.071 73.196)
+        (xy 122.071 72.042) (xy 122.071 68.508) (xy 122.071 67.354) (xy 122.071 66.996) (xy 122.071 65.842)
+        (xy 121.546 65.842) (xy 121.049509 66.011969) (xy 120.655855 66.358999) (xy 120.448339 66.791565) (xy 120.551322 66.996)
+        (xy 122.071 66.996) (xy 122.071 67.354) (xy 120.551322 67.354) (xy 120.448339 67.558435) (xy 120.655855 67.991001)
+        (xy 121.049509 68.338031) (xy 121.546 68.508) (xy 122.071 68.508) (xy 122.071 72.042) (xy 121.546 72.042)
+        (xy 121.049509 72.211969) (xy 120.655855 72.558999) (xy 120.448339 72.991565) (xy 120.551322 73.196) (xy 122.071 73.196)
+        (xy 122.071 73.554) (xy 120.551322 73.554) (xy 120.448339 73.758435) (xy 120.655855 74.191001) (xy 121.049509 74.538031)
+        (xy 121.546 74.708) (xy 122.071 74.708) (xy 122.071 104.527013) (xy 119.431888 104.514644) (xy 119.431888 67.999633)
+        (xy 119.354895 67.813296) (xy 119.304224 67.762536) (xy 119.354394 67.712454) (xy 119.431712 67.526251) (xy 119.431888 67.324633)
+        (xy 119.354895 67.138296) (xy 119.212454 66.995606) (xy 119.026251 66.918288) (xy 118.824633 66.918112) (xy 118.638296 66.995105)
+        (xy 118.495606 67.137546) (xy 118.418288 67.323749) (xy 118.418112 67.525367) (xy 118.495105 67.711704) (xy 118.545775 67.762463)
+        (xy 118.495606 67.812546) (xy 118.418288 67.998749) (xy 118.418112 68.200367) (xy 118.495105 68.386704) (xy 118.637546 68.529394)
+        (xy 118.823749 68.606712) (xy 119.025367 68.606888) (xy 119.211704 68.529895) (xy 119.354394 68.387454) (xy 119.431712 68.201251)
+        (xy 119.431888 67.999633) (xy 119.431888 104.514644) (xy 119.331888 104.514175) (xy 119.331888 73.424633) (xy 119.254895 73.238296)
+        (xy 119.112454 73.095606) (xy 118.926251 73.018288) (xy 118.724633 73.018112) (xy 118.538296 73.095105) (xy 118.395606 73.237546)
+        (xy 118.331888 73.390996) (xy 118.331888 70.474633) (xy 118.254895 70.288296) (xy 118.112454 70.145606) (xy 117.98172 70.09132)
+        (xy 117.981888 69.899633) (xy 117.904895 69.713296) (xy 117.762454 69.570606) (xy 117.576251 69.493288) (xy 117.374633 69.493112)
+        (xy 117.188296 69.570105) (xy 117.045606 69.712546) (xy 116.968288 69.898749) (xy 116.968112 70.100367) (xy 117.045105 70.286704)
+        (xy 117.187546 70.429394) (xy 117.318279 70.483679) (xy 117.318112 70.675367) (xy 117.395105 70.861704) (xy 117.537546 71.004394)
+        (xy 117.723749 71.081712) (xy 117.925367 71.081888) (xy 118.111704 71.004895) (xy 118.254394 70.862454) (xy 118.331712 70.676251)
+        (xy 118.331888 70.474633) (xy 118.331888 73.390996) (xy 118.318288 73.423749) (xy 118.318112 73.625367) (xy 118.395105 73.811704)
+        (xy 118.537546 73.954394) (xy 118.723749 74.031712) (xy 118.925367 74.031888) (xy 119.111704 73.954895) (xy 119.254394 73.812454)
+        (xy 119.331712 73.626251) (xy 119.331888 73.424633) (xy 119.331888 104.514175) (xy 116.431888 104.500582) (xy 116.431888 90.549633)
+        (xy 116.354895 90.363296) (xy 116.212454 90.220606) (xy 116.026251 90.143288) (xy 116.008319 90.143272) (xy 116.161704 90.079895)
+        (xy 116.304394 89.937454) (xy 116.381712 89.751251) (xy 116.381888 89.549633) (xy 116.304895 89.363296) (xy 116.162454 89.220606)
+        (xy 115.992226 89.149921) (xy 116.161704 89.079895) (xy 116.304394 88.937454) (xy 116.381712 88.751251) (xy 116.381888 88.549633)
+        (xy 116.304895 88.363296) (xy 116.162454 88.220606) (xy 116.004695 88.155099) (xy 116.186704 88.079895) (xy 116.329394 87.937454)
+        (xy 116.406712 87.751251) (xy 116.406888 87.549633) (xy 116.329895 87.363296) (xy 116.187454 87.220606) (xy 116.001251 87.143288)
+        (xy 115.983319 87.143272) (xy 116.136704 87.079895) (xy 116.279394 86.937454) (xy 116.356712 86.751251) (xy 116.356888 86.549633)
+        (xy 116.281888 86.368119) (xy 116.281888 71.924633) (xy 116.204895 71.738296) (xy 116.062454 71.595606) (xy 115.931888 71.54139)
+        (xy 115.931888 41.474633) (xy 115.854895 41.288296) (xy 115.712454 41.145606) (xy 115.526251 41.068288) (xy 115.481762 41.068249)
+        (xy 115.481888 40.924633) (xy 115.404895 40.738296) (xy 115.262454 40.595606) (xy 115.076251 40.518288) (xy 114.874633 40.518112)
+        (xy 114.688296 40.595105) (xy 114.545606 40.737546) (xy 114.468288 40.923749) (xy 114.468112 41.125367) (xy 114.545105 41.311704)
+        (xy 114.687546 41.454394) (xy 114.873749 41.531712) (xy 114.918237 41.53175) (xy 114.918112 41.675367) (xy 114.995105 41.861704)
+        (xy 115.137546 42.004394) (xy 115.323749 42.081712) (xy 115.525367 42.081888) (xy 115.711704 42.004895) (xy 115.854394 41.862454)
+        (xy 115.931712 41.676251) (xy 115.931888 41.474633) (xy 115.931888 71.54139) (xy 115.876251 71.518288) (xy 115.674633 71.518112)
+        (xy 115.531888 71.577093) (xy 115.531888 44.924633) (xy 115.454895 44.738296) (xy 115.312454 44.595606) (xy 115.126251 44.518288)
+        (xy 114.924633 44.518112) (xy 114.738296 44.595105) (xy 114.681888 44.651414) (xy 114.681888 43.424633) (xy 114.604895 43.238296)
+        (xy 114.462454 43.095606) (xy 114.276251 43.018288) (xy 114.206806 43.018227) (xy 114.206888 42.924633) (xy 114.129895 42.738296)
+        (xy 113.987454 42.595606) (xy 113.813837 42.523514) (xy 113.813837 36.798343) (xy 113.764876 36.265514) (xy 113.655167 36.000651)
+        (xy 113.490379 35.943738) (xy 113.490379 33.908999) (xy 113.490379 31.368999) (xy 113.490379 28.828999) (xy 113.490248 28.828681)
+        (xy 113.490248 27.201905) (xy 113.490248 25.677905) (xy 113.447984 25.575618) (xy 113.369793 25.497291) (xy 113.26758 25.454848)
+        (xy 113.156905 25.454752) (xy 111.632905 25.454752) (xy 111.530618 25.497016) (xy 111.452291 25.575207) (xy 111.409848 25.67742)
+        (xy 111.409752 25.788095) (xy 111.409752 27.312095) (xy 111.452016 27.414382) (xy 111.530207 27.492709) (xy 111.63242 27.535152)
+        (xy 111.743095 27.535248) (xy 113.267095 27.535248) (xy 113.369382 27.492984) (xy 113.447709 27.414793) (xy 113.490152 27.31258)
+        (xy 113.490248 27.201905) (xy 113.490248 28.828681) (xy 113.332352 28.446544) (xy 113.039995 28.153676) (xy 112.657816 27.994982)
+        (xy 112.243999 27.994621) (xy 111.861544 28.152648) (xy 111.568676 28.445005) (xy 111.409982 28.827184) (xy 111.409621 29.241001)
+        (xy 111.567648 29.623456) (xy 111.860005 29.916324) (xy 112.242184 30.075018) (xy 112.656001 30.075379) (xy 113.038456 29.917352)
+        (xy 113.331324 29.624995) (xy 113.490018 29.242816) (xy 113.490379 28.828999) (xy 113.490379 31.368999) (xy 113.332352 30.986544)
+        (xy 113.039995 30.693676) (xy 112.657816 30.534982) (xy 112.243999 30.534621) (xy 111.861544 30.692648) (xy 111.568676 30.985005)
+        (xy 111.409982 31.367184) (xy 111.409621 31.781001) (xy 111.567648 32.163456) (xy 111.860005 32.456324) (xy 112.242184 32.615018)
+        (xy 112.656001 32.615379) (xy 113.038456 32.457352) (xy 113.331324 32.164995) (xy 113.490018 31.782816) (xy 113.490379 31.368999)
+        (xy 113.490379 33.908999) (xy 113.332352 33.526544) (xy 113.039995 33.233676) (xy 112.657816 33.074982) (xy 112.243999 33.074621)
+        (xy 111.861544 33.232648) (xy 111.568676 33.525005) (xy 111.409982 33.907184) (xy 111.409621 34.321001) (xy 111.567648 34.703456)
+        (xy 111.860005 34.996324) (xy 112.242184 35.155018) (xy 112.656001 35.155379) (xy 113.038456 34.997352) (xy 113.331324 34.704995)
+        (xy 113.490018 34.322816) (xy 113.490379 33.908999) (xy 113.490379 35.943738) (xy 113.433909 35.924236) (xy 113.180764 36.17738)
+        (xy 113.180764 35.671091) (xy 113.104349 35.449833) (xy 112.593343 35.291163) (xy 112.060514 35.340124) (xy 111.795651 35.449833)
+        (xy 111.719236 35.671091) (xy 112.45 36.401856) (xy 113.180764 35.671091) (xy 113.180764 36.17738) (xy 112.703144 36.655)
+        (xy 113.433909 37.385764) (xy 113.655167 37.309349) (xy 113.813837 36.798343) (xy 113.813837 42.523514) (xy 113.801251 42.518288)
+        (xy 113.599633 42.518112) (xy 113.413296 42.595105) (xy 113.335469 42.672795) (xy 113.279895 42.538296) (xy 113.244841 42.503181)
+        (xy 113.361704 42.454895) (xy 113.504394 42.312454) (xy 113.581712 42.126251) (xy 113.581888 41.924633) (xy 113.504895 41.738296)
+        (xy 113.362454 41.595606) (xy 113.180764 41.520161) (xy 113.180764 37.638909) (xy 112.45 36.908144) (xy 112.196856 37.161288)
+        (xy 112.196856 36.655) (xy 111.466091 35.924236) (xy 111.244833 36.000651) (xy 111.086163 36.511657) (xy 111.135124 37.044486)
+        (xy 111.244833 37.309349) (xy 111.466091 37.385764) (xy 112.196856 36.655) (xy 112.196856 37.161288) (xy 111.719236 37.638909)
+        (xy 111.795651 37.860167) (xy 112.306657 38.018837) (xy 112.839486 37.969876) (xy 113.104349 37.860167) (xy 113.180764 37.638909)
+        (xy 113.180764 41.520161) (xy 113.176251 41.518288) (xy 112.974633 41.518112) (xy 112.788296 41.595105) (xy 112.645606 41.737546)
+        (xy 112.568288 41.923749) (xy 112.568112 42.125367) (xy 112.645105 42.311704) (xy 112.680158 42.346818) (xy 112.563296 42.395105)
+        (xy 112.420606 42.537546) (xy 112.343288 42.723749) (xy 112.343112 42.925367) (xy 112.420105 43.111704) (xy 112.562546 43.254394)
+        (xy 112.748749 43.331712) (xy 112.950367 43.331888) (xy 113.136704 43.254895) (xy 113.21453 43.177204) (xy 113.270105 43.311704)
+        (xy 113.412546 43.454394) (xy 113.598749 43.531712) (xy 113.668193 43.531772) (xy 113.668112 43.625367) (xy 113.745105 43.811704)
+        (xy 113.887546 43.954394) (xy 114.073749 44.031712) (xy 114.275367 44.031888) (xy 114.461704 43.954895) (xy 114.604394 43.812454)
+        (xy 114.681712 43.626251) (xy 114.681888 43.424633) (xy 114.681888 44.651414) (xy 114.595606 44.737546) (xy 114.518288 44.923749)
+        (xy 114.518112 45.125367) (xy 114.595105 45.311704) (xy 114.737546 45.454394) (xy 114.923749 45.531712) (xy 115.125367 45.531888)
+        (xy 115.311704 45.454895) (xy 115.454394 45.312454) (xy 115.531712 45.126251) (xy 115.531888 44.924633) (xy 115.531888 71.577093)
+        (xy 115.488296 71.595105) (xy 115.345606 71.737546) (xy 115.268288 71.923749) (xy 115.268112 72.125367) (xy 115.345105 72.311704)
+        (xy 115.487546 72.454394) (xy 115.673749 72.531712) (xy 115.875367 72.531888) (xy 116.061704 72.454895) (xy 116.204394 72.312454)
+        (xy 116.281712 72.126251) (xy 116.281888 71.924633) (xy 116.281888 86.368119) (xy 116.279895 86.363296) (xy 116.186888 86.270126)
+        (xy 116.186888 78.149633) (xy 116.181888 78.137532) (xy 116.181888 76.874633) (xy 116.104895 76.688296) (xy 116.096888 76.680275)
+        (xy 116.096888 73.919633) (xy 116.019895 73.733296) (xy 115.877454 73.590606) (xy 115.691251 73.513288) (xy 115.489633 73.513112)
+        (xy 115.303296 73.590105) (xy 115.160606 73.732546) (xy 115.083288 73.918749) (xy 115.083112 74.120367) (xy 115.160105 74.306704)
+        (xy 115.302546 74.449394) (xy 115.488749 74.526712) (xy 115.690367 74.526888) (xy 115.876704 74.449895) (xy 116.019394 74.307454)
+        (xy 116.096712 74.121251) (xy 116.096888 73.919633) (xy 116.096888 76.680275) (xy 115.962454 76.545606) (xy 115.776251 76.468288)
+        (xy 115.574633 76.468112) (xy 115.388296 76.545105) (xy 115.245606 76.687546) (xy 115.168288 76.873749) (xy 115.168112 77.075367)
+        (xy 115.245105 77.261704) (xy 115.387546 77.404394) (xy 115.573749 77.481712) (xy 115.775367 77.481888) (xy 115.961704 77.404895)
+        (xy 116.104394 77.262454) (xy 116.181712 77.076251) (xy 116.181888 76.874633) (xy 116.181888 78.137532) (xy 116.109895 77.963296)
+        (xy 115.967454 77.820606) (xy 115.781251 77.743288) (xy 115.579633 77.743112) (xy 115.393296 77.820105) (xy 115.250606 77.962546)
+        (xy 115.173288 78.148749) (xy 115.173112 78.350367) (xy 115.250105 78.536704) (xy 115.392546 78.679394) (xy 115.578749 78.756712)
+        (xy 115.780367 78.756888) (xy 115.966704 78.679895) (xy 116.109394 78.537454) (xy 116.186712 78.351251) (xy 116.186888 78.149633)
+        (xy 116.186888 86.270126) (xy 116.137454 86.220606) (xy 115.951251 86.143288) (xy 115.749633 86.143112) (xy 115.563296 86.220105)
+        (xy 115.420606 86.362546) (xy 115.343288 86.548749) (xy 115.343163 86.691833) (xy 115.226251 86.643288) (xy 115.058635 86.643141)
+        (xy 115.211704 86.579895) (xy 115.354394 86.437454) (xy 115.431712 86.251251) (xy 115.431888 86.049633) (xy 115.354895 85.863296)
+        (xy 115.212454 85.720606) (xy 115.136888 85.689228) (xy 115.136888 77.869633) (xy 115.059895 77.683296) (xy 114.917454 77.540606)
+        (xy 114.731251 77.463288) (xy 114.529633 77.463112) (xy 114.381888 77.524159) (xy 114.381888 73.424633) (xy 114.304895 73.238296)
+        (xy 114.162454 73.095606) (xy 113.976251 73.018288) (xy 113.774633 73.018112) (xy 113.588296 73.095105) (xy 113.509718 73.173545)
+        (xy 113.509718 58.114422) (xy 113.405714 57.862712) (xy 113.213301 57.669963) (xy 112.961773 57.565519) (xy 112.689422 57.565282)
+        (xy 112.437712 57.669286) (xy 112.244963 57.861699) (xy 112.140519 58.113227) (xy 112.140282 58.385578) (xy 112.244286 58.637288)
+        (xy 112.436699 58.830037) (xy 112.688227 58.934481) (xy 112.960578 58.934718) (xy 113.212288 58.830714) (xy 113.405037 58.638301)
+        (xy 113.509481 58.386773) (xy 113.509718 58.114422) (xy 113.509718 73.173545) (xy 113.445606 73.237546) (xy 113.368288 73.423749)
+        (xy 113.368112 73.625367) (xy 113.445105 73.811704) (xy 113.587546 73.954394) (xy 113.773749 74.031712) (xy 113.975367 74.031888)
+        (xy 114.161704 73.954895) (xy 114.304394 73.812454) (xy 114.381712 73.626251) (xy 114.381888 73.424633) (xy 114.381888 77.524159)
+        (xy 114.343296 77.540105) (xy 114.200606 77.682546) (xy 114.123288 77.868749) (xy 114.123112 78.070367) (xy 114.200105 78.256704)
+        (xy 114.342546 78.399394) (xy 114.528749 78.476712) (xy 114.730367 78.476888) (xy 114.916704 78.399895) (xy 115.059394 78.257454)
+        (xy 115.136712 78.071251) (xy 115.136888 77.869633) (xy 115.136888 85.689228) (xy 115.026251 85.643288) (xy 114.824633 85.643112)
+        (xy 114.638296 85.720105) (xy 114.495606 85.862546) (xy 114.418288 86.048749) (xy 114.418112 86.250367) (xy 114.495105 86.436704)
+        (xy 114.637546 86.579394) (xy 114.823749 86.656712) (xy 114.991364 86.656858) (xy 114.838296 86.720105) (xy 114.695606 86.862546)
+        (xy 114.618288 87.048749) (xy 114.618112 87.250367) (xy 114.695105 87.436704) (xy 114.837546 87.579394) (xy 114.991136 87.64317)
+        (xy 114.924633 87.643112) (xy 114.738296 87.720105) (xy 114.595606 87.862546) (xy 114.518288 88.048749) (xy 114.518112 88.250367)
+        (xy 114.595105 88.436704) (xy 114.737546 88.579394) (xy 114.923749 88.656712) (xy 114.94168 88.656727) (xy 114.788296 88.720105)
+        (xy 114.645606 88.862546) (xy 114.568288 89.048749) (xy 114.568112 89.250367) (xy 114.645105 89.436704) (xy 114.787546 89.579394)
+        (xy 114.927595 89.637547) (xy 114.788296 89.695105) (xy 114.645606 89.837546) (xy 114.568288 90.023749) (xy 114.568112 90.225367)
+        (xy 114.645105 90.411704) (xy 114.787546 90.554394) (xy 114.973749 90.631712) (xy 115.175367 90.631888) (xy 115.361704 90.554895)
+        (xy 115.453989 90.46277) (xy 115.418288 90.548749) (xy 115.418154 90.702211) (xy 115.276251 90.643288) (xy 115.074633 90.643112)
+        (xy 114.888296 90.720105) (xy 114.745606 90.862546) (xy 114.668288 91.048749) (xy 114.668112 91.250367) (xy 114.745105 91.436704)
+        (xy 114.887546 91.579394) (xy 115.073749 91.656712) (xy 115.275367 91.656888) (xy 115.318209 91.639185) (xy 115.318112 91.750367)
+        (xy 115.395105 91.936704) (xy 115.537546 92.079394) (xy 115.723749 92.156712) (xy 115.925367 92.156888) (xy 116.111704 92.079895)
+        (xy 116.254394 91.937454) (xy 116.331712 91.751251) (xy 116.331888 91.549633) (xy 116.254895 91.363296) (xy 116.112454 91.220606)
+        (xy 115.958863 91.156829) (xy 116.025367 91.156888) (xy 116.211704 91.079895) (xy 116.354394 90.937454) (xy 116.431712 90.751251)
+        (xy 116.431888 90.549633) (xy 116.431888 104.500582) (xy 116.356888 104.500231) (xy 116.356888 93.549633) (xy 116.279895 93.363296)
+        (xy 116.137454 93.220606) (xy 115.951251 93.143288) (xy 115.781806 93.14314) (xy 115.781888 93.049633) (xy 115.704895 92.863296)
+        (xy 115.562454 92.720606) (xy 115.376251 92.643288) (xy 115.174633 92.643112) (xy 114.988296 92.720105) (xy 114.845606 92.862546)
+        (xy 114.768288 93.048749) (xy 114.768112 93.250367) (xy 114.845105 93.436704) (xy 114.987546 93.579394) (xy 115.173749 93.656712)
+        (xy 115.343193 93.656859) (xy 115.343112 93.750367) (xy 115.420105 93.936704) (xy 115.562546 94.079394) (xy 115.748749 94.156712)
+        (xy 115.950367 94.156888) (xy 116.136704 94.079895) (xy 116.279394 93.937454) (xy 116.356712 93.751251) (xy 116.356888 93.549633)
+        (xy 116.356888 104.500231) (xy 115.5266 104.496339) (xy 115.5266 103.458043) (xy 115.5266 102.291957) (xy 115.526398 102.060026)
+        (xy 115.437454 101.845826) (xy 115.273311 101.681969) (xy 115.058957 101.5934) (xy 114.56975 101.5935) (xy 114.424 101.73925)
+        (xy 114.424 102.696) (xy 115.38075 102.696) (xy 115.5265 102.55025) (xy 115.5266 102.291957) (xy 115.5266 103.458043)
+        (xy 115.5265 103.19975) (xy 115.38075 103.054) (xy 114.424 103.054) (xy 114.424 104.01075) (xy 114.56975 104.1565)
+        (xy 115.058957 104.1566) (xy 115.273311 104.068031) (xy 115.437454 103.904174) (xy 115.526398 103.689974) (xy 115.5266 103.458043)
+        (xy 115.5266 104.496339) (xy 114.066 104.489493) (xy 114.066 104.01075) (xy 114.066 103.054) (xy 114.066 102.696)
+        (xy 114.066 101.73925) (xy 113.981888 101.655138) (xy 113.981888 78.374633) (xy 113.904895 78.188296) (xy 113.762454 78.045606)
+        (xy 113.607944 77.981448) (xy 113.611704 77.979895) (xy 113.754394 77.837454) (xy 113.831712 77.651251) (xy 113.831888 77.449633)
+        (xy 113.754895 77.263296) (xy 113.612454 77.120606) (xy 113.426251 77.043288) (xy 113.224633 77.043112) (xy 113.216888 77.046312)
+        (xy 113.216888 72.419633) (xy 113.139895 72.233296) (xy 112.997454 72.090606) (xy 112.811251 72.013288) (xy 112.609633 72.013112)
+        (xy 112.423296 72.090105) (xy 112.280606 72.232546) (xy 112.203288 72.418749) (xy 112.203112 72.620367) (xy 112.280105 72.806704)
+        (xy 112.422546 72.949394) (xy 112.608749 73.026712) (xy 112.810367 73.026888) (xy 112.996704 72.949895) (xy 113.139394 72.807454)
+        (xy 113.216712 72.621251) (xy 113.216888 72.419633) (xy 113.216888 77.046312) (xy 113.038296 77.120105) (xy 112.895606 77.262546)
+        (xy 112.818288 77.448749) (xy 112.818112 77.650367) (xy 112.895105 77.836704) (xy 113.037546 77.979394) (xy 113.192055 78.043551)
+        (xy 113.188296 78.045105) (xy 113.045606 78.187546) (xy 112.981851 78.341083) (xy 112.981888 78.299633) (xy 112.904895 78.113296)
+        (xy 112.762454 77.970606) (xy 112.576251 77.893288) (xy 112.374633 77.893112) (xy 112.188296 77.970105) (xy 112.045606 78.112546)
+        (xy 111.968288 78.298749) (xy 111.968112 78.500367) (xy 112.021719 78.630105) (xy 111.956888 78.565161) (xy 111.956888 60.324633)
+        (xy 111.956888 54.799633) (xy 111.906888 54.678623) (xy 111.906888 53.724633) (xy 111.829895 53.538296) (xy 111.687454 53.395606)
+        (xy 111.656888 53.382913) (xy 111.656888 45.424633) (xy 111.579895 45.238296) (xy 111.437454 45.095606) (xy 111.251251 45.018288)
+        (xy 111.049633 45.018112) (xy 110.863296 45.095105) (xy 110.720606 45.237546) (xy 110.643288 45.423749) (xy 110.64319 45.535702)
+        (xy 110.601251 45.518288) (xy 110.399633 45.518112) (xy 110.213296 45.595105) (xy 110.070606 45.737546) (xy 109.993288 45.923749)
+        (xy 109.993172 46.056456) (xy 109.901251 46.018288) (xy 109.699633 46.018112) (xy 109.513296 46.095105) (xy 109.370606 46.237546)
+        (xy 109.293288 46.423749) (xy 109.293112 46.625367) (xy 109.370105 46.811704) (xy 109.512546 46.954394) (xy 109.698749 47.031712)
+        (xy 109.900367 47.031888) (xy 109.993227 46.993518) (xy 109.993112 47.125367) (xy 110.070105 47.311704) (xy 110.212546 47.454394)
+        (xy 110.398749 47.531712) (xy 110.600367 47.531888) (xy 110.786704 47.454895) (xy 110.929394 47.312454) (xy 111.006712 47.126251)
+        (xy 111.006888 46.924633) (xy 110.929895 46.738296) (xy 110.787454 46.595606) (xy 110.617226 46.524921) (xy 110.786704 46.454895)
+        (xy 110.929394 46.312454) (xy 111.006712 46.126251) (xy 111.006809 46.014297) (xy 111.048749 46.031712) (xy 111.250367 46.031888)
+        (xy 111.436704 45.954895) (xy 111.579394 45.812454) (xy 111.656712 45.626251) (xy 111.656888 45.424633) (xy 111.656888 53.382913)
+        (xy 111.501251 53.318288) (xy 111.299633 53.318112) (xy 111.113296 53.395105) (xy 110.970606 53.537546) (xy 110.893288 53.723749)
+        (xy 110.893112 53.925367) (xy 110.970105 54.111704) (xy 111.112546 54.254394) (xy 111.298749 54.331712) (xy 111.500367 54.331888)
+        (xy 111.686704 54.254895) (xy 111.829394 54.112454) (xy 111.906712 53.926251) (xy 111.906888 53.724633) (xy 111.906888 54.678623)
+        (xy 111.879895 54.613296) (xy 111.737454 54.470606) (xy 111.551251 54.393288) (xy 111.349633 54.393112) (xy 111.163296 54.470105)
+        (xy 111.020606 54.612546) (xy 110.943288 54.798749) (xy 110.943112 55.000367) (xy 111.020105 55.186704) (xy 111.162546 55.329394)
+        (xy 111.348749 55.406712) (xy 111.550367 55.406888) (xy 111.736704 55.329895) (xy 111.879394 55.187454) (xy 111.956712 55.001251)
+        (xy 111.956888 54.799633) (xy 111.956888 60.324633) (xy 111.881888 60.143119) (xy 111.881888 59.224633) (xy 111.881888 56.999633)
+        (xy 111.804895 56.813296) (xy 111.662454 56.670606) (xy 111.476251 56.593288) (xy 111.274633 56.593112) (xy 111.088296 56.670105)
+        (xy 110.945606 56.812546) (xy 110.868288 56.998749) (xy 110.868112 57.200367) (xy 110.945105 57.386704) (xy 111.087546 57.529394)
+        (xy 111.273749 57.606712) (xy 111.475367 57.606888) (xy 111.661704 57.529895) (xy 111.804394 57.387454) (xy 111.881712 57.201251)
+        (xy 111.881888 56.999633) (xy 111.881888 59.224633) (xy 111.804895 59.038296) (xy 111.662454 58.895606) (xy 111.476251 58.818288)
+        (xy 111.274633 58.818112) (xy 111.088296 58.895105) (xy 110.945606 59.037546) (xy 110.868288 59.223749) (xy 110.868112 59.425367)
+        (xy 110.945105 59.611704) (xy 111.087546 59.754394) (xy 111.273749 59.831712) (xy 111.475367 59.831888) (xy 111.661704 59.754895)
+        (xy 111.804394 59.612454) (xy 111.881712 59.426251) (xy 111.881888 59.224633) (xy 111.881888 60.143119) (xy 111.879895 60.138296)
+        (xy 111.737454 59.995606) (xy 111.551251 59.918288) (xy 111.349633 59.918112) (xy 111.163296 59.995105) (xy 111.020606 60.137546)
+        (xy 110.943288 60.323749) (xy 110.943112 60.525367) (xy 111.020105 60.711704) (xy 111.162546 60.854394) (xy 111.348749 60.931712)
+        (xy 111.550367 60.931888) (xy 111.736704 60.854895) (xy 111.879394 60.712454) (xy 111.956712 60.526251) (xy 111.956888 60.324633)
+        (xy 111.956888 78.565161) (xy 111.906888 78.515073) (xy 111.906888 61.424633) (xy 111.829895 61.238296) (xy 111.687454 61.095606)
+        (xy 111.501251 61.018288) (xy 111.299633 61.018112) (xy 111.113296 61.095105) (xy 110.970606 61.237546) (xy 110.893288 61.423749)
+        (xy 110.893112 61.625367) (xy 110.970105 61.811704) (xy 111.112546 61.954394) (xy 111.298749 62.031712) (xy 111.500367 62.031888)
+        (xy 111.686704 61.954895) (xy 111.829394 61.812454) (xy 111.906712 61.626251) (xy 111.906888 61.424633) (xy 111.906888 78.515073)
+        (xy 111.887454 78.495606) (xy 111.701251 78.418288) (xy 111.499633 78.418112) (xy 111.313296 78.495105) (xy 111.170606 78.637546)
+        (xy 111.093288 78.823749) (xy 111.093112 79.025367) (xy 111.170105 79.211704) (xy 111.312546 79.354394) (xy 111.498749 79.431712)
+        (xy 111.700367 79.431888) (xy 111.886704 79.354895) (xy 112.029394 79.212454) (xy 112.106712 79.026251) (xy 112.106888 78.824633)
+        (xy 112.05328 78.694894) (xy 112.187546 78.829394) (xy 112.373749 78.906712) (xy 112.575367 78.906888) (xy 112.761704 78.829895)
+        (xy 112.904394 78.687454) (xy 112.968148 78.533916) (xy 112.968112 78.575367) (xy 113.045105 78.761704) (xy 113.187546 78.904394)
+        (xy 113.373749 78.981712) (xy 113.575367 78.981888) (xy 113.761704 78.904895) (xy 113.904394 78.762454) (xy 113.981712 78.576251)
+        (xy 113.981888 78.374633) (xy 113.981888 101.655138) (xy 113.92025 101.5935) (xy 113.431043 101.5934) (xy 113.281888 101.655029)
+        (xy 113.281888 79.299633) (xy 113.204895 79.113296) (xy 113.062454 78.970606) (xy 112.876251 78.893288) (xy 112.674633 78.893112)
+        (xy 112.488296 78.970105) (xy 112.345606 79.112546) (xy 112.268288 79.298749) (xy 112.268112 79.500367) (xy 112.345105 79.686704)
+        (xy 112.487546 79.829394) (xy 112.673749 79.906712) (xy 112.875367 79.906888) (xy 113.061704 79.829895) (xy 113.204394 79.687454)
+        (xy 113.281712 79.501251) (xy 113.281888 79.299633) (xy 113.281888 101.655029) (xy 113.216689 101.681969) (xy 113.052546 101.845826)
+        (xy 112.963602 102.060026) (xy 112.9634 102.291957) (xy 112.9635 102.55025) (xy 113.10925 102.696) (xy 114.066 102.696)
+        (xy 114.066 103.054) (xy 113.10925 103.054) (xy 112.9635 103.19975) (xy 112.9634 103.458043) (xy 112.963602 103.689974)
+        (xy 113.052546 103.904174) (xy 113.216689 104.068031) (xy 113.431043 104.1566) (xy 113.92025 104.1565) (xy 114.066 104.01075)
+        (xy 114.066 104.489493) (xy 112.681868 104.483006) (xy 112.681868 102.681575) (xy 112.533488 102.322467) (xy 112.506888 102.29582)
+        (xy 112.506888 79.999633) (xy 112.429895 79.813296) (xy 112.287454 79.670606) (xy 112.101251 79.593288) (xy 111.899633 79.593112)
+        (xy 111.713296 79.670105) (xy 111.570606 79.812546) (xy 111.493288 79.998749) (xy 111.493112 80.200367) (xy 111.570105 80.386704)
+        (xy 111.712546 80.529394) (xy 111.898749 80.606712) (xy 112.100367 80.606888) (xy 112.286704 80.529895) (xy 112.429394 80.387454)
+        (xy 112.506712 80.201251) (xy 112.506888 79.999633) (xy 112.506888 102.29582) (xy 112.258978 102.047477) (xy 111.90013 101.898471)
+        (xy 111.511575 101.898132) (xy 111.152467 102.046512) (xy 110.877477 102.321022) (xy 110.728471 102.67987) (xy 110.728132 103.068425)
+        (xy 110.876512 103.427533) (xy 111.151022 103.702523) (xy 111.50987 103.851529) (xy 111.898425 103.851868) (xy 112.257533 103.703488)
+        (xy 112.532523 103.428978) (xy 112.681529 103.07013) (xy 112.681868 102.681575) (xy 112.681868 104.483006) (xy 109.066888 104.466062)
+        (xy 109.066888 68.219633) (xy 108.989895 68.033296) (xy 108.847454 67.890606) (xy 108.661251 67.813288) (xy 108.459633 67.813112)
+        (xy 108.375896 67.847711) (xy 108.436712 67.701251) (xy 108.436888 67.499633) (xy 108.359895 67.313296) (xy 108.217454 67.170606)
+        (xy 108.031251 67.093288) (xy 107.829633 67.093112) (xy 107.643296 67.170105) (xy 107.500606 67.312546) (xy 107.423288 67.498749)
+        (xy 107.423112 67.700367) (xy 107.500105 67.886704) (xy 107.642546 68.029394) (xy 107.828749 68.106712) (xy 108.030367 68.106888)
+        (xy 108.114103 68.072288) (xy 108.053288 68.218749) (xy 108.053112 68.420367) (xy 108.130105 68.606704) (xy 108.272546 68.749394)
+        (xy 108.458749 68.826712) (xy 108.660367 68.826888) (xy 108.846704 68.749895) (xy 108.989394 68.607454) (xy 109.066712 68.421251)
+        (xy 109.066888 68.219633) (xy 109.066888 104.466062) (xy 106.726888 104.455094) (xy 106.726888 68.469633) (xy 106.649895 68.283296)
+        (xy 106.507454 68.140606) (xy 106.406888 68.098847) (xy 106.406888 61.449633) (xy 106.329895 61.263296) (xy 106.306888 61.240248)
+        (xy 106.306888 60.324633) (xy 106.229895 60.138296) (xy 106.087454 59.995606) (xy 105.901251 59.918288) (xy 105.699633 59.918112)
+        (xy 105.513296 59.995105) (xy 105.370606 60.137546) (xy 105.293288 60.323749) (xy 105.293112 60.525367) (xy 105.370105 60.711704)
+        (xy 105.512546 60.854394) (xy 105.698749 60.931712) (xy 105.900367 60.931888) (xy 106.086704 60.854895) (xy 106.229394 60.712454)
+        (xy 106.306712 60.526251) (xy 106.306888 60.324633) (xy 106.306888 61.240248) (xy 106.187454 61.120606) (xy 106.001251 61.043288)
+        (xy 105.799633 61.043112) (xy 105.613296 61.120105) (xy 105.470606 61.262546) (xy 105.393288 61.448749) (xy 105.393112 61.650367)
+        (xy 105.470105 61.836704) (xy 105.612546 61.979394) (xy 105.798749 62.056712) (xy 106.000367 62.056888) (xy 106.186704 61.979895)
+        (xy 106.329394 61.837454) (xy 106.406712 61.651251) (xy 106.406888 61.449633) (xy 106.406888 68.098847) (xy 106.321251 68.063288)
+        (xy 106.119633 68.063112) (xy 105.933296 68.140105) (xy 105.790606 68.282546) (xy 105.713288 68.468749) (xy 105.713112 68.670367)
+        (xy 105.790105 68.856704) (xy 105.932546 68.999394) (xy 106.118749 69.076712) (xy 106.320367 69.076888) (xy 106.506704 68.999895)
+        (xy 106.649394 68.857454) (xy 106.726712 68.671251) (xy 106.726888 68.469633) (xy 106.726888 104.455094) (xy 105.506888 104.449376)
+        (xy 105.506888 68.699633) (xy 105.429895 68.513296) (xy 105.287454 68.370606) (xy 105.181888 68.326771) (xy 105.181888 63.074633)
+        (xy 105.104895 62.888296) (xy 104.962454 62.745606) (xy 104.776251 62.668288) (xy 104.574633 62.668112) (xy 104.418722 62.732532)
+        (xy 104.431712 62.701251) (xy 104.431888 62.499633) (xy 104.354895 62.313296) (xy 104.212454 62.170606) (xy 104.026251 62.093288)
+        (xy 103.824633 62.093112) (xy 103.638296 62.170105) (xy 103.495606 62.312546) (xy 103.418288 62.498749) (xy 103.418112 62.700367)
+        (xy 103.495105 62.886704) (xy 103.637546 63.029394) (xy 103.823749 63.106712) (xy 104.025367 63.106888) (xy 104.181277 63.042467)
+        (xy 104.168288 63.073749) (xy 104.168112 63.275367) (xy 104.245105 63.461704) (xy 104.387546 63.604394) (xy 104.573749 63.681712)
+        (xy 104.775367 63.681888) (xy 104.961704 63.604895) (xy 105.104394 63.462454) (xy 105.181712 63.276251) (xy 105.181888 63.074633)
+        (xy 105.181888 68.326771) (xy 105.101251 68.293288) (xy 104.899633 68.293112) (xy 104.713296 68.370105) (xy 104.570606 68.512546)
+        (xy 104.493288 68.698749) (xy 104.493112 68.900367) (xy 104.570105 69.086704) (xy 104.712546 69.229394) (xy 104.898749 69.306712)
+        (xy 105.100367 69.306888) (xy 105.286704 69.229895) (xy 105.429394 69.087454) (xy 105.506712 68.901251) (xy 105.506888 68.699633)
+        (xy 105.506888 104.449376) (xy 103.356888 104.439299) (xy 103.356888 65.524633) (xy 103.279895 65.338296) (xy 103.137454 65.195606)
+        (xy 103.03756 65.154126) (xy 103.129394 65.062454) (xy 103.206712 64.876251) (xy 103.206888 64.674633) (xy 103.129895 64.488296)
+        (xy 102.987454 64.345606) (xy 102.801251 64.268288) (xy 102.599633 64.268112) (xy 102.413296 64.345105) (xy 102.270606 64.487546)
+        (xy 102.193288 64.673749) (xy 102.193112 64.875367) (xy 102.270105 65.061704) (xy 102.412546 65.204394) (xy 102.512439 65.245873)
+        (xy 102.420606 65.337546) (xy 102.343288 65.523749) (xy 102.343112 65.725367) (xy 102.420105 65.911704) (xy 102.562546 66.054394)
+        (xy 102.748749 66.131712) (xy 102.950367 66.131888) (xy 103.136704 66.054895) (xy 103.279394 65.912454) (xy 103.356712 65.726251)
+        (xy 103.356888 65.524633) (xy 103.356888 104.439299) (xy 101.109718 104.428766) (xy 101.109718 95.289422) (xy 101.005714 95.037712)
+        (xy 100.959718 94.991635) (xy 100.959718 92.289422) (xy 100.855714 92.037712) (xy 100.663301 91.844963) (xy 100.606888 91.821538)
+        (xy 100.606888 90.499633) (xy 100.529895 90.313296) (xy 100.387454 90.170606) (xy 100.201251 90.093288) (xy 99.999633 90.093112)
+        (xy 99.813296 90.170105) (xy 99.670606 90.312546) (xy 99.593288 90.498749) (xy 99.593112 90.700367) (xy 99.670105 90.886704)
+        (xy 99.812546 91.029394) (xy 99.998749 91.106712) (xy 100.200367 91.106888) (xy 100.386704 91.029895) (xy 100.529394 90.887454)
+        (xy 100.606712 90.701251) (xy 100.606888 90.499633) (xy 100.606888 91.821538) (xy 100.411773 91.740519) (xy 100.139422 91.740282)
+        (xy 99.887712 91.844286) (xy 99.694963 92.036699) (xy 99.590519 92.288227) (xy 99.590282 92.560578) (xy 99.694286 92.812288)
+        (xy 99.886699 93.005037) (xy 100.138227 93.109481) (xy 100.410578 93.109718) (xy 100.662288 93.005714) (xy 100.855037 92.813301)
+        (xy 100.959481 92.561773) (xy 100.959718 92.289422) (xy 100.959718 94.991635) (xy 100.813301 94.844963) (xy 100.561773 94.740519)
+        (xy 100.289422 94.740282) (xy 100.037712 94.844286) (xy 99.844963 95.036699) (xy 99.740519 95.288227) (xy 99.740282 95.560578)
+        (xy 99.844286 95.812288) (xy 100.036699 96.005037) (xy 100.288227 96.109481) (xy 100.560578 96.109718) (xy 100.812288 96.005714)
+        (xy 101.005037 95.813301) (xy 101.109481 95.561773) (xy 101.109718 95.289422) (xy 101.109718 104.428766) (xy 99.381888 104.420668)
+        (xy 99.381888 89.199633) (xy 99.304895 89.013296) (xy 99.162454 88.870606) (xy 98.976251 88.793288) (xy 98.774633 88.793112)
+        (xy 98.588296 88.870105) (xy 98.499992 88.958254) (xy 98.437454 88.895606) (xy 98.251251 88.818288) (xy 98.049633 88.818112)
+        (xy 97.863296 88.895105) (xy 97.720606 89.037546) (xy 97.643288 89.223749) (xy 97.643112 89.425367) (xy 97.720105 89.611704)
+        (xy 97.862546 89.754394) (xy 98.048749 89.831712) (xy 98.250367 89.831888) (xy 98.436704 89.754895) (xy 98.525007 89.666745)
+        (xy 98.587546 89.729394) (xy 98.773749 89.806712) (xy 98.975367 89.806888) (xy 99.161704 89.729895) (xy 99.304394 89.587454)
+        (xy 99.381712 89.401251) (xy 99.381888 89.199633) (xy 99.381888 104.420668) (xy 99.256888 104.420082) (xy 99.256888 97.849633)
+        (xy 99.179895 97.663296) (xy 99.037454 97.520606) (xy 98.851251 97.443288) (xy 98.649633 97.443112) (xy 98.463296 97.520105)
+        (xy 98.320606 97.662546) (xy 98.243288 97.848749) (xy 98.243112 98.050367) (xy 98.320105 98.236704) (xy 98.462546 98.379394)
+        (xy 98.648749 98.456712) (xy 98.850367 98.456888) (xy 99.036704 98.379895) (xy 99.179394 98.237454) (xy 99.256712 98.051251)
+        (xy 99.256888 97.849633) (xy 99.256888 104.420082) (xy 97.006888 104.409536) (xy 97.006888 47.174633) (xy 97.006888 36.349633)
+        (xy 96.929895 36.163296) (xy 96.787454 36.020606) (xy 96.601251 35.943288) (xy 96.399633 35.943112) (xy 96.398011 35.943782)
+        (xy 96.429394 35.912454) (xy 96.506712 35.726251) (xy 96.506888 35.524633) (xy 96.429895 35.338296) (xy 96.287454 35.195606)
+        (xy 96.101251 35.118288) (xy 95.899633 35.118112) (xy 95.713296 35.195105) (xy 95.570606 35.337546) (xy 95.493288 35.523749)
+        (xy 95.493207 35.616522) (xy 95.429895 35.463296) (xy 95.287454 35.320606) (xy 95.101251 35.243288) (xy 94.899633 35.243112)
+        (xy 94.713296 35.320105) (xy 94.570606 35.462546) (xy 94.493288 35.648749) (xy 94.493112 35.850367) (xy 94.570105 36.036704)
+        (xy 94.712546 36.179394) (xy 94.898749 36.256712) (xy 95.018237 36.256816) (xy 95.018112 36.400367) (xy 95.095105 36.586704)
+        (xy 95.237546 36.729394) (xy 95.423749 36.806712) (xy 95.625367 36.806888) (xy 95.811704 36.729895) (xy 95.954394 36.587454)
+        (xy 95.993161 36.494092) (xy 95.993112 36.550367) (xy 96.070105 36.736704) (xy 96.212546 36.879394) (xy 96.398749 36.956712)
+        (xy 96.600367 36.956888) (xy 96.786704 36.879895) (xy 96.929394 36.737454) (xy 97.006712 36.551251) (xy 97.006888 36.349633)
+        (xy 97.006888 47.174633) (xy 96.929895 46.988296) (xy 96.787454 46.845606) (xy 96.601251 46.768288) (xy 96.506888 46.768205)
+        (xy 96.506888 46.249633) (xy 96.429895 46.063296) (xy 96.287454 45.920606) (xy 96.101251 45.843288) (xy 95.899633 45.843112)
+        (xy 95.713296 45.920105) (xy 95.570606 46.062546) (xy 95.506888 46.215996) (xy 95.506888 45.874633) (xy 95.429895 45.688296)
+        (xy 95.287454 45.545606) (xy 95.101251 45.468288) (xy 95.006888 45.468205) (xy 95.006888 36.699633) (xy 94.929895 36.513296)
+        (xy 94.787454 36.370606) (xy 94.601251 36.293288) (xy 94.499674 36.293199) (xy 94.506712 36.276251) (xy 94.506888 36.074633)
+        (xy 94.429895 35.888296) (xy 94.287454 35.745606) (xy 94.101251 35.668288) (xy 93.899633 35.668112) (xy 93.713296 35.745105)
+        (xy 93.570606 35.887546) (xy 93.493288 36.073749) (xy 93.493112 36.275367) (xy 93.570105 36.461704) (xy 93.712546 36.604394)
+        (xy 93.898749 36.681712) (xy 94.000325 36.6818) (xy 93.993288 36.698749) (xy 93.993112 36.900367) (xy 94.070105 37.086704)
+        (xy 94.212546 37.229394) (xy 94.398749 37.306712) (xy 94.600367 37.306888) (xy 94.786704 37.229895) (xy 94.929394 37.087454)
+        (xy 95.006712 36.901251) (xy 95.006888 36.699633) (xy 95.006888 45.468205) (xy 94.899633 45.468112) (xy 94.713296 45.545105)
+        (xy 94.570606 45.687546) (xy 94.493288 45.873749) (xy 94.493249 45.918193) (xy 94.399633 45.918112) (xy 94.213296 45.995105)
+        (xy 94.070606 46.137546) (xy 93.993288 46.323749) (xy 93.993272 46.34168) (xy 93.929895 46.188296) (xy 93.787454 46.045606)
+        (xy 93.601251 45.968288) (xy 93.399633 45.968112) (xy 93.213296 46.045105) (xy 93.070606 46.187546) (xy 92.993288 46.373749)
+        (xy 92.993112 46.575367) (xy 93.070105 46.761704) (xy 93.212546 46.904394) (xy 93.398749 46.981712) (xy 93.521079 46.981818)
+        (xy 93.493288 47.048749) (xy 93.493112 47.250367) (xy 93.570105 47.436704) (xy 93.712546 47.579394) (xy 93.898749 47.656712)
+        (xy 94.100367 47.656888) (xy 94.286704 47.579895) (xy 94.429394 47.437454) (xy 94.506712 47.251251) (xy 94.506888 47.049633)
+        (xy 94.458185 46.931763) (xy 94.600367 46.931888) (xy 94.786704 46.854895) (xy 94.929394 46.712454) (xy 95.006712 46.526251)
+        (xy 95.00675 46.481806) (xy 95.100367 46.481888) (xy 95.286704 46.404895) (xy 95.429394 46.262454) (xy 95.506712 46.076251)
+        (xy 95.506888 45.874633) (xy 95.506888 46.215996) (xy 95.493288 46.248749) (xy 95.493112 46.450367) (xy 95.570105 46.636704)
+        (xy 95.712546 46.779394) (xy 95.898749 46.856712) (xy 96.100367 46.856888) (xy 96.286704 46.779895) (xy 96.429394 46.637454)
+        (xy 96.506712 46.451251) (xy 96.506888 46.249633) (xy 96.506888 46.768205) (xy 96.399633 46.768112) (xy 96.213296 46.845105)
+        (xy 96.070606 46.987546) (xy 95.994744 47.170242) (xy 95.929895 47.013296) (xy 95.787454 46.870606) (xy 95.601251 46.793288)
+        (xy 95.399633 46.793112) (xy 95.213296 46.870105) (xy 95.070606 47.012546) (xy 94.993288 47.198749) (xy 94.993112 47.400367)
+        (xy 95.070105 47.586704) (xy 95.212546 47.729394) (xy 95.398749 47.806712) (xy 95.600367 47.806888) (xy 95.786704 47.729895)
+        (xy 95.929394 47.587454) (xy 96.005255 47.404757) (xy 96.070105 47.561704) (xy 96.212546 47.704394) (xy 96.398749 47.781712)
+        (xy 96.600367 47.781888) (xy 96.786704 47.704895) (xy 96.929394 47.562454) (xy 97.006712 47.376251) (xy 97.006888 47.174633)
+        (xy 97.006888 104.409536) (xy 96.781888 104.408481) (xy 96.781888 89.149633) (xy 96.704895 88.963296) (xy 96.562454 88.820606)
+        (xy 96.376251 88.743288) (xy 96.174633 88.743112) (xy 95.988296 88.820105) (xy 95.981888 88.826501) (xy 95.981888 73.949633)
+        (xy 95.904895 73.763296) (xy 95.762454 73.620606) (xy 95.576251 73.543288) (xy 95.374633 73.543112) (xy 95.188296 73.620105)
+        (xy 95.045606 73.762546) (xy 94.968288 73.948749) (xy 94.968112 74.150367) (xy 95.045105 74.336704) (xy 95.187546 74.479394)
+        (xy 95.373749 74.556712) (xy 95.575367 74.556888) (xy 95.761704 74.479895) (xy 95.904394 74.337454) (xy 95.981712 74.151251)
+        (xy 95.981888 73.949633) (xy 95.981888 88.826501) (xy 95.845606 88.962546) (xy 95.768288 89.148749) (xy 95.768112 89.350367)
+        (xy 95.845105 89.536704) (xy 95.987546 89.679394) (xy 96.173749 89.756712) (xy 96.375367 89.756888) (xy 96.561704 89.679895)
+        (xy 96.704394 89.537454) (xy 96.781712 89.351251) (xy 96.781888 89.149633) (xy 96.781888 104.408481) (xy 96.686337 104.408034)
+        (xy 96.686337 103.294301) (xy 96.566897 103.005235) (xy 96.345928 102.78388) (xy 96.057071 102.663936) (xy 95.744301 102.663663)
+        (xy 95.455235 102.783103) (xy 95.23388 103.004072) (xy 95.113936 103.292929) (xy 95.113663 103.605699) (xy 95.233103 103.894765)
+        (xy 95.454072 104.11612) (xy 95.742929 104.236064) (xy 96.055699 104.236337) (xy 96.344765 104.116897) (xy 96.56612 103.895928)
+        (xy 96.686064 103.607071) (xy 96.686337 103.294301) (xy 96.686337 104.408034) (xy 94.406888 104.39735) (xy 94.406888 98.674633)
+        (xy 94.329895 98.488296) (xy 94.231888 98.390117) (xy 94.231888 73.324633) (xy 94.154895 73.138296) (xy 94.012454 72.995606)
+        (xy 93.856888 72.931009) (xy 93.856888 57.649633) (xy 93.779895 57.463296) (xy 93.637454 57.320606) (xy 93.451251 57.243288)
+        (xy 93.249633 57.243112) (xy 93.063296 57.320105) (xy 93.006888 57.376414) (xy 93.006888 46.874633) (xy 92.929895 46.688296)
+        (xy 92.787454 46.545606) (xy 92.601251 46.468288) (xy 92.503911 46.468203) (xy 92.531712 46.401251) (xy 92.531888 46.199633)
+        (xy 92.506888 46.139128) (xy 92.506888 35.974633) (xy 92.429895 35.788296) (xy 92.287454 35.645606) (xy 92.101251 35.568288)
+        (xy 91.899633 35.568112) (xy 91.713296 35.645105) (xy 91.570606 35.787546) (xy 91.493288 35.973749) (xy 91.493112 36.175367)
+        (xy 91.570105 36.361704) (xy 91.712546 36.504394) (xy 91.898749 36.581712) (xy 92.100367 36.581888) (xy 92.286704 36.504895)
+        (xy 92.429394 36.362454) (xy 92.506712 36.176251) (xy 92.506888 35.974633) (xy 92.506888 46.139128) (xy 92.454895 46.013296)
+        (xy 92.312454 45.870606) (xy 92.126251 45.793288) (xy 91.924633 45.793112) (xy 91.738296 45.870105) (xy 91.595606 46.012546)
+        (xy 91.518288 46.198749) (xy 91.518112 46.400367) (xy 91.535823 46.44323) (xy 91.506828 46.443205) (xy 91.506888 46.374633)
+        (xy 91.429895 46.188296) (xy 91.287454 46.045606) (xy 91.101251 45.968288) (xy 90.899633 45.968112) (xy 90.713296 46.045105)
+        (xy 90.570606 46.187546) (xy 90.493288 46.373749) (xy 90.493112 46.575367) (xy 90.570105 46.761704) (xy 90.712546 46.904394)
+        (xy 90.898749 46.981712) (xy 90.993171 46.981794) (xy 90.993112 47.050367) (xy 91.070105 47.236704) (xy 91.212546 47.379394)
+        (xy 91.398749 47.456712) (xy 91.600367 47.456888) (xy 91.786704 47.379895) (xy 91.929394 47.237454) (xy 91.9949 47.079695)
+        (xy 92.070105 47.261704) (xy 92.148961 47.340698) (xy 92.138296 47.345105) (xy 91.995606 47.487546) (xy 91.918288 47.673749)
+        (xy 91.918112 47.875367) (xy 91.995105 48.061704) (xy 92.137546 48.204394) (xy 92.323749 48.281712) (xy 92.525367 48.281888)
+        (xy 92.711704 48.204895) (xy 92.854394 48.062454) (xy 92.931712 47.876251) (xy 92.931888 47.674633) (xy 92.854895 47.488296)
+        (xy 92.776038 47.409301) (xy 92.786704 47.404895) (xy 92.929394 47.262454) (xy 93.006712 47.076251) (xy 93.006888 46.874633)
+        (xy 93.006888 57.376414) (xy 92.920606 57.462546) (xy 92.843288 57.648749) (xy 92.843112 57.850367) (xy 92.920105 58.036704)
+        (xy 93.062546 58.179394) (xy 93.248749 58.256712) (xy 93.450367 58.256888) (xy 93.636704 58.179895) (xy 93.779394 58.037454)
+        (xy 93.856712 57.851251) (xy 93.856888 57.649633) (xy 93.856888 72.931009) (xy 93.826251 72.918288) (xy 93.624633 72.918112)
+        (xy 93.438296 72.995105) (xy 93.295606 73.137546) (xy 93.218288 73.323749) (xy 93.218112 73.525367) (xy 93.295105 73.711704)
+        (xy 93.437546 73.854394) (xy 93.623749 73.931712) (xy 93.825367 73.931888) (xy 94.011704 73.854895) (xy 94.154394 73.712454)
+        (xy 94.231712 73.526251) (xy 94.231888 73.324633) (xy 94.231888 98.390117) (xy 94.187454 98.345606) (xy 94.001251 98.268288)
+        (xy 93.990248 98.268278) (xy 93.990248 84.856905) (xy 93.990248 83.332905) (xy 93.947984 83.230618) (xy 93.869793 83.152291)
+        (xy 93.76758 83.109848) (xy 93.656905 83.109752) (xy 92.456888 83.109752) (xy 92.456888 72.749633) (xy 92.379895 72.563296)
+        (xy 92.237454 72.420606) (xy 92.051251 72.343288) (xy 91.849633 72.343112) (xy 91.663296 72.420105) (xy 91.520606 72.562546)
+        (xy 91.443288 72.748749) (xy 91.443112 72.950367) (xy 91.520105 73.136704) (xy 91.662546 73.279394) (xy 91.848749 73.356712)
+        (xy 92.050367 73.356888) (xy 92.236704 73.279895) (xy 92.379394 73.137454) (xy 92.456712 72.951251) (xy 92.456888 72.749633)
+        (xy 92.456888 83.109752) (xy 92.132905 83.109752) (xy 92.030618 83.152016) (xy 91.952291 83.230207) (xy 91.909848 83.33242)
+        (xy 91.909752 83.443095) (xy 91.909752 84.967095) (xy 91.952016 85.069382) (xy 92.030207 85.147709) (xy 92.13242 85.190152)
+        (xy 92.243095 85.190248) (xy 93.767095 85.190248) (xy 93.869382 85.147984) (xy 93.947709 85.069793) (xy 93.990152 84.96758)
+        (xy 93.990248 84.856905) (xy 93.990248 98.268278) (xy 93.799633 98.268112) (xy 93.613296 98.345105) (xy 93.470606 98.487546)
+        (xy 93.393288 98.673749) (xy 93.393112 98.875367) (xy 93.470105 99.061704) (xy 93.612546 99.204394) (xy 93.798749 99.281712)
+        (xy 94.000367 99.281888) (xy 94.186704 99.204895) (xy 94.329394 99.062454) (xy 94.406712 98.876251) (xy 94.406888 98.674633)
+        (xy 94.406888 104.39735) (xy 92.256888 104.387272) (xy 92.256888 97.774633) (xy 92.184718 97.599968) (xy 92.184718 95.039422)
+        (xy 92.080714 94.787712) (xy 91.942491 94.649248) (xy 92.004394 94.587454) (xy 92.081712 94.401251) (xy 92.081888 94.199633)
+        (xy 92.064718 94.158078) (xy 92.064718 90.959422) (xy 91.960714 90.707712) (xy 91.768301 90.514963) (xy 91.516773 90.410519)
+        (xy 91.244422 90.410282) (xy 90.992712 90.514286) (xy 90.906888 90.59996) (xy 90.906888 86.699633) (xy 90.829895 86.513296)
+        (xy 90.687454 86.370606) (xy 90.606888 86.337152) (xy 90.606888 72.324633) (xy 90.529895 72.138296) (xy 90.387454 71.995606)
+        (xy 90.201251 71.918288) (xy 89.999633 71.918112) (xy 89.813296 71.995105) (xy 89.670606 72.137546) (xy 89.593288 72.323749)
+        (xy 89.593112 72.525367) (xy 89.670105 72.711704) (xy 89.812546 72.854394) (xy 89.998749 72.931712) (xy 90.200367 72.931888)
+        (xy 90.386704 72.854895) (xy 90.529394 72.712454) (xy 90.606712 72.526251) (xy 90.606888 72.324633) (xy 90.606888 86.337152)
+        (xy 90.501251 86.293288) (xy 90.299633 86.293112) (xy 90.113296 86.370105) (xy 89.970606 86.512546) (xy 89.893288 86.698749)
+        (xy 89.893112 86.900367) (xy 89.970105 87.086704) (xy 90.112546 87.229394) (xy 90.298749 87.306712) (xy 90.500367 87.306888)
+        (xy 90.686704 87.229895) (xy 90.829394 87.087454) (xy 90.906712 86.901251) (xy 90.906888 86.699633) (xy 90.906888 90.59996)
+        (xy 90.799963 90.706699) (xy 90.695519 90.958227) (xy 90.695282 91.230578) (xy 90.799286 91.482288) (xy 90.991699 91.675037)
+        (xy 91.243227 91.779481) (xy 91.515578 91.779718) (xy 91.767288 91.675714) (xy 91.960037 91.483301) (xy 92.064481 91.231773)
+        (xy 92.064718 90.959422) (xy 92.064718 94.158078) (xy 92.004895 94.013296) (xy 91.862454 93.870606) (xy 91.676251 93.793288)
+        (xy 91.474633 93.793112) (xy 91.288296 93.870105) (xy 91.145606 94.012546) (xy 91.068288 94.198749) (xy 91.068112 94.400367)
+        (xy 91.143057 94.581747) (xy 91.112712 94.594286) (xy 90.919963 94.786699) (xy 90.815519 95.038227) (xy 90.815282 95.310578)
+        (xy 90.919286 95.562288) (xy 91.111699 95.755037) (xy 91.363227 95.859481) (xy 91.635578 95.859718) (xy 91.887288 95.755714)
+        (xy 92.080037 95.563301) (xy 92.184481 95.311773) (xy 92.184718 95.039422) (xy 92.184718 97.599968) (xy 92.179895 97.588296)
+        (xy 92.037454 97.445606) (xy 91.851251 97.368288) (xy 91.649633 97.368112) (xy 91.463296 97.445105) (xy 91.320606 97.587546)
+        (xy 91.243288 97.773749) (xy 91.243112 97.975367) (xy 91.320105 98.161704) (xy 91.462546 98.304394) (xy 91.648749 98.381712)
+        (xy 91.850367 98.381888) (xy 92.036704 98.304895) (xy 92.179394 98.162454) (xy 92.256712 97.976251) (xy 92.256888 97.774633)
+        (xy 92.256888 104.387272) (xy 86.606888 104.36079) (xy 86.606888 44.349633) (xy 86.529895 44.163296) (xy 86.387454 44.020606)
+        (xy 86.201251 43.943288) (xy 85.999633 43.943112) (xy 85.813296 44.020105) (xy 85.670606 44.162546) (xy 85.593288 44.348749)
+        (xy 85.593112 44.550367) (xy 85.621165 44.618261) (xy 85.581888 44.618226) (xy 85.581888 44.074633) (xy 85.504895 43.888296)
+        (xy 85.362454 43.745606) (xy 85.176251 43.668288) (xy 84.974633 43.668112) (xy 84.788296 43.745105) (xy 84.645606 43.887546)
+        (xy 84.568288 44.073749) (xy 84.568112 44.275367) (xy 84.645105 44.461704) (xy 84.787546 44.604394) (xy 84.973749 44.681712)
+        (xy 85.175367 44.681888) (xy 85.361704 44.604895) (xy 85.504394 44.462454) (xy 85.581712 44.276251) (xy 85.581888 44.074633)
+        (xy 85.581888 44.618226) (xy 85.449633 44.618112) (xy 85.263296 44.695105) (xy 85.120606 44.837546) (xy 85.043288 45.023749)
+        (xy 85.043112 45.225367) (xy 85.120105 45.411704) (xy 85.262546 45.554394) (xy 85.448749 45.631712) (xy 85.650367 45.631888)
+        (xy 85.836704 45.554895) (xy 85.979394 45.412454) (xy 86.056712 45.226251) (xy 86.056888 45.024633) (xy 86.028834 44.956738)
+        (xy 86.200367 44.956888) (xy 86.386704 44.879895) (xy 86.529394 44.737454) (xy 86.606712 44.551251) (xy 86.606888 44.349633)
+        (xy 86.606888 104.36079) (xy 85.106888 104.35376) (xy 85.106888 68.699633) (xy 85.029895 68.513296) (xy 84.916724 68.399927)
+        (xy 85.029394 68.287454) (xy 85.106712 68.101251) (xy 85.106888 67.899633) (xy 85.029895 67.713296) (xy 84.916724 67.599927)
+        (xy 85.029394 67.487454) (xy 85.106712 67.301251) (xy 85.106888 67.099633) (xy 85.029895 66.913296) (xy 84.887454 66.770606)
+        (xy 84.701251 66.693288) (xy 84.499633 66.693112) (xy 84.313296 66.770105) (xy 84.199927 66.883275) (xy 84.116724 66.799927)
+        (xy 84.229394 66.687454) (xy 84.306712 66.501251) (xy 84.306888 66.299633) (xy 84.229895 66.113296) (xy 84.116724 65.999927)
+        (xy 84.229394 65.887454) (xy 84.306712 65.701251) (xy 84.306888 65.499633) (xy 84.229895 65.313296) (xy 84.116724 65.199927)
+        (xy 84.200072 65.116724) (xy 84.312546 65.229394) (xy 84.498749 65.306712) (xy 84.700367 65.306888) (xy 84.886704 65.229895)
+        (xy 85.029394 65.087454) (xy 85.106712 64.901251) (xy 85.106888 64.699633) (xy 85.029895 64.513296) (xy 84.916724 64.399927)
+        (xy 85.029394 64.287454) (xy 85.106712 64.101251) (xy 85.106888 63.899633) (xy 85.029895 63.713296) (xy 84.916724 63.599927)
+        (xy 85.029394 63.487454) (xy 85.106712 63.301251) (xy 85.106888 63.099633) (xy 85.029895 62.913296) (xy 84.916724 62.799927)
+        (xy 85.029394 62.687454) (xy 85.106712 62.501251) (xy 85.106888 62.299633) (xy 85.029895 62.113296) (xy 84.916724 61.999927)
+        (xy 85.029394 61.887454) (xy 85.106712 61.701251) (xy 85.106888 61.499633) (xy 85.029895 61.313296) (xy 84.916724 61.199927)
+        (xy 85.029394 61.087454) (xy 85.106712 60.901251) (xy 85.106888 60.699633) (xy 85.029895 60.513296) (xy 84.916724 60.399927)
+        (xy 85.029394 60.287454) (xy 85.106712 60.101251) (xy 85.106888 59.899633) (xy 85.029895 59.713296) (xy 84.916724 59.599927)
+        (xy 85.029394 59.487454) (xy 85.106712 59.301251) (xy 85.106888 59.099633) (xy 85.029895 58.913296) (xy 84.916724 58.799927)
+        (xy 85.029394 58.687454) (xy 85.106712 58.501251) (xy 85.106888 58.299633) (xy 85.029895 58.113296) (xy 84.887454 57.970606)
+        (xy 84.781888 57.926771) (xy 84.781888 46.424633) (xy 84.704895 46.238296) (xy 84.562454 46.095606) (xy 84.376251 46.018288)
+        (xy 84.256888 46.018183) (xy 84.256888 45.374633) (xy 84.179895 45.188296) (xy 84.037454 45.045606) (xy 83.851251 44.968288)
+        (xy 83.649633 44.968112) (xy 83.463296 45.045105) (xy 83.320606 45.187546) (xy 83.261625 45.329586) (xy 83.254895 45.313296)
+        (xy 83.112454 45.170606) (xy 82.926251 45.093288) (xy 82.724633 45.093112) (xy 82.538296 45.170105) (xy 82.395606 45.312546)
+        (xy 82.331888 45.465996) (xy 82.331888 45.249633) (xy 82.254895 45.063296) (xy 82.112454 44.920606) (xy 81.926251 44.843288)
+        (xy 81.724633 44.843112) (xy 81.538296 44.920105) (xy 81.395606 45.062546) (xy 81.318288 45.248749) (xy 81.318112 45.450367)
+        (xy 81.395105 45.636704) (xy 81.537546 45.779394) (xy 81.723749 45.856712) (xy 81.925367 45.856888) (xy 82.111704 45.779895)
+        (xy 82.254394 45.637454) (xy 82.331712 45.451251) (xy 82.331888 45.249633) (xy 82.331888 45.465996) (xy 82.318288 45.498749)
+        (xy 82.318112 45.700367) (xy 82.395105 45.886704) (xy 82.537546 46.029394) (xy 82.723749 46.106712) (xy 82.925367 46.106888)
+        (xy 83.111704 46.029895) (xy 83.254394 45.887454) (xy 83.313374 45.745413) (xy 83.320105 45.761704) (xy 83.462546 45.904394)
+        (xy 83.648749 45.981712) (xy 83.850367 45.981888) (xy 84.036704 45.904895) (xy 84.179394 45.762454) (xy 84.256712 45.576251)
+        (xy 84.256888 45.374633) (xy 84.256888 46.018183) (xy 84.174633 46.018112) (xy 83.988296 46.095105) (xy 83.845606 46.237546)
+        (xy 83.768288 46.423749) (xy 83.76825 46.466627) (xy 83.704895 46.313296) (xy 83.562454 46.170606) (xy 83.376251 46.093288)
+        (xy 83.174633 46.093112) (xy 82.988296 46.170105) (xy 82.845606 46.312546) (xy 82.791803 46.442116) (xy 82.779895 46.413296)
+        (xy 82.637454 46.270606) (xy 82.451251 46.193288) (xy 82.249633 46.193112) (xy 82.063296 46.270105) (xy 81.920606 46.412546)
+        (xy 81.843288 46.598749) (xy 81.843112 46.800367) (xy 81.920105 46.986704) (xy 82.062546 47.129394) (xy 82.248749 47.206712)
+        (xy 82.450367 47.206888) (xy 82.636704 47.129895) (xy 82.779394 46.987454) (xy 82.833196 46.857883) (xy 82.845105 46.886704)
+        (xy 82.987546 47.029394) (xy 83.173749 47.106712) (xy 83.375367 47.106888) (xy 83.561704 47.029895) (xy 83.704394 46.887454)
+        (xy 83.781712 46.701251) (xy 83.781749 46.658372) (xy 83.845105 46.811704) (xy 83.987546 46.954394) (xy 84.173749 47.031712)
+        (xy 84.375367 47.031888) (xy 84.561704 46.954895) (xy 84.704394 46.812454) (xy 84.781712 46.626251) (xy 84.781888 46.424633)
+        (xy 84.781888 57.926771) (xy 84.701251 57.893288) (xy 84.499633 57.893112) (xy 84.313296 57.970105) (xy 84.199927 58.083275)
+        (xy 84.087454 57.970606) (xy 83.901251 57.893288) (xy 83.699633 57.893112) (xy 83.513296 57.970105) (xy 83.399927 58.083275)
+        (xy 83.287454 57.970606) (xy 83.101251 57.893288) (xy 82.899633 57.893112) (xy 82.713296 57.970105) (xy 82.599927 58.083275)
+        (xy 82.487454 57.970606) (xy 82.301251 57.893288) (xy 82.099633 57.893112) (xy 81.913296 57.970105) (xy 81.799927 58.083275)
+        (xy 81.781888 58.065204) (xy 81.781888 46.774633) (xy 81.704895 46.588296) (xy 81.562454 46.445606) (xy 81.376251 46.368288)
+        (xy 81.174633 46.368112) (xy 80.988296 46.445105) (xy 80.845606 46.587546) (xy 80.768288 46.773749) (xy 80.768112 46.975367)
+        (xy 80.845105 47.161704) (xy 80.987546 47.304394) (xy 81.173749 47.381712) (xy 81.375367 47.381888) (xy 81.561704 47.304895)
+        (xy 81.704394 47.162454) (xy 81.781712 46.976251) (xy 81.781888 46.774633) (xy 81.781888 58.065204) (xy 81.687454 57.970606)
+        (xy 81.501251 57.893288) (xy 81.299633 57.893112) (xy 81.113296 57.970105) (xy 80.999927 58.083275) (xy 80.887454 57.970606)
+        (xy 80.701251 57.893288) (xy 80.499633 57.893112) (xy 80.313296 57.970105) (xy 80.199927 58.083275) (xy 80.087454 57.970606)
+        (xy 79.906888 57.895628) (xy 79.906888 56.349633) (xy 79.829895 56.163296) (xy 79.687454 56.020606) (xy 79.506804 55.945593)
+        (xy 79.506888 55.849633) (xy 79.429895 55.663296) (xy 79.287454 55.520606) (xy 79.101251 55.443288) (xy 78.899633 55.443112)
+        (xy 78.713296 55.520105) (xy 78.570606 55.662546) (xy 78.493288 55.848749) (xy 78.493112 56.050367) (xy 78.570105 56.236704)
+        (xy 78.712546 56.379394) (xy 78.893195 56.454406) (xy 78.893112 56.550367) (xy 78.970105 56.736704) (xy 79.112546 56.879394)
+        (xy 79.298749 56.956712) (xy 79.500367 56.956888) (xy 79.686704 56.879895) (xy 79.829394 56.737454) (xy 79.906712 56.551251)
+        (xy 79.906888 56.349633) (xy 79.906888 57.895628) (xy 79.901251 57.893288) (xy 79.699633 57.893112) (xy 79.513296 57.970105)
+        (xy 79.399927 58.083275) (xy 79.287454 57.970606) (xy 79.101251 57.893288) (xy 78.899633 57.893112) (xy 78.713296 57.970105)
+        (xy 78.599927 58.083275) (xy 78.487454 57.970606) (xy 78.301251 57.893288) (xy 78.099633 57.893112) (xy 77.913296 57.970105)
+        (xy 77.799927 58.083275) (xy 77.687454 57.970606) (xy 77.501251 57.893288) (xy 77.299633 57.893112) (xy 77.113296 57.970105)
+        (xy 76.999927 58.083275) (xy 76.887454 57.970606) (xy 76.701251 57.893288) (xy 76.681888 57.893271) (xy 76.681888 46.824633)
+        (xy 76.604895 46.638296) (xy 76.462454 46.495606) (xy 76.276251 46.418288) (xy 76.074633 46.418112) (xy 76.072936 46.418812)
+        (xy 76.129394 46.362454) (xy 76.206712 46.176251) (xy 76.206888 45.974633) (xy 76.129895 45.788296) (xy 75.987454 45.645606)
+        (xy 75.801251 45.568288) (xy 75.599633 45.568112) (xy 75.413296 45.645105) (xy 75.270606 45.787546) (xy 75.193288 45.973749)
+        (xy 75.193112 46.175367) (xy 75.270105 46.361704) (xy 75.344529 46.436258) (xy 75.301251 46.418288) (xy 75.181871 46.418183)
+        (xy 75.181888 46.399633) (xy 75.104895 46.213296) (xy 74.962454 46.070606) (xy 74.906888 46.047533) (xy 74.906888 37.049633)
+        (xy 74.829895 36.863296) (xy 74.687454 36.720606) (xy 74.501251 36.643288) (xy 74.323705 36.643133) (xy 74.329394 36.637454)
+        (xy 74.406712 36.451251) (xy 74.406888 36.249633) (xy 74.329895 36.063296) (xy 74.187454 35.920606) (xy 74.001251 35.843288)
+        (xy 73.799633 35.843112) (xy 73.613296 35.920105) (xy 73.470606 36.062546) (xy 73.393288 36.248749) (xy 73.393112 36.450367)
+        (xy 73.470105 36.636704) (xy 73.612546 36.779394) (xy 73.798749 36.856712) (xy 73.976294 36.856866) (xy 73.970606 36.862546)
+        (xy 73.893288 37.048749) (xy 73.893112 37.250367) (xy 73.970105 37.436704) (xy 74.112546 37.579394) (xy 74.298749 37.656712)
+        (xy 74.500367 37.656888) (xy 74.686704 37.579895) (xy 74.829394 37.437454) (xy 74.906712 37.251251) (xy 74.906888 37.049633)
+        (xy 74.906888 46.047533) (xy 74.776251 45.993288) (xy 74.574633 45.993112) (xy 74.388296 46.070105) (xy 74.245606 46.212546)
+        (xy 74.168288 46.398749) (xy 74.168112 46.600367) (xy 74.245105 46.786704) (xy 74.387546 46.929394) (xy 74.573749 47.006712)
+        (xy 74.693128 47.006816) (xy 74.693112 47.025367) (xy 74.770105 47.211704) (xy 74.912546 47.354394) (xy 75.098749 47.431712)
+        (xy 75.300367 47.431888) (xy 75.486704 47.354895) (xy 75.629394 47.212454) (xy 75.687547 47.072404) (xy 75.745105 47.211704)
+        (xy 75.887546 47.354394) (xy 76.073749 47.431712) (xy 76.275367 47.431888) (xy 76.461704 47.354895) (xy 76.604394 47.212454)
+        (xy 76.681712 47.026251) (xy 76.681888 46.824633) (xy 76.681888 57.893271) (xy 76.499633 57.893112) (xy 76.313296 57.970105)
+        (xy 76.199927 58.083275) (xy 76.087454 57.970606) (xy 75.901251 57.893288) (xy 75.699633 57.893112) (xy 75.513296 57.970105)
+        (xy 75.399927 58.083275) (xy 75.287454 57.970606) (xy 75.101251 57.893288) (xy 74.899633 57.893112) (xy 74.713296 57.970105)
+        (xy 74.599927 58.083275) (xy 74.487454 57.970606) (xy 74.301251 57.893288) (xy 74.099633 57.893112) (xy 73.913296 57.970105)
+        (xy 73.799927 58.083275) (xy 73.687454 57.970606) (xy 73.501251 57.893288) (xy 73.406888 57.893205) (xy 73.406888 36.774633)
+        (xy 73.329895 36.588296) (xy 73.187454 36.445606) (xy 73.001251 36.368288) (xy 72.799633 36.368112) (xy 72.613296 36.445105)
+        (xy 72.470606 36.587546) (xy 72.406888 36.740996) (xy 72.406888 36.474633) (xy 72.329895 36.288296) (xy 72.187454 36.145606)
+        (xy 72.001251 36.068288) (xy 71.799633 36.068112) (xy 71.613296 36.145105) (xy 71.470606 36.287546) (xy 71.393288 36.473749)
+        (xy 71.393112 36.675367) (xy 71.470105 36.861704) (xy 71.612546 37.004394) (xy 71.798749 37.081712) (xy 72.000367 37.081888)
+        (xy 72.186704 37.004895) (xy 72.329394 36.862454) (xy 72.406712 36.676251) (xy 72.406888 36.474633) (xy 72.406888 36.740996)
+        (xy 72.393288 36.773749) (xy 72.393112 36.975367) (xy 72.470105 37.161704) (xy 72.612546 37.304394) (xy 72.798749 37.381712)
+        (xy 73.000367 37.381888) (xy 73.186704 37.304895) (xy 73.329394 37.162454) (xy 73.406712 36.976251) (xy 73.406888 36.774633)
+        (xy 73.406888 57.893205) (xy 73.299633 57.893112) (xy 73.113296 57.970105) (xy 72.999927 58.083275) (xy 72.887454 57.970606)
+        (xy 72.701251 57.893288) (xy 72.499633 57.893112) (xy 72.313296 57.970105) (xy 72.199927 58.083275) (xy 72.087454 57.970606)
+        (xy 71.901251 57.893288) (xy 71.699633 57.893112) (xy 71.513296 57.970105) (xy 71.431888 58.05137) (xy 71.431888 36.974633)
+        (xy 71.354895 36.788296) (xy 71.212454 36.645606) (xy 71.026251 36.568288) (xy 70.824633 36.568112) (xy 70.638296 36.645105)
+        (xy 70.495606 36.787546) (xy 70.418288 36.973749) (xy 70.418112 37.175367) (xy 70.495105 37.361704) (xy 70.637546 37.504394)
+        (xy 70.823749 37.581712) (xy 71.025367 37.581888) (xy 71.211704 37.504895) (xy 71.354394 37.362454) (xy 71.431712 37.176251)
+        (xy 71.431888 36.974633) (xy 71.431888 58.05137) (xy 71.399927 58.083275) (xy 71.287454 57.970606) (xy 71.206888 57.937152)
+        (xy 71.206888 47.424633) (xy 71.129895 47.238296) (xy 70.987454 47.095606) (xy 70.801251 47.018288) (xy 70.599633 47.018112)
+        (xy 70.413296 47.095105) (xy 70.406888 47.101501) (xy 70.406888 36.774633) (xy 70.329895 36.588296) (xy 70.187454 36.445606)
+        (xy 70.001251 36.368288) (xy 69.799633 36.368112) (xy 69.613296 36.445105) (xy 69.470606 36.587546) (xy 69.393288 36.773749)
+        (xy 69.393112 36.975367) (xy 69.470105 37.161704) (xy 69.612546 37.304394) (xy 69.798749 37.381712) (xy 70.000367 37.381888)
+        (xy 70.186704 37.304895) (xy 70.329394 37.162454) (xy 70.406712 36.976251) (xy 70.406888 36.774633) (xy 70.406888 47.101501)
+        (xy 70.270606 47.237546) (xy 70.193288 47.423749) (xy 70.193205 47.518193) (xy 70.099633 47.518112) (xy 69.913296 47.595105)
+        (xy 69.770606 47.737546) (xy 69.693288 47.923749) (xy 69.693112 48.125367) (xy 69.770105 48.311704) (xy 69.912546 48.454394)
+        (xy 70.098749 48.531712) (xy 70.300367 48.531888) (xy 70.486704 48.454895) (xy 70.629394 48.312454) (xy 70.706712 48.126251)
+        (xy 70.706794 48.031806) (xy 70.800367 48.031888) (xy 70.986704 47.954895) (xy 71.129394 47.812454) (xy 71.206712 47.626251)
+        (xy 71.206888 47.424633) (xy 71.206888 57.937152) (xy 71.101251 57.893288) (xy 70.899633 57.893112) (xy 70.713296 57.970105)
+        (xy 70.599927 58.083275) (xy 70.487454 57.970606) (xy 70.301251 57.893288) (xy 70.099633 57.893112) (xy 69.913296 57.970105)
+        (xy 69.799927 58.083275) (xy 69.687454 57.970606) (xy 69.501251 57.893288) (xy 69.381888 57.893183) (xy 69.381888 37.299633)
+        (xy 69.304895 37.113296) (xy 69.162454 36.970606) (xy 68.976251 36.893288) (xy 68.774633 36.893112) (xy 68.588296 36.970105)
+        (xy 68.445606 37.112546) (xy 68.406888 37.205789) (xy 68.406888 36.524633) (xy 68.329895 36.338296) (xy 68.187454 36.195606)
+        (xy 68.001251 36.118288) (xy 67.799633 36.118112) (xy 67.613296 36.195105) (xy 67.470606 36.337546) (xy 67.393288 36.523749)
+        (xy 67.393112 36.725367) (xy 67.470105 36.911704) (xy 67.612546 37.054394) (xy 67.798749 37.131712) (xy 68.000367 37.131888)
+        (xy 68.186704 37.054895) (xy 68.329394 36.912454) (xy 68.406712 36.726251) (xy 68.406888 36.524633) (xy 68.406888 37.205789)
+        (xy 68.368288 37.298749) (xy 68.368112 37.500367) (xy 68.445105 37.686704) (xy 68.587546 37.829394) (xy 68.773749 37.906712)
+        (xy 68.975367 37.906888) (xy 69.161704 37.829895) (xy 69.304394 37.687454) (xy 69.381712 37.501251) (xy 69.381888 37.299633)
+        (xy 69.381888 57.893183) (xy 69.299633 57.893112) (xy 69.113296 57.970105) (xy 68.999927 58.083275) (xy 68.887454 57.970606)
+        (xy 68.701251 57.893288) (xy 68.499633 57.893112) (xy 68.313296 57.970105) (xy 68.170606 58.112546) (xy 68.093288 58.298749)
+        (xy 68.093112 58.500367) (xy 68.170105 58.686704) (xy 68.283275 58.800072) (xy 68.170606 58.912546) (xy 68.093288 59.098749)
+        (xy 68.093112 59.300367) (xy 68.170105 59.486704) (xy 68.283275 59.600072) (xy 68.170606 59.712546) (xy 68.093288 59.898749)
+        (xy 68.093112 60.100367) (xy 68.170105 60.286704) (xy 68.283275 60.400072) (xy 68.170606 60.512546) (xy 68.093288 60.698749)
+        (xy 68.093112 60.900367) (xy 68.170105 61.086704) (xy 68.283275 61.200072) (xy 68.170606 61.312546) (xy 68.093288 61.498749)
+        (xy 68.093112 61.700367) (xy 68.170105 61.886704) (xy 68.312546 62.029394) (xy 68.498749 62.106712) (xy 68.700367 62.106888)
+        (xy 68.886704 62.029895) (xy 69.000072 61.916724) (xy 69.112546 62.029394) (xy 69.298749 62.106712) (xy 69.500367 62.106888)
+        (xy 69.686704 62.029895) (xy 69.829394 61.887454) (xy 69.906712 61.701251) (xy 69.906888 61.499633) (xy 69.829895 61.313296)
+        (xy 69.687454 61.170606) (xy 69.501251 61.093288) (xy 69.299633 61.093112) (xy 69.113296 61.170105) (xy 68.999927 61.283275)
+        (xy 68.916724 61.199927) (xy 69.029394 61.087454) (xy 69.106712 60.901251) (xy 69.106888 60.699633) (xy 69.029895 60.513296)
+        (xy 68.916724 60.399927) (xy 69.000072 60.316724) (xy 69.112546 60.429394) (xy 69.298749 60.506712) (xy 69.500367 60.506888)
+        (xy 69.686704 60.429895) (xy 69.800072 60.316724) (xy 69.883275 60.400072) (xy 69.770606 60.512546) (xy 69.693288 60.698749)
+        (xy 69.693112 60.900367) (xy 69.770105 61.086704) (xy 69.912546 61.229394) (xy 70.098749 61.306712) (xy 70.300367 61.306888)
+        (xy 70.486704 61.229895) (xy 70.629394 61.087454) (xy 70.706712 60.901251) (xy 70.706888 60.699633) (xy 70.629895 60.513296)
+        (xy 70.516724 60.399927) (xy 70.600072 60.316724) (xy 70.712546 60.429394) (xy 70.898749 60.506712) (xy 71.100367 60.506888)
+        (xy 71.286704 60.429895) (xy 71.400072 60.316724) (xy 71.512546 60.429394) (xy 71.587 60.46031) (xy 71.563296 60.470105)
+        (xy 71.420606 60.612546) (xy 71.343288 60.798749) (xy 71.343112 61.000367) (xy 71.420105 61.186704) (xy 71.562546 61.329394)
+        (xy 71.748749 61.406712) (xy 71.950367 61.406888) (xy 72.136704 61.329895) (xy 72.279394 61.187454) (xy 72.356712 61.001251)
+        (xy 72.356888 60.799633) (xy 72.279895 60.613296) (xy 72.137454 60.470606) (xy 72.062999 60.439689) (xy 72.086704 60.429895)
+        (xy 72.200072 60.316724) (xy 72.312546 60.429394) (xy 72.498749 60.506712) (xy 72.700367 60.506888) (xy 72.886704 60.429895)
+        (xy 73.000072 60.316724) (xy 73.112546 60.429394) (xy 73.298749 60.506712) (xy 73.500367 60.506888) (xy 73.686704 60.429895)
+        (xy 73.800072 60.316724) (xy 73.912546 60.429394) (xy 74.098749 60.506712) (xy 74.300367 60.506888) (xy 74.486704 60.429895)
+        (xy 74.600072 60.316724) (xy 74.712546 60.429394) (xy 74.898749 60.506712) (xy 75.100367 60.506888) (xy 75.286704 60.429895)
+        (xy 75.400072 60.316724) (xy 75.512546 60.429394) (xy 75.698749 60.506712) (xy 75.900367 60.506888) (xy 76.086704 60.429895)
+        (xy 76.200072 60.316724) (xy 76.312546 60.429394) (xy 76.498749 60.506712) (xy 76.700367 60.506888) (xy 76.886704 60.429895)
+        (xy 77.000072 60.316724) (xy 77.112546 60.429394) (xy 77.298749 60.506712) (xy 77.500367 60.506888) (xy 77.686704 60.429895)
+        (xy 77.800072 60.316724) (xy 77.912546 60.429394) (xy 78.098749 60.506712) (xy 78.300367 60.506888) (xy 78.486704 60.429895)
+        (xy 78.600072 60.316724) (xy 78.712546 60.429394) (xy 78.898749 60.506712) (xy 79.100367 60.506888) (xy 79.286704 60.429895)
+        (xy 79.400072 60.316724) (xy 79.512546 60.429394) (xy 79.698749 60.506712) (xy 79.900367 60.506888) (xy 80.086704 60.429895)
+        (xy 80.200072 60.316724) (xy 80.312546 60.429394) (xy 80.498749 60.506712) (xy 80.700367 60.506888) (xy 80.886704 60.429895)
+        (xy 81.000072 60.316724) (xy 81.112546 60.429394) (xy 81.298749 60.506712) (xy 81.500367 60.506888) (xy 81.686704 60.429895)
+        (xy 81.812572 60.304245) (xy 81.912546 60.404394) (xy 82.098749 60.481712) (xy 82.300367 60.481888) (xy 82.486704 60.404895)
+        (xy 82.587572 60.304202) (xy 82.712546 60.429394) (xy 82.898749 60.506712) (xy 83.100367 60.506888) (xy 83.286704 60.429895)
+        (xy 83.400072 60.316724) (xy 83.483275 60.400072) (xy 83.370606 60.512546) (xy 83.293288 60.698749) (xy 83.293112 60.900367)
+        (xy 83.370105 61.086704) (xy 83.483275 61.200072) (xy 83.399927 61.283275) (xy 83.287454 61.170606) (xy 83.101251 61.093288)
+        (xy 82.899633 61.093112) (xy 82.713296 61.170105) (xy 82.570606 61.312546) (xy 82.493288 61.498749) (xy 82.493112 61.700367)
+        (xy 82.570105 61.886704) (xy 82.712546 62.029394) (xy 82.898749 62.106712) (xy 83.100367 62.106888) (xy 83.286704 62.029895)
+        (xy 83.400072 61.916724) (xy 83.483275 62.000072) (xy 83.370606 62.112546) (xy 83.293288 62.298749) (xy 83.293112 62.500367)
+        (xy 83.370105 62.686704) (xy 83.483275 62.800072) (xy 83.399927 62.883275) (xy 83.287454 62.770606) (xy 83.101251 62.693288)
+        (xy 82.899633 62.693112) (xy 82.713296 62.770105) (xy 82.570606 62.912546) (xy 82.493288 63.098749) (xy 82.493112 63.300367)
+        (xy 82.570105 63.486704) (xy 82.683275 63.600072) (xy 82.570606 63.712546) (xy 82.493288 63.898749) (xy 82.493112 64.100367)
+        (xy 82.570105 64.286704) (xy 82.683275 64.400072) (xy 82.570606 64.512546) (xy 82.493288 64.698749) (xy 82.493112 64.900367)
+        (xy 82.570105 65.086704) (xy 82.683275 65.200072) (xy 82.570606 65.312546) (xy 82.493288 65.498749) (xy 82.493112 65.700367)
+        (xy 82.570105 65.886704) (xy 82.683275 66.000072) (xy 82.570606 66.112546) (xy 82.493288 66.298749) (xy 82.493112 66.500367)
+        (xy 82.570105 66.686704) (xy 82.712546 66.829394) (xy 82.898749 66.906712) (xy 83.100367 66.906888) (xy 83.286704 66.829895)
+        (xy 83.400072 66.716724) (xy 83.483275 66.800072) (xy 83.370606 66.912546) (xy 83.293288 67.098749) (xy 83.293112 67.300367)
+        (xy 83.370105 67.486704) (xy 83.483275 67.600072) (xy 83.370606 67.712546) (xy 83.293288 67.898749) (xy 83.293112 68.100367)
+        (xy 83.370105 68.286704) (xy 83.483275 68.400072) (xy 83.370606 68.512546) (xy 83.293288 68.698749) (xy 83.293112 68.900367)
+        (xy 83.370105 69.086704) (xy 83.512546 69.229394) (xy 83.698749 69.306712) (xy 83.900367 69.306888) (xy 84.086704 69.229895)
+        (xy 84.200072 69.116724) (xy 84.312546 69.229394) (xy 84.498749 69.306712) (xy 84.700367 69.306888) (xy 84.886704 69.229895)
+        (xy 85.029394 69.087454) (xy 85.106712 68.901251) (xy 85.106888 68.699633) (xy 85.106888 104.35376) (xy 83.115248 104.344425)
+        (xy 83.115248 88.006905) (xy 83.115248 86.482905) (xy 83.072984 86.380618) (xy 82.994793 86.302291) (xy 82.89258 86.259848)
+        (xy 82.781905 86.259752) (xy 82.606888 86.259752) (xy 82.606888 75.199633) (xy 82.606888 69.499633) (xy 82.529895 69.313296)
+        (xy 82.387454 69.170606) (xy 82.201251 69.093288) (xy 81.999633 69.093112) (xy 81.813296 69.170105) (xy 81.670606 69.312546)
+        (xy 81.593288 69.498749) (xy 81.593112 69.700367) (xy 81.670105 69.886704) (xy 81.812546 70.029394) (xy 81.998749 70.106712)
+        (xy 82.200367 70.106888) (xy 82.386704 70.029895) (xy 82.529394 69.887454) (xy 82.606712 69.701251) (xy 82.606888 69.499633)
+        (xy 82.606888 75.199633) (xy 82.529895 75.013296) (xy 82.387454 74.870606) (xy 82.201251 74.793288) (xy 81.999633 74.793112)
+        (xy 81.813296 74.870105) (xy 81.670606 75.012546) (xy 81.593288 75.198749) (xy 81.593112 75.400367) (xy 81.670105 75.586704)
+        (xy 81.812546 75.729394) (xy 81.998749 75.806712) (xy 82.200367 75.806888) (xy 82.386704 75.729895) (xy 82.529394 75.587454)
+        (xy 82.606712 75.401251) (xy 82.606888 75.199633) (xy 82.606888 86.259752) (xy 81.257905 86.259752) (xy 81.166888 86.297359)
+        (xy 81.166888 63.889633) (xy 81.089895 63.703296) (xy 80.947454 63.560606) (xy 80.761251 63.483288) (xy 80.559633 63.483112)
+        (xy 80.373296 63.560105) (xy 80.230606 63.702546) (xy 80.227244 63.710641) (xy 80.087454 63.570606) (xy 79.901251 63.493288)
+        (xy 79.699633 63.493112) (xy 79.513296 63.570105) (xy 79.506888 63.576501) (xy 79.506888 63.099633) (xy 79.429895 62.913296)
+        (xy 79.287454 62.770606) (xy 79.101251 62.693288) (xy 78.899633 62.693112) (xy 78.713296 62.770105) (xy 78.599927 62.883275)
+        (xy 78.487454 62.770606) (xy 78.301251 62.693288) (xy 78.099633 62.693112) (xy 77.913296 62.770105) (xy 77.799927 62.883275)
+        (xy 77.687454 62.770606) (xy 77.501251 62.693288) (xy 77.299633 62.693112) (xy 77.113296 62.770105) (xy 76.999927 62.883275)
+        (xy 76.887454 62.770606) (xy 76.701251 62.693288) (xy 76.499633 62.693112) (xy 76.313296 62.770105) (xy 76.199927 62.883275)
+        (xy 76.087454 62.770606) (xy 75.901251 62.693288) (xy 75.699633 62.693112) (xy 75.513296 62.770105) (xy 75.399927 62.883275)
+        (xy 75.287454 62.770606) (xy 75.101251 62.693288) (xy 74.899633 62.693112) (xy 74.713296 62.770105) (xy 74.599927 62.883275)
+        (xy 74.487454 62.770606) (xy 74.301251 62.693288) (xy 74.099633 62.693112) (xy 73.913296 62.770105) (xy 73.770606 62.912546)
+        (xy 73.693288 63.098749) (xy 73.693112 63.300367) (xy 73.770105 63.486704) (xy 73.883275 63.600072) (xy 73.770606 63.712546)
+        (xy 73.693288 63.898749) (xy 73.693112 64.100367) (xy 73.770105 64.286704) (xy 73.883275 64.400072) (xy 73.770606 64.512546)
+        (xy 73.693288 64.698749) (xy 73.693112 64.900367) (xy 73.770105 65.086704) (xy 73.883275 65.200072) (xy 73.770606 65.312546)
+        (xy 73.693288 65.498749) (xy 73.693112 65.700367) (xy 73.770105 65.886704) (xy 73.912546 66.029394) (xy 74.098749 66.106712)
+        (xy 74.300367 66.106888) (xy 74.486704 66.029895) (xy 74.629394 65.887454) (xy 74.706712 65.701251) (xy 74.706888 65.499633)
+        (xy 74.629895 65.313296) (xy 74.516724 65.199927) (xy 74.629394 65.087454) (xy 74.706712 64.901251) (xy 74.706888 64.699633)
+        (xy 74.629895 64.513296) (xy 74.516724 64.399927) (xy 74.629394 64.287454) (xy 74.706712 64.101251) (xy 74.706888 63.899633)
+        (xy 74.629895 63.713296) (xy 74.516724 63.599927) (xy 74.600072 63.516724) (xy 74.712546 63.629394) (xy 74.898749 63.706712)
+        (xy 75.100367 63.706888) (xy 75.286704 63.629895) (xy 75.400072 63.516724) (xy 75.512546 63.629394) (xy 75.698749 63.706712)
+        (xy 75.900367 63.706888) (xy 76.086704 63.629895) (xy 76.200072 63.516724) (xy 76.312546 63.629394) (xy 76.498749 63.706712)
+        (xy 76.700367 63.706888) (xy 76.886704 63.629895) (xy 77.000072 63.516724) (xy 77.112546 63.629394) (xy 77.298749 63.706712)
+        (xy 77.500367 63.706888) (xy 77.686704 63.629895) (xy 77.800072 63.516724) (xy 77.912546 63.629394) (xy 78.098749 63.706712)
+        (xy 78.300367 63.706888) (xy 78.486704 63.629895) (xy 78.600072 63.516724) (xy 78.712546 63.629394) (xy 78.898749 63.706712)
+        (xy 79.100367 63.706888) (xy 79.286704 63.629895) (xy 79.429394 63.487454) (xy 79.506712 63.301251) (xy 79.506888 63.099633)
+        (xy 79.506888 63.576501) (xy 79.370606 63.712546) (xy 79.293288 63.898749) (xy 79.293112 64.100367) (xy 79.370105 64.286704)
+        (xy 79.512546 64.429394) (xy 79.698749 64.506712) (xy 79.900367 64.506888) (xy 80.086704 64.429895) (xy 80.229394 64.287454)
+        (xy 80.232755 64.279358) (xy 80.372546 64.419394) (xy 80.558749 64.496712) (xy 80.760367 64.496888) (xy 80.946704 64.419895)
+        (xy 81.089394 64.277454) (xy 81.166712 64.091251) (xy 81.166888 63.889633) (xy 81.166888 86.297359) (xy 81.155618 86.302016)
+        (xy 81.077291 86.380207) (xy 81.034848 86.48242) (xy 81.034752 86.593095) (xy 81.034752 88.117095) (xy 81.077016 88.219382)
+        (xy 81.155207 88.297709) (xy 81.25742 88.340152) (xy 81.368095 88.340248) (xy 82.892095 88.340248) (xy 82.994382 88.297984)
+        (xy 83.072709 88.219793) (xy 83.115152 88.11758) (xy 83.115248 88.006905) (xy 83.115248 104.344425) (xy 82.556888 104.341808)
+        (xy 82.556888 94.124633) (xy 82.479895 93.938296) (xy 82.337454 93.795606) (xy 82.151251 93.718288) (xy 81.949633 93.718112)
+        (xy 81.763296 93.795105) (xy 81.620606 93.937546) (xy 81.543288 94.123749) (xy 81.543112 94.325367) (xy 81.620105 94.511704)
+        (xy 81.762546 94.654394) (xy 81.948749 94.731712) (xy 82.150367 94.731888) (xy 82.336704 94.654895) (xy 82.479394 94.512454)
+        (xy 82.556712 94.326251) (xy 82.556888 94.124633) (xy 82.556888 104.341808) (xy 80.306888 104.331262) (xy 80.306888 73.499633)
+        (xy 80.229895 73.313296) (xy 80.116724 73.199927) (xy 80.229394 73.087454) (xy 80.306712 72.901251) (xy 80.306888 72.699633)
+        (xy 80.229895 72.513296) (xy 80.116724 72.399927) (xy 80.229394 72.287454) (xy 80.306712 72.101251) (xy 80.306888 71.899633)
+        (xy 80.306888 67.899633) (xy 80.306888 65.499633) (xy 80.229895 65.313296) (xy 80.087454 65.170606) (xy 79.901251 65.093288)
+        (xy 79.699633 65.093112) (xy 79.513296 65.170105) (xy 79.370606 65.312546) (xy 79.293288 65.498749) (xy 79.293112 65.700367)
+        (xy 79.370105 65.886704) (xy 79.512546 66.029394) (xy 79.698749 66.106712) (xy 79.900367 66.106888) (xy 80.086704 66.029895)
+        (xy 80.229394 65.887454) (xy 80.306712 65.701251) (xy 80.306888 65.499633) (xy 80.306888 67.899633) (xy 80.229895 67.713296)
+        (xy 80.087454 67.570606) (xy 79.901251 67.493288) (xy 79.699633 67.493112) (xy 79.513296 67.570105) (xy 79.370606 67.712546)
+        (xy 79.293288 67.898749) (xy 79.293112 68.100367) (xy 79.370105 68.286704) (xy 79.512546 68.429394) (xy 79.698749 68.506712)
+        (xy 79.900367 68.506888) (xy 80.086704 68.429895) (xy 80.229394 68.287454) (xy 80.306712 68.101251) (xy 80.306888 67.899633)
+        (xy 80.306888 71.899633) (xy 80.229895 71.713296) (xy 80.087454 71.570606) (xy 79.901251 71.493288) (xy 79.699633 71.493112)
+        (xy 79.513296 71.570105) (xy 79.399927 71.683275) (xy 79.287454 71.570606) (xy 79.101251 71.493288) (xy 78.899633 71.493112)
+        (xy 78.713296 71.570105) (xy 78.570606 71.712546) (xy 78.493288 71.898749) (xy 78.493112 72.100367) (xy 78.570105 72.286704)
+        (xy 78.683275 72.400072) (xy 78.599927 72.483275) (xy 78.487454 72.370606) (xy 78.301251 72.293288) (xy 78.099633 72.293112)
+        (xy 77.913296 72.370105) (xy 77.799927 72.483275) (xy 77.716724 72.399927) (xy 77.829394 72.287454) (xy 77.906712 72.101251)
+        (xy 77.906888 71.899633) (xy 77.829895 71.713296) (xy 77.687454 71.570606) (xy 77.501251 71.493288) (xy 77.299633 71.493112)
+        (xy 77.113296 71.570105) (xy 76.970606 71.712546) (xy 76.893288 71.898749) (xy 76.893112 72.100367) (xy 76.970105 72.286704)
+        (xy 77.083275 72.400072) (xy 76.999927 72.483275) (xy 76.887454 72.370606) (xy 76.701251 72.293288) (xy 76.499633 72.293112)
+        (xy 76.313296 72.370105) (xy 76.199927 72.483275) (xy 76.087454 72.370606) (xy 75.931888 72.306009) (xy 75.931888 69.599633)
+        (xy 75.854895 69.413296) (xy 75.712454 69.270606) (xy 75.526251 69.193288) (xy 75.324633 69.193112) (xy 75.322787 69.193874)
+        (xy 75.429394 69.087454) (xy 75.506712 68.901251) (xy 75.506888 68.699633) (xy 75.429895 68.513296) (xy 75.287454 68.370606)
+        (xy 75.101251 68.293288) (xy 74.899633 68.293112) (xy 74.713296 68.370105) (xy 74.592321 68.490868) (xy 74.503724 68.402116)
+        (xy 74.622794 68.283254) (xy 74.700112 68.097051) (xy 74.700288 67.895433) (xy 74.623295 67.709096) (xy 74.515518 67.60113)
+        (xy 74.629394 67.487454) (xy 74.706712 67.301251) (xy 74.706888 67.099633) (xy 74.629895 66.913296) (xy 74.487454 66.770606)
+        (xy 74.301251 66.693288) (xy 74.099633 66.693112) (xy 73.913296 66.770105) (xy 73.770606 66.912546) (xy 73.693288 67.098749)
+        (xy 73.693112 67.300367) (xy 73.770105 67.486704) (xy 73.877881 67.594669) (xy 73.764006 67.708346) (xy 73.686688 67.894549)
+        (xy 73.686512 68.096167) (xy 73.763505 68.282504) (xy 73.883075 68.402283) (xy 73.764006 68.521146) (xy 73.686688 68.707349)
+        (xy 73.686512 68.908967) (xy 73.763505 69.095304) (xy 73.905946 69.237994) (xy 74.092149 69.315312) (xy 74.293767 69.315488)
+        (xy 74.480104 69.238495) (xy 74.601078 69.117731) (xy 74.712546 69.229394) (xy 74.898749 69.306712) (xy 75.100367 69.306888)
+        (xy 75.102212 69.306125) (xy 74.995606 69.412546) (xy 74.918288 69.598749) (xy 74.918112 69.800367) (xy 74.995105 69.986704)
+        (xy 75.137546 70.129394) (xy 75.323749 70.206712) (xy 75.525367 70.206888) (xy 75.711704 70.129895) (xy 75.854394 69.987454)
+        (xy 75.931712 69.801251) (xy 75.931888 69.599633) (xy 75.931888 72.306009) (xy 75.901251 72.293288) (xy 75.699633 72.293112)
+        (xy 75.513296 72.370105) (xy 75.399927 72.483275) (xy 75.287454 72.370606) (xy 75.101251 72.293288) (xy 74.899633 72.293112)
+        (xy 74.713296 72.370105) (xy 74.570606 72.512546) (xy 74.493288 72.698749) (xy 74.493112 72.900367) (xy 74.570105 73.086704)
+        (xy 74.683275 73.200072) (xy 74.570606 73.312546) (xy 74.493288 73.498749) (xy 74.493112 73.700367) (xy 74.570105 73.886704)
+        (xy 74.712546 74.029394) (xy 74.898749 74.106712) (xy 75.100367 74.106888) (xy 75.286704 74.029895) (xy 75.400072 73.916724)
+        (xy 75.512546 74.029394) (xy 75.698749 74.106712) (xy 75.900367 74.106888) (xy 76.086704 74.029895) (xy 76.200072 73.916724)
+        (xy 76.312546 74.029394) (xy 76.498749 74.106712) (xy 76.700367 74.106888) (xy 76.886704 74.029895) (xy 77.000072 73.916724)
+        (xy 77.112546 74.029394) (xy 77.298749 74.106712) (xy 77.500367 74.106888) (xy 77.686704 74.029895) (xy 77.800072 73.916724)
+        (xy 77.912546 74.029394) (xy 78.098749 74.106712) (xy 78.300367 74.106888) (xy 78.486704 74.029895) (xy 78.600072 73.916724)
+        (xy 78.712546 74.029394) (xy 78.898749 74.106712) (xy 79.100367 74.106888) (xy 79.286704 74.029895) (xy 79.400072 73.916724)
+        (xy 79.512546 74.029394) (xy 79.698749 74.106712) (xy 79.900367 74.106888) (xy 80.086704 74.029895) (xy 80.229394 73.887454)
+        (xy 80.306712 73.701251) (xy 80.306888 73.499633) (xy 80.306888 104.331262) (xy 75.146593 104.307075) (xy 75.146593 100.468909)
+        (xy 75.130248 100.429351) (xy 75.130248 92.786905) (xy 75.130248 91.262905) (xy 75.087984 91.160618) (xy 75.009793 91.082291)
+        (xy 74.90758 91.039848) (xy 74.796905 91.039752) (xy 73.906888 91.039752) (xy 73.906888 71.099633) (xy 73.829895 70.913296)
+        (xy 73.687454 70.770606) (xy 73.501251 70.693288) (xy 73.299633 70.693112) (xy 73.113296 70.770105) (xy 72.970606 70.912546)
+        (xy 72.893288 71.098749) (xy 72.893112 71.300367) (xy 72.970105 71.486704) (xy 73.112546 71.629394) (xy 73.298749 71.706712)
+        (xy 73.500367 71.706888) (xy 73.686704 71.629895) (xy 73.829394 71.487454) (xy 73.906712 71.301251) (xy 73.906888 71.099633)
+        (xy 73.906888 91.039752) (xy 73.272905 91.039752) (xy 73.170618 91.082016) (xy 73.106888 91.145635) (xy 73.106888 72.699633)
+        (xy 73.029895 72.513296) (xy 72.916724 72.399927) (xy 73.029394 72.287454) (xy 73.106712 72.101251) (xy 73.106888 71.899633)
+        (xy 73.029895 71.713296) (xy 72.887454 71.570606) (xy 72.701251 71.493288) (xy 72.499633 71.493112) (xy 72.331888 71.562422)
+        (xy 72.331888 71.099633) (xy 72.306888 71.039128) (xy 72.306888 62.299633) (xy 72.229895 62.113296) (xy 72.087454 61.970606)
+        (xy 71.901251 61.893288) (xy 71.699633 61.893112) (xy 71.513296 61.970105) (xy 71.370606 62.112546) (xy 71.293288 62.298749)
+        (xy 71.293112 62.500367) (xy 71.370105 62.686704) (xy 71.512546 62.829394) (xy 71.698749 62.906712) (xy 71.900367 62.906888)
+        (xy 72.086704 62.829895) (xy 72.229394 62.687454) (xy 72.306712 62.501251) (xy 72.306888 62.299633) (xy 72.306888 71.039128)
+        (xy 72.254895 70.913296) (xy 72.112454 70.770606) (xy 71.926251 70.693288) (xy 71.724633 70.693112) (xy 71.538296 70.770105)
+        (xy 71.506888 70.801458) (xy 71.506888 68.699633) (xy 71.429895 68.513296) (xy 71.287454 68.370606) (xy 71.101251 68.293288)
+        (xy 70.899633 68.293112) (xy 70.713296 68.370105) (xy 70.599927 68.483275) (xy 70.516724 68.399927) (xy 70.629394 68.287454)
+        (xy 70.706712 68.101251) (xy 70.706888 67.899633) (xy 70.629895 67.713296) (xy 70.516724 67.599927) (xy 70.629394 67.487454)
+        (xy 70.706712 67.301251) (xy 70.706888 67.099633) (xy 70.629895 66.913296) (xy 70.516724 66.799927) (xy 70.629394 66.687454)
+        (xy 70.706712 66.501251) (xy 70.706888 66.299633) (xy 70.629895 66.113296) (xy 70.516724 65.999927) (xy 70.600072 65.916724)
+        (xy 70.712546 66.029394) (xy 70.898749 66.106712) (xy 71.100367 66.106888) (xy 71.286704 66.029895) (xy 71.429394 65.887454)
+        (xy 71.506712 65.701251) (xy 71.506888 65.499633) (xy 71.429895 65.313296) (xy 71.287454 65.170606) (xy 71.101251 65.093288)
+        (xy 70.899633 65.093112) (xy 70.713296 65.170105) (xy 70.599927 65.283275) (xy 70.516724 65.199927) (xy 70.629394 65.087454)
+        (xy 70.706712 64.901251) (xy 70.706888 64.699633) (xy 70.706888 63.099633) (xy 70.629895 62.913296) (xy 70.487454 62.770606)
+        (xy 70.301251 62.693288) (xy 70.099633 62.693112) (xy 69.913296 62.770105) (xy 69.770606 62.912546) (xy 69.693288 63.098749)
+        (xy 69.693112 63.300367) (xy 69.770105 63.486704) (xy 69.912546 63.629394) (xy 70.098749 63.706712) (xy 70.300367 63.706888)
+        (xy 70.486704 63.629895) (xy 70.629394 63.487454) (xy 70.706712 63.301251) (xy 70.706888 63.099633) (xy 70.706888 64.699633)
+        (xy 70.629895 64.513296) (xy 70.487454 64.370606) (xy 70.301251 64.293288) (xy 70.099633 64.293112) (xy 69.913296 64.370105)
+        (xy 69.799927 64.483275) (xy 69.687454 64.370606) (xy 69.501251 64.293288) (xy 69.299633 64.293112) (xy 69.113296 64.370105)
+        (xy 68.970606 64.512546) (xy 68.893288 64.698749) (xy 68.893112 64.900367) (xy 68.970105 65.086704) (xy 69.083275 65.200072)
+        (xy 68.970606 65.312546) (xy 68.893288 65.498749) (xy 68.893112 65.700367) (xy 68.970105 65.886704) (xy 69.083275 66.000072)
+        (xy 68.970606 66.112546) (xy 68.893288 66.298749) (xy 68.893112 66.500367) (xy 68.970105 66.686704) (xy 69.083275 66.800072)
+        (xy 68.970606 66.912546) (xy 68.893288 67.098749) (xy 68.893112 67.300367) (xy 68.970105 67.486704) (xy 69.083275 67.600072)
+        (xy 68.970606 67.712546) (xy 68.893288 67.898749) (xy 68.893112 68.100367) (xy 68.970105 68.286704) (xy 69.083275 68.400072)
+        (xy 68.970606 68.512546) (xy 68.893288 68.698749) (xy 68.893112 68.900367) (xy 68.970105 69.086704) (xy 69.083275 69.200072)
+        (xy 68.970606 69.312546) (xy 68.893288 69.498749) (xy 68.893112 69.700367) (xy 68.970105 69.886704) (xy 69.083275 70.000072)
+        (xy 68.970606 70.112546) (xy 68.893288 70.298749) (xy 68.893112 70.500367) (xy 68.970105 70.686704) (xy 69.083275 70.800072)
+        (xy 68.970606 70.912546) (xy 68.893288 71.098749) (xy 68.893112 71.300367) (xy 68.970105 71.486704) (xy 69.083275 71.600072)
+        (xy 68.970606 71.712546) (xy 68.893288 71.898749) (xy 68.893112 72.100367) (xy 68.970105 72.286704) (xy 69.083275 72.400072)
+        (xy 68.970606 72.512546) (xy 68.893288 72.698749) (xy 68.893112 72.900367) (xy 68.970105 73.086704) (xy 69.083275 73.200072)
+        (xy 68.970606 73.312546) (xy 68.893288 73.498749) (xy 68.893112 73.700367) (xy 68.970105 73.886704) (xy 69.112546 74.029394)
+        (xy 69.298749 74.106712) (xy 69.500367 74.106888) (xy 69.686704 74.029895) (xy 69.829394 73.887454) (xy 69.906712 73.701251)
+        (xy 69.906888 73.499633) (xy 69.829895 73.313296) (xy 69.716724 73.199927) (xy 69.800072 73.116724) (xy 69.912546 73.229394)
+        (xy 70.098749 73.306712) (xy 70.300367 73.306888) (xy 70.486704 73.229895) (xy 70.629394 73.087454) (xy 70.706712 72.901251)
+        (xy 70.706888 72.699633) (xy 70.629895 72.513296) (xy 70.516724 72.399927) (xy 70.629394 72.287454) (xy 70.706712 72.101251)
+        (xy 70.706888 71.899633) (xy 70.629895 71.713296) (xy 70.516724 71.599927) (xy 70.629394 71.487454) (xy 70.706712 71.301251)
+        (xy 70.706888 71.099633) (xy 70.629895 70.913296) (xy 70.516724 70.799927) (xy 70.629394 70.687454) (xy 70.706712 70.501251)
+        (xy 70.706888 70.299633) (xy 70.629895 70.113296) (xy 70.516724 69.999927) (xy 70.629394 69.887454) (xy 70.706712 69.701251)
+        (xy 70.706888 69.499633) (xy 70.629895 69.313296) (xy 70.516724 69.199927) (xy 70.600072 69.116724) (xy 70.712546 69.229394)
+        (xy 70.898749 69.306712) (xy 71.100367 69.306888) (xy 71.286704 69.229895) (xy 71.429394 69.087454) (xy 71.506712 68.901251)
+        (xy 71.506888 68.699633) (xy 71.506888 70.801458) (xy 71.395606 70.912546) (xy 71.318288 71.098749) (xy 71.318112 71.300367)
+        (xy 71.395105 71.486704) (xy 71.537546 71.629394) (xy 71.723749 71.706712) (xy 71.925367 71.706888) (xy 72.111704 71.629895)
+        (xy 72.254394 71.487454) (xy 72.331712 71.301251) (xy 72.331888 71.099633) (xy 72.331888 71.562422) (xy 72.313296 71.570105)
+        (xy 72.170606 71.712546) (xy 72.093288 71.898749) (xy 72.093112 72.100367) (xy 72.170105 72.286704) (xy 72.283275 72.400072)
+        (xy 72.170606 72.512546) (xy 72.093288 72.698749) (xy 72.093112 72.900367) (xy 72.170105 73.086704) (xy 72.312546 73.229394)
+        (xy 72.498749 73.306712) (xy 72.700367 73.306888) (xy 72.886704 73.229895) (xy 73.029394 73.087454) (xy 73.106712 72.901251)
+        (xy 73.106888 72.699633) (xy 73.106888 91.145635) (xy 73.092291 91.160207) (xy 73.049848 91.26242) (xy 73.049752 91.373095)
+        (xy 73.049752 92.897095) (xy 73.092016 92.999382) (xy 73.170207 93.077709) (xy 73.27242 93.120152) (xy 73.383095 93.120248)
+        (xy 74.907095 93.120248) (xy 75.009382 93.077984) (xy 75.087709 92.999793) (xy 75.130152 92.89758) (xy 75.130248 92.786905)
+        (xy 75.130248 100.429351) (xy 74.976989 100.058437) (xy 74.663215 99.744114) (xy 74.25304 99.573795) (xy 73.808909 99.573407)
+        (xy 73.398437 99.743011) (xy 73.084114 100.056785) (xy 72.913795 100.46696) (xy 72.913627 100.65851) (xy 72.882238 100.28826)
+        (xy 72.810248 100.11446) (xy 72.810248 86.131905) (xy 72.810248 84.607905) (xy 72.767984 84.505618) (xy 72.689793 84.427291)
+        (xy 72.58758 84.384848) (xy 72.476905 84.384752) (xy 72.306888 84.384752) (xy 72.306888 73.499633) (xy 72.229895 73.313296)
+        (xy 72.087454 73.170606) (xy 71.901251 73.093288) (xy 71.699633 73.093112) (xy 71.513296 73.170105) (xy 71.387427 73.295754)
+        (xy 71.287454 73.195606) (xy 71.101251 73.118288) (xy 70.899633 73.118112) (xy 70.713296 73.195105) (xy 70.570606 73.337546)
+        (xy 70.493288 73.523749) (xy 70.493112 73.725367) (xy 70.570105 73.911704) (xy 70.712546 74.054394) (xy 70.898749 74.131712)
+        (xy 71.100367 74.131888) (xy 71.286704 74.054895) (xy 71.412572 73.929245) (xy 71.512546 74.029394) (xy 71.698749 74.106712)
+        (xy 71.900367 74.106888) (xy 72.086704 74.029895) (xy 72.229394 73.887454) (xy 72.306712 73.701251) (xy 72.306888 73.499633)
+        (xy 72.306888 84.384752) (xy 71.106888 84.384752) (xy 71.106888 75.274633) (xy 71.029895 75.088296) (xy 70.887454 74.945606)
+        (xy 70.701251 74.868288) (xy 70.499633 74.868112) (xy 70.313296 74.945105) (xy 70.234301 75.023961) (xy 70.229895 75.013296)
+        (xy 70.087454 74.870606) (xy 69.901251 74.793288) (xy 69.699633 74.793112) (xy 69.513296 74.870105) (xy 69.370606 75.012546)
+        (xy 69.293288 75.198749) (xy 69.293112 75.400367) (xy 69.370105 75.586704) (xy 69.512546 75.729394) (xy 69.698749 75.806712)
+        (xy 69.900367 75.806888) (xy 70.086704 75.729895) (xy 70.165698 75.651038) (xy 70.170105 75.661704) (xy 70.312546 75.804394)
+        (xy 70.498749 75.881712) (xy 70.700367 75.881888) (xy 70.886704 75.804895) (xy 71.029394 75.662454) (xy 71.106712 75.476251)
+        (xy 71.106888 75.274633) (xy 71.106888 84.384752) (xy 70.952905 84.384752) (xy 70.850618 84.427016) (xy 70.772291 84.505207)
+        (xy 70.729848 84.60742) (xy 70.729752 84.718095) (xy 70.729752 86.242095) (xy 70.772016 86.344382) (xy 70.850207 86.422709)
+        (xy 70.95242 86.465152) (xy 71.063095 86.465248) (xy 72.587095 86.465248) (xy 72.689382 86.422984) (xy 72.767709 86.344793)
+        (xy 72.810152 86.24258) (xy 72.810248 86.131905) (xy 72.810248 100.11446) (xy 72.758534 99.989612) (xy 72.529405 99.903739)
+        (xy 72.276261 100.156883) (xy 72.276261 99.650595) (xy 72.190388 99.421466) (xy 71.651627 99.25) (xy 71.08826 99.297762)
+        (xy 70.789612 99.421466) (xy 70.703739 99.650595) (xy 71.49 100.436856) (xy 72.276261 99.650595) (xy 72.276261 100.156883)
+        (xy 71.743144 100.69) (xy 72.529405 101.476261) (xy 72.758534 101.390388) (xy 72.913413 100.903743) (xy 72.913407 100.911091)
+        (xy 73.083011 101.321563) (xy 73.396785 101.635886) (xy 73.80696 101.806205) (xy 74.251091 101.806593) (xy 74.661563 101.636989)
+        (xy 74.975886 101.323215) (xy 75.146205 100.91304) (xy 75.146593 100.468909) (xy 75.146593 104.307075) (xy 72.276261 104.293622)
+        (xy 72.276261 101.729405) (xy 71.49 100.943144) (xy 71.236856 101.196288) (xy 71.236856 100.69) (xy 70.593837 100.046981)
+        (xy 70.593837 85.568343) (xy 70.544876 85.035514) (xy 70.481888 84.883446) (xy 70.481888 80.724633) (xy 70.404895 80.538296)
+        (xy 70.262454 80.395606) (xy 70.076251 80.318288) (xy 69.874633 80.318112) (xy 69.688296 80.395105) (xy 69.656888 80.426458)
+        (xy 69.656888 78.999633) (xy 69.579895 78.813296) (xy 69.437454 78.670606) (xy 69.251251 78.593288) (xy 69.23312 78.593272)
+        (xy 69.281712 78.476251) (xy 69.281888 78.274633) (xy 69.204895 78.088296) (xy 69.062454 77.945606) (xy 69.011669 77.924518)
+        (xy 69.031712 77.876251) (xy 69.031888 77.674633) (xy 68.954895 77.488296) (xy 68.812454 77.345606) (xy 68.626251 77.268288)
+        (xy 68.424633 77.268112) (xy 68.238296 77.345105) (xy 68.095606 77.487546) (xy 68.018288 77.673749) (xy 68.018112 77.875367)
+        (xy 68.095105 78.061704) (xy 68.237546 78.204394) (xy 68.28833 78.225481) (xy 68.268288 78.273749) (xy 68.268112 78.475367)
+        (xy 68.345105 78.661704) (xy 68.487546 78.804394) (xy 68.673749 78.881712) (xy 68.691879 78.881727) (xy 68.643288 78.998749)
+        (xy 68.643227 79.06828) (xy 68.449633 79.068112) (xy 68.263296 79.145105) (xy 68.120606 79.287546) (xy 68.043288 79.473749)
+        (xy 68.043112 79.675367) (xy 68.120105 79.861704) (xy 68.262546 80.004394) (xy 68.448749 80.081712) (xy 68.468259 80.081729)
+        (xy 68.468112 80.250367) (xy 68.545105 80.436704) (xy 68.687546 80.579394) (xy 68.873749 80.656712) (xy 69.075367 80.656888)
+        (xy 69.261704 80.579895) (xy 69.404394 80.437454) (xy 69.481712 80.251251) (xy 69.481888 80.049633) (xy 69.404895 79.863296)
+        (xy 69.262454 79.720606) (xy 69.076251 79.643288) (xy 69.05674 79.64327) (xy 69.056772 79.606719) (xy 69.250367 79.606888)
+        (xy 69.436704 79.529895) (xy 69.579394 79.387454) (xy 69.656712 79.201251) (xy 69.656888 78.999633) (xy 69.656888 80.426458)
+        (xy 69.545606 80.537546) (xy 69.468288 80.723749) (xy 69.468112 80.925367) (xy 69.545105 81.111704) (xy 69.687546 81.254394)
+        (xy 69.873749 81.331712) (xy 70.075367 81.331888) (xy 70.261704 81.254895) (xy 70.404394 81.112454) (xy 70.481712 80.926251)
+        (xy 70.481888 80.724633) (xy 70.481888 84.883446) (xy 70.435167 84.770651) (xy 70.213909 84.694236) (xy 69.960764 84.94738)
+        (xy 69.960764 84.441091) (xy 69.884349 84.219833) (xy 69.373343 84.061163) (xy 68.840514 84.110124) (xy 68.575651 84.219833)
+        (xy 68.499236 84.441091) (xy 69.23 85.171856) (xy 69.960764 84.441091) (xy 69.960764 84.94738) (xy 69.483144 85.425)
+        (xy 70.213909 86.155764) (xy 70.435167 86.079349) (xy 70.593837 85.568343) (xy 70.593837 100.046981) (xy 70.450595 99.903739)
+        (xy 70.221466 99.989612) (xy 70.05 100.528373) (xy 70.097762 101.09174) (xy 70.221466 101.390388) (xy 70.450595 101.476261)
+        (xy 71.236856 100.69) (xy 71.236856 101.196288) (xy 70.703739 101.729405) (xy 70.789612 101.958534) (xy 71.328373 102.13)
+        (xy 71.89174 102.082238) (xy 72.190388 101.958534) (xy 72.276261 101.729405) (xy 72.276261 104.293622) (xy 69.960764 104.282769)
+        (xy 69.960764 86.408909) (xy 69.23 85.678144) (xy 68.976856 85.931288) (xy 68.976856 85.425) (xy 68.246091 84.694236)
+        (xy 68.024833 84.770651) (xy 67.866163 85.281657) (xy 67.915124 85.814486) (xy 68.024833 86.079349) (xy 68.246091 86.155764)
+        (xy 68.976856 85.425) (xy 68.976856 85.931288) (xy 68.499236 86.408909) (xy 68.575651 86.630167) (xy 69.086657 86.788837)
+        (xy 69.619486 86.739876) (xy 69.884349 86.630167) (xy 69.960764 86.408909) (xy 69.960764 104.282769) (xy 68.984718 104.278194)
+        (xy 68.984718 90.264422) (xy 68.880714 90.012712) (xy 68.688301 89.819963) (xy 68.436773 89.715519) (xy 68.164422 89.715282)
+        (xy 67.912712 89.819286) (xy 67.719963 90.011699) (xy 67.615519 90.263227) (xy 67.615282 90.535578) (xy 67.719286 90.787288)
+        (xy 67.911699 90.980037) (xy 68.163227 91.084481) (xy 68.435578 91.084718) (xy 68.687288 90.980714) (xy 68.880037 90.788301)
+        (xy 68.984481 90.536773) (xy 68.984718 90.264422) (xy 68.984718 104.278194) (xy 67.481888 104.27115) (xy 67.481888 54.824633)
+        (xy 67.406888 54.643119) (xy 67.406888 37.299633) (xy 67.329895 37.113296) (xy 67.187454 36.970606) (xy 67.001251 36.893288)
+        (xy 66.799633 36.893112) (xy 66.613296 36.970105) (xy 66.470606 37.112546) (xy 66.393288 37.298749) (xy 66.393112 37.500367)
+        (xy 66.470105 37.686704) (xy 66.612546 37.829394) (xy 66.798749 37.906712) (xy 67.000367 37.906888) (xy 67.186704 37.829895)
+        (xy 67.329394 37.687454) (xy 67.406712 37.501251) (xy 67.406888 37.299633) (xy 67.406888 54.643119) (xy 67.404895 54.638296)
+        (xy 67.262454 54.495606) (xy 67.076251 54.418288) (xy 66.874633 54.418112) (xy 66.688296 54.495105) (xy 66.545606 54.637546)
+        (xy 66.468288 54.823749) (xy 66.468112 55.025367) (xy 66.545105 55.211704) (xy 66.687546 55.354394) (xy 66.873749 55.431712)
+        (xy 67.075367 55.431888) (xy 67.261704 55.354895) (xy 67.404394 55.212454) (xy 67.481712 55.026251) (xy 67.481888 54.824633)
+        (xy 67.481888 104.27115) (xy 66.431888 104.266229) (xy 66.431888 82.699633) (xy 66.354895 82.513296) (xy 66.212454 82.370606)
+        (xy 66.026251 82.293288) (xy 65.824633 82.293112) (xy 65.666423 82.358482) (xy 65.731712 82.201251) (xy 65.731888 81.999633)
+        (xy 65.662518 81.831745) (xy 65.825367 81.831888) (xy 66.011704 81.754895) (xy 66.154394 81.612454) (xy 66.231712 81.426251)
+        (xy 66.231888 81.224633) (xy 66.154895 81.038296) (xy 66.012454 80.895606) (xy 65.826251 80.818288) (xy 65.624633 80.818112)
+        (xy 65.438296 80.895105) (xy 65.295606 81.037546) (xy 65.218288 81.223749) (xy 65.218112 81.425367) (xy 65.287481 81.593254)
+        (xy 65.124633 81.593112) (xy 64.938296 81.670105) (xy 64.795606 81.812546) (xy 64.718288 81.998749) (xy 64.718112 82.200367)
+        (xy 64.795105 82.386704) (xy 64.937546 82.529394) (xy 65.123749 82.606712) (xy 65.325367 82.606888) (xy 65.483576 82.541517)
+        (xy 65.418288 82.698749) (xy 65.418112 82.900367) (xy 65.495105 83.086704) (xy 65.637546 83.229394) (xy 65.823749 83.306712)
+        (xy 66.025367 83.306888) (xy 66.211704 83.229895) (xy 66.354394 83.087454) (xy 66.431712 82.901251) (xy 66.431888 82.699633)
+        (xy 66.431888 104.266229) (xy 64.356888 104.256503) (xy 64.356888 63.324633) (xy 64.279895 63.138296) (xy 64.137454 62.995606)
+        (xy 64.106888 62.982913) (xy 64.106888 54.199633) (xy 64.029895 54.013296) (xy 63.887454 53.870606) (xy 63.701251 53.793288)
+        (xy 63.499633 53.793112) (xy 63.313296 53.870105) (xy 63.170606 54.012546) (xy 63.093288 54.198749) (xy 63.093112 54.400367)
+        (xy 63.170105 54.586704) (xy 63.312546 54.729394) (xy 63.498749 54.806712) (xy 63.700367 54.806888) (xy 63.886704 54.729895)
+        (xy 64.029394 54.587454) (xy 64.106712 54.401251) (xy 64.106888 54.199633) (xy 64.106888 62.982913) (xy 63.951251 62.918288)
+        (xy 63.749633 62.918112) (xy 63.563296 62.995105) (xy 63.420606 63.137546) (xy 63.343288 63.323749) (xy 63.343112 63.525367)
+        (xy 63.420105 63.711704) (xy 63.476416 63.768113) (xy 63.474633 63.768112) (xy 63.288296 63.845105) (xy 63.145606 63.987546)
+        (xy 63.131888 64.020582) (xy 63.131888 63.749633) (xy 63.054895 63.563296) (xy 62.912454 63.420606) (xy 62.726251 63.343288)
+        (xy 62.524633 63.343112) (xy 62.338296 63.420105) (xy 62.195606 63.562546) (xy 62.118288 63.748749) (xy 62.118112 63.950367)
+        (xy 62.195105 64.136704) (xy 62.226503 64.168157) (xy 62.174633 64.168112) (xy 61.988296 64.245105) (xy 61.845606 64.387546)
+        (xy 61.768288 64.573749) (xy 61.768112 64.775367) (xy 61.845105 64.961704) (xy 61.987546 65.104394) (xy 62.173749 65.181712)
+        (xy 62.375367 65.181888) (xy 62.561704 65.104895) (xy 62.704394 64.962454) (xy 62.781712 64.776251) (xy 62.781888 64.574633)
+        (xy 62.704895 64.388296) (xy 62.673496 64.356842) (xy 62.725367 64.356888) (xy 62.911704 64.279895) (xy 63.054394 64.137454)
+        (xy 63.131712 63.951251) (xy 63.131888 63.749633) (xy 63.131888 64.020582) (xy 63.068288 64.173749) (xy 63.068112 64.375367)
+        (xy 63.145105 64.561704) (xy 63.287546 64.704394) (xy 63.473749 64.781712) (xy 63.675367 64.781888) (xy 63.861704 64.704895)
+        (xy 64.004394 64.562454) (xy 64.081712 64.376251) (xy 64.081888 64.174633) (xy 64.004895 63.988296) (xy 63.948583 63.931886)
+        (xy 63.950367 63.931888) (xy 64.136704 63.854895) (xy 64.279394 63.712454) (xy 64.356712 63.526251) (xy 64.356888 63.324633)
+        (xy 64.356888 104.256503) (xy 63.006888 104.250175) (xy 63.006888 82.299633) (xy 62.929895 82.113296) (xy 62.787454 81.970606)
+        (xy 62.601251 81.893288) (xy 62.506888 81.893205) (xy 62.506888 76.249633) (xy 62.429895 76.063296) (xy 62.287454 75.920606)
+        (xy 62.101251 75.843288) (xy 61.899633 75.843112) (xy 61.713296 75.920105) (xy 61.570606 76.062546) (xy 61.493288 76.248749)
+        (xy 61.493112 76.450367) (xy 61.570105 76.636704) (xy 61.712546 76.779394) (xy 61.898749 76.856712) (xy 62.100367 76.856888)
+        (xy 62.286704 76.779895) (xy 62.429394 76.637454) (xy 62.506712 76.451251) (xy 62.506888 76.249633) (xy 62.506888 81.893205)
+        (xy 62.399633 81.893112) (xy 62.213296 81.970105) (xy 62.206888 81.976501) (xy 62.206888 81.024633) (xy 62.129895 80.838296)
+        (xy 62.106888 80.815248) (xy 62.106888 77.899633) (xy 62.029895 77.713296) (xy 61.887454 77.570606) (xy 61.701251 77.493288)
+        (xy 61.499633 77.493112) (xy 61.313296 77.570105) (xy 61.170606 77.712546) (xy 61.093288 77.898749) (xy 61.093112 78.100367)
+        (xy 61.170105 78.286704) (xy 61.312546 78.429394) (xy 61.498749 78.506712) (xy 61.700367 78.506888) (xy 61.886704 78.429895)
+        (xy 62.029394 78.287454) (xy 62.106712 78.101251) (xy 62.106888 77.899633) (xy 62.106888 80.815248) (xy 61.987454 80.695606)
+        (xy 61.801251 80.618288) (xy 61.599633 80.618112) (xy 61.413296 80.695105) (xy 61.270606 80.837546) (xy 61.193288 81.023749)
+        (xy 61.193112 81.225367) (xy 61.270105 81.411704) (xy 61.412546 81.554394) (xy 61.598749 81.631712) (xy 61.800367 81.631888)
+        (xy 61.986704 81.554895) (xy 62.129394 81.412454) (xy 62.206712 81.226251) (xy 62.206888 81.024633) (xy 62.206888 81.976501)
+        (xy 62.070606 82.112546) (xy 61.993288 82.298749) (xy 61.993112 82.500367) (xy 62.070105 82.686704) (xy 62.212546 82.829394)
+        (xy 62.398749 82.906712) (xy 62.600367 82.906888) (xy 62.786704 82.829895) (xy 62.929394 82.687454) (xy 63.006712 82.501251)
+        (xy 63.006888 82.299633) (xy 63.006888 104.250175) (xy 60.981888 104.240684) (xy 60.981888 81.049633) (xy 60.956888 80.989128)
+        (xy 60.956888 62.299633) (xy 60.879895 62.113296) (xy 60.737454 61.970606) (xy 60.551251 61.893288) (xy 60.349633 61.893112)
+        (xy 60.163296 61.970105) (xy 60.106888 62.026414) (xy 60.106888 57.099633) (xy 60.029895 56.913296) (xy 59.887454 56.770606)
+        (xy 59.701251 56.693288) (xy 59.499633 56.693112) (xy 59.313296 56.770105) (xy 59.170606 56.912546) (xy 59.093288 57.098749)
+        (xy 59.093112 57.300367) (xy 59.170105 57.486704) (xy 59.312546 57.629394) (xy 59.498749 57.706712) (xy 59.700367 57.706888)
+        (xy 59.886704 57.629895) (xy 60.029394 57.487454) (xy 60.106712 57.301251) (xy 60.106888 57.099633) (xy 60.106888 62.026414)
+        (xy 60.020606 62.112546) (xy 59.943288 62.298749) (xy 59.943112 62.500367) (xy 60.020105 62.686704) (xy 60.162546 62.829394)
+        (xy 60.348749 62.906712) (xy 60.550367 62.906888) (xy 60.736704 62.829895) (xy 60.879394 62.687454) (xy 60.956712 62.501251)
+        (xy 60.956888 62.299633) (xy 60.956888 80.989128) (xy 60.906888 80.868119) (xy 60.906888 76.249633) (xy 60.829895 76.063296)
+        (xy 60.781888 76.015205) (xy 60.781888 64.299633) (xy 60.704895 64.113296) (xy 60.562454 63.970606) (xy 60.376251 63.893288)
+        (xy 60.174633 63.893112) (xy 59.988296 63.970105) (xy 59.845606 64.112546) (xy 59.822461 64.168284) (xy 59.624633 64.168112)
+        (xy 59.438296 64.245105) (xy 59.306888 64.376283) (xy 59.306888 63.499633) (xy 59.229895 63.313296) (xy 59.087454 63.170606)
+        (xy 58.906888 63.095628) (xy 58.906888 56.224633) (xy 58.829895 56.038296) (xy 58.687454 55.895606) (xy 58.501251 55.818288)
+        (xy 58.299633 55.818112) (xy 58.113296 55.895105) (xy 57.970606 56.037546) (xy 57.893288 56.223749) (xy 57.893112 56.425367)
+        (xy 57.970105 56.611704) (xy 58.112546 56.754394) (xy 58.298749 56.831712) (xy 58.500367 56.831888) (xy 58.686704 56.754895)
+        (xy 58.829394 56.612454) (xy 58.906712 56.426251) (xy 58.906888 56.224633) (xy 58.906888 63.095628) (xy 58.901251 63.093288)
+        (xy 58.699633 63.093112) (xy 58.513296 63.170105) (xy 58.370606 63.312546) (xy 58.293288 63.498749) (xy 58.293112 63.700367)
+        (xy 58.370105 63.886704) (xy 58.512546 64.029394) (xy 58.698749 64.106712) (xy 58.900367 64.106888) (xy 59.086704 64.029895)
+        (xy 59.229394 63.887454) (xy 59.306712 63.701251) (xy 59.306888 63.499633) (xy 59.306888 64.376283) (xy 59.295606 64.387546)
+        (xy 59.218288 64.573749) (xy 59.218112 64.775367) (xy 59.295105 64.961704) (xy 59.437546 65.104394) (xy 59.623749 65.181712)
+        (xy 59.825367 65.181888) (xy 60.011704 65.104895) (xy 60.154394 64.962454) (xy 60.177538 64.906715) (xy 60.375367 64.906888)
+        (xy 60.561704 64.829895) (xy 60.704394 64.687454) (xy 60.781712 64.501251) (xy 60.781888 64.299633) (xy 60.781888 76.015205)
+        (xy 60.687454 75.920606) (xy 60.501251 75.843288) (xy 60.299633 75.843112) (xy 60.113296 75.920105) (xy 59.970606 76.062546)
+        (xy 59.893288 76.248749) (xy 59.893112 76.450367) (xy 59.970105 76.636704) (xy 60.112546 76.779394) (xy 60.298749 76.856712)
+        (xy 60.500367 76.856888) (xy 60.686704 76.779895) (xy 60.829394 76.637454) (xy 60.906712 76.451251) (xy 60.906888 76.249633)
+        (xy 60.906888 80.868119) (xy 60.904895 80.863296) (xy 60.762454 80.720606) (xy 60.576251 80.643288) (xy 60.506888 80.643227)
+        (xy 60.506888 77.899633) (xy 60.429895 77.713296) (xy 60.287454 77.570606) (xy 60.101251 77.493288) (xy 59.899633 77.493112)
+        (xy 59.713296 77.570105) (xy 59.570606 77.712546) (xy 59.493288 77.898749) (xy 59.493112 78.100367) (xy 59.570105 78.286704)
+        (xy 59.712546 78.429394) (xy 59.898749 78.506712) (xy 60.100367 78.506888) (xy 60.286704 78.429895) (xy 60.429394 78.287454)
+        (xy 60.506712 78.101251) (xy 60.506888 77.899633) (xy 60.506888 80.643227) (xy 60.374633 80.643112) (xy 60.256888 80.691763)
+        (xy 60.256888 79.024633) (xy 60.179895 78.838296) (xy 60.037454 78.695606) (xy 59.851251 78.618288) (xy 59.649633 78.618112)
+        (xy 59.463296 78.695105) (xy 59.320606 78.837546) (xy 59.243288 79.023749) (xy 59.243112 79.225367) (xy 59.320105 79.411704)
+        (xy 59.462546 79.554394) (xy 59.648749 79.631712) (xy 59.850367 79.631888) (xy 60.036704 79.554895) (xy 60.179394 79.412454)
+        (xy 60.256712 79.226251) (xy 60.256888 79.024633) (xy 60.256888 80.691763) (xy 60.188296 80.720105) (xy 60.045606 80.862546)
+        (xy 59.968288 81.048749) (xy 59.968112 81.250367) (xy 60.045105 81.436704) (xy 60.187546 81.579394) (xy 60.373749 81.656712)
+        (xy 60.575367 81.656888) (xy 60.761704 81.579895) (xy 60.904394 81.437454) (xy 60.981712 81.251251) (xy 60.981888 81.049633)
+        (xy 60.981888 104.240684) (xy 60.531888 104.238575) (xy 60.531888 83.474633) (xy 60.454895 83.288296) (xy 60.312454 83.145606)
+        (xy 60.126251 83.068288) (xy 59.924633 83.068112) (xy 59.738296 83.145105) (xy 59.624905 83.258297) (xy 59.531888 83.165117)
+        (xy 59.531888 80.574633) (xy 59.454895 80.388296) (xy 59.312454 80.245606) (xy 59.126251 80.168288) (xy 58.924633 80.168112)
+        (xy 58.906888 80.175444) (xy 58.906888 77.899633) (xy 58.829895 77.713296) (xy 58.687454 77.570606) (xy 58.501251 77.493288)
+        (xy 58.299633 77.493112) (xy 58.113296 77.570105) (xy 57.999927 77.683275) (xy 57.916724 77.599927) (xy 58.029394 77.487454)
+        (xy 58.106712 77.301251) (xy 58.106888 77.099633) (xy 58.029895 76.913296) (xy 57.948496 76.831755) (xy 58.100367 76.831888)
+        (xy 58.286704 76.754895) (xy 58.429394 76.612454) (xy 58.506712 76.426251) (xy 58.50684 76.279213) (xy 58.686704 76.204895)
+        (xy 58.829394 76.062454) (xy 58.906712 75.876251) (xy 58.906888 75.674633) (xy 58.829895 75.488296) (xy 58.687454 75.345606)
+        (xy 58.501251 75.268288) (xy 58.356888 75.268161) (xy 58.356888 64.524633) (xy 58.279895 64.338296) (xy 58.137454 64.195606)
+        (xy 57.951251 64.118288) (xy 57.749633 64.118112) (xy 57.706888 64.135773) (xy 57.706888 63.599633) (xy 57.706888 61.899633)
+        (xy 57.706888 57.899633) (xy 57.629895 57.713296) (xy 57.487454 57.570606) (xy 57.301251 57.493288) (xy 57.099633 57.493112)
+        (xy 56.913296 57.570105) (xy 56.906888 57.576501) (xy 56.906888 57.099633) (xy 56.829895 56.913296) (xy 56.687454 56.770606)
+        (xy 56.501251 56.693288) (xy 56.373574 56.693176) (xy 56.429394 56.637454) (xy 56.506712 56.451251) (xy 56.506888 56.249633)
+        (xy 56.429895 56.063296) (xy 56.287454 55.920606) (xy 56.101251 55.843288) (xy 55.899633 55.843112) (xy 55.834718 55.869934)
+        (xy 55.834718 54.639422) (xy 55.730714 54.387712) (xy 55.538301 54.194963) (xy 55.381888 54.130014) (xy 55.381888 48.374633)
+        (xy 55.304895 48.188296) (xy 55.162454 48.045606) (xy 54.976251 47.968288) (xy 54.774633 47.968112) (xy 54.588296 48.045105)
+        (xy 54.445606 48.187546) (xy 54.368288 48.373749) (xy 54.368112 48.575367) (xy 54.445105 48.761704) (xy 54.587546 48.904394)
+        (xy 54.773749 48.981712) (xy 54.975367 48.981888) (xy 55.161704 48.904895) (xy 55.304394 48.762454) (xy 55.381712 48.576251)
+        (xy 55.381888 48.374633) (xy 55.381888 54.130014) (xy 55.286773 54.090519) (xy 55.014422 54.090282) (xy 54.762712 54.194286)
+        (xy 54.569963 54.386699) (xy 54.465519 54.638227) (xy 54.465282 54.910578) (xy 54.569286 55.162288) (xy 54.761699 55.355037)
+        (xy 55.013227 55.459481) (xy 55.285578 55.459718) (xy 55.537288 55.355714) (xy 55.730037 55.163301) (xy 55.834481 54.911773)
+        (xy 55.834718 54.639422) (xy 55.834718 55.869934) (xy 55.713296 55.920105) (xy 55.570606 56.062546) (xy 55.493288 56.248749)
+        (xy 55.493112 56.450367) (xy 55.570105 56.636704) (xy 55.626525 56.693222) (xy 55.499633 56.693112) (xy 55.313296 56.770105)
+        (xy 55.170606 56.912546) (xy 55.093288 57.098749) (xy 55.093112 57.300367) (xy 55.170105 57.486704) (xy 55.312546 57.629394)
+        (xy 55.498749 57.706712) (xy 55.700367 57.706888) (xy 55.886704 57.629895) (xy 56.000072 57.516724) (xy 56.112546 57.629394)
+        (xy 56.298749 57.706712) (xy 56.500367 57.706888) (xy 56.686704 57.629895) (xy 56.829394 57.487454) (xy 56.906712 57.301251)
+        (xy 56.906888 57.099633) (xy 56.906888 57.576501) (xy 56.770606 57.712546) (xy 56.693288 57.898749) (xy 56.693112 58.100367)
+        (xy 56.770105 58.286704) (xy 56.912546 58.429394) (xy 57.098749 58.506712) (xy 57.300367 58.506888) (xy 57.486704 58.429895)
+        (xy 57.629394 58.287454) (xy 57.706712 58.101251) (xy 57.706888 57.899633) (xy 57.706888 61.899633) (xy 57.629895 61.713296)
+        (xy 57.487454 61.570606) (xy 57.306888 61.495628) (xy 57.306888 59.174633) (xy 57.229895 58.988296) (xy 57.087454 58.845606)
+        (xy 56.901251 58.768288) (xy 56.699633 58.768112) (xy 56.513296 58.845105) (xy 56.370606 58.987546) (xy 56.293288 59.173749)
+        (xy 56.293112 59.375367) (xy 56.370105 59.561704) (xy 56.512546 59.704394) (xy 56.698749 59.781712) (xy 56.900367 59.781888)
+        (xy 57.086704 59.704895) (xy 57.229394 59.562454) (xy 57.306712 59.376251) (xy 57.306888 59.174633) (xy 57.306888 61.495628)
+        (xy 57.301251 61.493288) (xy 57.099633 61.493112) (xy 56.913296 61.570105) (xy 56.770606 61.712546) (xy 56.693288 61.898749)
+        (xy 56.693112 62.100367) (xy 56.770105 62.286704) (xy 56.912546 62.429394) (xy 57.098749 62.506712) (xy 57.300367 62.506888)
+        (xy 57.486704 62.429895) (xy 57.629394 62.287454) (xy 57.706712 62.101251) (xy 57.706888 61.899633) (xy 57.706888 63.599633)
+        (xy 57.629895 63.413296) (xy 57.487454 63.270606) (xy 57.301251 63.193288) (xy 57.099633 63.193112) (xy 56.913296 63.270105)
+        (xy 56.906888 63.276501) (xy 56.906888 62.699633) (xy 56.829895 62.513296) (xy 56.687454 62.370606) (xy 56.501251 62.293288)
+        (xy 56.299633 62.293112) (xy 56.206888 62.331433) (xy 56.206888 59.774633) (xy 56.129895 59.588296) (xy 56.054289 59.512558)
+        (xy 56.054394 59.512454) (xy 56.131712 59.326251) (xy 56.131888 59.124633) (xy 56.054895 58.938296) (xy 55.912454 58.795606)
+        (xy 55.726251 58.718288) (xy 55.524633 58.718112) (xy 55.338296 58.795105) (xy 55.281733 58.851568) (xy 55.281888 58.674633)
+        (xy 55.204895 58.488296) (xy 55.062454 58.345606) (xy 54.906888 58.281009) (xy 54.906888 56.149633) (xy 54.829895 55.963296)
+        (xy 54.687454 55.820606) (xy 54.501251 55.743288) (xy 54.299633 55.743112) (xy 54.113296 55.820105) (xy 53.970606 55.962546)
+        (xy 53.893288 56.148749) (xy 53.893112 56.350367) (xy 53.970105 56.536704) (xy 54.112546 56.679394) (xy 54.298749 56.756712)
+        (xy 54.500367 56.756888) (xy 54.686704 56.679895) (xy 54.829394 56.537454) (xy 54.906712 56.351251) (xy 54.906888 56.149633)
+        (xy 54.906888 58.281009) (xy 54.876251 58.268288) (xy 54.674633 58.268112) (xy 54.506888 58.337422) (xy 54.506888 57.899633)
+        (xy 54.429895 57.713296) (xy 54.287454 57.570606) (xy 54.101251 57.493288) (xy 53.899633 57.493112) (xy 53.881888 57.500444)
+        (xy 53.881888 48.574633) (xy 53.804895 48.388296) (xy 53.662454 48.245606) (xy 53.476251 48.168288) (xy 53.274633 48.168112)
+        (xy 53.088296 48.245105) (xy 52.945606 48.387546) (xy 52.868288 48.573749) (xy 52.868112 48.775367) (xy 52.945105 48.961704)
+        (xy 53.087546 49.104394) (xy 53.273749 49.181712) (xy 53.475367 49.181888) (xy 53.661704 49.104895) (xy 53.804394 48.962454)
+        (xy 53.881712 48.776251) (xy 53.881888 48.574633) (xy 53.881888 57.500444) (xy 53.713296 57.570105) (xy 53.570606 57.712546)
+        (xy 53.493288 57.898749) (xy 53.493112 58.100367) (xy 53.570105 58.286704) (xy 53.712546 58.429394) (xy 53.898749 58.506712)
+        (xy 54.100367 58.506888) (xy 54.286704 58.429895) (xy 54.429394 58.287454) (xy 54.506712 58.101251) (xy 54.506888 57.899633)
+        (xy 54.506888 58.337422) (xy 54.488296 58.345105) (xy 54.345606 58.487546) (xy 54.268288 58.673749) (xy 54.268112 58.875367)
+        (xy 54.345105 59.061704) (xy 54.487546 59.204394) (xy 54.673749 59.281712) (xy 54.875367 59.281888) (xy 55.061704 59.204895)
+        (xy 55.118266 59.148431) (xy 55.118112 59.325367) (xy 55.195105 59.511704) (xy 55.27071 59.587441) (xy 55.270606 59.587546)
+        (xy 55.193288 59.773749) (xy 55.193112 59.975367) (xy 55.270105 60.161704) (xy 55.412546 60.304394) (xy 55.598749 60.381712)
+        (xy 55.800367 60.381888) (xy 55.986704 60.304895) (xy 56.129394 60.162454) (xy 56.206712 59.976251) (xy 56.206888 59.774633)
+        (xy 56.206888 62.331433) (xy 56.113296 62.370105) (xy 56.106888 62.376501) (xy 56.106888 61.899633) (xy 56.029895 61.713296)
+        (xy 55.887454 61.570606) (xy 55.701251 61.493288) (xy 55.573574 61.493176) (xy 55.629394 61.437454) (xy 55.706712 61.251251)
+        (xy 55.706888 61.049633) (xy 55.629895 60.863296) (xy 55.487454 60.720606) (xy 55.301251 60.643288) (xy 55.099633 60.643112)
+        (xy 54.913296 60.720105) (xy 54.770606 60.862546) (xy 54.693288 61.048749) (xy 54.693112 61.250367) (xy 54.770105 61.436704)
+        (xy 54.826525 61.493222) (xy 54.699633 61.493112) (xy 54.513296 61.570105) (xy 54.506888 61.576501) (xy 54.506888 60.999633)
+        (xy 54.429895 60.813296) (xy 54.287454 60.670606) (xy 54.101251 60.593288) (xy 53.899633 60.593112) (xy 53.713296 60.670105)
+        (xy 53.570606 60.812546) (xy 53.493288 60.998749) (xy 53.493112 61.200367) (xy 53.570105 61.386704) (xy 53.712546 61.529394)
+        (xy 53.898749 61.606712) (xy 54.100367 61.606888) (xy 54.286704 61.529895) (xy 54.429394 61.387454) (xy 54.506712 61.201251)
+        (xy 54.506888 60.999633) (xy 54.506888 61.576501) (xy 54.370606 61.712546) (xy 54.293288 61.898749) (xy 54.293112 62.100367)
+        (xy 54.370105 62.286704) (xy 54.512546 62.429394) (xy 54.698749 62.506712) (xy 54.900367 62.506888) (xy 55.086704 62.429895)
+        (xy 55.200072 62.316724) (xy 55.312546 62.429394) (xy 55.498749 62.506712) (xy 55.700367 62.506888) (xy 55.886704 62.429895)
+        (xy 56.029394 62.287454) (xy 56.106712 62.101251) (xy 56.106888 61.899633) (xy 56.106888 62.376501) (xy 55.970606 62.512546)
+        (xy 55.893288 62.698749) (xy 55.893112 62.900367) (xy 55.970105 63.086704) (xy 56.112546 63.229394) (xy 56.298749 63.306712)
+        (xy 56.500367 63.306888) (xy 56.686704 63.229895) (xy 56.829394 63.087454) (xy 56.906712 62.901251) (xy 56.906888 62.699633)
+        (xy 56.906888 63.276501) (xy 56.770606 63.412546) (xy 56.693288 63.598749) (xy 56.69327 63.618237) (xy 56.549633 63.618112)
+        (xy 56.363296 63.695105) (xy 56.337558 63.720797) (xy 56.287454 63.670606) (xy 56.101251 63.593288) (xy 55.899633 63.593112)
+        (xy 55.713296 63.670105) (xy 55.637492 63.745775) (xy 55.562454 63.670606) (xy 55.376251 63.593288) (xy 55.174633 63.593112)
+        (xy 54.988296 63.670105) (xy 54.906502 63.751755) (xy 54.906712 63.751251) (xy 54.906888 63.549633) (xy 54.829895 63.363296)
+        (xy 54.687454 63.220606) (xy 54.501251 63.143288) (xy 54.299633 63.143112) (xy 54.113296 63.220105) (xy 53.970606 63.362546)
+        (xy 53.893288 63.548749) (xy 53.893112 63.750367) (xy 53.970105 63.936704) (xy 54.112546 64.079394) (xy 54.298749 64.156712)
+        (xy 54.500367 64.156888) (xy 54.686704 64.079895) (xy 54.768497 63.998244) (xy 54.768288 63.998749) (xy 54.768112 64.200367)
+        (xy 54.845105 64.386704) (xy 54.987546 64.529394) (xy 55.173749 64.606712) (xy 55.375367 64.606888) (xy 55.561704 64.529895)
+        (xy 55.637507 64.454224) (xy 55.712546 64.529394) (xy 55.898749 64.606712) (xy 56.100367 64.606888) (xy 56.286704 64.529895)
+        (xy 56.312441 64.504202) (xy 56.362546 64.554394) (xy 56.548749 64.631712) (xy 56.750367 64.631888) (xy 56.936704 64.554895)
+        (xy 57.079394 64.412454) (xy 57.156712 64.226251) (xy 57.156729 64.206762) (xy 57.300367 64.206888) (xy 57.486704 64.129895)
+        (xy 57.629394 63.987454) (xy 57.706712 63.801251) (xy 57.706888 63.599633) (xy 57.706888 64.135773) (xy 57.563296 64.195105)
+        (xy 57.420606 64.337546) (xy 57.343288 64.523749) (xy 57.343112 64.725367) (xy 57.420105 64.911704) (xy 57.562546 65.054394)
+        (xy 57.748749 65.131712) (xy 57.950367 65.131888) (xy 58.136704 65.054895) (xy 58.279394 64.912454) (xy 58.356712 64.726251)
+        (xy 58.356888 64.524633) (xy 58.356888 75.268161) (xy 58.299633 75.268112) (xy 58.113296 75.345105) (xy 57.970606 75.487546)
+        (xy 57.893288 75.673749) (xy 57.893159 75.820786) (xy 57.713296 75.895105) (xy 57.570606 76.037546) (xy 57.493288 76.223749)
+        (xy 57.493112 76.425367) (xy 57.570105 76.611704) (xy 57.651503 76.693244) (xy 57.499633 76.693112) (xy 57.406888 76.731433)
+        (xy 57.406888 75.374633) (xy 57.329895 75.188296) (xy 57.187454 75.045606) (xy 57.001251 74.968288) (xy 56.799633 74.968112)
+        (xy 56.613296 75.045105) (xy 56.581254 75.07709) (xy 56.554895 75.013296) (xy 56.412454 74.870606) (xy 56.226251 74.793288)
+        (xy 56.024633 74.793112) (xy 55.838296 74.870105) (xy 55.695606 75.012546) (xy 55.618288 75.198749) (xy 55.618112 75.400367)
+        (xy 55.695105 75.586704) (xy 55.837546 75.729394) (xy 56.023749 75.806712) (xy 56.225367 75.806888) (xy 56.411704 75.729895)
+        (xy 56.443745 75.697909) (xy 56.470105 75.761704) (xy 56.587154 75.878958) (xy 56.501251 75.843288) (xy 56.299633 75.843112)
+        (xy 56.113296 75.920105) (xy 55.970606 76.062546) (xy 55.893288 76.248749) (xy 55.893112 76.450367) (xy 55.970105 76.636704)
+        (xy 56.112546 76.779394) (xy 56.298749 76.856712) (xy 56.500367 76.856888) (xy 56.686704 76.779895) (xy 56.829394 76.637454)
+        (xy 56.906712 76.451251) (xy 56.906888 76.249633) (xy 56.829895 76.063296) (xy 56.712845 75.946041) (xy 56.798749 75.981712)
+        (xy 57.000367 75.981888) (xy 57.186704 75.904895) (xy 57.329394 75.762454) (xy 57.406712 75.576251) (xy 57.406888 75.374633)
+        (xy 57.406888 76.731433) (xy 57.313296 76.770105) (xy 57.170606 76.912546) (xy 57.093288 77.098749) (xy 57.093112 77.300367)
+        (xy 57.170105 77.486704) (xy 57.283275 77.600072) (xy 57.170606 77.712546) (xy 57.093288 77.898749) (xy 57.093112 78.100367)
+        (xy 57.170105 78.286704) (xy 57.312546 78.429394) (xy 57.498749 78.506712) (xy 57.700367 78.506888) (xy 57.886704 78.429895)
+        (xy 58.000072 78.316724) (xy 58.112546 78.429394) (xy 58.298749 78.506712) (xy 58.500367 78.506888) (xy 58.686704 78.429895)
+        (xy 58.829394 78.287454) (xy 58.906712 78.101251) (xy 58.906888 77.899633) (xy 58.906888 80.175444) (xy 58.738296 80.245105)
+        (xy 58.595606 80.387546) (xy 58.518288 80.573749) (xy 58.518112 80.775367) (xy 58.595105 80.961704) (xy 58.737546 81.104394)
+        (xy 58.923749 81.181712) (xy 59.125367 81.181888) (xy 59.311704 81.104895) (xy 59.454394 80.962454) (xy 59.531712 80.776251)
+        (xy 59.531888 80.574633) (xy 59.531888 83.165117) (xy 59.487454 83.120606) (xy 59.301251 83.043288) (xy 59.099633 83.043112)
+        (xy 58.913296 83.120105) (xy 58.906888 83.126501) (xy 58.906888 82.699633) (xy 58.829895 82.513296) (xy 58.687454 82.370606)
+        (xy 58.506888 82.295628) (xy 58.506888 80.524633) (xy 58.429895 80.338296) (xy 58.287454 80.195606) (xy 58.101251 80.118288)
+        (xy 57.899633 80.118112) (xy 57.713296 80.195105) (xy 57.570606 80.337546) (xy 57.493288 80.523749) (xy 57.493112 80.725367)
+        (xy 57.511376 80.76957) (xy 57.487454 80.745606) (xy 57.306888 80.670628) (xy 57.306888 78.699633) (xy 57.229895 78.513296)
+        (xy 57.087454 78.370606) (xy 56.901251 78.293288) (xy 56.699633 78.293112) (xy 56.513296 78.370105) (xy 56.506888 78.376501)
+        (xy 56.506888 77.899633) (xy 56.429895 77.713296) (xy 56.287454 77.570606) (xy 56.101251 77.493288) (xy 55.899633 77.493112)
+        (xy 55.713296 77.570105) (xy 55.570606 77.712546) (xy 55.493288 77.898749) (xy 55.493112 78.100367) (xy 55.570105 78.286704)
+        (xy 55.712546 78.429394) (xy 55.898749 78.506712) (xy 56.100367 78.506888) (xy 56.286704 78.429895) (xy 56.429394 78.287454)
+        (xy 56.506712 78.101251) (xy 56.506888 77.899633) (xy 56.506888 78.376501) (xy 56.370606 78.512546) (xy 56.293288 78.698749)
+        (xy 56.293112 78.900367) (xy 56.370105 79.086704) (xy 56.385756 79.102382) (xy 56.236773 79.040519) (xy 55.964422 79.040282)
+        (xy 55.712712 79.144286) (xy 55.519963 79.336699) (xy 55.415519 79.588227) (xy 55.415282 79.860578) (xy 55.519286 80.112288)
+        (xy 55.711699 80.305037) (xy 55.963227 80.409481) (xy 56.235578 80.409718) (xy 56.487288 80.305714) (xy 56.680037 80.113301)
+        (xy 56.784481 79.861773) (xy 56.784718 79.589422) (xy 56.680714 79.337712) (xy 56.61509 79.271974) (xy 56.698749 79.306712)
+        (xy 56.900367 79.306888) (xy 57.086704 79.229895) (xy 57.229394 79.087454) (xy 57.306712 78.901251) (xy 57.306888 78.699633)
+        (xy 57.306888 80.670628) (xy 57.301251 80.668288) (xy 57.099633 80.668112) (xy 56.913296 80.745105) (xy 56.843077 80.8152)
+        (xy 56.829895 80.783296) (xy 56.687454 80.640606) (xy 56.501251 80.563288) (xy 56.299633 80.563112) (xy 56.113296 80.640105)
+        (xy 55.970606 80.782546) (xy 55.893288 80.968749) (xy 55.893112 81.170367) (xy 55.970105 81.356704) (xy 56.112546 81.499394)
+        (xy 56.298749 81.576712) (xy 56.500367 81.576888) (xy 56.510833 81.572563) (xy 56.370606 81.712546) (xy 56.293288 81.898749)
+        (xy 56.293112 82.100367) (xy 56.370105 82.286704) (xy 56.512546 82.429394) (xy 56.698749 82.506712) (xy 56.900367 82.506888)
+        (xy 57.086704 82.429895) (xy 57.229394 82.287454) (xy 57.306712 82.101251) (xy 57.306888 81.899633) (xy 57.229895 81.713296)
+        (xy 57.198452 81.681799) (xy 57.300367 81.681888) (xy 57.486704 81.604895) (xy 57.629394 81.462454) (xy 57.706712 81.276251)
+        (xy 57.706888 81.074633) (xy 57.688623 81.030429) (xy 57.712546 81.054394) (xy 57.898749 81.131712) (xy 58.100367 81.131888)
+        (xy 58.286704 81.054895) (xy 58.429394 80.912454) (xy 58.506712 80.726251) (xy 58.506888 80.524633) (xy 58.506888 82.295628)
+        (xy 58.501251 82.293288) (xy 58.299633 82.293112) (xy 58.113296 82.370105) (xy 57.970606 82.512546) (xy 57.893288 82.698749)
+        (xy 57.893112 82.900367) (xy 57.970105 83.086704) (xy 58.112546 83.229394) (xy 58.298749 83.306712) (xy 58.500367 83.306888)
+        (xy 58.686704 83.229895) (xy 58.829394 83.087454) (xy 58.906712 82.901251) (xy 58.906888 82.699633) (xy 58.906888 83.126501)
+        (xy 58.770606 83.262546) (xy 58.693288 83.448749) (xy 58.693112 83.650367) (xy 58.770105 83.836704) (xy 58.912546 83.979394)
+        (xy 59.098749 84.056712) (xy 59.300367 84.056888) (xy 59.486704 83.979895) (xy 59.600094 83.866702) (xy 59.737546 84.004394)
+        (xy 59.923749 84.081712) (xy 60.125367 84.081888) (xy 60.311704 84.004895) (xy 60.454394 83.862454) (xy 60.531712 83.676251)
+        (xy 60.531888 83.474633) (xy 60.531888 104.238575) (xy 57.790739 104.225727) (xy 57.790739 98.058808) (xy 57.743777 97.475097)
+        (xy 57.61075 97.153941) (xy 57.376367 97.061777) (xy 57.123223 97.314921) (xy 57.123223 96.808633) (xy 57.031059 96.57425)
+        (xy 56.531888 96.41302) (xy 56.531888 83.474633) (xy 56.454895 83.288296) (xy 56.312454 83.145606) (xy 56.126251 83.068288)
+        (xy 55.924633 83.068112) (xy 55.738296 83.145105) (xy 55.706888 83.176458) (xy 55.706888 82.699633) (xy 55.656888 82.578623)
+        (xy 55.656888 81.074633) (xy 55.579895 80.888296) (xy 55.437454 80.745606) (xy 55.251251 80.668288) (xy 55.049633 80.668112)
+        (xy 54.863296 80.745105) (xy 54.76247 80.845754) (xy 54.687454 80.770606) (xy 54.501251 80.693288) (xy 54.299633 80.693112)
+        (xy 54.113296 80.770105) (xy 53.970606 80.912546) (xy 53.893288 81.098749) (xy 53.893112 81.300367) (xy 53.970105 81.486704)
+        (xy 54.083275 81.600072) (xy 53.970606 81.712546) (xy 53.893288 81.898749) (xy 53.893112 82.100367) (xy 53.970105 82.286704)
+        (xy 54.112546 82.429394) (xy 54.298749 82.506712) (xy 54.500367 82.506888) (xy 54.686704 82.429895) (xy 54.829394 82.287454)
+        (xy 54.906712 82.101251) (xy 54.906888 81.899633) (xy 54.829895 81.713296) (xy 54.716724 81.599927) (xy 54.787529 81.529245)
+        (xy 54.862546 81.604394) (xy 55.048749 81.681712) (xy 55.250367 81.681888) (xy 55.436704 81.604895) (xy 55.579394 81.462454)
+        (xy 55.656712 81.276251) (xy 55.656888 81.074633) (xy 55.656888 82.578623) (xy 55.629895 82.513296) (xy 55.487454 82.370606)
+        (xy 55.301251 82.293288) (xy 55.099633 82.293112) (xy 54.913296 82.370105) (xy 54.770606 82.512546) (xy 54.693288 82.698749)
+        (xy 54.693112 82.900367) (xy 54.770105 83.086704) (xy 54.912546 83.229394) (xy 55.098749 83.306712) (xy 55.300367 83.306888)
+        (xy 55.486704 83.229895) (xy 55.629394 83.087454) (xy 55.706712 82.901251) (xy 55.706888 82.699633) (xy 55.706888 83.176458)
+        (xy 55.595606 83.287546) (xy 55.518288 83.473749) (xy 55.518112 83.675367) (xy 55.595105 83.861704) (xy 55.737546 84.004394)
+        (xy 55.923749 84.081712) (xy 56.125367 84.081888) (xy 56.311704 84.004895) (xy 56.454394 83.862454) (xy 56.531712 83.676251)
+        (xy 56.531888 83.474633) (xy 56.531888 96.41302) (xy 56.473808 96.394261) (xy 55.890097 96.441223) (xy 55.840248 96.461871)
+        (xy 55.840248 86.306905) (xy 55.840248 84.782905) (xy 55.797984 84.680618) (xy 55.719793 84.602291) (xy 55.61758 84.559848)
+        (xy 55.506905 84.559752) (xy 54.106888 84.559752) (xy 54.106888 82.699633) (xy 54.029895 82.513296) (xy 53.887454 82.370606)
+        (xy 53.706888 82.295628) (xy 53.706888 61.899633) (xy 53.629895 61.713296) (xy 53.487454 61.570606) (xy 53.301251 61.493288)
+        (xy 53.099633 61.493112) (xy 52.913296 61.570105) (xy 52.906888 61.576501) (xy 52.906888 57.899633) (xy 52.829895 57.713296)
+        (xy 52.687454 57.570606) (xy 52.581888 57.526771) (xy 52.581888 55.199633) (xy 52.504895 55.013296) (xy 52.381888 54.890073)
+        (xy 52.381888 49.124633) (xy 52.304895 48.938296) (xy 52.162454 48.795606) (xy 51.976251 48.718288) (xy 51.828929 48.718159)
+        (xy 51.856712 48.651251) (xy 51.856888 48.449633) (xy 51.779895 48.263296) (xy 51.637454 48.120606) (xy 51.451251 48.043288)
+        (xy 51.249633 48.043112) (xy 51.063296 48.120105) (xy 50.920606 48.262546) (xy 50.843288 48.448749) (xy 50.843112 48.650367)
+        (xy 50.920105 48.836704) (xy 51.062546 48.979394) (xy 51.248749 49.056712) (xy 51.39607 49.05684) (xy 51.368288 49.123749)
+        (xy 51.368112 49.325367) (xy 51.445105 49.511704) (xy 51.587546 49.654394) (xy 51.773749 49.731712) (xy 51.975367 49.731888)
+        (xy 52.161704 49.654895) (xy 52.304394 49.512454) (xy 52.381712 49.326251) (xy 52.381888 49.124633) (xy 52.381888 54.890073)
+        (xy 52.362454 54.870606) (xy 52.176251 54.793288) (xy 51.974633 54.793112) (xy 51.788296 54.870105) (xy 51.645606 55.012546)
+        (xy 51.568288 55.198749) (xy 51.568112 55.400367) (xy 51.645105 55.586704) (xy 51.787546 55.729394) (xy 51.973749 55.806712)
+        (xy 52.175367 55.806888) (xy 52.361704 55.729895) (xy 52.504394 55.587454) (xy 52.581712 55.401251) (xy 52.581888 55.199633)
+        (xy 52.581888 57.526771) (xy 52.501251 57.493288) (xy 52.299633 57.493112) (xy 52.113296 57.570105) (xy 51.999927 57.683275)
+        (xy 51.887454 57.570606) (xy 51.701251 57.493288) (xy 51.499633 57.493112) (xy 51.313296 57.570105) (xy 51.306888 57.576501)
+        (xy 51.306888 57.099633) (xy 51.229895 56.913296) (xy 51.087454 56.770606) (xy 50.901251 56.693288) (xy 50.773574 56.693176)
+        (xy 50.829394 56.637454) (xy 50.906712 56.451251) (xy 50.906888 56.249633) (xy 50.829895 56.063296) (xy 50.687454 55.920606)
+        (xy 50.501251 55.843288) (xy 50.381888 55.843183) (xy 50.381888 49.474633) (xy 50.304895 49.288296) (xy 50.162454 49.145606)
+        (xy 49.976251 49.068288) (xy 49.774633 49.068112) (xy 49.588296 49.145105) (xy 49.445606 49.287546) (xy 49.368288 49.473749)
+        (xy 49.368112 49.675367) (xy 49.445105 49.861704) (xy 49.587546 50.004394) (xy 49.773749 50.081712) (xy 49.975367 50.081888)
+        (xy 50.161704 50.004895) (xy 50.304394 49.862454) (xy 50.381712 49.676251) (xy 50.381888 49.474633) (xy 50.381888 55.843183)
+        (xy 50.299633 55.843112) (xy 50.113296 55.920105) (xy 49.970606 56.062546) (xy 49.893288 56.248749) (xy 49.893112 56.450367)
+        (xy 49.970105 56.636704) (xy 50.112546 56.779394) (xy 50.298749 56.856712) (xy 50.426425 56.856823) (xy 50.370606 56.912546)
+        (xy 50.293288 57.098749) (xy 50.293112 57.300367) (xy 50.370105 57.486704) (xy 50.512546 57.629394) (xy 50.698749 57.706712)
+        (xy 50.900367 57.706888) (xy 51.086704 57.629895) (xy 51.229394 57.487454) (xy 51.306712 57.301251) (xy 51.306888 57.099633)
+        (xy 51.306888 57.576501) (xy 51.170606 57.712546) (xy 51.093288 57.898749) (xy 51.093112 58.100367) (xy 51.170105 58.286704)
+        (xy 51.312546 58.429394) (xy 51.498749 58.506712) (xy 51.700367 58.506888) (xy 51.886704 58.429895) (xy 52.000072 58.316724)
+        (xy 52.112546 58.429394) (xy 52.298749 58.506712) (xy 52.500367 58.506888) (xy 52.686704 58.429895) (xy 52.829394 58.287454)
+        (xy 52.906712 58.101251) (xy 52.906888 57.899633) (xy 52.906888 61.576501) (xy 52.799927 61.683275) (xy 52.687454 61.570606)
+        (xy 52.501251 61.493288) (xy 52.299633 61.493112) (xy 52.113296 61.570105) (xy 51.970606 61.712546) (xy 51.893288 61.898749)
+        (xy 51.893112 62.100367) (xy 51.970105 62.286704) (xy 52.083275 62.400072) (xy 51.970606 62.512546) (xy 51.893288 62.698749)
+        (xy 51.893112 62.900367) (xy 51.970105 63.086704) (xy 52.070775 63.18755) (xy 51.970606 63.287546) (xy 51.893288 63.473749)
+        (xy 51.893112 63.675367) (xy 51.970105 63.861704) (xy 52.112546 64.004394) (xy 52.298749 64.081712) (xy 52.500367 64.081888)
+        (xy 52.686704 64.004895) (xy 52.829394 63.862454) (xy 52.906712 63.676251) (xy 52.906888 63.474633) (xy 52.829895 63.288296)
+        (xy 52.729224 63.187449) (xy 52.829394 63.087454) (xy 52.906712 62.901251) (xy 52.906888 62.699633) (xy 52.829895 62.513296)
+        (xy 52.716724 62.399927) (xy 52.800072 62.316724) (xy 52.912546 62.429394) (xy 53.098749 62.506712) (xy 53.300367 62.506888)
+        (xy 53.486704 62.429895) (xy 53.629394 62.287454) (xy 53.706712 62.101251) (xy 53.706888 61.899633) (xy 53.706888 82.295628)
+        (xy 53.701251 82.293288) (xy 53.499633 82.293112) (xy 53.456888 82.310773) (xy 53.456888 64.599633) (xy 53.379895 64.413296)
+        (xy 53.237454 64.270606) (xy 53.051251 64.193288) (xy 52.849633 64.193112) (xy 52.663296 64.270105) (xy 52.520606 64.412546)
+        (xy 52.443288 64.598749) (xy 52.443112 64.800367) (xy 52.520105 64.986704) (xy 52.662546 65.129394) (xy 52.674655 65.134422)
+        (xy 52.588296 65.170105) (xy 52.445606 65.312546) (xy 52.368288 65.498749) (xy 52.368112 65.700367) (xy 52.445105 65.886704)
+        (xy 52.587546 66.029394) (xy 52.773749 66.106712) (xy 52.975367 66.106888) (xy 53.161704 66.029895) (xy 53.304394 65.887454)
+        (xy 53.381712 65.701251) (xy 53.381888 65.499633) (xy 53.304895 65.313296) (xy 53.162454 65.170606) (xy 53.150344 65.165577)
+        (xy 53.236704 65.129895) (xy 53.379394 64.987454) (xy 53.456712 64.801251) (xy 53.456888 64.599633) (xy 53.456888 82.310773)
+        (xy 53.356888 82.352093) (xy 53.356888 67.099633) (xy 53.279895 66.913296) (xy 53.137454 66.770606) (xy 52.951251 66.693288)
+        (xy 52.749633 66.693112) (xy 52.563296 66.770105) (xy 52.420606 66.912546) (xy 52.343288 67.098749) (xy 52.343112 67.300367)
+        (xy 52.420105 67.486704) (xy 52.562546 67.629394) (xy 52.748749 67.706712) (xy 52.950367 67.706888) (xy 53.136704 67.629895)
+        (xy 53.279394 67.487454) (xy 53.356712 67.301251) (xy 53.356888 67.099633) (xy 53.356888 82.352093) (xy 53.313296 82.370105)
+        (xy 53.306888 82.376501) (xy 53.306888 81.899633) (xy 53.229895 81.713296) (xy 53.087454 81.570606) (xy 53.037937 81.550044)
+        (xy 53.086704 81.529895) (xy 53.229394 81.387454) (xy 53.306712 81.201251) (xy 53.306888 80.999633) (xy 53.306888 77.899633)
+        (xy 53.229895 77.713296) (xy 53.116724 77.599927) (xy 53.229394 77.487454) (xy 53.306712 77.301251) (xy 53.306888 77.099633)
+        (xy 53.229895 76.913296) (xy 53.087454 76.770606) (xy 52.901251 76.693288) (xy 52.773574 76.693176) (xy 52.829394 76.637454)
+        (xy 52.906712 76.451251) (xy 52.906888 76.249633) (xy 52.829895 76.063296) (xy 52.687454 75.920606) (xy 52.501251 75.843288)
+        (xy 52.331888 75.84314) (xy 52.331888 65.099633) (xy 52.254895 64.913296) (xy 52.112454 64.770606) (xy 51.926251 64.693288)
+        (xy 51.724633 64.693112) (xy 51.538296 64.770105) (xy 51.395606 64.912546) (xy 51.318288 65.098749) (xy 51.318112 65.300367)
+        (xy 51.395105 65.486704) (xy 51.537546 65.629394) (xy 51.723749 65.706712) (xy 51.925367 65.706888) (xy 52.111704 65.629895)
+        (xy 52.254394 65.487454) (xy 52.331712 65.301251) (xy 52.331888 65.099633) (xy 52.331888 75.84314) (xy 52.299633 75.843112)
+        (xy 52.113296 75.920105) (xy 51.970606 76.062546) (xy 51.893288 76.248749) (xy 51.893112 76.450367) (xy 51.970105 76.636704)
+        (xy 52.112546 76.779394) (xy 52.298749 76.856712) (xy 52.426425 76.856823) (xy 52.370606 76.912546) (xy 52.293288 77.098749)
+        (xy 52.293112 77.300367) (xy 52.370105 77.486704) (xy 52.483275 77.600072) (xy 52.370606 77.712546) (xy 52.293288 77.898749)
+        (xy 52.293112 78.100367) (xy 52.370105 78.286704) (xy 52.512546 78.429394) (xy 52.698749 78.506712) (xy 52.900367 78.506888)
+        (xy 53.086704 78.429895) (xy 53.229394 78.287454) (xy 53.306712 78.101251) (xy 53.306888 77.899633) (xy 53.306888 80.999633)
+        (xy 53.229895 80.813296) (xy 53.087454 80.670606) (xy 52.901251 80.593288) (xy 52.699633 80.593112) (xy 52.513296 80.670105)
+        (xy 52.370606 80.812546) (xy 52.293288 80.998749) (xy 52.293112 81.200367) (xy 52.370105 81.386704) (xy 52.512546 81.529394)
+        (xy 52.562062 81.549955) (xy 52.513296 81.570105) (xy 52.399927 81.683275) (xy 52.287454 81.570606) (xy 52.101251 81.493288)
+        (xy 51.899633 81.493112) (xy 51.713296 81.570105) (xy 51.606888 81.676327) (xy 51.606888 65.899633) (xy 51.529895 65.713296)
+        (xy 51.387454 65.570606) (xy 51.306888 65.537152) (xy 51.306888 61.899633) (xy 51.229895 61.713296) (xy 51.087454 61.570606)
+        (xy 50.901251 61.493288) (xy 50.699633 61.493112) (xy 50.513296 61.570105) (xy 50.370606 61.712546) (xy 50.293288 61.898749)
+        (xy 50.293112 62.100367) (xy 50.370105 62.286704) (xy 50.512546 62.429394) (xy 50.698749 62.506712) (xy 50.900367 62.506888)
+        (xy 51.086704 62.429895) (xy 51.229394 62.287454) (xy 51.306712 62.101251) (xy 51.306888 61.899633) (xy 51.306888 65.537152)
+        (xy 51.201251 65.493288) (xy 50.999633 65.493112) (xy 50.906888 65.531433) (xy 50.906888 63.549633) (xy 50.829895 63.363296)
+        (xy 50.687454 63.220606) (xy 50.501251 63.143288) (xy 50.299633 63.143112) (xy 50.113296 63.220105) (xy 49.970606 63.362546)
+        (xy 49.893288 63.548749) (xy 49.893112 63.750367) (xy 49.970105 63.936704) (xy 50.112546 64.079394) (xy 50.298749 64.156712)
+        (xy 50.500367 64.156888) (xy 50.686704 64.079895) (xy 50.829394 63.937454) (xy 50.906712 63.751251) (xy 50.906888 63.549633)
+        (xy 50.906888 65.531433) (xy 50.813296 65.570105) (xy 50.670606 65.712546) (xy 50.593288 65.898749) (xy 50.593112 66.100367)
+        (xy 50.670105 66.286704) (xy 50.812546 66.429394) (xy 50.998749 66.506712) (xy 51.200367 66.506888) (xy 51.386704 66.429895)
+        (xy 51.529394 66.287454) (xy 51.606712 66.101251) (xy 51.606888 65.899633) (xy 51.606888 81.676327) (xy 51.570606 81.712546)
+        (xy 51.493288 81.898749) (xy 51.493112 82.100367) (xy 51.570105 82.286704) (xy 51.712546 82.429394) (xy 51.898749 82.506712)
+        (xy 52.100367 82.506888) (xy 52.286704 82.429895) (xy 52.400072 82.316724) (xy 52.512546 82.429394) (xy 52.698749 82.506712)
+        (xy 52.900367 82.506888) (xy 53.086704 82.429895) (xy 53.229394 82.287454) (xy 53.306712 82.101251) (xy 53.306888 81.899633)
+        (xy 53.306888 82.376501) (xy 53.170606 82.512546) (xy 53.093288 82.698749) (xy 53.093112 82.900367) (xy 53.170105 83.086704)
+        (xy 53.312546 83.229394) (xy 53.498749 83.306712) (xy 53.700367 83.306888) (xy 53.886704 83.229895) (xy 54.029394 83.087454)
+        (xy 54.106712 82.901251) (xy 54.106888 82.699633) (xy 54.106888 84.559752) (xy 53.982905 84.559752) (xy 53.880618 84.602016)
+        (xy 53.802291 84.680207) (xy 53.759848 84.78242) (xy 53.759752 84.893095) (xy 53.759752 86.417095) (xy 53.802016 86.519382)
+        (xy 53.880207 86.597709) (xy 53.98242 86.640152) (xy 54.093095 86.640248) (xy 55.617095 86.640248) (xy 55.719382 86.597984)
+        (xy 55.797709 86.519793) (xy 55.840152 86.41758) (xy 55.840248 86.306905) (xy 55.840248 96.461871) (xy 55.568941 96.57425)
+        (xy 55.476777 96.808633) (xy 56.3 97.631856) (xy 57.123223 96.808633) (xy 57.123223 97.314921) (xy 56.553144 97.885)
+        (xy 57.376367 98.708223) (xy 57.61075 98.616059) (xy 57.790739 98.058808) (xy 57.790739 104.225727) (xy 57.467248 104.224211)
+        (xy 57.467248 103.798905) (xy 57.467248 102.020905) (xy 57.424984 101.918618) (xy 57.346793 101.840291) (xy 57.24458 101.797848)
+        (xy 57.133905 101.797752) (xy 57.123223 101.797752) (xy 57.123223 98.961367) (xy 56.3 98.138144) (xy 56.046856 98.391288)
+        (xy 56.046856 97.885) (xy 55.223633 97.061777) (xy 54.98925 97.153941) (xy 54.809261 97.711192) (xy 54.856223 98.294903)
+        (xy 54.98925 98.616059) (xy 55.223633 98.708223) (xy 56.046856 97.885) (xy 56.046856 98.391288) (xy 55.476777 98.961367)
+        (xy 55.568941 99.19575) (xy 56.126192 99.375739) (xy 56.709903 99.328777) (xy 57.031059 99.19575) (xy 57.123223 98.961367)
+        (xy 57.123223 101.797752) (xy 55.355905 101.797752) (xy 55.253618 101.840016) (xy 55.175291 101.918207) (xy 55.132848 102.02042)
+        (xy 55.132752 102.131095) (xy 55.132752 103.909095) (xy 55.175016 104.011382) (xy 55.253207 104.089709) (xy 55.35542 104.132152)
+        (xy 55.466095 104.132248) (xy 57.244095 104.132248) (xy 57.346382 104.089984) (xy 57.424709 104.011793) (xy 57.467152 103.90958)
+        (xy 57.467248 103.798905) (xy 57.467248 104.224211) (xy 52.906888 104.202836) (xy 52.906888 83.549633) (xy 52.829895 83.363296)
+        (xy 52.687454 83.220606) (xy 52.501251 83.143288) (xy 52.299633 83.143112) (xy 52.113296 83.220105) (xy 51.970606 83.362546)
+        (xy 51.893288 83.548749) (xy 51.893112 83.750367) (xy 51.970105 83.936704) (xy 52.112546 84.079394) (xy 52.298749 84.156712)
+        (xy 52.500367 84.156888) (xy 52.686704 84.079895) (xy 52.829394 83.937454) (xy 52.906712 83.751251) (xy 52.906888 83.549633)
+        (xy 52.906888 104.202836) (xy 51.306888 104.195337) (xy 51.306888 76.249633) (xy 51.231888 76.068119) (xy 51.231888 73.899633)
+        (xy 51.154895 73.713296) (xy 51.012454 73.570606) (xy 50.856888 73.506009) (xy 50.856888 71.099633) (xy 50.779895 70.913296)
+        (xy 50.637454 70.770606) (xy 50.456888 70.695628) (xy 50.456888 69.899633) (xy 50.379895 69.713296) (xy 50.237454 69.570606)
+        (xy 50.051251 69.493288) (xy 49.849633 69.493112) (xy 49.706888 69.552093) (xy 49.706888 66.299633) (xy 49.629895 66.113296)
+        (xy 49.487454 65.970606) (xy 49.306888 65.895628) (xy 49.306888 63.549633) (xy 49.306888 56.074633) (xy 49.229895 55.888296)
+        (xy 49.087454 55.745606) (xy 48.901251 55.668288) (xy 48.699633 55.668112) (xy 48.513296 55.745105) (xy 48.370606 55.887546)
+        (xy 48.293288 56.073749) (xy 48.293112 56.275367) (xy 48.370105 56.461704) (xy 48.512546 56.604394) (xy 48.698749 56.681712)
+        (xy 48.900367 56.681888) (xy 49.086704 56.604895) (xy 49.229394 56.462454) (xy 49.306712 56.276251) (xy 49.306888 56.074633)
+        (xy 49.306888 63.549633) (xy 49.229895 63.363296) (xy 49.087454 63.220606) (xy 48.931888 63.156009) (xy 48.931888 61.874633)
+        (xy 48.854895 61.688296) (xy 48.712454 61.545606) (xy 48.526251 61.468288) (xy 48.324633 61.468112) (xy 48.138296 61.545105)
+        (xy 47.995606 61.687546) (xy 47.918288 61.873749) (xy 47.918112 62.075367) (xy 47.995105 62.261704) (xy 48.137546 62.404394)
+        (xy 48.323749 62.481712) (xy 48.525367 62.481888) (xy 48.711704 62.404895) (xy 48.854394 62.262454) (xy 48.931712 62.076251)
+        (xy 48.931888 61.874633) (xy 48.931888 63.156009) (xy 48.901251 63.143288) (xy 48.699633 63.143112) (xy 48.513296 63.220105)
+        (xy 48.370606 63.362546) (xy 48.293288 63.548749) (xy 48.293112 63.750367) (xy 48.370105 63.936704) (xy 48.512546 64.079394)
+        (xy 48.698749 64.156712) (xy 48.900367 64.156888) (xy 49.086704 64.079895) (xy 49.229394 63.937454) (xy 49.306712 63.751251)
+        (xy 49.306888 63.549633) (xy 49.306888 65.895628) (xy 49.301251 65.893288) (xy 49.099633 65.893112) (xy 48.913296 65.970105)
+        (xy 48.770606 66.112546) (xy 48.693288 66.298749) (xy 48.693215 66.381474) (xy 48.601251 66.343288) (xy 48.399633 66.343112)
+        (xy 48.213296 66.420105) (xy 48.070606 66.562546) (xy 47.993288 66.748749) (xy 47.993112 66.950367) (xy 48.070105 67.136704)
+        (xy 48.212546 67.279394) (xy 48.398749 67.356712) (xy 48.600367 67.356888) (xy 48.786704 67.279895) (xy 48.929394 67.137454)
+        (xy 49.006712 66.951251) (xy 49.006784 66.868525) (xy 49.098749 66.906712) (xy 49.300367 66.906888) (xy 49.486704 66.829895)
+        (xy 49.629394 66.687454) (xy 49.706712 66.501251) (xy 49.706888 66.299633) (xy 49.706888 69.552093) (xy 49.663296 69.570105)
+        (xy 49.520606 69.712546) (xy 49.443288 69.898749) (xy 49.443112 70.100367) (xy 49.520105 70.286704) (xy 49.662546 70.429394)
+        (xy 49.848749 70.506712) (xy 50.050367 70.506888) (xy 50.236704 70.429895) (xy 50.379394 70.287454) (xy 50.456712 70.101251)
+        (xy 50.456888 69.899633) (xy 50.456888 70.695628) (xy 50.451251 70.693288) (xy 50.249633 70.693112) (xy 50.063296 70.770105)
+        (xy 49.920606 70.912546) (xy 49.843288 71.098749) (xy 49.843112 71.300367) (xy 49.920105 71.486704) (xy 49.962378 71.52905)
+        (xy 49.876251 71.493288) (xy 49.674633 71.493112) (xy 49.488296 71.570105) (xy 49.345606 71.712546) (xy 49.268288 71.898749)
+        (xy 49.268112 72.100367) (xy 49.345105 72.286704) (xy 49.487546 72.429394) (xy 49.673749 72.506712) (xy 49.875367 72.506888)
+        (xy 50.061704 72.429895) (xy 50.204394 72.287454) (xy 50.281712 72.101251) (xy 50.281888 71.899633) (xy 50.204895 71.713296)
+        (xy 50.162621 71.670949) (xy 50.248749 71.706712) (xy 50.450367 71.706888) (xy 50.636704 71.629895) (xy 50.779394 71.487454)
+        (xy 50.856712 71.301251) (xy 50.856888 71.099633) (xy 50.856888 73.506009) (xy 50.826251 73.493288) (xy 50.624633 73.493112)
+        (xy 50.438296 73.570105) (xy 50.295606 73.712546) (xy 50.218288 73.898749) (xy 50.218112 74.100367) (xy 50.295105 74.286704)
+        (xy 50.437546 74.429394) (xy 50.623749 74.506712) (xy 50.825367 74.506888) (xy 51.011704 74.429895) (xy 51.154394 74.287454)
+        (xy 51.231712 74.101251) (xy 51.231888 73.899633) (xy 51.231888 76.068119) (xy 51.229895 76.063296) (xy 51.087454 75.920606)
+        (xy 50.901251 75.843288) (xy 50.699633 75.843112) (xy 50.513296 75.920105) (xy 50.370606 76.062546) (xy 50.293288 76.248749)
+        (xy 50.293112 76.450367) (xy 50.370105 76.636704) (xy 50.512546 76.779394) (xy 50.698749 76.856712) (xy 50.900367 76.856888)
+        (xy 51.086704 76.779895) (xy 51.229394 76.637454) (xy 51.306712 76.451251) (xy 51.306888 76.249633) (xy 51.306888 104.195337)
+        (xy 50.906888 104.193462) (xy 50.906888 83.499633) (xy 50.829895 83.313296) (xy 50.687454 83.170606) (xy 50.501251 83.093288)
+        (xy 50.299633 83.093112) (xy 50.113296 83.170105) (xy 49.970606 83.312546) (xy 49.893288 83.498749) (xy 49.893112 83.700367)
+        (xy 49.970105 83.886704) (xy 50.112546 84.029394) (xy 50.298749 84.106712) (xy 50.500367 84.106888) (xy 50.686704 84.029895)
+        (xy 50.829394 83.887454) (xy 50.906712 83.701251) (xy 50.906888 83.499633) (xy 50.906888 104.193462) (xy 49.356888 104.186197)
+        (xy 49.356888 81.499633) (xy 49.281888 81.318119) (xy 49.281888 75.599633) (xy 49.204895 75.413296) (xy 49.062454 75.270606)
+        (xy 48.876251 75.193288) (xy 48.731888 75.193161) (xy 48.731888 74.299633) (xy 48.654895 74.113296) (xy 48.512454 73.970606)
+        (xy 48.346888 73.901857) (xy 48.346888 71.099633) (xy 48.269895 70.913296) (xy 48.127454 70.770606) (xy 47.941251 70.693288)
+        (xy 47.881888 70.693236) (xy 47.881888 52.199633) (xy 47.804895 52.013296) (xy 47.662454 51.870606) (xy 47.476251 51.793288)
+        (xy 47.381888 51.793205) (xy 47.381888 50.949633) (xy 47.304895 50.763296) (xy 47.162454 50.620606) (xy 46.976251 50.543288)
+        (xy 46.774633 50.543112) (xy 46.588296 50.620105) (xy 46.445606 50.762546) (xy 46.381808 50.916188) (xy 46.381888 50.824633)
+        (xy 46.304895 50.638296) (xy 46.162454 50.495606) (xy 45.976251 50.418288) (xy 45.881888 50.418205) (xy 45.881888 49.749633)
+        (xy 45.804895 49.563296) (xy 45.662454 49.420606) (xy 45.476251 49.343288) (xy 45.274633 49.343112) (xy 45.088296 49.420105)
+        (xy 44.945606 49.562546) (xy 44.868288 49.748749) (xy 44.868112 49.950367) (xy 44.945105 50.136704) (xy 45.087546 50.279394)
+        (xy 45.273749 50.356712) (xy 45.475367 50.356888) (xy 45.661704 50.279895) (xy 45.804394 50.137454) (xy 45.881712 49.951251)
+        (xy 45.881888 49.749633) (xy 45.881888 50.418205) (xy 45.774633 50.418112) (xy 45.588296 50.495105) (xy 45.445606 50.637546)
+        (xy 45.368288 50.823749) (xy 45.368112 51.025367) (xy 45.445105 51.211704) (xy 45.587546 51.354394) (xy 45.773749 51.431712)
+        (xy 45.868259 51.431794) (xy 45.868112 51.600367) (xy 45.945105 51.786704) (xy 46.087546 51.929394) (xy 46.273749 52.006712)
+        (xy 46.475367 52.006888) (xy 46.661704 51.929895) (xy 46.804394 51.787454) (xy 46.881712 51.601251) (xy 46.88175 51.556806)
+        (xy 46.975367 51.556888) (xy 47.161704 51.479895) (xy 47.304394 51.337454) (xy 47.381712 51.151251) (xy 47.381888 50.949633)
+        (xy 47.381888 51.793205) (xy 47.274633 51.793112) (xy 47.088296 51.870105) (xy 46.945606 52.012546) (xy 46.868288 52.198749)
+        (xy 46.868112 52.400367) (xy 46.945105 52.586704) (xy 47.087546 52.729394) (xy 47.273749 52.806712) (xy 47.475367 52.806888)
+        (xy 47.661704 52.729895) (xy 47.804394 52.587454) (xy 47.881712 52.401251) (xy 47.881888 52.199633) (xy 47.881888 70.693236)
+        (xy 47.739633 70.693112) (xy 47.553296 70.770105) (xy 47.516888 70.806449) (xy 47.516888 54.979633) (xy 47.439895 54.793296)
+        (xy 47.297454 54.650606) (xy 47.111251 54.573288) (xy 46.909633 54.573112) (xy 46.723296 54.650105) (xy 46.580606 54.792546)
+        (xy 46.503288 54.978749) (xy 46.503112 55.180367) (xy 46.580105 55.366704) (xy 46.722546 55.509394) (xy 46.908749 55.586712)
+        (xy 47.110367 55.586888) (xy 47.296704 55.509895) (xy 47.439394 55.367454) (xy 47.516712 55.181251) (xy 47.516888 54.979633)
+        (xy 47.516888 70.806449) (xy 47.410606 70.912546) (xy 47.406888 70.921499) (xy 47.406888 68.699633) (xy 47.329895 68.513296)
+        (xy 47.187454 68.370606) (xy 47.001251 68.293288) (xy 46.799633 68.293112) (xy 46.681888 68.341763) (xy 46.681888 60.299633)
+        (xy 46.604895 60.113296) (xy 46.556888 60.065205) (xy 46.556888 56.749633) (xy 46.479895 56.563296) (xy 46.337454 56.420606)
+        (xy 46.151251 56.343288) (xy 46.081888 56.343227) (xy 46.081888 55.499633) (xy 46.004895 55.313296) (xy 45.862454 55.170606)
+        (xy 45.676251 55.093288) (xy 45.474633 55.093112) (xy 45.406888 55.121103) (xy 45.406888 51.324633) (xy 45.329895 51.138296)
+        (xy 45.187454 50.995606) (xy 45.001251 50.918288) (xy 44.799633 50.918112) (xy 44.613296 50.995105) (xy 44.470606 51.137546)
+        (xy 44.393288 51.323749) (xy 44.393112 51.525367) (xy 44.470105 51.711704) (xy 44.612546 51.854394) (xy 44.798749 51.931712)
+        (xy 45.000367 51.931888) (xy 45.186704 51.854895) (xy 45.329394 51.712454) (xy 45.406712 51.526251) (xy 45.406888 51.324633)
+        (xy 45.406888 55.121103) (xy 45.288296 55.170105) (xy 45.145606 55.312546) (xy 45.068288 55.498749) (xy 45.068112 55.700367)
+        (xy 45.145105 55.886704) (xy 45.287546 56.029394) (xy 45.473749 56.106712) (xy 45.675367 56.106888) (xy 45.861704 56.029895)
+        (xy 46.004394 55.887454) (xy 46.081712 55.701251) (xy 46.081888 55.499633) (xy 46.081888 56.343227) (xy 45.949633 56.343112)
+        (xy 45.763296 56.420105) (xy 45.620606 56.562546) (xy 45.543288 56.748749) (xy 45.543112 56.950367) (xy 45.620105 57.136704)
+        (xy 45.762546 57.279394) (xy 45.948749 57.356712) (xy 46.150367 57.356888) (xy 46.336704 57.279895) (xy 46.479394 57.137454)
+        (xy 46.556712 56.951251) (xy 46.556888 56.749633) (xy 46.556888 60.065205) (xy 46.462454 59.970606) (xy 46.276251 59.893288)
+        (xy 46.074633 59.893112) (xy 45.888296 59.970105) (xy 45.745606 60.112546) (xy 45.668288 60.298749) (xy 45.668112 60.500367)
+        (xy 45.745105 60.686704) (xy 45.887546 60.829394) (xy 46.073749 60.906712) (xy 46.275367 60.906888) (xy 46.461704 60.829895)
+        (xy 46.604394 60.687454) (xy 46.681712 60.501251) (xy 46.681888 60.299633) (xy 46.681888 68.341763) (xy 46.613296 68.370105)
+        (xy 46.470606 68.512546) (xy 46.393288 68.698749) (xy 46.393112 68.900367) (xy 46.470105 69.086704) (xy 46.612546 69.229394)
+        (xy 46.798749 69.306712) (xy 47.000367 69.306888) (xy 47.186704 69.229895) (xy 47.329394 69.087454) (xy 47.406712 68.901251)
+        (xy 47.406888 68.699633) (xy 47.406888 70.921499) (xy 47.333288 71.098749) (xy 47.333112 71.300367) (xy 47.410105 71.486704)
+        (xy 47.552546 71.629394) (xy 47.738749 71.706712) (xy 47.940367 71.706888) (xy 48.126704 71.629895) (xy 48.269394 71.487454)
+        (xy 48.346712 71.301251) (xy 48.346888 71.099633) (xy 48.346888 73.901857) (xy 48.326251 73.893288) (xy 48.124633 73.893112)
+        (xy 47.938296 73.970105) (xy 47.795606 74.112546) (xy 47.718288 74.298749) (xy 47.718112 74.500367) (xy 47.795105 74.686704)
+        (xy 47.937546 74.829394) (xy 48.123749 74.906712) (xy 48.325367 74.906888) (xy 48.511704 74.829895) (xy 48.654394 74.687454)
+        (xy 48.731712 74.501251) (xy 48.731888 74.299633) (xy 48.731888 75.193161) (xy 48.674633 75.193112) (xy 48.488296 75.270105)
+        (xy 48.345606 75.412546) (xy 48.291803 75.542116) (xy 48.279895 75.513296) (xy 48.137454 75.370606) (xy 47.951251 75.293288)
+        (xy 47.749633 75.293112) (xy 47.563296 75.370105) (xy 47.420606 75.512546) (xy 47.343288 75.698749) (xy 47.343112 75.900367)
+        (xy 47.420105 76.086704) (xy 47.562546 76.229394) (xy 47.748749 76.306712) (xy 47.950367 76.306888) (xy 48.136704 76.229895)
+        (xy 48.279394 76.087454) (xy 48.333196 75.957883) (xy 48.345105 75.986704) (xy 48.487546 76.129394) (xy 48.673749 76.206712)
+        (xy 48.875367 76.206888) (xy 49.061704 76.129895) (xy 49.204394 75.987454) (xy 49.281712 75.801251) (xy 49.281888 75.599633)
+        (xy 49.281888 81.318119) (xy 49.279895 81.313296) (xy 49.137454 81.170606) (xy 49.056888 81.137152) (xy 49.056888 77.174633)
+        (xy 48.979895 76.988296) (xy 48.837454 76.845606) (xy 48.651251 76.768288) (xy 48.449633 76.768112) (xy 48.263296 76.845105)
+        (xy 48.120606 76.987546) (xy 48.043288 77.173749) (xy 48.043112 77.375367) (xy 48.120105 77.561704) (xy 48.262546 77.704394)
+        (xy 48.448749 77.781712) (xy 48.650367 77.781888) (xy 48.836704 77.704895) (xy 48.979394 77.562454) (xy 49.056712 77.376251)
+        (xy 49.056888 77.174633) (xy 49.056888 81.137152) (xy 48.951251 81.093288) (xy 48.749633 81.093112) (xy 48.563296 81.170105)
+        (xy 48.420606 81.312546) (xy 48.343288 81.498749) (xy 48.343112 81.700367) (xy 48.420105 81.886704) (xy 48.562546 82.029394)
+        (xy 48.748749 82.106712) (xy 48.950367 82.106888) (xy 49.136704 82.029895) (xy 49.279394 81.887454) (xy 49.356712 81.701251)
+        (xy 49.356888 81.499633) (xy 49.356888 104.186197) (xy 48.331888 104.181393) (xy 48.331888 80.374633) (xy 48.254895 80.188296)
+        (xy 48.112454 80.045606) (xy 47.926251 79.968288) (xy 47.724633 79.968112) (xy 47.538296 80.045105) (xy 47.395606 80.187546)
+        (xy 47.318288 80.373749) (xy 47.318112 80.575367) (xy 47.395105 80.761704) (xy 47.537546 80.904394) (xy 47.723749 80.981712)
+        (xy 47.925367 80.981888) (xy 48.111704 80.904895) (xy 48.254394 80.762454) (xy 48.331712 80.576251) (xy 48.331888 80.374633)
+        (xy 48.331888 104.181393) (xy 47.506888 104.177526) (xy 47.506888 81.999633) (xy 47.429895 81.813296) (xy 47.287454 81.670606)
+        (xy 47.101251 81.593288) (xy 46.899633 81.593112) (xy 46.713296 81.670105) (xy 46.570606 81.812546) (xy 46.556888 81.845582)
+        (xy 46.556888 71.924633) (xy 46.479895 71.738296) (xy 46.337454 71.595606) (xy 46.151251 71.518288) (xy 45.956888 71.518118)
+        (xy 45.956888 70.299633) (xy 45.879895 70.113296) (xy 45.737454 69.970606) (xy 45.551251 69.893288) (xy 45.406888 69.893161)
+        (xy 45.406888 56.559633) (xy 45.329895 56.373296) (xy 45.187454 56.230606) (xy 45.001251 56.153288) (xy 44.881888 56.153183)
+        (xy 44.881888 52.299633) (xy 44.804895 52.113296) (xy 44.662454 51.970606) (xy 44.476251 51.893288) (xy 44.381888 51.893205)
+        (xy 44.381888 49.999633) (xy 44.304895 49.813296) (xy 44.162454 49.670606) (xy 43.976251 49.593288) (xy 43.881888 49.593205)
+        (xy 43.881888 48.774633) (xy 43.804895 48.588296) (xy 43.662454 48.445606) (xy 43.476251 48.368288) (xy 43.274633 48.368112)
+        (xy 43.088296 48.445105) (xy 42.945606 48.587546) (xy 42.868288 48.773749) (xy 42.868112 48.975367) (xy 42.945105 49.161704)
+        (xy 43.087546 49.304394) (xy 43.273749 49.381712) (xy 43.475367 49.381888) (xy 43.661704 49.304895) (xy 43.804394 49.162454)
+        (xy 43.881712 48.976251) (xy 43.881888 48.774633) (xy 43.881888 49.593205) (xy 43.774633 49.593112) (xy 43.588296 49.670105)
+        (xy 43.445606 49.812546) (xy 43.368288 49.998749) (xy 43.368112 50.200367) (xy 43.445105 50.386704) (xy 43.587546 50.529394)
+        (xy 43.773749 50.606712) (xy 43.975367 50.606888) (xy 44.161704 50.529895) (xy 44.304394 50.387454) (xy 44.381712 50.201251)
+        (xy 44.381888 49.999633) (xy 44.381888 51.893205) (xy 44.274633 51.893112) (xy 44.088296 51.970105) (xy 43.945606 52.112546)
+        (xy 43.868288 52.298749) (xy 43.868112 52.500367) (xy 43.945105 52.686704) (xy 44.087546 52.829394) (xy 44.273749 52.906712)
+        (xy 44.475367 52.906888) (xy 44.661704 52.829895) (xy 44.804394 52.687454) (xy 44.881712 52.501251) (xy 44.881888 52.299633)
+        (xy 44.881888 56.153183) (xy 44.799633 56.153112) (xy 44.613296 56.230105) (xy 44.470606 56.372546) (xy 44.393288 56.558749)
+        (xy 44.393112 56.760367) (xy 44.470105 56.946704) (xy 44.612546 57.089394) (xy 44.798749 57.166712) (xy 45.000367 57.166888)
+        (xy 45.186704 57.089895) (xy 45.329394 56.947454) (xy 45.406712 56.761251) (xy 45.406888 56.559633) (xy 45.406888 69.893161)
+        (xy 45.349633 69.893112) (xy 45.163296 69.970105) (xy 45.020606 70.112546) (xy 45.006888 70.145582) (xy 45.006888 69.499633)
+        (xy 44.929895 69.313296) (xy 44.787454 69.170606) (xy 44.601251 69.093288) (xy 44.399633 69.093112) (xy 44.30686 69.131444)
+        (xy 44.306888 69.099633) (xy 44.229895 68.913296) (xy 44.202142 68.885494) (xy 44.336704 68.829895) (xy 44.479394 68.687454)
+        (xy 44.556712 68.501251) (xy 44.556888 68.299633) (xy 44.496888 68.154422) (xy 44.496888 61.719633) (xy 44.419895 61.533296)
+        (xy 44.277454 61.390606) (xy 44.091251 61.313288) (xy 43.931888 61.313148) (xy 43.931888 58.674633) (xy 43.854895 58.488296)
+        (xy 43.781888 58.415161) (xy 43.781888 56.799633) (xy 43.704895 56.613296) (xy 43.562454 56.470606) (xy 43.381888 56.395628)
+        (xy 43.381888 51.874633) (xy 43.304895 51.688296) (xy 43.162454 51.545606) (xy 42.976251 51.468288) (xy 42.881888 51.468205)
+        (xy 42.881888 50.774633) (xy 42.804895 50.588296) (xy 42.662454 50.445606) (xy 42.476251 50.368288) (xy 42.274633 50.368112)
+        (xy 42.088296 50.445105) (xy 41.945606 50.587546) (xy 41.868288 50.773749) (xy 41.868112 50.975367) (xy 41.945105 51.161704)
+        (xy 42.087546 51.304394) (xy 42.273749 51.381712) (xy 42.475367 51.381888) (xy 42.661704 51.304895) (xy 42.804394 51.162454)
+        (xy 42.881712 50.976251) (xy 42.881888 50.774633) (xy 42.881888 51.468205) (xy 42.774633 51.468112) (xy 42.588296 51.545105)
+        (xy 42.445606 51.687546) (xy 42.368288 51.873749) (xy 42.368112 52.075367) (xy 42.445105 52.261704) (xy 42.587546 52.404394)
+        (xy 42.773749 52.481712) (xy 42.975367 52.481888) (xy 43.161704 52.404895) (xy 43.304394 52.262454) (xy 43.381712 52.076251)
+        (xy 43.381888 51.874633) (xy 43.381888 56.395628) (xy 43.376251 56.393288) (xy 43.174633 56.393112) (xy 42.988296 56.470105)
+        (xy 42.845606 56.612546) (xy 42.768288 56.798749) (xy 42.768112 57.000367) (xy 42.845105 57.186704) (xy 42.987546 57.329394)
+        (xy 43.173749 57.406712) (xy 43.375367 57.406888) (xy 43.561704 57.329895) (xy 43.704394 57.187454) (xy 43.781712 57.001251)
+        (xy 43.781888 56.799633) (xy 43.781888 58.415161) (xy 43.712454 58.345606) (xy 43.526251 58.268288) (xy 43.324633 58.268112)
+        (xy 43.146888 58.341554) (xy 43.146888 57.849633) (xy 43.069895 57.663296) (xy 42.927454 57.520606) (xy 42.741251 57.443288)
+        (xy 42.539633 57.443112) (xy 42.353296 57.520105) (xy 42.210606 57.662546) (xy 42.133288 57.848749) (xy 42.133241 57.902333)
+        (xy 42.051251 57.868288) (xy 41.849633 57.868112) (xy 41.756888 57.906433) (xy 41.756888 55.929633) (xy 41.679895 55.743296)
+        (xy 41.537454 55.600606) (xy 41.351251 55.523288) (xy 41.149633 55.523112) (xy 40.963296 55.600105) (xy 40.820606 55.742546)
+        (xy 40.743288 55.928749) (xy 40.743112 56.130367) (xy 40.820105 56.316704) (xy 40.962546 56.459394) (xy 41.148749 56.536712)
+        (xy 41.350367 56.536888) (xy 41.536704 56.459895) (xy 41.679394 56.317454) (xy 41.756712 56.131251) (xy 41.756888 55.929633)
+        (xy 41.756888 57.906433) (xy 41.663296 57.945105) (xy 41.520606 58.087546) (xy 41.443288 58.273749) (xy 41.443112 58.475367)
+        (xy 41.520105 58.661704) (xy 41.662546 58.804394) (xy 41.848749 58.881712) (xy 42.050367 58.881888) (xy 42.236704 58.804895)
+        (xy 42.379394 58.662454) (xy 42.456712 58.476251) (xy 42.456758 58.422666) (xy 42.538749 58.456712) (xy 42.740367 58.456888)
+        (xy 42.926704 58.379895) (xy 43.069394 58.237454) (xy 43.146712 58.051251) (xy 43.146888 57.849633) (xy 43.146888 58.341554)
+        (xy 43.138296 58.345105) (xy 42.995606 58.487546) (xy 42.918288 58.673749) (xy 42.918112 58.875367) (xy 42.995105 59.061704)
+        (xy 43.137546 59.204394) (xy 43.323749 59.281712) (xy 43.525367 59.281888) (xy 43.711704 59.204895) (xy 43.854394 59.062454)
+        (xy 43.931712 58.876251) (xy 43.931888 58.674633) (xy 43.931888 61.313148) (xy 43.889633 61.313112) (xy 43.703296 61.390105)
+        (xy 43.560606 61.532546) (xy 43.483288 61.718749) (xy 43.483112 61.920367) (xy 43.560105 62.106704) (xy 43.702546 62.249394)
+        (xy 43.888749 62.326712) (xy 44.090367 62.326888) (xy 44.276704 62.249895) (xy 44.419394 62.107454) (xy 44.496712 61.921251)
+        (xy 44.496888 61.719633) (xy 44.496888 68.154422) (xy 44.479895 68.113296) (xy 44.337454 67.970606) (xy 44.151251 67.893288)
+        (xy 43.949633 67.893112) (xy 43.763296 67.970105) (xy 43.620606 68.112546) (xy 43.543288 68.298749) (xy 43.543112 68.500367)
+        (xy 43.620105 68.686704) (xy 43.647857 68.714505) (xy 43.531888 68.762422) (xy 43.531888 67.899633) (xy 43.454895 67.713296)
+        (xy 43.312454 67.570606) (xy 43.186888 67.518466) (xy 43.186888 60.299633) (xy 43.109895 60.113296) (xy 42.967454 59.970606)
+        (xy 42.781251 59.893288) (xy 42.579633 59.893112) (xy 42.484242 59.932526) (xy 42.529394 59.887454) (xy 42.606712 59.701251)
+        (xy 42.606888 59.499633) (xy 42.529895 59.313296) (xy 42.387454 59.170606) (xy 42.201251 59.093288) (xy 41.999633 59.093112)
+        (xy 41.813296 59.170105) (xy 41.670606 59.312546) (xy 41.593288 59.498749) (xy 41.593112 59.700367) (xy 41.670105 59.886704)
+        (xy 41.812546 60.029394) (xy 41.998749 60.106712) (xy 42.200367 60.106888) (xy 42.295757 60.067473) (xy 42.250606 60.112546)
+        (xy 42.173288 60.298749) (xy 42.173112 60.500367) (xy 42.250105 60.686704) (xy 42.392546 60.829394) (xy 42.578749 60.906712)
+        (xy 42.780367 60.906888) (xy 42.966704 60.829895) (xy 43.109394 60.687454) (xy 43.186712 60.501251) (xy 43.186888 60.299633)
+        (xy 43.186888 67.518466) (xy 43.126251 67.493288) (xy 42.924633 67.493112) (xy 42.738296 67.570105) (xy 42.595606 67.712546)
+        (xy 42.518288 67.898749) (xy 42.518112 68.100367) (xy 42.595105 68.286704) (xy 42.737546 68.429394) (xy 42.923749 68.506712)
+        (xy 43.125367 68.506888) (xy 43.311704 68.429895) (xy 43.454394 68.287454) (xy 43.531712 68.101251) (xy 43.531888 67.899633)
+        (xy 43.531888 68.762422) (xy 43.513296 68.770105) (xy 43.370606 68.912546) (xy 43.293288 69.098749) (xy 43.293112 69.300367)
+        (xy 43.370105 69.486704) (xy 43.512546 69.629394) (xy 43.698749 69.706712) (xy 43.900367 69.706888) (xy 43.993139 69.668555)
+        (xy 43.993112 69.700367) (xy 44.070105 69.886704) (xy 44.212546 70.029394) (xy 44.398749 70.106712) (xy 44.600367 70.106888)
+        (xy 44.786704 70.029895) (xy 44.929394 69.887454) (xy 45.006712 69.701251) (xy 45.006888 69.499633) (xy 45.006888 70.145582)
+        (xy 44.943288 70.298749) (xy 44.943112 70.500367) (xy 45.020105 70.686704) (xy 45.162546 70.829394) (xy 45.348749 70.906712)
+        (xy 45.550367 70.906888) (xy 45.736704 70.829895) (xy 45.879394 70.687454) (xy 45.956712 70.501251) (xy 45.956888 70.299633)
+        (xy 45.956888 71.518118) (xy 45.949633 71.518112) (xy 45.763296 71.595105) (xy 45.620606 71.737546) (xy 45.543288 71.923749)
+        (xy 45.543112 72.125367) (xy 45.612436 72.293145) (xy 45.574633 72.293112) (xy 45.388296 72.370105) (xy 45.245606 72.512546)
+        (xy 45.168288 72.698749) (xy 45.168112 72.900367) (xy 45.245105 73.086704) (xy 45.387546 73.229394) (xy 45.573749 73.306712)
+        (xy 45.775367 73.306888) (xy 45.961704 73.229895) (xy 46.104394 73.087454) (xy 46.181712 72.901251) (xy 46.181888 72.699633)
+        (xy 46.112563 72.531854) (xy 46.150367 72.531888) (xy 46.336704 72.454895) (xy 46.479394 72.312454) (xy 46.556712 72.126251)
+        (xy 46.556888 71.924633) (xy 46.556888 81.845582) (xy 46.506888 81.965996) (xy 46.506888 78.924633) (xy 46.429895 78.738296)
+        (xy 46.287454 78.595606) (xy 46.101251 78.518288) (xy 45.899633 78.518112) (xy 45.713296 78.595105) (xy 45.570606 78.737546)
+        (xy 45.493288 78.923749) (xy 45.493112 79.125367) (xy 45.570105 79.311704) (xy 45.712546 79.454394) (xy 45.898749 79.531712)
+        (xy 46.100367 79.531888) (xy 46.286704 79.454895) (xy 46.429394 79.312454) (xy 46.506712 79.126251) (xy 46.506888 78.924633)
+        (xy 46.506888 81.965996) (xy 46.493288 81.998749) (xy 46.493112 82.200367) (xy 46.570105 82.386704) (xy 46.712546 82.529394)
+        (xy 46.898749 82.606712) (xy 47.100367 82.606888) (xy 47.286704 82.529895) (xy 47.429394 82.387454) (xy 47.506712 82.201251)
+        (xy 47.506888 81.999633) (xy 47.506888 104.177526) (xy 45.781888 104.169441) (xy 45.781888 89.474633) (xy 45.781888 80.349633)
+        (xy 45.704895 80.163296) (xy 45.562454 80.020606) (xy 45.533276 80.00849) (xy 45.579394 79.962454) (xy 45.656712 79.776251)
+        (xy 45.656888 79.574633) (xy 45.579895 79.388296) (xy 45.437454 79.245606) (xy 45.251251 79.168288) (xy 45.049633 79.168112)
+        (xy 44.863296 79.245105) (xy 44.720606 79.387546) (xy 44.643288 79.573749) (xy 44.643112 79.775367) (xy 44.720105 79.961704)
+        (xy 44.862546 80.104394) (xy 44.891723 80.116509) (xy 44.845606 80.162546) (xy 44.768288 80.348749) (xy 44.768112 80.550367)
+        (xy 44.845105 80.736704) (xy 44.987546 80.879394) (xy 45.173749 80.956712) (xy 45.375367 80.956888) (xy 45.561704 80.879895)
+        (xy 45.704394 80.737454) (xy 45.781712 80.551251) (xy 45.781888 80.349633) (xy 45.781888 89.474633) (xy 45.704895 89.288296)
+        (xy 45.562454 89.145606) (xy 45.376251 89.068288) (xy 45.174633 89.068112) (xy 44.988296 89.145105) (xy 44.881888 89.251327)
+        (xy 44.881888 81.199633) (xy 44.804895 81.013296) (xy 44.662454 80.870606) (xy 44.476251 80.793288) (xy 44.281888 80.793118)
+        (xy 44.281888 77.974633) (xy 44.204895 77.788296) (xy 44.106888 77.690117) (xy 44.106888 71.499633) (xy 44.029895 71.313296)
+        (xy 43.887454 71.170606) (xy 43.701251 71.093288) (xy 43.499633 71.093112) (xy 43.313296 71.170105) (xy 43.170606 71.312546)
+        (xy 43.093288 71.498749) (xy 43.093112 71.700367) (xy 43.170105 71.886704) (xy 43.312546 72.029394) (xy 43.498749 72.106712)
+        (xy 43.700367 72.106888) (xy 43.886704 72.029895) (xy 44.029394 71.887454) (xy 44.106712 71.701251) (xy 44.106888 71.499633)
+        (xy 44.106888 77.690117) (xy 44.062454 77.645606) (xy 43.876251 77.568288) (xy 43.674633 77.568112) (xy 43.488296 77.645105)
+        (xy 43.345606 77.787546) (xy 43.268288 77.973749) (xy 43.268112 78.175367) (xy 43.345105 78.361704) (xy 43.487546 78.504394)
+        (xy 43.673749 78.581712) (xy 43.875367 78.581888) (xy 44.061704 78.504895) (xy 44.204394 78.362454) (xy 44.281712 78.176251)
+        (xy 44.281888 77.974633) (xy 44.281888 80.793118) (xy 44.274633 80.793112) (xy 44.088296 80.870105) (xy 43.945606 81.012546)
+        (xy 43.868288 81.198749) (xy 43.868112 81.400367) (xy 43.945105 81.586704) (xy 44.087546 81.729394) (xy 44.273749 81.806712)
+        (xy 44.475367 81.806888) (xy 44.661704 81.729895) (xy 44.804394 81.587454) (xy 44.881712 81.401251) (xy 44.881888 81.199633)
+        (xy 44.881888 89.251327) (xy 44.845606 89.287546) (xy 44.768288 89.473749) (xy 44.768112 89.675367) (xy 44.845105 89.861704)
+        (xy 44.987546 90.004394) (xy 45.173749 90.081712) (xy 45.375367 90.081888) (xy 45.561704 90.004895) (xy 45.704394 89.862454)
+        (xy 45.781712 89.676251) (xy 45.781888 89.474633) (xy 45.781888 104.169441) (xy 44.081888 104.161473) (xy 44.081888 82.349633)
+        (xy 44.004895 82.163296) (xy 43.862454 82.020606) (xy 43.676251 81.943288) (xy 43.474633 81.943112) (xy 43.406888 81.971103)
+        (xy 43.406888 80.774633) (xy 43.329895 80.588296) (xy 43.187454 80.445606) (xy 43.001251 80.368288) (xy 42.799633 80.368112)
+        (xy 42.681888 80.416763) (xy 42.681888 70.199633) (xy 42.604895 70.013296) (xy 42.462454 69.870606) (xy 42.276251 69.793288)
+        (xy 42.074633 69.793112) (xy 41.931888 69.852093) (xy 41.931888 67.224633) (xy 41.854895 67.038296) (xy 41.712454 66.895606)
+        (xy 41.526251 66.818288) (xy 41.324633 66.818112) (xy 41.138296 66.895105) (xy 41.006888 67.026283) (xy 41.006888 61.899633)
+        (xy 40.929895 61.713296) (xy 40.787454 61.570606) (xy 40.601251 61.493288) (xy 40.399633 61.493112) (xy 40.213296 61.570105)
+        (xy 40.070606 61.712546) (xy 39.993288 61.898749) (xy 39.993112 62.100367) (xy 40.070105 62.286704) (xy 40.212546 62.429394)
+        (xy 40.398749 62.506712) (xy 40.600367 62.506888) (xy 40.786704 62.429895) (xy 40.929394 62.287454) (xy 41.006712 62.101251)
+        (xy 41.006888 61.899633) (xy 41.006888 67.026283) (xy 40.995606 67.037546) (xy 40.946888 67.154872) (xy 40.946888 65.149633)
+        (xy 40.869895 64.963296) (xy 40.727454 64.820606) (xy 40.541251 64.743288) (xy 40.516888 64.743266) (xy 40.516888 63.989633)
+        (xy 40.481888 63.904926) (xy 40.481888 62.899633) (xy 40.404895 62.713296) (xy 40.262454 62.570606) (xy 40.076251 62.493288)
+        (xy 39.874633 62.493112) (xy 39.688296 62.570105) (xy 39.545606 62.712546) (xy 39.468288 62.898749) (xy 39.468112 63.100367)
+        (xy 39.545105 63.286704) (xy 39.687546 63.429394) (xy 39.873749 63.506712) (xy 40.075367 63.506888) (xy 40.261704 63.429895)
+        (xy 40.404394 63.287454) (xy 40.481712 63.101251) (xy 40.481888 62.899633) (xy 40.481888 63.904926) (xy 40.439895 63.803296)
+        (xy 40.297454 63.660606) (xy 40.111251 63.583288) (xy 39.909633 63.583112) (xy 39.723296 63.660105) (xy 39.580606 63.802546)
+        (xy 39.503288 63.988749) (xy 39.503112 64.190367) (xy 39.580105 64.376704) (xy 39.722546 64.519394) (xy 39.908749 64.596712)
+        (xy 40.110367 64.596888) (xy 40.296704 64.519895) (xy 40.439394 64.377454) (xy 40.516712 64.191251) (xy 40.516888 63.989633)
+        (xy 40.516888 64.743266) (xy 40.339633 64.743112) (xy 40.153296 64.820105) (xy 40.010606 64.962546) (xy 39.933288 65.148749)
+        (xy 39.933112 65.350367) (xy 40.010105 65.536704) (xy 40.152546 65.679394) (xy 40.338749 65.756712) (xy 40.540367 65.756888)
+        (xy 40.726704 65.679895) (xy 40.869394 65.537454) (xy 40.946712 65.351251) (xy 40.946888 65.149633) (xy 40.946888 67.154872)
+        (xy 40.918288 67.223749) (xy 40.918112 67.425367) (xy 40.995105 67.611704) (xy 41.137546 67.754394) (xy 41.323749 67.831712)
+        (xy 41.525367 67.831888) (xy 41.711704 67.754895) (xy 41.854394 67.612454) (xy 41.931712 67.426251) (xy 41.931888 67.224633)
+        (xy 41.931888 69.852093) (xy 41.888296 69.870105) (xy 41.745606 70.012546) (xy 41.668288 70.198749) (xy 41.668112 70.400367)
+        (xy 41.745105 70.586704) (xy 41.887546 70.729394) (xy 42.073749 70.806712) (xy 42.275367 70.806888) (xy 42.461704 70.729895)
+        (xy 42.604394 70.587454) (xy 42.681712 70.401251) (xy 42.681888 70.199633) (xy 42.681888 80.416763) (xy 42.613296 80.445105)
+        (xy 42.470606 80.587546) (xy 42.393288 80.773749) (xy 42.393112 80.975367) (xy 42.470105 81.161704) (xy 42.612546 81.304394)
+        (xy 42.798749 81.381712) (xy 43.000367 81.381888) (xy 43.186704 81.304895) (xy 43.329394 81.162454) (xy 43.406712 80.976251)
+        (xy 43.406888 80.774633) (xy 43.406888 81.971103) (xy 43.288296 82.020105) (xy 43.145606 82.162546) (xy 43.068288 82.348749)
+        (xy 43.068112 82.550367) (xy 43.145105 82.736704) (xy 43.287546 82.879394) (xy 43.473749 82.956712) (xy 43.675367 82.956888)
+        (xy 43.861704 82.879895) (xy 44.004394 82.737454) (xy 44.081712 82.551251) (xy 44.081888 82.349633) (xy 44.081888 104.161473)
+        (xy 42.181888 104.152567) (xy 42.181888 75.624633) (xy 42.104895 75.438296) (xy 42.006888 75.340117) (xy 42.006888 72.949633)
+        (xy 41.929895 72.763296) (xy 41.787454 72.620606) (xy 41.601251 72.543288) (xy 41.399633 72.543112) (xy 41.213296 72.620105)
+        (xy 41.070606 72.762546) (xy 40.993288 72.948749) (xy 40.993112 73.150367) (xy 41.070105 73.336704) (xy 41.212546 73.479394)
+        (xy 41.398749 73.556712) (xy 41.600367 73.556888) (xy 41.786704 73.479895) (xy 41.929394 73.337454) (xy 42.006712 73.151251)
+        (xy 42.006888 72.949633) (xy 42.006888 75.340117) (xy 41.962454 75.295606) (xy 41.776251 75.218288) (xy 41.574633 75.218112)
+        (xy 41.388296 75.295105) (xy 41.245606 75.437546) (xy 41.168288 75.623749) (xy 41.168112 75.825367) (xy 41.245105 76.011704)
+        (xy 41.387546 76.154394) (xy 41.573749 76.231712) (xy 41.775367 76.231888) (xy 41.961704 76.154895) (xy 42.104394 76.012454)
+        (xy 42.181712 75.826251) (xy 42.181888 75.624633) (xy 42.181888 104.152567) (xy 41.931888 104.151395) (xy 41.931888 79.999633)
+        (xy 41.931888 78.374633) (xy 41.854895 78.188296) (xy 41.712454 78.045606) (xy 41.526251 77.968288) (xy 41.324633 77.968112)
+        (xy 41.138296 78.045105) (xy 40.995606 78.187546) (xy 40.918288 78.373749) (xy 40.918112 78.575367) (xy 40.995105 78.761704)
+        (xy 41.137546 78.904394) (xy 41.323749 78.981712) (xy 41.525367 78.981888) (xy 41.711704 78.904895) (xy 41.854394 78.762454)
+        (xy 41.931712 78.576251) (xy 41.931888 78.374633) (xy 41.931888 79.999633) (xy 41.854895 79.813296) (xy 41.712454 79.670606)
+        (xy 41.526251 79.593288) (xy 41.324633 79.593112) (xy 41.138296 79.670105) (xy 41.131888 79.676501) (xy 41.131888 79.149633)
+        (xy 41.054895 78.963296) (xy 40.912454 78.820606) (xy 40.726251 78.743288) (xy 40.524633 78.743112) (xy 40.356888 78.812422)
+        (xy 40.356888 75.899633) (xy 40.279895 75.713296) (xy 40.137454 75.570606) (xy 39.951251 75.493288) (xy 39.749633 75.493112)
+        (xy 39.563296 75.570105) (xy 39.420606 75.712546) (xy 39.343288 75.898749) (xy 39.343112 76.100367) (xy 39.420105 76.286704)
+        (xy 39.562546 76.429394) (xy 39.748749 76.506712) (xy 39.950367 76.506888) (xy 40.136704 76.429895) (xy 40.279394 76.287454)
+        (xy 40.356712 76.101251) (xy 40.356888 75.899633) (xy 40.356888 78.812422) (xy 40.338296 78.820105) (xy 40.195606 78.962546)
+        (xy 40.118288 79.148749) (xy 40.118112 79.350367) (xy 40.195105 79.536704) (xy 40.337546 79.679394) (xy 40.523749 79.756712)
+        (xy 40.725367 79.756888) (xy 40.911704 79.679895) (xy 41.054394 79.537454) (xy 41.131712 79.351251) (xy 41.131888 79.149633)
+        (xy 41.131888 79.676501) (xy 40.995606 79.812546) (xy 40.918288 79.998749) (xy 40.918112 80.200367) (xy 40.995105 80.386704)
+        (xy 41.137546 80.529394) (xy 41.323749 80.606712) (xy 41.525367 80.606888) (xy 41.711704 80.529895) (xy 41.854394 80.387454)
+        (xy 41.931712 80.201251) (xy 41.931888 79.999633) (xy 41.931888 104.151395) (xy 39.794718 104.141378) (xy 39.794718 83.804422)
+        (xy 39.794718 79.244422) (xy 39.690714 78.992712) (xy 39.498301 78.799963) (xy 39.246773 78.695519) (xy 39.190248 78.695469)
+        (xy 39.190248 56.081905) (xy 39.190248 54.557905) (xy 39.147984 54.455618) (xy 39.069793 54.377291) (xy 38.96758 54.334848)
+        (xy 38.856905 54.334752) (xy 37.332905 54.334752) (xy 37.230618 54.377016) (xy 37.152291 54.455207) (xy 37.109848 54.55742)
+        (xy 37.109752 54.668095) (xy 37.109752 56.192095) (xy 37.152016 56.294382) (xy 37.230207 56.372709) (xy 37.33242 56.415152)
+        (xy 37.443095 56.415248) (xy 38.967095 56.415248) (xy 39.069382 56.372984) (xy 39.147709 56.294793) (xy 39.190152 56.19258)
+        (xy 39.190248 56.081905) (xy 39.190248 78.695469) (xy 38.974422 78.695282) (xy 38.722712 78.799286) (xy 38.529963 78.991699)
+        (xy 38.425519 79.243227) (xy 38.425282 79.515578) (xy 38.529286 79.767288) (xy 38.721699 79.960037) (xy 38.973227 80.064481)
+        (xy 39.245578 80.064718) (xy 39.497288 79.960714) (xy 39.690037 79.768301) (xy 39.794481 79.516773) (xy 39.794718 79.244422)
+        (xy 39.794718 83.804422) (xy 39.690714 83.552712) (xy 39.498301 83.359963) (xy 39.246773 83.255519) (xy 38.974422 83.255282)
+        (xy 38.722712 83.359286) (xy 38.529963 83.551699) (xy 38.425519 83.803227) (xy 38.425282 84.075578) (xy 38.529286 84.327288)
+        (xy 38.721699 84.520037) (xy 38.973227 84.624481) (xy 39.245578 84.624718) (xy 39.497288 84.520714) (xy 39.690037 84.328301)
+        (xy 39.794481 84.076773) (xy 39.794718 83.804422) (xy 39.794718 104.141378) (xy 38.056888 104.133233) (xy 38.056888 82.699633)
+        (xy 37.979895 82.513296) (xy 37.837454 82.370606) (xy 37.651251 82.293288) (xy 37.449633 82.293112) (xy 37.263296 82.370105)
+        (xy 37.120606 82.512546) (xy 37.043288 82.698749) (xy 37.043112 82.900367) (xy 37.120105 83.086704) (xy 37.262546 83.229394)
+        (xy 37.448749 83.306712) (xy 37.650367 83.306888) (xy 37.836704 83.229895) (xy 37.979394 83.087454) (xy 38.056712 82.901251)
+        (xy 38.056888 82.699633) (xy 38.056888 104.133233) (xy 36.375143 104.125351) (xy 36.525034 25.632363) (xy 36.546036 25.674366)
+        (xy 126.414745 25.2032) (xy 126.949951 25.2032)
+      )
+    )
+  )
+  (zone (net 265) (net_name DRAM_REF) (layer Sig-L5) (tstamp 51AEE5F6) (hatch edge 0.508)
+    (connect_pads (clearance 0.2032))
+    (min_thickness 0.15)
+    (fill (arc_segments 16) (thermal_gap 0.508) (thermal_bridge_width 0.508))
+    (polygon
+      (pts
+        (xy 72.6 86.225) (xy 36.15 86.4) (xy 36 53.85) (xy 55.55 53.85) (xy 55.5 53.975)
+        (xy 55.525 62.8) (xy 39.075 62.475) (xy 39.4 80.025) (xy 54.550888 79.808069) (xy 54.6 74.725)
+        (xy 63.25 74.775) (xy 72.375 74.85) (xy 72.494926 79.551146) (xy 72.575 79.55) (xy 72.6 86.225)
+      )
+    )
+    (filled_polygon
+      (pts
+        (xy 72.518107 84.384787) (xy 72.476905 84.384752) (xy 70.952905 84.384752) (xy 70.850618 84.427016) (xy 70.772291 84.505207)
+        (xy 70.729848 84.60742) (xy 70.729752 84.718095) (xy 70.729752 86.158978) (xy 70.481888 86.160168) (xy 70.481888 80.724633)
+        (xy 70.404895 80.538296) (xy 70.262454 80.395606) (xy 70.076251 80.318288) (xy 69.874633 80.318112) (xy 69.688296 80.395105)
+        (xy 69.656888 80.426458) (xy 69.656888 78.999633) (xy 69.579895 78.813296) (xy 69.437454 78.670606) (xy 69.251251 78.593288)
+        (xy 69.23312 78.593272) (xy 69.281712 78.476251) (xy 69.281888 78.274633) (xy 69.204895 78.088296) (xy 69.062454 77.945606)
+        (xy 69.011669 77.924518) (xy 69.031712 77.876251) (xy 69.031888 77.674633) (xy 68.954895 77.488296) (xy 68.812454 77.345606)
+        (xy 68.626251 77.268288) (xy 68.424633 77.268112) (xy 68.238296 77.345105) (xy 68.095606 77.487546) (xy 68.018288 77.673749)
+        (xy 68.018112 77.875367) (xy 68.095105 78.061704) (xy 68.237546 78.204394) (xy 68.28833 78.225481) (xy 68.268288 78.273749)
+        (xy 68.268112 78.475367) (xy 68.345105 78.661704) (xy 68.487546 78.804394) (xy 68.673749 78.881712) (xy 68.691879 78.881727)
+        (xy 68.643288 78.998749) (xy 68.643227 79.06828) (xy 68.449633 79.068112) (xy 68.263296 79.145105) (xy 68.120606 79.287546)
+        (xy 68.043288 79.473749) (xy 68.043112 79.675367) (xy 68.120105 79.861704) (xy 68.262546 80.004394) (xy 68.448749 80.081712)
+        (xy 68.468259 80.081729) (xy 68.468112 80.250367) (xy 68.545105 80.436704) (xy 68.687546 80.579394) (xy 68.873749 80.656712)
+        (xy 69.075367 80.656888) (xy 69.261704 80.579895) (xy 69.404394 80.437454) (xy 69.481712 80.251251) (xy 69.481888 80.049633)
+        (xy 69.404895 79.863296) (xy 69.262454 79.720606) (xy 69.076251 79.643288) (xy 69.05674 79.64327) (xy 69.056772 79.606719)
+        (xy 69.250367 79.606888) (xy 69.436704 79.529895) (xy 69.579394 79.387454) (xy 69.656712 79.201251) (xy 69.656888 78.999633)
+        (xy 69.656888 80.426458) (xy 69.545606 80.537546) (xy 69.468288 80.723749) (xy 69.468112 80.925367) (xy 69.545105 81.111704)
+        (xy 69.687546 81.254394) (xy 69.873749 81.331712) (xy 70.075367 81.331888) (xy 70.261704 81.254895) (xy 70.404394 81.112454)
+        (xy 70.481712 80.926251) (xy 70.481888 80.724633) (xy 70.481888 86.160168) (xy 69.963402 86.162658) (xy 70.111324 86.014995)
+        (xy 70.270018 85.632816) (xy 70.270379 85.218999) (xy 70.112352 84.836544) (xy 69.819995 84.543676) (xy 69.437816 84.384982)
+        (xy 69.023999 84.384621) (xy 68.981888 84.40202) (xy 68.981888 82.324633) (xy 68.904895 82.138296) (xy 68.762454 81.995606)
+        (xy 68.576251 81.918288) (xy 68.374633 81.918112) (xy 68.188296 81.995105) (xy 68.045606 82.137546) (xy 67.968288 82.323749)
+        (xy 67.968112 82.525367) (xy 68.045105 82.711704) (xy 68.187546 82.854394) (xy 68.373749 82.931712) (xy 68.575367 82.931888)
+        (xy 68.761704 82.854895) (xy 68.904394 82.712454) (xy 68.981712 82.526251) (xy 68.981888 82.324633) (xy 68.981888 84.40202)
+        (xy 68.641544 84.542648) (xy 68.348676 84.835005) (xy 68.189982 85.217184) (xy 68.189621 85.631001) (xy 68.347648 86.013456)
+        (xy 68.503586 86.169666) (xy 66.431888 86.179612) (xy 66.431888 82.699633) (xy 66.354895 82.513296) (xy 66.212454 82.370606)
+        (xy 66.026251 82.293288) (xy 65.824633 82.293112) (xy 65.666423 82.358482) (xy 65.731712 82.201251) (xy 65.731888 81.999633)
+        (xy 65.662518 81.831745) (xy 65.825367 81.831888) (xy 66.011704 81.754895) (xy 66.154394 81.612454) (xy 66.231712 81.426251)
+        (xy 66.231888 81.224633) (xy 66.154895 81.038296) (xy 66.012454 80.895606) (xy 65.826251 80.818288) (xy 65.624633 80.818112)
+        (xy 65.438296 80.895105) (xy 65.295606 81.037546) (xy 65.218288 81.223749) (xy 65.218112 81.425367) (xy 65.287481 81.593254)
+        (xy 65.124633 81.593112) (xy 64.938296 81.670105) (xy 64.795606 81.812546) (xy 64.718288 81.998749) (xy 64.718112 82.200367)
+        (xy 64.795105 82.386704) (xy 64.937546 82.529394) (xy 65.123749 82.606712) (xy 65.325367 82.606888) (xy 65.483576 82.541517)
+        (xy 65.418288 82.698749) (xy 65.418112 82.900367) (xy 65.495105 83.086704) (xy 65.637546 83.229394) (xy 65.823749 83.306712)
+        (xy 66.025367 83.306888) (xy 66.211704 83.229895) (xy 66.354394 83.087454) (xy 66.431712 82.901251) (xy 66.431888 82.699633)
+        (xy 66.431888 86.179612) (xy 63.006888 86.196056) (xy 63.006888 83.099633) (xy 62.929895 82.913296) (xy 62.816724 82.799927)
+        (xy 62.929394 82.687454) (xy 63.006712 82.501251) (xy 63.006888 82.299633) (xy 62.929895 82.113296) (xy 62.787454 81.970606)
+        (xy 62.601251 81.893288) (xy 62.399633 81.893112) (xy 62.213296 81.970105) (xy 62.206888 81.976501) (xy 62.206888 81.024633)
+        (xy 62.129895 80.838296) (xy 62.106888 80.815248) (xy 62.106888 77.899633) (xy 62.029895 77.713296) (xy 61.887454 77.570606)
+        (xy 61.701251 77.493288) (xy 61.499633 77.493112) (xy 61.313296 77.570105) (xy 61.170606 77.712546) (xy 61.093288 77.898749)
+        (xy 61.093112 78.100367) (xy 61.170105 78.286704) (xy 61.312546 78.429394) (xy 61.498749 78.506712) (xy 61.700367 78.506888)
+        (xy 61.886704 78.429895) (xy 62.029394 78.287454) (xy 62.106712 78.101251) (xy 62.106888 77.899633) (xy 62.106888 80.815248)
+        (xy 61.987454 80.695606) (xy 61.801251 80.618288) (xy 61.599633 80.618112) (xy 61.413296 80.695105) (xy 61.331888 80.77637)
+        (xy 61.331888 78.674633) (xy 61.254895 78.488296) (xy 61.112454 78.345606) (xy 60.926251 78.268288) (xy 60.724633 78.268112)
+        (xy 60.538296 78.345105) (xy 60.506888 78.376458) (xy 60.506888 77.899633) (xy 60.429895 77.713296) (xy 60.287454 77.570606)
+        (xy 60.101251 77.493288) (xy 59.899633 77.493112) (xy 59.713296 77.570105) (xy 59.570606 77.712546) (xy 59.493288 77.898749)
+        (xy 59.493112 78.100367) (xy 59.570105 78.286704) (xy 59.712546 78.429394) (xy 59.898749 78.506712) (xy 60.100367 78.506888)
+        (xy 60.286704 78.429895) (xy 60.429394 78.287454) (xy 60.506712 78.101251) (xy 60.506888 77.899633) (xy 60.506888 78.376458)
+        (xy 60.395606 78.487546) (xy 60.318288 78.673749) (xy 60.318112 78.875367) (xy 60.395105 79.061704) (xy 60.537546 79.204394)
+        (xy 60.723749 79.281712) (xy 60.925367 79.281888) (xy 61.111704 79.204895) (xy 61.254394 79.062454) (xy 61.331712 78.876251)
+        (xy 61.331888 78.674633) (xy 61.331888 80.77637) (xy 61.270606 80.837546) (xy 61.193288 81.023749) (xy 61.193112 81.225367)
+        (xy 61.270105 81.411704) (xy 61.412546 81.554394) (xy 61.598749 81.631712) (xy 61.800367 81.631888) (xy 61.986704 81.554895)
+        (xy 62.129394 81.412454) (xy 62.206712 81.226251) (xy 62.206888 81.024633) (xy 62.206888 81.976501) (xy 62.070606 82.112546)
+        (xy 61.993288 82.298749) (xy 61.993112 82.500367) (xy 62.070105 82.686704) (xy 62.183275 82.800072) (xy 62.070606 82.912546)
+        (xy 61.993288 83.098749) (xy 61.993112 83.300367) (xy 62.070105 83.486704) (xy 62.212546 83.629394) (xy 62.398749 83.706712)
+        (xy 62.600367 83.706888) (xy 62.786704 83.629895) (xy 62.929394 83.487454) (xy 63.006712 83.301251) (xy 63.006888 83.099633)
+        (xy 63.006888 86.196056) (xy 60.981888 86.205778) (xy 60.981888 81.049633) (xy 60.904895 80.863296) (xy 60.762454 80.720606)
+        (xy 60.576251 80.643288) (xy 60.374633 80.643112) (xy 60.256888 80.691763) (xy 60.256888 79.024633) (xy 60.179895 78.838296)
+        (xy 60.037454 78.695606) (xy 59.851251 78.618288) (xy 59.649633 78.618112) (xy 59.463296 78.695105) (xy 59.320606 78.837546)
+        (xy 59.243288 79.023749) (xy 59.243112 79.225367) (xy 59.320105 79.411704) (xy 59.462546 79.554394) (xy 59.648749 79.631712)
+        (xy 59.850367 79.631888) (xy 60.036704 79.554895) (xy 60.179394 79.412454) (xy 60.256712 79.226251) (xy 60.256888 79.024633)
+        (xy 60.256888 80.691763) (xy 60.188296 80.720105) (xy 60.045606 80.862546) (xy 59.968288 81.048749) (xy 59.968112 81.250367)
+        (xy 60.045105 81.436704) (xy 60.187546 81.579394) (xy 60.373749 81.656712) (xy 60.575367 81.656888) (xy 60.761704 81.579895)
+        (xy 60.904394 81.437454) (xy 60.981712 81.251251) (xy 60.981888 81.049633) (xy 60.981888 86.205778) (xy 60.531888 86.207938)
+        (xy 60.531888 83.474633) (xy 60.454895 83.288296) (xy 60.312454 83.145606) (xy 60.126251 83.068288) (xy 59.924633 83.068112)
+        (xy 59.738296 83.145105) (xy 59.706888 83.176457) (xy 59.706888 81.899633) (xy 59.629895 81.713296) (xy 59.531888 81.615117)
+        (xy 59.531888 80.574633) (xy 59.454895 80.388296) (xy 59.312454 80.245606) (xy 59.126251 80.168288) (xy 58.924633 80.168112)
+        (xy 58.738296 80.245105) (xy 58.595606 80.387546) (xy 58.518288 80.573749) (xy 58.518112 80.775367) (xy 58.595105 80.961704)
+        (xy 58.737546 81.104394) (xy 58.923749 81.181712) (xy 59.125367 81.181888) (xy 59.311704 81.104895) (xy 59.454394 80.962454)
+        (xy 59.531712 80.776251) (xy 59.531888 80.574633) (xy 59.531888 81.615117) (xy 59.487454 81.570606) (xy 59.301251 81.493288)
+        (xy 59.099633 81.493112) (xy 58.913296 81.570105) (xy 58.770606 81.712546) (xy 58.693288 81.898749) (xy 58.693112 82.100367)
+        (xy 58.770105 82.286704) (xy 58.912546 82.429394) (xy 59.098749 82.506712) (xy 59.300367 82.506888) (xy 59.486704 82.429895)
+        (xy 59.629394 82.287454) (xy 59.706712 82.101251) (xy 59.706888 81.899633) (xy 59.706888 83.176457) (xy 59.624905 83.258297)
+        (xy 59.487454 83.120606) (xy 59.301251 83.043288) (xy 59.099633 83.043112) (xy 58.913296 83.120105) (xy 58.906888 83.126501)
+        (xy 58.906888 82.699633) (xy 58.829895 82.513296) (xy 58.687454 82.370606) (xy 58.506888 82.295628) (xy 58.506888 80.524633)
+        (xy 58.429895 80.338296) (xy 58.287454 80.195606) (xy 58.101251 80.118288) (xy 57.899633 80.118112) (xy 57.713296 80.195105)
+        (xy 57.570606 80.337546) (xy 57.493288 80.523749) (xy 57.493112 80.725367) (xy 57.511376 80.76957) (xy 57.487454 80.745606)
+        (xy 57.306888 80.670628) (xy 57.306888 78.699633) (xy 57.229895 78.513296) (xy 57.087454 78.370606) (xy 56.901251 78.293288)
+        (xy 56.699633 78.293112) (xy 56.513296 78.370105) (xy 56.506888 78.376501) (xy 56.506888 77.899633) (xy 56.429895 77.713296)
+        (xy 56.316724 77.599927) (xy 56.429394 77.487454) (xy 56.506712 77.301251) (xy 56.506888 77.099633) (xy 56.429895 76.913296)
+        (xy 56.287454 76.770606) (xy 56.101251 76.693288) (xy 55.899633 76.693112) (xy 55.713296 76.770105) (xy 55.570606 76.912546)
+        (xy 55.493288 77.098749) (xy 55.493112 77.300367) (xy 55.570105 77.486704) (xy 55.683275 77.600072) (xy 55.570606 77.712546)
+        (xy 55.493288 77.898749) (xy 55.493112 78.100367) (xy 55.570105 78.286704) (xy 55.712546 78.429394) (xy 55.898749 78.506712)
+        (xy 56.100367 78.506888) (xy 56.286704 78.429895) (xy 56.429394 78.287454) (xy 56.506712 78.101251) (xy 56.506888 77.899633)
+        (xy 56.506888 78.376501) (xy 56.370606 78.512546) (xy 56.293288 78.698749) (xy 56.293112 78.900367) (xy 56.370105 79.086704)
+        (xy 56.512546 79.229394) (xy 56.698749 79.306712) (xy 56.900367 79.306888) (xy 57.086704 79.229895) (xy 57.229394 79.087454)
+        (xy 57.306712 78.901251) (xy 57.306888 78.699633) (xy 57.306888 80.670628) (xy 57.301251 80.668288) (xy 57.099633 80.668112)
+        (xy 56.913296 80.745105) (xy 56.843077 80.8152) (xy 56.829895 80.783296) (xy 56.687454 80.640606) (xy 56.501251 80.563288)
+        (xy 56.299633 80.563112) (xy 56.113296 80.640105) (xy 55.970606 80.782546) (xy 55.893288 80.968749) (xy 55.893112 81.170367)
+        (xy 55.970105 81.356704) (xy 56.112546 81.499394) (xy 56.298749 81.576712) (xy 56.500367 81.576888) (xy 56.510833 81.572563)
+        (xy 56.370606 81.712546) (xy 56.293288 81.898749) (xy 56.293112 82.100367) (xy 56.370105 82.286704) (xy 56.483275 82.400072)
+        (xy 56.399927 82.483275) (xy 56.287454 82.370606) (xy 56.101251 82.293288) (xy 55.899633 82.293112) (xy 55.713296 82.370105)
+        (xy 55.599927 82.483275) (xy 55.487454 82.370606) (xy 55.301251 82.293288) (xy 55.099633 82.293112) (xy 54.913296 82.370105)
+        (xy 54.770606 82.512546) (xy 54.693288 82.698749) (xy 54.693112 82.900367) (xy 54.770105 83.086704) (xy 54.912546 83.229394)
+        (xy 55.098749 83.306712) (xy 55.300367 83.306888) (xy 55.486704 83.229895) (xy 55.600072 83.116724) (xy 55.683254 83.20005)
+        (xy 55.595606 83.287546) (xy 55.518288 83.473749) (xy 55.518112 83.675367) (xy 55.595105 83.861704) (xy 55.737546 84.004394)
+        (xy 55.923749 84.081712) (xy 56.125367 84.081888) (xy 56.311704 84.004895) (xy 56.454394 83.862454) (xy 56.531712 83.676251)
+        (xy 56.531888 83.474633) (xy 56.454895 83.288296) (xy 56.341745 83.174949) (xy 56.400072 83.116724) (xy 56.512546 83.229394)
+        (xy 56.698749 83.306712) (xy 56.900367 83.306888) (xy 57.086704 83.229895) (xy 57.229394 83.087454) (xy 57.306712 82.901251)
+        (xy 57.306888 82.699633) (xy 57.229895 82.513296) (xy 57.116724 82.399927) (xy 57.229394 82.287454) (xy 57.306712 82.101251)
+        (xy 57.306888 81.899633) (xy 57.229895 81.713296) (xy 57.198452 81.681799) (xy 57.300367 81.681888) (xy 57.486704 81.604895)
+        (xy 57.629394 81.462454) (xy 57.706712 81.276251) (xy 57.706888 81.074633) (xy 57.688623 81.030429) (xy 57.712546 81.054394)
+        (xy 57.898749 81.131712) (xy 58.100367 81.131888) (xy 58.286704 81.054895) (xy 58.429394 80.912454) (xy 58.506712 80.726251)
+        (xy 58.506888 80.524633) (xy 58.506888 82.295628) (xy 58.501251 82.293288) (xy 58.299633 82.293112) (xy 58.113296 82.370105)
+        (xy 57.970606 82.512546) (xy 57.893288 82.698749) (xy 57.893112 82.900367) (xy 57.970105 83.086704) (xy 58.112546 83.229394)
+        (xy 58.298749 83.306712) (xy 58.500367 83.306888) (xy 58.686704 83.229895) (xy 58.829394 83.087454) (xy 58.906712 82.901251)
+        (xy 58.906888 82.699633) (xy 58.906888 83.126501) (xy 58.770606 83.262546) (xy 58.693288 83.448749) (xy 58.693112 83.650367)
+        (xy 58.770105 83.836704) (xy 58.912546 83.979394) (xy 59.098749 84.056712) (xy 59.300367 84.056888) (xy 59.486704 83.979895)
+        (xy 59.600094 83.866702) (xy 59.737546 84.004394) (xy 59.923749 84.081712) (xy 60.125367 84.081888) (xy 60.311704 84.004895)
+        (xy 60.454394 83.862454) (xy 60.531712 83.676251) (xy 60.531888 83.474633) (xy 60.531888 86.207938) (xy 60.056334 86.210222)
+        (xy 60.005714 86.087712) (xy 59.813301 85.894963) (xy 59.561773 85.790519) (xy 59.289422 85.790282) (xy 59.037712 85.894286)
+        (xy 58.844963 86.086699) (xy 58.791148 86.216297) (xy 58.106888 86.219582) (xy 58.106888 83.399633) (xy 58.029895 83.213296)
+        (xy 57.887454 83.070606) (xy 57.701251 82.993288) (xy 57.499633 82.993112) (xy 57.313296 83.070105) (xy 57.170606 83.212546)
+        (xy 57.093288 83.398749) (xy 57.093112 83.600367) (xy 57.170105 83.786704) (xy 57.312546 83.929394) (xy 57.498749 84.006712)
+        (xy 57.700367 84.006888) (xy 57.886704 83.929895) (xy 58.029394 83.787454) (xy 58.106712 83.601251) (xy 58.106888 83.399633)
+        (xy 58.106888 86.219582) (xy 55.840248 86.230464) (xy 55.840248 84.782905) (xy 55.797984 84.680618) (xy 55.719793 84.602291)
+        (xy 55.61758 84.559848) (xy 55.506905 84.559752) (xy 54.106888 84.559752) (xy 54.106888 82.699633) (xy 54.029895 82.513296)
+        (xy 53.887454 82.370606) (xy 53.701251 82.293288) (xy 53.499633 82.293112) (xy 53.313296 82.370105) (xy 53.170606 82.512546)
+        (xy 53.093288 82.698749) (xy 53.093112 82.900367) (xy 53.170105 83.086704) (xy 53.226525 83.143222) (xy 53.099633 83.143112)
+        (xy 52.913296 83.220105) (xy 52.799927 83.333275) (xy 52.687454 83.220606) (xy 52.506888 83.145628) (xy 52.506888 81.899633)
+        (xy 52.429895 81.713296) (xy 52.287454 81.570606) (xy 52.101251 81.493288) (xy 51.899633 81.493112) (xy 51.713296 81.570105)
+        (xy 51.570606 81.712546) (xy 51.493288 81.898749) (xy 51.493112 82.100367) (xy 51.570105 82.286704) (xy 51.712546 82.429394)
+        (xy 51.898749 82.506712) (xy 52.100367 82.506888) (xy 52.286704 82.429895) (xy 52.429394 82.287454) (xy 52.506712 82.101251)
+        (xy 52.506888 81.899633) (xy 52.506888 83.145628) (xy 52.501251 83.143288) (xy 52.299633 83.143112) (xy 52.113296 83.220105)
+        (xy 51.999927 83.333275) (xy 51.887454 83.220606) (xy 51.701251 83.143288) (xy 51.499633 83.143112) (xy 51.313296 83.220105)
+        (xy 51.170606 83.362546) (xy 51.093288 83.548749) (xy 51.093112 83.750367) (xy 51.170105 83.936704) (xy 51.312546 84.079394)
+        (xy 51.498749 84.156712) (xy 51.700367 84.156888) (xy 51.886704 84.079895) (xy 52.000072 83.966724) (xy 52.112546 84.079394)
+        (xy 52.298749 84.156712) (xy 52.500367 84.156888) (xy 52.686704 84.079895) (xy 52.800072 83.966724) (xy 52.912546 84.079394)
+        (xy 53.098749 84.156712) (xy 53.300367 84.156888) (xy 53.486704 84.079895) (xy 53.629394 83.937454) (xy 53.706712 83.751251)
+        (xy 53.706888 83.549633) (xy 53.629895 83.363296) (xy 53.573474 83.306777) (xy 53.700367 83.306888) (xy 53.886704 83.229895)
+        (xy 54.029394 83.087454) (xy 54.106712 82.901251) (xy 54.106888 82.699633) (xy 54.106888 84.559752) (xy 53.982905 84.559752)
+        (xy 53.880618 84.602016) (xy 53.802291 84.680207) (xy 53.759848 84.78242) (xy 53.759752 84.893095) (xy 53.759752 86.240453)
+        (xy 50.906888 86.254149) (xy 50.906888 83.499633) (xy 50.829895 83.313296) (xy 50.687454 83.170606) (xy 50.501251 83.093288)
+        (xy 50.299633 83.093112) (xy 50.113296 83.170105) (xy 49.999927 83.283275) (xy 49.887454 83.170606) (xy 49.701251 83.093288)
+        (xy 49.499633 83.093112) (xy 49.356888 83.152093) (xy 49.356888 81.499633) (xy 49.279895 81.313296) (xy 49.137454 81.170606)
+        (xy 48.951251 81.093288) (xy 48.749633 81.093112) (xy 48.563296 81.170105) (xy 48.420606 81.312546) (xy 48.343288 81.498749)
+        (xy 48.343112 81.700367) (xy 48.420105 81.886704) (xy 48.562546 82.029394) (xy 48.748749 82.106712) (xy 48.950367 82.106888)
+        (xy 49.136704 82.029895) (xy 49.279394 81.887454) (xy 49.356712 81.701251) (xy 49.356888 81.499633) (xy 49.356888 83.152093)
+        (xy 49.313296 83.170105) (xy 49.170606 83.312546) (xy 49.093288 83.498749) (xy 49.093112 83.700367) (xy 49.170105 83.886704)
+        (xy 49.312546 84.029394) (xy 49.498749 84.106712) (xy 49.700367 84.106888) (xy 49.886704 84.029895) (xy 50.000072 83.916724)
+        (xy 50.112546 84.029394) (xy 50.298749 84.106712) (xy 50.500367 84.106888) (xy 50.686704 84.029895) (xy 50.829394 83.887454)
+        (xy 50.906712 83.701251) (xy 50.906888 83.499633) (xy 50.906888 86.254149) (xy 47.506888 86.270473) (xy 47.506888 81.999633)
+        (xy 47.429895 81.813296) (xy 47.287454 81.670606) (xy 47.101251 81.593288) (xy 46.899633 81.593112) (xy 46.713296 81.670105)
+        (xy 46.570606 81.812546) (xy 46.493288 81.998749) (xy 46.493112 82.200367) (xy 46.570105 82.386704) (xy 46.712546 82.529394)
+        (xy 46.898749 82.606712) (xy 47.100367 82.606888) (xy 47.286704 82.529895) (xy 47.429394 82.387454) (xy 47.506712 82.201251)
+        (xy 47.506888 81.999633) (xy 47.506888 86.270473) (xy 44.881888 86.283076) (xy 44.881888 81.199633) (xy 44.804895 81.013296)
+        (xy 44.662454 80.870606) (xy 44.476251 80.793288) (xy 44.274633 80.793112) (xy 44.088296 80.870105) (xy 43.945606 81.012546)
+        (xy 43.868288 81.198749) (xy 43.868112 81.400367) (xy 43.945105 81.586704) (xy 44.087546 81.729394) (xy 44.273749 81.806712)
+        (xy 44.475367 81.806888) (xy 44.661704 81.729895) (xy 44.804394 81.587454) (xy 44.881712 81.401251) (xy 44.881888 81.199633)
+        (xy 44.881888 86.283076) (xy 44.081888 86.286917) (xy 44.081888 82.349633) (xy 44.004895 82.163296) (xy 43.862454 82.020606)
+        (xy 43.676251 81.943288) (xy 43.474633 81.943112) (xy 43.406888 81.971103) (xy 43.406888 80.774633) (xy 43.329895 80.588296)
+        (xy 43.187454 80.445606) (xy 43.001251 80.368288) (xy 42.799633 80.368112) (xy 42.613296 80.445105) (xy 42.470606 80.587546)
+        (xy 42.393288 80.773749) (xy 42.393112 80.975367) (xy 42.470105 81.161704) (xy 42.612546 81.304394) (xy 42.798749 81.381712)
+        (xy 43.000367 81.381888) (xy 43.186704 81.304895) (xy 43.329394 81.162454) (xy 43.406712 80.976251) (xy 43.406888 80.774633)
+        (xy 43.406888 81.971103) (xy 43.288296 82.020105) (xy 43.145606 82.162546) (xy 43.068288 82.348749) (xy 43.068112 82.550367)
+        (xy 43.145105 82.736704) (xy 43.287546 82.879394) (xy 43.473749 82.956712) (xy 43.675367 82.956888) (xy 43.861704 82.879895)
+        (xy 44.004394 82.737454) (xy 44.081712 82.551251) (xy 44.081888 82.349633) (xy 44.081888 86.286917) (xy 41.684718 86.298426)
+        (xy 41.684718 83.639422) (xy 41.580714 83.387712) (xy 41.388301 83.194963) (xy 41.136773 83.090519) (xy 40.864422 83.090282)
+        (xy 40.612712 83.194286) (xy 40.419963 83.386699) (xy 40.315519 83.638227) (xy 40.315282 83.910578) (xy 40.419286 84.162288)
+        (xy 40.611699 84.355037) (xy 40.863227 84.459481) (xy 41.135578 84.459718) (xy 41.387288 84.355714) (xy 41.580037 84.163301)
+        (xy 41.684481 83.911773) (xy 41.684718 83.639422) (xy 41.684718 86.298426) (xy 37.971 86.316256) (xy 37.971 56.57425)
+        (xy 37.971 55.554) (xy 37.971 55.196) (xy 37.971 54.17575) (xy 37.82525 54.03) (xy 37.272543 54.0299)
+        (xy 37.058189 54.118469) (xy 36.894046 54.282326) (xy 36.805102 54.496526) (xy 36.8049 54.728457) (xy 36.805 55.05025)
+        (xy 36.95075 55.196) (xy 37.971 55.196) (xy 37.971 55.554) (xy 36.95075 55.554) (xy 36.805 55.69975)
+        (xy 36.8049 56.021543) (xy 36.805102 56.253474) (xy 36.894046 56.467674) (xy 37.058189 56.631531) (xy 37.272543 56.7201)
+        (xy 37.82525 56.72) (xy 37.971 56.57425) (xy 37.971 86.316256) (xy 36.224654 86.324641) (xy 36.075346 53.925)
+        (xy 55.439223 53.925) (xy 55.424959 53.960659) (xy 55.432777 56.720735) (xy 55.313296 56.770105) (xy 55.170606 56.912546)
+        (xy 55.093288 57.098749) (xy 55.093112 57.300367) (xy 55.170105 57.486704) (xy 55.312546 57.629394) (xy 55.435496 57.680447)
+        (xy 55.438537 58.753686) (xy 55.338296 58.795105) (xy 55.281733 58.851568) (xy 55.281888 58.674633) (xy 55.204895 58.488296)
+        (xy 55.062454 58.345606) (xy 54.876251 58.268288) (xy 54.674633 58.268112) (xy 54.506888 58.337422) (xy 54.506888 57.899633)
+        (xy 54.429895 57.713296) (xy 54.316724 57.599927) (xy 54.429394 57.487454) (xy 54.506712 57.301251) (xy 54.506888 57.099633)
+        (xy 54.429895 56.913296) (xy 54.287454 56.770606) (xy 54.101251 56.693288) (xy 53.899633 56.693112) (xy 53.713296 56.770105)
+        (xy 53.570606 56.912546) (xy 53.493288 57.098749) (xy 53.493112 57.300367) (xy 53.570105 57.486704) (xy 53.683275 57.600072)
+        (xy 53.570606 57.712546) (xy 53.493288 57.898749) (xy 53.493112 58.100367) (xy 53.570105 58.286704) (xy 53.712546 58.429394)
+        (xy 53.898749 58.506712) (xy 54.100367 58.506888) (xy 54.286704 58.429895) (xy 54.429394 58.287454) (xy 54.506712 58.101251)
+        (xy 54.506888 57.899633) (xy 54.506888 58.337422) (xy 54.488296 58.345105) (xy 54.345606 58.487546) (xy 54.268288 58.673749)
+        (xy 54.268112 58.875367) (xy 54.345105 59.061704) (xy 54.487546 59.204394) (xy 54.673749 59.281712) (xy 54.875367 59.281888)
+        (xy 55.061704 59.204895) (xy 55.118266 59.148431) (xy 55.118112 59.325367) (xy 55.195105 59.511704) (xy 55.27071 59.587441)
+        (xy 55.270606 59.587546) (xy 55.193288 59.773749) (xy 55.193112 59.975367) (xy 55.270105 60.161704) (xy 55.412546 60.304394)
+        (xy 55.442965 60.317025) (xy 55.444058 60.702586) (xy 55.301251 60.643288) (xy 55.099633 60.643112) (xy 54.913296 60.720105)
+        (xy 54.770606 60.862546) (xy 54.693288 61.048749) (xy 54.693112 61.250367) (xy 54.770105 61.436704) (xy 54.826525 61.493222)
+        (xy 54.699633 61.493112) (xy 54.513296 61.570105) (xy 54.506888 61.576501) (xy 54.506888 60.999633) (xy 54.429895 60.813296)
+        (xy 54.287454 60.670606) (xy 54.101251 60.593288) (xy 53.899633 60.593112) (xy 53.713296 60.670105) (xy 53.631888 60.75137)
+        (xy 53.631888 60.374633) (xy 53.554895 60.188296) (xy 53.412454 60.045606) (xy 53.226251 59.968288) (xy 53.024633 59.968112)
+        (xy 52.906888 60.016763) (xy 52.906888 57.899633) (xy 52.829895 57.713296) (xy 52.687454 57.570606) (xy 52.581888 57.526771)
+        (xy 52.581888 55.199633) (xy 52.504895 55.013296) (xy 52.362454 54.870606) (xy 52.176251 54.793288) (xy 51.974633 54.793112)
+        (xy 51.788296 54.870105) (xy 51.645606 55.012546) (xy 51.568288 55.198749) (xy 51.568112 55.400367) (xy 51.645105 55.586704)
+        (xy 51.787546 55.729394) (xy 51.973749 55.806712) (xy 52.175367 55.806888) (xy 52.361704 55.729895) (xy 52.504394 55.587454)
+        (xy 52.581712 55.401251) (xy 52.581888 55.199633) (xy 52.581888 57.526771) (xy 52.501251 57.493288) (xy 52.299633 57.493112)
+        (xy 52.113296 57.570105) (xy 51.999927 57.683275) (xy 51.887454 57.570606) (xy 51.706888 57.495628) (xy 51.706888 56.249633)
+        (xy 51.629895 56.063296) (xy 51.487454 55.920606) (xy 51.301251 55.843288) (xy 51.099633 55.843112) (xy 50.913296 55.920105)
+        (xy 50.799927 56.033275) (xy 50.687454 55.920606) (xy 50.501251 55.843288) (xy 50.299633 55.843112) (xy 50.113296 55.920105)
+        (xy 49.999927 56.033275) (xy 49.887454 55.920606) (xy 49.701251 55.843288) (xy 49.499633 55.843112) (xy 49.313296 55.920105)
+        (xy 49.263554 55.969759) (xy 49.229895 55.888296) (xy 49.087454 55.745606) (xy 48.901251 55.668288) (xy 48.699633 55.668112)
+        (xy 48.513296 55.745105) (xy 48.370606 55.887546) (xy 48.336483 55.969721) (xy 48.287454 55.920606) (xy 48.101251 55.843288)
+        (xy 47.899633 55.843112) (xy 47.713296 55.920105) (xy 47.570606 56.062546) (xy 47.516888 56.191913) (xy 47.516888 54.979633)
+        (xy 47.439895 54.793296) (xy 47.297454 54.650606) (xy 47.111251 54.573288) (xy 46.909633 54.573112) (xy 46.723296 54.650105)
+        (xy 46.580606 54.792546) (xy 46.503288 54.978749) (xy 46.503112 55.180367) (xy 46.580105 55.366704) (xy 46.722546 55.509394)
+        (xy 46.908749 55.586712) (xy 47.110367 55.586888) (xy 47.296704 55.509895) (xy 47.439394 55.367454) (xy 47.516712 55.181251)
+        (xy 47.516888 54.979633) (xy 47.516888 56.191913) (xy 47.493288 56.248749) (xy 47.493112 56.450367) (xy 47.570105 56.636704)
+        (xy 47.712546 56.779394) (xy 47.898749 56.856712) (xy 48.100367 56.856888) (xy 48.286704 56.779895) (xy 48.429394 56.637454)
+        (xy 48.463516 56.555278) (xy 48.512546 56.604394) (xy 48.698749 56.681712) (xy 48.900367 56.681888) (xy 49.086704 56.604895)
+        (xy 49.136445 56.55524) (xy 49.170105 56.636704) (xy 49.312546 56.779394) (xy 49.498749 56.856712) (xy 49.700367 56.856888)
+        (xy 49.886704 56.779895) (xy 50.000072 56.666724) (xy 50.112546 56.779394) (xy 50.298749 56.856712) (xy 50.426425 56.856823)
+        (xy 50.370606 56.912546) (xy 50.293288 57.098749) (xy 50.293112 57.300367) (xy 50.370105 57.486704) (xy 50.512546 57.629394)
+        (xy 50.698749 57.706712) (xy 50.900367 57.706888) (xy 51.086704 57.629895) (xy 51.229394 57.487454) (xy 51.306712 57.301251)
+        (xy 51.306888 57.099633) (xy 51.229895 56.913296) (xy 51.173474 56.856777) (xy 51.300367 56.856888) (xy 51.486704 56.779895)
+        (xy 51.629394 56.637454) (xy 51.706712 56.451251) (xy 51.706888 56.249633) (xy 51.706888 57.495628) (xy 51.701251 57.493288)
+        (xy 51.499633 57.493112) (xy 51.313296 57.570105) (xy 51.170606 57.712546) (xy 51.093288 57.898749) (xy 51.093112 58.100367)
+        (xy 51.170105 58.286704) (xy 51.312546 58.429394) (xy 51.498749 58.506712) (xy 51.700367 58.506888) (xy 51.886704 58.429895)
+        (xy 52.000072 58.316724) (xy 52.112546 58.429394) (xy 52.298749 58.506712) (xy 52.500367 58.506888) (xy 52.686704 58.429895)
+        (xy 52.829394 58.287454) (xy 52.906712 58.101251) (xy 52.906888 57.899633) (xy 52.906888 60.016763) (xy 52.838296 60.045105)
+        (xy 52.695606 60.187546) (xy 52.618288 60.373749) (xy 52.618112 60.575367) (xy 52.695105 60.761704) (xy 52.837546 60.904394)
+        (xy 53.023749 60.981712) (xy 53.225367 60.981888) (xy 53.411704 60.904895) (xy 53.554394 60.762454) (xy 53.631712 60.576251)
+        (xy 53.631888 60.374633) (xy 53.631888 60.75137) (xy 53.570606 60.812546) (xy 53.493288 60.998749) (xy 53.493112 61.200367)
+        (xy 53.570105 61.386704) (xy 53.712546 61.529394) (xy 53.898749 61.606712) (xy 54.100367 61.606888) (xy 54.286704 61.529895)
+        (xy 54.429394 61.387454) (xy 54.506712 61.201251) (xy 54.506888 60.999633) (xy 54.506888 61.576501) (xy 54.370606 61.712546)
+        (xy 54.293288 61.898749) (xy 54.293112 62.100367) (xy 54.370105 62.286704) (xy 54.512546 62.429394) (xy 54.698749 62.506712)
+        (xy 54.900367 62.506888) (xy 55.086704 62.429895) (xy 55.200072 62.316724) (xy 55.283275 62.400072) (xy 55.170606 62.512546)
+        (xy 55.093288 62.698749) (xy 55.093272 62.716455) (xy 53.702485 62.688977) (xy 53.629895 62.513296) (xy 53.516724 62.399927)
+        (xy 53.629394 62.287454) (xy 53.706712 62.101251) (xy 53.706888 61.899633) (xy 53.629895 61.713296) (xy 53.487454 61.570606)
+        (xy 53.301251 61.493288) (xy 53.099633 61.493112) (xy 52.913296 61.570105) (xy 52.799927 61.683275) (xy 52.687454 61.570606)
+        (xy 52.501251 61.493288) (xy 52.299633 61.493112) (xy 52.113296 61.570105) (xy 51.970606 61.712546) (xy 51.893288 61.898749)
+        (xy 51.893112 62.100367) (xy 51.970105 62.286704) (xy 52.083275 62.400072) (xy 51.970606 62.512546) (xy 51.912033 62.653604)
+        (xy 48.931888 62.594725) (xy 48.931888 61.874633) (xy 48.854895 61.688296) (xy 48.712454 61.545606) (xy 48.526251 61.468288)
+        (xy 48.324633 61.468112) (xy 48.138296 61.545105) (xy 47.995606 61.687546) (xy 47.918288 61.873749) (xy 47.918112 62.075367)
+        (xy 47.995105 62.261704) (xy 48.137546 62.404394) (xy 48.323749 62.481712) (xy 48.525367 62.481888) (xy 48.711704 62.404895)
+        (xy 48.854394 62.262454) (xy 48.931712 62.076251) (xy 48.931888 61.874633) (xy 48.931888 62.594725) (xy 46.681888 62.550272)
+        (xy 46.681888 60.299633) (xy 46.604895 60.113296) (xy 46.556888 60.065205) (xy 46.556888 56.749633) (xy 46.479895 56.563296)
+        (xy 46.337454 56.420606) (xy 46.151251 56.343288) (xy 46.081888 56.343227) (xy 46.081888 55.499633) (xy 46.004895 55.313296)
+        (xy 45.862454 55.170606) (xy 45.676251 55.093288) (xy 45.474633 55.093112) (xy 45.288296 55.170105) (xy 45.145606 55.312546)
+        (xy 45.068288 55.498749) (xy 45.068112 55.700367) (xy 45.145105 55.886704) (xy 45.287546 56.029394) (xy 45.473749 56.106712)
+        (xy 45.675367 56.106888) (xy 45.861704 56.029895) (xy 46.004394 55.887454) (xy 46.081712 55.701251) (xy 46.081888 55.499633)
+        (xy 46.081888 56.343227) (xy 45.949633 56.343112) (xy 45.763296 56.420105) (xy 45.620606 56.562546) (xy 45.543288 56.748749)
+        (xy 45.543112 56.950367) (xy 45.620105 57.136704) (xy 45.762546 57.279394) (xy 45.948749 57.356712) (xy 46.150367 57.356888)
+        (xy 46.336704 57.279895) (xy 46.479394 57.137454) (xy 46.556712 56.951251) (xy 46.556888 56.749633) (xy 46.556888 60.065205)
+        (xy 46.462454 59.970606) (xy 46.276251 59.893288) (xy 46.074633 59.893112) (xy 45.888296 59.970105) (xy 45.745606 60.112546)
+        (xy 45.668288 60.298749) (xy 45.668112 60.500367) (xy 45.745105 60.686704) (xy 45.887546 60.829394) (xy 46.073749 60.906712)
+        (xy 46.275367 60.906888) (xy 46.461704 60.829895) (xy 46.604394 60.687454) (xy 46.681712 60.501251) (xy 46.681888 60.299633)
+        (xy 46.681888 62.550272) (xy 45.406888 62.525082) (xy 45.406888 56.559633) (xy 45.329895 56.373296) (xy 45.187454 56.230606)
+        (xy 45.001251 56.153288) (xy 44.799633 56.153112) (xy 44.613296 56.230105) (xy 44.470606 56.372546) (xy 44.393288 56.558749)
+        (xy 44.393112 56.760367) (xy 44.470105 56.946704) (xy 44.612546 57.089394) (xy 44.798749 57.166712) (xy 45.000367 57.166888)
+        (xy 45.186704 57.089895) (xy 45.329394 56.947454) (xy 45.406712 56.761251) (xy 45.406888 56.559633) (xy 45.406888 62.525082)
+        (xy 44.496888 62.507104) (xy 44.496888 61.719633) (xy 44.419895 61.533296) (xy 44.277454 61.390606) (xy 44.091251 61.313288)
+        (xy 43.931888 61.313148) (xy 43.931888 58.674633) (xy 43.854895 58.488296) (xy 43.781888 58.415161) (xy 43.781888 56.799633)
+        (xy 43.704895 56.613296) (xy 43.562454 56.470606) (xy 43.376251 56.393288) (xy 43.174633 56.393112) (xy 42.988296 56.470105)
+        (xy 42.845606 56.612546) (xy 42.768288 56.798749) (xy 42.768112 57.000367) (xy 42.845105 57.186704) (xy 42.987546 57.329394)
+        (xy 43.173749 57.406712) (xy 43.375367 57.406888) (xy 43.561704 57.329895) (xy 43.704394 57.187454) (xy 43.781712 57.001251)
+        (xy 43.781888 56.799633) (xy 43.781888 58.415161) (xy 43.712454 58.345606) (xy 43.526251 58.268288) (xy 43.324633 58.268112)
+        (xy 43.146888 58.341554) (xy 43.146888 57.849633) (xy 43.069895 57.663296) (xy 42.927454 57.520606) (xy 42.741251 57.443288)
+        (xy 42.539633 57.443112) (xy 42.353296 57.520105) (xy 42.210606 57.662546) (xy 42.133288 57.848749) (xy 42.133241 57.902333)
+        (xy 42.051251 57.868288) (xy 41.849633 57.868112) (xy 41.756888 57.906433) (xy 41.756888 55.929633) (xy 41.679895 55.743296)
+        (xy 41.537454 55.600606) (xy 41.351251 55.523288) (xy 41.149633 55.523112) (xy 40.963296 55.600105) (xy 40.820606 55.742546)
+        (xy 40.743288 55.928749) (xy 40.743112 56.130367) (xy 40.820105 56.316704) (xy 40.962546 56.459394) (xy 41.148749 56.536712)
+        (xy 41.350367 56.536888) (xy 41.536704 56.459895) (xy 41.679394 56.317454) (xy 41.756712 56.131251) (xy 41.756888 55.929633)
+        (xy 41.756888 57.906433) (xy 41.663296 57.945105) (xy 41.520606 58.087546) (xy 41.443288 58.273749) (xy 41.443112 58.475367)
+        (xy 41.520105 58.661704) (xy 41.662546 58.804394) (xy 41.848749 58.881712) (xy 42.050367 58.881888) (xy 42.236704 58.804895)
+        (xy 42.379394 58.662454) (xy 42.456712 58.476251) (xy 42.456758 58.422666) (xy 42.538749 58.456712) (xy 42.740367 58.456888)
+        (xy 42.926704 58.379895) (xy 43.069394 58.237454) (xy 43.146712 58.051251) (xy 43.146888 57.849633) (xy 43.146888 58.341554)
+        (xy 43.138296 58.345105) (xy 42.995606 58.487546) (xy 42.918288 58.673749) (xy 42.918112 58.875367) (xy 42.995105 59.061704)
+        (xy 43.137546 59.204394) (xy 43.323749 59.281712) (xy 43.525367 59.281888) (xy 43.711704 59.204895) (xy 43.854394 59.062454)
+        (xy 43.931712 58.876251) (xy 43.931888 58.674633) (xy 43.931888 61.313148) (xy 43.889633 61.313112) (xy 43.703296 61.390105)
+        (xy 43.560606 61.532546) (xy 43.483288 61.718749) (xy 43.483112 61.920367) (xy 43.560105 62.106704) (xy 43.702546 62.249394)
+        (xy 43.888749 62.326712) (xy 44.090367 62.326888) (xy 44.276704 62.249895) (xy 44.419394 62.107454) (xy 44.496712 61.921251)
+        (xy 44.496888 61.719633) (xy 44.496888 62.507104) (xy 43.186888 62.481222) (xy 43.186888 60.299633) (xy 43.109895 60.113296)
+        (xy 42.967454 59.970606) (xy 42.781251 59.893288) (xy 42.579633 59.893112) (xy 42.484242 59.932526) (xy 42.529394 59.887454)
+        (xy 42.606712 59.701251) (xy 42.606888 59.499633) (xy 42.529895 59.313296) (xy 42.387454 59.170606) (xy 42.201251 59.093288)
+        (xy 41.999633 59.093112) (xy 41.813296 59.170105) (xy 41.670606 59.312546) (xy 41.593288 59.498749) (xy 41.593112 59.700367)
+        (xy 41.670105 59.886704) (xy 41.812546 60.029394) (xy 41.998749 60.106712) (xy 42.200367 60.106888) (xy 42.295757 60.067473)
+        (xy 42.250606 60.112546) (xy 42.173288 60.298749) (xy 42.173112 60.500367) (xy 42.250105 60.686704) (xy 42.392546 60.829394)
+        (xy 42.578749 60.906712) (xy 42.780367 60.906888) (xy 42.966704 60.829895) (xy 43.109394 60.687454) (xy 43.186712 60.501251)
+        (xy 43.186888 60.299633) (xy 43.186888 62.481222) (xy 40.8544 62.43514) (xy 40.8544 62.362317) (xy 40.929394 62.287454)
+        (xy 41.006712 62.101251) (xy 41.006888 61.899633) (xy 40.929895 61.713296) (xy 40.787454 61.570606) (xy 40.601251 61.493288)
+        (xy 40.399633 61.493112) (xy 40.213296 61.570105) (xy 40.070606 61.712546) (xy 39.993288 61.898749) (xy 39.993112 62.100367)
+        (xy 40.070105 62.286704) (xy 40.1456 62.36233) (xy 40.1456 62.421136) (xy 39.4951 62.408284) (xy 39.4951 56.021543)
+        (xy 39.4951 54.728457) (xy 39.494898 54.496526) (xy 39.405954 54.282326) (xy 39.241811 54.118469) (xy 39.027457 54.0299)
+        (xy 38.47475 54.03) (xy 38.329 54.17575) (xy 38.329 55.196) (xy 39.34925 55.196) (xy 39.495 55.05025)
+        (xy 39.4951 54.728457) (xy 39.4951 56.021543) (xy 39.495 55.69975) (xy 39.34925 55.554) (xy 38.329 55.554)
+        (xy 38.329 56.57425) (xy 38.47475 56.72) (xy 39.027457 56.7201) (xy 39.241811 56.631531) (xy 39.405954 56.467674)
+        (xy 39.494898 56.253474) (xy 39.4951 56.021543) (xy 39.4951 62.408284) (xy 38.99857 62.398475) (xy 39.010874 63.062927)
+        (xy 38.974401 63.099401) (xy 38.897577 63.214377) (xy 38.870599 63.35) (xy 38.8706 63.350005) (xy 38.8706 64.125)
+        (xy 38.8706 72.924994) (xy 38.870599 72.925) (xy 38.897577 73.060623) (xy 38.974401 73.175599) (xy 39.198802 73.4)
+        (xy 38.774401 73.824401) (xy 38.697577 73.939377) (xy 38.670599 74.075) (xy 38.6706 74.075005) (xy 38.6706 77.125)
+        (xy 38.6706 78.024994) (xy 38.670599 78.025) (xy 38.697577 78.160623) (xy 38.774401 78.275599) (xy 39.302368 78.803565)
+        (xy 39.326396 80.101062) (xy 40.918218 80.07827) (xy 40.918112 80.200367) (xy 40.995105 80.386704) (xy 41.137546 80.529394)
+        (xy 41.323749 80.606712) (xy 41.525367 80.606888) (xy 41.711704 80.529895) (xy 41.854394 80.387454) (xy 41.931712 80.201251)
+        (xy 41.931832 80.063757) (xy 44.781253 80.022958) (xy 44.862546 80.104394) (xy 44.891723 80.116509) (xy 44.845606 80.162546)
+        (xy 44.768288 80.348749) (xy 44.768112 80.550367) (xy 44.845105 80.736704) (xy 44.987546 80.879394) (xy 45.173749 80.956712)
+        (xy 45.375367 80.956888) (xy 45.561704 80.879895) (xy 45.704394 80.737454) (xy 45.781712 80.551251) (xy 45.781888 80.349633)
+        (xy 45.704895 80.163296) (xy 45.562454 80.020606) (xy 45.541892 80.012068) (xy 47.692784 79.981271) (xy 47.538296 80.045105)
+        (xy 47.395606 80.187546) (xy 47.318288 80.373749) (xy 47.318112 80.575367) (xy 47.395105 80.761704) (xy 47.537546 80.904394)
+        (xy 47.723749 80.981712) (xy 47.925367 80.981888) (xy 48.111704 80.904895) (xy 48.254394 80.762454) (xy 48.331712 80.576251)
+        (xy 48.33173 80.555496) (xy 48.439377 80.627423) (xy 48.575 80.6544) (xy 52.05 80.6544) (xy 52.551304 80.6544)
+        (xy 52.513296 80.670105) (xy 52.370606 80.812546) (xy 52.293288 80.998749) (xy 52.293112 81.200367) (xy 52.370105 81.386704)
+        (xy 52.512546 81.529394) (xy 52.698749 81.606712) (xy 52.900367 81.606888) (xy 53.086704 81.529895) (xy 53.229394 81.387454)
+        (xy 53.306712 81.201251) (xy 53.306888 80.999633) (xy 53.229895 80.813296) (xy 53.087454 80.670606) (xy 53.048425 80.6544)
+        (xy 53.353202 80.6544) (xy 53.893204 81.194401) (xy 53.893112 81.300367) (xy 53.970105 81.486704) (xy 54.083275 81.600072)
+        (xy 53.970606 81.712546) (xy 53.893288 81.898749) (xy 53.893112 82.100367) (xy 53.970105 82.286704) (xy 54.112546 82.429394)
+        (xy 54.298749 82.506712) (xy 54.500367 82.506888) (xy 54.686704 82.429895) (xy 54.829394 82.287454) (xy 54.906712 82.101251)
+        (xy 54.906888 81.899633) (xy 54.829895 81.713296) (xy 54.716724 81.599927) (xy 54.787529 81.529245) (xy 54.862546 81.604394)
+        (xy 55.048749 81.681712) (xy 55.250367 81.681888) (xy 55.436704 81.604895) (xy 55.579394 81.462454) (xy 55.656712 81.276251)
+        (xy 55.656805 81.169392) (xy 55.700599 81.125599) (xy 55.777423 81.010623) (xy 55.8044 80.875) (xy 55.8044 80.55)
+        (xy 55.777423 80.414377) (xy 55.700599 80.299401) (xy 55.700595 80.299398) (xy 55.525599 80.124401) (xy 55.410623 80.047577)
+        (xy 55.275 80.020599) (xy 55.274994 80.0206) (xy 54.95 80.0206) (xy 54.814377 80.047577) (xy 54.699401 80.124401)
+        (xy 54.699398 80.124404) (xy 54.4875 80.336302) (xy 54.425599 80.274401) (xy 54.425595 80.274398) (xy 54.175599 80.024401)
+        (xy 54.175595 80.024398) (xy 54.041566 79.890369) (xy 54.625177 79.882013) (xy 54.636942 78.664254) (xy 54.900595 78.400601)
+        (xy 54.900598 78.400599) (xy 54.900599 78.400599) (xy 54.977422 78.285624) (xy 54.977423 78.285623) (xy 54.999033 78.176978)
+        (xy 55.0044 78.150001) (xy 55.004399 78.15) (xy 55.0044 78.15) (xy 55.0044 75.971797) (xy 55.496797 75.4794)
+        (xy 55.575 75.4794) (xy 55.650767 75.4794) (xy 55.695105 75.586704) (xy 55.837546 75.729394) (xy 56.023749 75.806712)
+        (xy 56.225367 75.806888) (xy 56.411704 75.729895) (xy 56.443745 75.697909) (xy 56.470105 75.761704) (xy 56.612546 75.904394)
+        (xy 56.798749 75.981712) (xy 56.851535 75.981758) (xy 56.770606 76.062546) (xy 56.693288 76.248749) (xy 56.693112 76.450367)
+        (xy 56.770105 76.636704) (xy 56.912546 76.779394) (xy 57.098749 76.856712) (xy 57.226425 76.856823) (xy 57.170606 76.912546)
+        (xy 57.093288 77.098749) (xy 57.093112 77.300367) (xy 57.170105 77.486704) (xy 57.283275 77.600072) (xy 57.170606 77.712546)
+        (xy 57.093288 77.898749) (xy 57.093112 78.100367) (xy 57.170105 78.286704) (xy 57.312546 78.429394) (xy 57.498749 78.506712)
+        (xy 57.700367 78.506888) (xy 57.886704 78.429895) (xy 58.000072 78.316724) (xy 58.112546 78.429394) (xy 58.298749 78.506712)
+        (xy 58.500367 78.506888) (xy 58.686704 78.429895) (xy 58.829394 78.287454) (xy 58.906712 78.101251) (xy 58.906888 77.899633)
+        (xy 58.829895 77.713296) (xy 58.687454 77.570606) (xy 58.501251 77.493288) (xy 58.299633 77.493112) (xy 58.113296 77.570105)
+        (xy 57.999927 77.683275) (xy 57.916724 77.599927) (xy 58.029394 77.487454) (xy 58.106712 77.301251) (xy 58.106888 77.099633)
+        (xy 58.029895 76.913296) (xy 57.948496 76.831755) (xy 58.100367 76.831888) (xy 58.286704 76.754895) (xy 58.387572 76.654202)
+        (xy 58.512546 76.779394) (xy 58.698749 76.856712) (xy 58.900367 76.856888) (xy 59.086704 76.779895) (xy 59.200072 76.666724)
+        (xy 59.312546 76.779394) (xy 59.498749 76.856712) (xy 59.700367 76.856888) (xy 59.886704 76.779895) (xy 60.000072 76.666724)
+        (xy 60.112546 76.779394) (xy 60.298749 76.856712) (xy 60.500367 76.856888) (xy 60.686704 76.779895) (xy 60.800072 76.666724)
+        (xy 60.912546 76.779394) (xy 61.098749 76.856712) (xy 61.300367 76.856888) (xy 61.486704 76.779895) (xy 61.600072 76.666724)
+        (xy 61.712546 76.779394) (xy 61.898749 76.856712) (xy 62.100367 76.856888) (xy 62.286704 76.779895) (xy 62.429394 76.637454)
+        (xy 62.506712 76.451251) (xy 62.506888 76.249633) (xy 62.429895 76.063296) (xy 62.287454 75.920606) (xy 62.101251 75.843288)
+        (xy 61.899633 75.843112) (xy 61.713296 75.920105) (xy 61.599927 76.033275) (xy 61.487454 75.920606) (xy 61.301251 75.843288)
+        (xy 61.099633 75.843112) (xy 60.913296 75.920105) (xy 60.799927 76.033275) (xy 60.687454 75.920606) (xy 60.501251 75.843288)
+        (xy 60.299633 75.843112) (xy 60.113296 75.920105) (xy 59.999927 76.033275) (xy 59.887454 75.920606) (xy 59.701251 75.843288)
+        (xy 59.499633 75.843112) (xy 59.313296 75.920105) (xy 59.199927 76.033275) (xy 59.087454 75.920606) (xy 58.906738 75.845566)
+        (xy 58.906888 75.674633) (xy 58.829895 75.488296) (xy 58.687454 75.345606) (xy 58.501251 75.268288) (xy 58.299633 75.268112)
+        (xy 58.113296 75.345105) (xy 57.970606 75.487546) (xy 57.893288 75.673749) (xy 57.893159 75.820786) (xy 57.713296 75.895105)
+        (xy 57.587427 76.020754) (xy 57.487454 75.920606) (xy 57.301251 75.843288) (xy 57.248464 75.843241) (xy 57.329394 75.762454)
+        (xy 57.406712 75.576251) (xy 57.406888 75.374633) (xy 57.329895 75.188296) (xy 57.187454 75.045606) (xy 57.001251 74.968288)
+        (xy 56.894392 74.968194) (xy 56.738561 74.812363) (xy 63.249475 74.849998) (xy 69.482121 74.901225) (xy 69.370606 75.012546)
+        (xy 69.293288 75.198749) (xy 69.293112 75.400367) (xy 69.370105 75.586704) (xy 69.512546 75.729394) (xy 69.698749 75.806712)
+        (xy 69.900367 75.806888) (xy 70.086704 75.729895) (xy 70.165698 75.651038) (xy 70.170105 75.661704) (xy 70.312546 75.804394)
+        (xy 70.498749 75.881712) (xy 70.700367 75.881888) (xy 70.886704 75.804895) (xy 71.029394 75.662454) (xy 71.106712 75.476251)
+        (xy 71.106888 75.274633) (xy 71.029895 75.088296) (xy 70.887454 74.945606) (xy 70.806792 74.912112) (xy 72.301874 74.924401)
+        (xy 72.421842 79.6272) (xy 72.500284 79.626077) (xy 72.518107 84.384787)
+      )
+    )
+  )
+  (zone (net 280) (net_name IPSOUT) (layer BOT-L6) (tstamp 51AF1CA8) (hatch edge 0.508)
+    (connect_pads (clearance 0.2032))
+    (min_thickness 0.15)
+    (fill (arc_segments 16) (thermal_gap 0.508) (thermal_bridge_width 0.508))
+    (polygon
+      (pts
+        (xy 92.075 89.825) (xy 99.625 89.85) (xy 99.625 97.325) (xy 92.15 97.325) (xy 92.125 89.85)
+      )
+    )
+    (filled_polygon
+      (pts
+        (xy 99.55 97.25) (xy 96.381388 97.25) (xy 96.381388 93.489833) (xy 96.304395 93.303496) (xy 96.161954 93.160806)
+        (xy 95.975751 93.083488) (xy 95.774133 93.083312) (xy 95.587796 93.160305) (xy 95.445106 93.302746) (xy 95.367788 93.488949)
+        (xy 95.367612 93.690567) (xy 95.444605 93.876904) (xy 95.587046 94.019594) (xy 95.773249 94.096912) (xy 95.974867 94.097088)
+        (xy 96.161204 94.020095) (xy 96.303894 93.877654) (xy 96.381212 93.691451) (xy 96.381388 93.489833) (xy 96.381388 97.25)
+        (xy 92.22475 97.25) (xy 92.199845 89.80357) (xy 92.394824 89.901059) (xy 99.55 89.924752) (xy 99.55 97.25)
+      )
+    )
+  )
+)
diff --git a/SBC/a10-proto/a10-sbc.net b/SBC/a10-proto/a10-sbc.net
new file mode 100644
index 0000000..c16d6d8
--- /dev/null
+++ b/SBC/a10-proto/a10-sbc.net
@@ -0,0 +1,3757 @@
+(export (version D)
+  (design
+    (source /home/ajith/Kicad-projects/GIT/a10-proto/a10-sbc.sch)
+    (date "Sun 09 Jun 2013 07:22:12 AM IST")
+    (tool "eeschema (2012-nov-02)-testing"))
+  (components
+    (comp (ref U7)
+      (value AXP209)
+      (libsource (lib A10-plus) (part AXP209))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 514C3FF4))
+    (comp (ref R4)
+      (value 240)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 514C6175))
+    (comp (ref R10)
+      (value 240)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 514C617B))
+    (comp (ref U2)
+      (value 4GBDDR3)
+      (footprint ~)
+      (datasheet _)
+      (libsource (lib A10-plus) (part 4GBDDR3))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 514D42E8))
+    (comp (ref L3)
+      (value 4.7uH at 1.5A)
+      (libsource (lib device) (part INDUCTOR))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 514EC5DA))
+    (comp (ref L6)
+      (value 4.7uH at 1.5A)
+      (libsource (lib device) (part INDUCTOR))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 514ECA56))
+    (comp (ref X2)
+      (value 24MHz)
+      (libsource (lib device) (part CRYSTAL))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51523481))
+    (comp (ref C4)
+      (value 18pF)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51523944))
+    (comp (ref C3)
+      (value 18pF)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51523965))
+    (comp (ref R2)
+      (value 5k1)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5152B195))
+    (comp (ref R30)
+      (value 240)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5152CC57))
+    (comp (ref R20)
+      (value 2k2)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5152D179))
+    (comp (ref R21)
+      (value 2k2)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5152D186))
+    (comp (ref C42)
+      (value 1uF)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5152E923))
+    (comp (ref SW1)
+      (value SW_PUSH)
+      (libsource (lib device) (part SW_PUSH))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5152F670))
+    (comp (ref R28)
+      (value 33)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5152F676))
+    (comp (ref C41)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5152F82C))
+    (comp (ref R31)
+      (value 2k2)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51531275))
+    (comp (ref U5)
+      (value SY8008C)
+      (footprint MODULE)
+      (datasheet DOCUMENTATION)
+      (libsource (lib A10-plus) (part SY8008C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 514F0596))
+    (comp (ref U9)
+      (value SY8008C)
+      (footprint MODULE)
+      (datasheet DOCUMENTATION)
+      (libsource (lib A10-plus) (part SY8008C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 514F0D71))
+    (comp (ref R11)
+      (value 240)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 514FBC8D))
+    (comp (ref U1)
+      (value 4GBDDR3)
+      (footprint ~)
+      (datasheet _)
+      (libsource (lib A10-plus) (part 4GBDDR3))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 514FBC93))
+    (comp (ref C18)
+      (value 1uF)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51501971))
+    (comp (ref R23)
+      (value 200k)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51501E95))
+    (comp (ref R22)
+      (value 15k)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5150FD34))
+    (comp (ref L2)
+      (value 4.7uH/3A)
+      (libsource (lib device) (part INDUCTOR))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5150FD3C))
+    (comp (ref R24)
+      (value 10k)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5150FD4C))
+    (comp (ref C24)
+      (value 22pF)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 515105DA))
+    (comp (ref R25)
+      (value 15k)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5151396F))
+    (comp (ref L4)
+      (value 4.7uH/2A)
+      (libsource (lib device) (part INDUCTOR))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51513975))
+    (comp (ref R29)
+      (value 3.3k)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5151397B))
+    (comp (ref C40)
+      (value 22pF)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51513983))
+    (comp (ref C16)
+      (value 1uF)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5151C2DB))
+    (comp (ref P2)
+      (value CONN_5)
+      (libsource (lib conn) (part CONN_5))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51526492))
+    (comp (ref C21)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5153CE6B))
+    (comp (ref C22)
+      (value 10u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5153CE77))
+    (comp (ref C36)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5153E245))
+    (comp (ref C38)
+      (value 10u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5153E24B))
+    (comp (ref C37)
+      (value 10u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5153E251))
+    (comp (ref C29)
+      (value 4.7u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51541706))
+    (comp (ref C35)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5154170C))
+    (comp (ref C33)
+      (value 220u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 515489AE))
+    (comp (ref C34)
+      (value 10u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 515489B4))
+    (comp (ref C46)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5154AF6B))
+    (comp (ref C47)
+      (value 10u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5154AF77))
+    (comp (ref C48)
+      (value 10u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5154AF7D))
+    (comp (ref C10)
+      (value 10u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5154D3CE))
+    (comp (ref C11)
+      (value 10u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5154DEB6))
+    (comp (ref C12)
+      (value 10u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5154DEC1))
+    (comp (ref C8)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5154EB96))
+    (comp (ref C9)
+      (value 10u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5154EECF))
+    (comp (ref C13)
+      (value 10u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51551007))
+    (comp (ref C14)
+      (value 10u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5155100D))
+    (comp (ref C15)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 515517D9))
+    (comp (ref C19)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51552413))
+    (comp (ref C20)
+      (value 4.7u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51552419))
+    (comp (ref C26)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51552580))
+    (comp (ref C27)
+      (value 4.7u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51552586))
+    (comp (ref C28)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51554668))
+    (comp (ref C31)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51554E46))
+    (comp (ref C32)
+      (value 1u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51554E4C))
+    (comp (ref C17)
+      (value 10u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5155A734))
+    (comp (ref C30)
+      (value 10u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5155A749))
+    (comp (ref C5)
+      (value 22pF)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5155B764))
+    (comp (ref C6)
+      (value 22pF)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5155B76A))
+    (comp (ref C43)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5155CB6D))
+    (comp (ref C44)
+      (value 10u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5155CB79))
+    (comp (ref C45)
+      (value 10u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5155CB7F))
+    (comp (ref X1)
+      (value 32.768k)
+      (libsource (lib device) (part CRYSTAL))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5154375B))
+    (comp (ref C1)
+      (value 18pF)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51543761))
+    (comp (ref C2)
+      (value 18pF)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51543767))
+    (comp (ref R1)
+      (value 10M)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5154376F))
+    (comp (ref R18)
+      (value 22)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5154676A))
+    (comp (ref R19)
+      (value 22)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51546777))
+    (comp (ref R12)
+      (value 47K)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5154C74A))
+    (comp (ref R3)
+      (value 33)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5154C786))
+    (comp (ref R5)
+      (value 33)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5154E138))
+    (comp (ref R6)
+      (value 33)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5154E7E5))
+    (comp (ref R7)
+      (value 33)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5154F007))
+    (comp (ref R8)
+      (value 33)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5154F826))
+    (comp (ref R9)
+      (value 33)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51550049))
+    (comp (ref C7)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51558874))
+    (comp (ref R13)
+      (value 47K)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51559D15))
+    (comp (ref R14)
+      (value 47K)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51559D1B))
+    (comp (ref R15)
+      (value 47K)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51559D21))
+    (comp (ref R16)
+      (value 47K)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51559D27))
+    (comp (ref R17)
+      (value 47K)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51559D2D))
+    (comp (ref P4)
+      (value UBOOT)
+      (libsource (lib conn) (part CONN_2))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 515A7B4E))
+    (comp (ref P5)
+      (value CONN_1)
+      (libsource (lib conn) (part CONN_1))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5189B2BF))
+    (comp (ref P6)
+      (value CONN_1)
+      (libsource (lib conn) (part CONN_1))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5189B2CC))
+    (comp (ref P7)
+      (value CONN_1)
+      (libsource (lib conn) (part CONN_1))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5189B2D2))
+    (comp (ref P8)
+      (value CONN_1)
+      (libsource (lib conn) (part CONN_1))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5189B2D8))
+    (comp (ref P11)
+      (value CONN_1)
+      (libsource (lib conn) (part CONN_1))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5189BF82))
+    (comp (ref R35)
+      (value 4k7)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5189E9C5))
+    (comp (ref D1)
+      (value LED)
+      (libsource (lib device) (part LED))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5189E9D2))
+    (comp (ref U3)
+      (value A10-SOC)
+      (datasheet _)
+      (libsource (lib A10-plus) (part A10-SOC))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 514D47F5))
+    (comp (ref P10)
+      (value C30)
+      (libsource (lib A10-plus) (part C30))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51AC0E0C))
+    (comp (ref P9)
+      (value C30)
+      (libsource (lib A10-plus) (part C30))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51AC0E1B))
+    (comp (ref P12)
+      (value C30)
+      (libsource (lib A10-plus) (part C30))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51AC0E34))
+    (comp (ref P13)
+      (value C30)
+      (libsource (lib A10-plus) (part C30))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51AC0E43))
+    (comp (ref P1)
+      (value C30)
+      (libsource (lib A10-plus) (part C30))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51AC0E52))
+    (comp (ref P15)
+      (value C30)
+      (libsource (lib A10-plus) (part C30))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51AC5A05))
+    (comp (ref P16)
+      (value C30)
+      (libsource (lib A10-plus) (part C30))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51AC3C4E))
+    (comp (ref P3)
+      (value CONN_5)
+      (libsource (lib conn) (part CONN_5))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51AC45C8))
+    (comp (ref P14)
+      (value CONN_10)
+      (libsource (lib conn) (part CONN_10))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51AEBAC0))
+    (comp (ref C49)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 515197E6))
+    (comp (ref C82)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51523C00))
+    (comp (ref C83)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51518E22))
+    (comp (ref C84)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51518E28))
+    (comp (ref C85)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51518E2E))
+    (comp (ref C86)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51518E34))
+    (comp (ref C87)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51518E3A))
+    (comp (ref C88)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51518E40))
+    (comp (ref C89)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51518E46))
+    (comp (ref C90)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51518E4C))
+    (comp (ref C91)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51518E52))
+    (comp (ref C92)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51518E58))
+    (comp (ref C93)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51518E5E))
+    (comp (ref C94)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51518E64))
+    (comp (ref C95)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51518E6A))
+    (comp (ref C96)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51518E70))
+    (comp (ref C97)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51518E76))
+    (comp (ref C98)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51518E7C))
+    (comp (ref C99)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51518E82))
+    (comp (ref C100)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51518E88))
+    (comp (ref C101)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51518E8E))
+    (comp (ref C102)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51518E94))
+    (comp (ref C103)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51518E9A))
+    (comp (ref C104)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51518EA0))
+    (comp (ref C105)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51518EA6))
+    (comp (ref C106)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51518EAC))
+    (comp (ref C107)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51518EB2))
+    (comp (ref C50)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 5151947E))
+    (comp (ref C53)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51519484))
+    (comp (ref C52)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 5151948A))
+    (comp (ref C51)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51519490))
+    (comp (ref C54)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51519496))
+    (comp (ref C55)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 5151949C))
+    (comp (ref C56)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 515194A2))
+    (comp (ref C57)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 515194A8))
+    (comp (ref C58)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 515194AE))
+    (comp (ref C59)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 515194B4))
+    (comp (ref C60)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 515194BA))
+    (comp (ref C61)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 515194C0))
+    (comp (ref C62)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 515194C6))
+    (comp (ref C63)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 515194D6))
+    (comp (ref C64)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 515194DC))
+    (comp (ref C65)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 515194E2))
+    (comp (ref C66)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 515194E8))
+    (comp (ref C67)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 515194EE))
+    (comp (ref C68)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 515194F4))
+    (comp (ref C69)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51519600))
+    (comp (ref C70)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51519606))
+    (comp (ref C72)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 5151960C))
+    (comp (ref C71)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51519612))
+    (comp (ref C73)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51519618))
+    (comp (ref C74)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 5151961E))
+    (comp (ref C75)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51519624))
+    (comp (ref C76)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 5151962A))
+    (comp (ref C109)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 515198E6))
+    (comp (ref C110)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51519944))
+    (comp (ref C111)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 5151994A))
+    (comp (ref C112)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51519950))
+    (comp (ref C108)
+      (value 22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51513AB2))
+    (comp (ref R33)
+      (value 2k2)
+      (libsource (lib device) (part R))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 515147D0))
+    (comp (ref R32)
+      (value 2k2)
+      (libsource (lib device) (part R))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 515147DD))
+    (comp (ref C80)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51514B03))
+    (comp (ref C79)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51514BCD))
+    (comp (ref C78)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51514BD3))
+    (comp (ref C77)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51514BD9))
+    (comp (ref C81)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51514BDF))
+    (comp (ref R27)
+      (value 2k2)
+      (libsource (lib device) (part R))
+      (sheetpath (names /hdmi/) (tstamps /51ADDEE6/))
+      (tstamp 51ADDEEE))
+    (comp (ref U8)
+      (value 524P)
+      (footprint ~)
+      (datasheet ~)
+      (libsource (lib A10-plus) (part 524P))
+      (sheetpath (names /hdmi/) (tstamps /51ADDEE6/))
+      (tstamp 51ADDF18))
+    (comp (ref U6)
+      (value 524P)
+      (footprint ~)
+      (datasheet ~)
+      (libsource (lib A10-plus) (part 524P))
+      (sheetpath (names /hdmi/) (tstamps /51ADDEE6/))
+      (tstamp 51ADDF1E))
+    (comp (ref U4)
+      (value 524P)
+      (footprint ~)
+      (datasheet ~)
+      (libsource (lib A10-plus) (part 524P))
+      (sheetpath (names /hdmi/) (tstamps /51ADDEE6/))
+      (tstamp 51ADDF24))
+    (comp (ref R26)
+      (value 2k2)
+      (libsource (lib device) (part R))
+      (sheetpath (names /hdmi/) (tstamps /51ADDEE6/))
+      (tstamp 51ADDF30))
+    (comp (ref C23)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /hdmi/) (tstamps /51ADDEE6/))
+      (tstamp 51ADDF49))
+    (comp (ref R34)
+      (value 47k)
+      (libsource (lib device) (part R))
+      (sheetpath (names /hdmi/) (tstamps /51ADDEE6/))
+      (tstamp 51ADE12E)))
+  (libparts
+    (libpart (lib device) (part C)
+      (description "Condensateur non polarise")
+      (footprints
+        (fp SM*)
+        (fp C?)
+        (fp C1-1))
+      (fields
+        (field (name Reference) C)
+        (field (name Value) C))
+      (pins
+        (pin (num 1) (name ~) (type passive))
+        (pin (num 2) (name ~) (type passive))))
+    (libpart (lib device) (part CRYSTAL)
+      (fields
+        (field (name Reference) X)
+        (field (name Value) CRYSTAL))
+      (pins
+        (pin (num 1) (name 1) (type passive))
+        (pin (num 2) (name 2) (type passive))))
+    (libpart (lib device) (part INDUCTOR)
+      (fields
+        (field (name Reference) L)
+        (field (name Value) INDUCTOR))
+      (pins
+        (pin (num 1) (name 1) (type passive))
+        (pin (num 2) (name 2) (type passive))))
+    (libpart (lib device) (part LED)
+      (footprints
+        (fp LED-3MM)
+        (fp LED-5MM)
+        (fp LED-10MM)
+        (fp LED-0603)
+        (fp LED-0805)
+        (fp LED-1206)
+        (fp LEDV))
+      (fields
+        (field (name Reference) D)
+        (field (name Value) LED))
+      (pins
+        (pin (num 1) (name A) (type passive))
+        (pin (num 2) (name K) (type passive))))
+    (libpart (lib device) (part R)
+      (description Resistance)
+      (footprints
+        (fp R?)
+        (fp SM0603)
+        (fp SM0805)
+        (fp R?-*)
+        (fp SM1206))
+      (fields
+        (field (name Reference) R)
+        (field (name Value) R))
+      (pins
+        (pin (num 1) (name ~) (type passive))
+        (pin (num 2) (name ~) (type passive))))
+    (libpart (lib device) (part SW_PUSH)
+      (description "Push Button")
+      (fields
+        (field (name Reference) SW)
+        (field (name Value) SW_PUSH))
+      (pins
+        (pin (num 1) (name 1) (type passive))
+        (pin (num 2) (name 2) (type passive))))
+    (libpart (lib conn) (part CONN_1)
+      (description "1 pin")
+      (fields
+        (field (name Reference) P)
+        (field (name Value) CONN_1))
+      (pins
+        (pin (num 1) (name 1) (type passive))))
+    (libpart (lib conn) (part CONN_10)
+      (description "Symbole general de connecteur")
+      (fields
+        (field (name Reference) P)
+        (field (name Value) CONN_10))
+      (pins
+        (pin (num 1) (name P1) (type passive))
+        (pin (num 2) (name P2) (type passive))
+        (pin (num 3) (name P3) (type passive))
+        (pin (num 4) (name P4) (type passive))
+        (pin (num 5) (name P5) (type passive))
+        (pin (num 6) (name P6) (type passive))
+        (pin (num 7) (name P7) (type passive))
+        (pin (num 8) (name P8) (type passive))
+        (pin (num 9) (name P9) (type passive))
+        (pin (num 10) (name P10) (type passive))))
+    (libpart (lib conn) (part CONN_2)
+      (description "Symbole general de connecteur")
+      (fields
+        (field (name Reference) P)
+        (field (name Value) CONN_2))
+      (pins
+        (pin (num 1) (name P1) (type passive))
+        (pin (num 2) (name PM) (type passive))))
+    (libpart (lib conn) (part CONN_5)
+      (description "Symbole general de connecteur")
+      (fields
+        (field (name Reference) P)
+        (field (name Value) CONN_5))
+      (pins
+        (pin (num 1) (name ~) (type passive))
+        (pin (num 2) (name ~) (type passive))
+        (pin (num 3) (name ~) (type passive))
+        (pin (num 4) (name ~) (type passive))
+        (pin (num 5) (name ~) (type passive))))
+    (libpart (lib A10-plus) (part 4GbDDR3)
+      (fields
+        (field (name Reference) U)
+        (field (name Value) 4GbDDR3)
+        (field (name Footprint) ~)
+        (field (name Datasheet) _))
+      (pins
+        (pin (num A1) (name VDDQ) (type input))
+        (pin (num A2) (name DQ13) (type input))
+        (pin (num A3) (name DQ15) (type input))
+        (pin (num A7) (name DQ12) (type input))
+        (pin (num A8) (name VDDQ) (type input))
+        (pin (num A9) (name VSS) (type input))
+        (pin (num B1) (name VSSQ) (type input))
+        (pin (num B2) (name VDD) (type input))
+        (pin (num B3) (name VSS) (type input))
+        (pin (num B7) (name UDQS#) (type input))
+        (pin (num B8) (name DQ14) (type input))
+        (pin (num B9) (name VSSQ) (type input))
+        (pin (num C1) (name VDDQ) (type input))
+        (pin (num C2) (name DQ11) (type input))
+        (pin (num C3) (name DQ9) (type input))
+        (pin (num C7) (name UDQS) (type input))
+        (pin (num C8) (name DQ10) (type input))
+        (pin (num C9) (name VDDQ) (type input))
+        (pin (num D1) (name VSSQ) (type input))
+        (pin (num D2) (name VDDQ) (type input))
+        (pin (num D3) (name UDM) (type input))
+        (pin (num D7) (name DQ8) (type input))
+        (pin (num D8) (name VSSQ) (type input))
+        (pin (num D9) (name VDD) (type input))
+        (pin (num E1) (name VSS) (type input))
+        (pin (num E2) (name VSSQ) (type input))
+        (pin (num E3) (name DQ0) (type BiDi))
+        (pin (num E7) (name LDM) (type input))
+        (pin (num E8) (name VSSQ) (type input))
+        (pin (num E9) (name VDDQ) (type input))
+        (pin (num F1) (name VDDQ) (type input))
+        (pin (num F2) (name DQ2) (type input))
+        (pin (num F3) (name LDQS) (type input))
+        (pin (num F7) (name DQ1) (type input))
+        (pin (num F8) (name DQ3) (type input))
+        (pin (num F9) (name VSSQ) (type input))
+        (pin (num G1) (name VSSQ) (type input))
+        (pin (num G2) (name DQ6) (type input))
+        (pin (num G3) (name LDQS#) (type input))
+        (pin (num G7) (name VDD) (type input))
+        (pin (num G8) (name VSS) (type input))
+        (pin (num G9) (name VSSQ) (type input))
+        (pin (num H1) (name VREFDQ) (type input))
+        (pin (num H2) (name VDDQ) (type input))
+        (pin (num H3) (name DQ4) (type input))
+        (pin (num H7) (name DQ7) (type input))
+        (pin (num H8) (name DQ5) (type input))
+        (pin (num H9) (name VDDQ) (type input))
+        (pin (num J1) (name NC) (type input))
+        (pin (num J2) (name VSS) (type input))
+        (pin (num J3) (name RAS#) (type input))
+        (pin (num J7) (name CK) (type input))
+        (pin (num J8) (name VSS) (type input))
+        (pin (num J9) (name NC) (type input))
+        (pin (num K1) (name ODT) (type input))
+        (pin (num K2) (name VDD) (type input))
+        (pin (num K3) (name CAS#) (type input))
+        (pin (num K7) (name CK#) (type input))
+        (pin (num K8) (name VDD) (type input))
+        (pin (num K9) (name CKE) (type input))
+        (pin (num L1) (name NC) (type input))
+        (pin (num L2) (name CS#) (type input))
+        (pin (num L3) (name WE#) (type input))
+        (pin (num L7) (name A10) (type input))
+        (pin (num L8) (name ZQ) (type input))
+        (pin (num L9) (name NC) (type input))
+        (pin (num M1) (name VSS) (type input))
+        (pin (num M2) (name BA0) (type input))
+        (pin (num M3) (name BA2) (type input))
+        (pin (num M7) (name A15) (type input))
+        (pin (num M8) (name VREFCA) (type input))
+        (pin (num M9) (name VSS) (type input))
+        (pin (num N1) (name VDD) (type input))
+        (pin (num N2) (name A3) (type input))
+        (pin (num N3) (name A0) (type input))
+        (pin (num N7) (name A12) (type input))
+        (pin (num N8) (name BA1) (type input))
+        (pin (num N9) (name VDD) (type input))
+        (pin (num P1) (name VSS) (type input))
+        (pin (num P2) (name A5) (type input))
+        (pin (num P3) (name A2) (type input))
+        (pin (num P7) (name A1) (type input))
+        (pin (num P8) (name A4) (type input))
+        (pin (num P9) (name VSS) (type input))
+        (pin (num R1) (name VDD) (type input))
+        (pin (num R2) (name A7) (type input))
+        (pin (num R3) (name A9) (type input))
+        (pin (num R7) (name A11) (type input))
+        (pin (num R8) (name A6) (type input))
+        (pin (num R9) (name VDD) (type input))
+        (pin (num T1) (name VSS) (type input))
+        (pin (num T2) (name RESET#) (type input))
+        (pin (num T3) (name A13) (type input))
+        (pin (num T7) (name A14) (type input))
+        (pin (num T8) (name A8) (type input))
+        (pin (num T9) (name VSS) (type input))))
+    (libpart (lib A10-plus) (part 524P)
+      (fields
+        (field (name Reference) U)
+        (field (name Value) 524P)
+        (field (name Footprint) ~)
+        (field (name Datasheet) ~))
+      (pins
+        (pin (num 1) (name IN1) (type input))
+        (pin (num 2) (name IN2) (type input))
+        (pin (num 3) (name GND) (type input))
+        (pin (num 4) (name IN3) (type input))
+        (pin (num 5) (name IN4) (type input))
+        (pin (num 6) (name OUT4) (type input))
+        (pin (num 7) (name OUT3) (type input))
+        (pin (num 8) (name GND) (type input))
+        (pin (num 9) (name OUT2) (type input))
+        (pin (num 10) (name OUT1) (type input))))
+    (libpart (lib A10-plus) (part A10-SOC)
+      (fields
+        (field (name Reference) U)
+        (field (name Value) A10-SOC)
+        (field (name Datasheet) _))
+      (pins
+        (pin (num 75) (name SDQ0) (type BiDi))
+        (pin (num 76) (name SDQ1) (type BiDi))
+        (pin (num 77) (name SDQ2) (type BiDi))
+        (pin (num 78) (name SDQ3) (type BiDi))
+        (pin (num 79) (name SDQ4) (type BiDi))
+        (pin (num 80) (name SDQ5) (type BiDi))
+        (pin (num 81) (name SDQ6) (type BiDi))
+        (pin (num 82) (name SDQ8) (type BiDi))
+        (pin (num 83) (name SDQ9) (type BiDi))
+        (pin (num 84) (name SDQ10) (type BiDi))
+        (pin (num 85) (name SDQ11) (type BiDi))
+        (pin (num 86) (name SDQ12) (type BiDi))
+        (pin (num 87) (name SDQ13) (type BiDi))
+        (pin (num 88) (name SDQ14) (type BiDi))
+        (pin (num 89) (name SDQ15) (type BiDi))
+        (pin (num 90) (name SDQ16) (type BiDi))
+        (pin (num 91) (name SDQ17) (type BiDi))
+        (pin (num 92) (name SDQ18) (type BiDi))
+        (pin (num 93) (name SDQ19) (type BiDi))
+        (pin (num 94) (name SDQ20) (type BiDi))
+        (pin (num 95) (name SDQ21) (type BiDi))
+        (pin (num 96) (name SDQ22) (type BiDi))
+        (pin (num 97) (name SDQ23) (type BiDi))
+        (pin (num 98) (name SDQ24) (type BiDi))
+        (pin (num 99) (name SDQ25) (type BiDi))
+        (pin (num 100) (name SDQ26) (type BiDi))
+        (pin (num 101) (name SDQ27) (type BiDi))
+        (pin (num 102) (name SDQ28) (type BiDi))
+        (pin (num 103) (name SDQ29) (type BiDi))
+        (pin (num 104) (name SDQ30) (type BiDi))
+        (pin (num 105) (name SDQ31) (type BiDi))
+        (pin (num 106) (name SDQ7) (type BiDi))
+        (pin (num 107) (name VCC_DRAM) (type input))
+        (pin (num 108) (name VCC_DRAM) (type input))
+        (pin (num 109) (name VCC_DRAM) (type input))
+        (pin (num 110) (name VCC_DRAM) (type input))
+        (pin (num 111) (name VCC_DRAM) (type input))
+        (pin (num 112) (name SDQS1) (type output))
+        (pin (num 113) (name SDQSO#) (type output))
+        (pin (num 114) (name SDQS0) (type output))
+        (pin (num 115) (name SDQM3) (type output))
+        (pin (num 116) (name SDQM2) (type output))
+        (pin (num 117) (name SDQM1) (type output))
+        (pin (num 118) (name SDQM0) (type output))
+        (pin (num 119) (name TVIN1) (type input))
+        (pin (num 120) (name ODT0) (type BiDi))
+        (pin (num 121) (name ODT1) (type BiDi))
+        (pin (num 122) (name TVIN1) (type input))
+        (pin (num 123) (name SDQS3) (type output))
+        (pin (num 124) (name SDQS2#) (type output))
+        (pin (num 125) (name SDQS2) (type output))
+        (pin (num 126) (name SDQS1#) (type output))
+        (pin (num 127) (name TVIN0) (type input))
+        (pin (num 128) (name SCKE0) (type output))
+        (pin (num 129) (name SCK#) (type output))
+        (pin (num 130) (name SCK) (type output))
+        (pin (num 131) (name SBA2) (type output))
+        (pin (num 132) (name SBA1) (type output))
+        (pin (num 133) (name SBA0) (type output))
+        (pin (num 134) (name SA14) (type output))
+        (pin (num 135) (name SA13) (type output))
+        (pin (num 136) (name SA12) (type output))
+        (pin (num 137) (name SA11) (type output))
+        (pin (num 138) (name SA10) (type output))
+        (pin (num 139) (name SA9) (type output))
+        (pin (num 140) (name SA8) (type output))
+        (pin (num 141) (name SA7) (type output))
+        (pin (num 142) (name SA6) (type output))
+        (pin (num 143) (name SA5) (type output))
+        (pin (num 144) (name SA4) (type output))
+        (pin (num 145) (name SA3) (type output))
+        (pin (num 146) (name SA2) (type output))
+        (pin (num 147) (name SA1) (type output))
+        (pin (num 148) (name SA0) (type output))
+        (pin (num 149) (name SDQ0) (type BiDi))
+        (pin (num 150) (name SDQ1) (type BiDi))
+        (pin (num 151) (name SDQ2) (type BiDi))
+        (pin (num 152) (name SDQ3) (type BiDi))
+        (pin (num 153) (name SDQ4) (type BiDi))
+        (pin (num 154) (name SDQ5) (type BiDi))
+        (pin (num 155) (name SDQ6) (type BiDi))
+        (pin (num 156) (name SDQ8) (type BiDi))
+        (pin (num 157) (name SDQ9) (type BiDi))
+        (pin (num 158) (name SDQ10) (type BiDi))
+        (pin (num 159) (name SDQ11) (type BiDi))
+        (pin (num 160) (name SDQ12) (type BiDi))
+        (pin (num 161) (name SDQ13) (type BiDi))
+        (pin (num 162) (name SDQ14) (type BiDi))
+        (pin (num 163) (name SDQ15) (type BiDi))
+        (pin (num 164) (name SDQ16) (type BiDi))
+        (pin (num 165) (name SDQ17) (type BiDi))
+        (pin (num 166) (name SDQ18) (type BiDi))
+        (pin (num 167) (name SDQ19) (type BiDi))
+        (pin (num 168) (name SDQ20) (type BiDi))
+        (pin (num 169) (name SDQ21) (type BiDi))
+        (pin (num 170) (name SDQ22) (type BiDi))
+        (pin (num 171) (name SDQ23) (type BiDi))
+        (pin (num 172) (name SDQ24) (type BiDi))
+        (pin (num 173) (name SDQ25) (type BiDi))
+        (pin (num 174) (name SDQ26) (type BiDi))
+        (pin (num 175) (name SDQ27) (type BiDi))
+        (pin (num 176) (name SDQ28) (type BiDi))
+        (pin (num 177) (name SDQ29) (type BiDi))
+        (pin (num 178) (name SDQ30) (type BiDi))
+        (pin (num 179) (name SDQ31) (type BiDi))
+        (pin (num 180) (name SDQ7) (type BiDi))
+        (pin (num 181) (name VCC_DRAM) (type input))
+        (pin (num 182) (name VCC_DRAM) (type input))
+        (pin (num 183) (name VCC_DRAM) (type input))
+        (pin (num 184) (name VCC_DRAM) (type input))
+        (pin (num 185) (name VCC_DRAM) (type input))
+        (pin (num 186) (name SDQS1) (type output))
+        (pin (num 187) (name SDQSO#) (type output))
+        (pin (num 188) (name SDQS0) (type output))
+        (pin (num 189) (name SDQM3) (type output))
+        (pin (num 190) (name SDQM2) (type output))
+        (pin (num 191) (name SDQM1) (type output))
+        (pin (num 192) (name SDQM0) (type output))
+        (pin (num 193) (name TVIN1) (type input))
+        (pin (num 194) (name ODT0) (type BiDi))
+        (pin (num 195) (name ODT1) (type BiDi))
+        (pin (num 196) (name TVIN1) (type input))
+        (pin (num 197) (name SDQS3) (type output))
+        (pin (num 198) (name SDQS2#) (type output))
+        (pin (num 199) (name SDQS2) (type output))
+        (pin (num 200) (name SDQS1#) (type output))
+        (pin (num 201) (name TVIN0) (type input))
+        (pin (num 202) (name SCKE0) (type output))
+        (pin (num 203) (name SCK#) (type output))
+        (pin (num 204) (name SCK) (type output))
+        (pin (num 205) (name SBA2) (type output))
+        (pin (num 206) (name SBA1) (type output))
+        (pin (num 207) (name SBA0) (type output))
+        (pin (num 208) (name SA14) (type output))
+        (pin (num 209) (name SA13) (type output))
+        (pin (num 210) (name SA12) (type output))
+        (pin (num 211) (name SA11) (type output))
+        (pin (num 212) (name SA10) (type output))
+        (pin (num 213) (name SA9) (type output))
+        (pin (num 214) (name SA8) (type output))
+        (pin (num 215) (name SA7) (type output))
+        (pin (num 216) (name SA6) (type output))
+        (pin (num 217) (name SA5) (type output))
+        (pin (num 218) (name SA4) (type output))
+        (pin (num 219) (name SA3) (type output))
+        (pin (num 220) (name SA2) (type output))
+        (pin (num 221) (name SA1) (type output))
+        (pin (num 222) (name SA0) (type output))
+        (pin (num 223) (name SDQ0) (type BiDi))
+        (pin (num 224) (name SDQ1) (type BiDi))
+        (pin (num 225) (name SDQ2) (type BiDi))
+        (pin (num 226) (name SDQ3) (type BiDi))
+        (pin (num 227) (name SDQ4) (type BiDi))
+        (pin (num 228) (name SDQ5) (type BiDi))
+        (pin (num 229) (name SDQ6) (type BiDi))
+        (pin (num 230) (name SDQ8) (type BiDi))
+        (pin (num 231) (name SDQ9) (type BiDi))
+        (pin (num 232) (name SDQ10) (type BiDi))
+        (pin (num 233) (name SDQ11) (type BiDi))
+        (pin (num 234) (name SDQ12) (type BiDi))
+        (pin (num 235) (name SDQ13) (type BiDi))
+        (pin (num 236) (name SDQ14) (type BiDi))
+        (pin (num 237) (name SDQ15) (type BiDi))
+        (pin (num 238) (name SDQ16) (type BiDi))
+        (pin (num 239) (name SDQ17) (type BiDi))
+        (pin (num 240) (name SDQ18) (type BiDi))
+        (pin (num 241) (name SDQ19) (type BiDi))
+        (pin (num 242) (name SDQ20) (type BiDi))
+        (pin (num 243) (name SDQ21) (type BiDi))
+        (pin (num 244) (name SDQ22) (type BiDi))
+        (pin (num 245) (name SDQ23) (type BiDi))
+        (pin (num 246) (name SDQ24) (type BiDi))
+        (pin (num 247) (name SDQ25) (type BiDi))
+        (pin (num 248) (name SDQ26) (type BiDi))
+        (pin (num 249) (name SDQ27) (type BiDi))
+        (pin (num 250) (name SDQ28) (type BiDi))
+        (pin (num 251) (name SDQ29) (type BiDi))
+        (pin (num 252) (name SDQ30) (type BiDi))
+        (pin (num 253) (name SDQ31) (type BiDi))
+        (pin (num 254) (name SDQ7) (type BiDi))
+        (pin (num 255) (name VCC_DRAM) (type input))
+        (pin (num 256) (name VCC_DRAM) (type input))
+        (pin (num 257) (name VCC_DRAM) (type input))
+        (pin (num 258) (name VCC_DRAM) (type input))
+        (pin (num 259) (name VCC_DRAM) (type input))
+        (pin (num 260) (name SDQS1) (type output))
+        (pin (num 261) (name SDQSO#) (type output))
+        (pin (num 262) (name SDQS0) (type output))
+        (pin (num 263) (name SDQM3) (type output))
+        (pin (num 264) (name SDQM2) (type output))
+        (pin (num 265) (name SDQM1) (type output))
+        (pin (num 266) (name SDQM0) (type output))
+        (pin (num 267) (name TVIN1) (type input))
+        (pin (num 268) (name ODT0) (type BiDi))
+        (pin (num 269) (name ODT1) (type BiDi))
+        (pin (num 270) (name TVIN1) (type input))
+        (pin (num 271) (name SDQS3) (type output))
+        (pin (num 272) (name SDQS2#) (type output))
+        (pin (num 273) (name SDQS2) (type output))
+        (pin (num 274) (name SDQS1#) (type output))
+        (pin (num 275) (name TVIN0) (type input))
+        (pin (num 276) (name SCKE0) (type output))
+        (pin (num 277) (name SCK#) (type output))
+        (pin (num 278) (name SCK) (type output))
+        (pin (num 279) (name SBA2) (type output))
+        (pin (num 280) (name SBA1) (type output))
+        (pin (num 281) (name SBA0) (type output))
+        (pin (num 282) (name SA14) (type output))
+        (pin (num 283) (name SA13) (type output))
+        (pin (num 284) (name SA12) (type output))
+        (pin (num 285) (name SA11) (type output))
+        (pin (num 286) (name SA10) (type output))
+        (pin (num 287) (name SA9) (type output))
+        (pin (num 288) (name SA8) (type output))
+        (pin (num 289) (name SA7) (type output))
+        (pin (num 290) (name SA6) (type output))
+        (pin (num 291) (name SA5) (type output))
+        (pin (num 292) (name SA4) (type output))
+        (pin (num 293) (name SA3) (type output))
+        (pin (num 294) (name SA2) (type output))
+        (pin (num 295) (name SA1) (type output))
+        (pin (num 296) (name SA0) (type output))
+        (pin (num 297) (name SDQ0) (type BiDi))
+        (pin (num 298) (name SDQ1) (type BiDi))
+        (pin (num 299) (name SDQ2) (type BiDi))
+        (pin (num 300) (name SDQ3) (type BiDi))
+        (pin (num 301) (name SDQ4) (type BiDi))
+        (pin (num 302) (name SDQ5) (type BiDi))
+        (pin (num 303) (name SDQ6) (type BiDi))
+        (pin (num 304) (name SDQ8) (type BiDi))
+        (pin (num 305) (name SDQ9) (type BiDi))
+        (pin (num 306) (name SDQ10) (type BiDi))
+        (pin (num 307) (name SDQ11) (type BiDi))
+        (pin (num 308) (name SDQ12) (type BiDi))
+        (pin (num 309) (name SDQ13) (type BiDi))
+        (pin (num 310) (name SDQ14) (type BiDi))
+        (pin (num 311) (name SDQ15) (type BiDi))
+        (pin (num 312) (name SDQ16) (type BiDi))
+        (pin (num 313) (name SDQ17) (type BiDi))
+        (pin (num 314) (name SDQ18) (type BiDi))
+        (pin (num 315) (name SDQ19) (type BiDi))
+        (pin (num 316) (name SDQ20) (type BiDi))
+        (pin (num 317) (name SDQ21) (type BiDi))
+        (pin (num 318) (name SDQ22) (type BiDi))
+        (pin (num 319) (name SDQ23) (type BiDi))
+        (pin (num 320) (name SDQ24) (type BiDi))
+        (pin (num 321) (name SDQ25) (type BiDi))
+        (pin (num 322) (name SDQ26) (type BiDi))
+        (pin (num 323) (name SDQ27) (type BiDi))
+        (pin (num 324) (name SDQ28) (type BiDi))
+        (pin (num 325) (name SDQ29) (type BiDi))
+        (pin (num 326) (name SDQ30) (type BiDi))
+        (pin (num 327) (name SDQ31) (type BiDi))
+        (pin (num 328) (name SDQ7) (type BiDi))
+        (pin (num 329) (name VCC_DRAM) (type input))
+        (pin (num 330) (name VCC_DRAM) (type input))
+        (pin (num 331) (name VCC_DRAM) (type input))
+        (pin (num 332) (name VCC_DRAM) (type input))
+        (pin (num 333) (name VCC_DRAM) (type input))
+        (pin (num 334) (name SDQS1) (type output))
+        (pin (num 335) (name SDQSO#) (type output))
+        (pin (num 336) (name SDQS0) (type output))
+        (pin (num 337) (name SDQM3) (type output))
+        (pin (num 338) (name SDQM2) (type output))
+        (pin (num 339) (name SDQM1) (type output))
+        (pin (num 340) (name SDQM0) (type output))
+        (pin (num 341) (name TVIN1) (type input))
+        (pin (num 342) (name ODT0) (type BiDi))
+        (pin (num 343) (name ODT1) (type BiDi))
+        (pin (num 344) (name TVIN1) (type input))
+        (pin (num 345) (name SDQS3) (type output))
+        (pin (num 346) (name SDQS2#) (type output))
+        (pin (num 347) (name SDQS2) (type output))
+        (pin (num 348) (name SDQS1#) (type output))
+        (pin (num 349) (name TVIN0) (type input))
+        (pin (num 350) (name SCKE0) (type output))
+        (pin (num 351) (name SCK#) (type output))
+        (pin (num 352) (name SCK) (type output))
+        (pin (num 353) (name SBA2) (type output))
+        (pin (num 354) (name SBA1) (type output))
+        (pin (num 355) (name SBA0) (type output))
+        (pin (num 356) (name SA14) (type output))
+        (pin (num 357) (name SA13) (type output))
+        (pin (num 358) (name SA12) (type output))
+        (pin (num 359) (name SA11) (type output))
+        (pin (num 360) (name SA10) (type output))
+        (pin (num 361) (name SA9) (type output))
+        (pin (num 362) (name SA8) (type output))
+        (pin (num 363) (name SA7) (type output))
+        (pin (num 364) (name SA6) (type output))
+        (pin (num 365) (name SA5) (type output))
+        (pin (num 366) (name SA4) (type output))
+        (pin (num 367) (name SA3) (type output))
+        (pin (num 368) (name SA2) (type output))
+        (pin (num 369) (name SA1) (type output))
+        (pin (num 370) (name SA0) (type output))
+        (pin (num 371) (name SDQ0) (type BiDi))
+        (pin (num 372) (name SDQ1) (type BiDi))
+        (pin (num 373) (name SDQ2) (type BiDi))
+        (pin (num 374) (name SDQ3) (type BiDi))
+        (pin (num 375) (name SDQ4) (type BiDi))
+        (pin (num 376) (name SDQ5) (type BiDi))
+        (pin (num 377) (name SDQ6) (type BiDi))
+        (pin (num 378) (name SDQ8) (type BiDi))
+        (pin (num 379) (name SDQ9) (type BiDi))
+        (pin (num 380) (name SDQ10) (type BiDi))
+        (pin (num 381) (name SDQ11) (type BiDi))
+        (pin (num 382) (name SDQ12) (type BiDi))
+        (pin (num 383) (name SDQ13) (type BiDi))
+        (pin (num 384) (name SDQ14) (type BiDi))
+        (pin (num 385) (name SDQ15) (type BiDi))
+        (pin (num 386) (name SDQ16) (type BiDi))
+        (pin (num 387) (name SDQ17) (type BiDi))
+        (pin (num 388) (name SDQ18) (type BiDi))
+        (pin (num 389) (name SDQ19) (type BiDi))
+        (pin (num 390) (name SDQ20) (type BiDi))
+        (pin (num 391) (name SDQ21) (type BiDi))
+        (pin (num 392) (name SDQ22) (type BiDi))
+        (pin (num 393) (name SDQ23) (type BiDi))
+        (pin (num 394) (name SDQ24) (type BiDi))
+        (pin (num 395) (name SDQ25) (type BiDi))
+        (pin (num 396) (name SDQ26) (type BiDi))
+        (pin (num 397) (name SDQ27) (type BiDi))
+        (pin (num 398) (name SDQ28) (type BiDi))
+        (pin (num 399) (name SDQ29) (type BiDi))
+        (pin (num 400) (name SDQ30) (type BiDi))
+        (pin (num 401) (name SDQ31) (type BiDi))
+        (pin (num 402) (name SDQ7) (type BiDi))
+        (pin (num 403) (name VCC_DRAM) (type input))
+        (pin (num 404) (name VCC_DRAM) (type input))
+        (pin (num 405) (name VCC_DRAM) (type input))
+        (pin (num 406) (name VCC_DRAM) (type input))
+        (pin (num 407) (name VCC_DRAM) (type input))
+        (pin (num 408) (name SDQS1) (type output))
+        (pin (num 409) (name SDQSO#) (type output))
+        (pin (num 410) (name SDQS0) (type output))
+        (pin (num 411) (name SDQM3) (type output))
+        (pin (num 412) (name SDQM2) (type output))
+        (pin (num 413) (name SDQM1) (type output))
+        (pin (num 414) (name SDQM0) (type output))
+        (pin (num 415) (name TVIN1) (type input))
+        (pin (num 416) (name ODT0) (type BiDi))
+        (pin (num 417) (name ODT1) (type BiDi))
+        (pin (num 418) (name TVIN1) (type input))
+        (pin (num 419) (name SDQS3) (type output))
+        (pin (num 420) (name SDQS2#) (type output))
+        (pin (num 421) (name SDQS2) (type output))
+        (pin (num 422) (name SDQS1#) (type output))
+        (pin (num 423) (name TVIN0) (type input))
+        (pin (num 424) (name SCKE0) (type output))
+        (pin (num 425) (name SCK#) (type output))
+        (pin (num 426) (name SCK) (type output))
+        (pin (num 427) (name SBA2) (type output))
+        (pin (num 428) (name SBA1) (type output))
+        (pin (num 429) (name SBA0) (type output))
+        (pin (num 430) (name SA14) (type output))
+        (pin (num 431) (name SA13) (type output))
+        (pin (num 432) (name SA12) (type output))
+        (pin (num 433) (name SA11) (type output))
+        (pin (num 434) (name SA10) (type output))
+        (pin (num 435) (name SA9) (type output))
+        (pin (num 436) (name SA8) (type output))
+        (pin (num 437) (name SA7) (type output))
+        (pin (num 438) (name SA6) (type output))
+        (pin (num 439) (name SA5) (type output))
+        (pin (num 440) (name SA4) (type output))
+        (pin (num 441) (name SA3) (type output))
+        (pin (num A1) (name PH15) (type BiDi))
+        (pin (num A2) (name PH13) (type BiDi))
+        (pin (num A3) (name PH10) (type BiDi))
+        (pin (num A4) (name U5TX) (type BiDi))
+        (pin (num A5) (name U3CTS) (type BiDi))
+        (pin (num A6) (name U3TX) (type BiDi))
+        (pin (num A7) (name PB22) (type input))
+        (pin (num A8) (name PB18) (type input))
+        (pin (num A9) (name PB16) (type input))
+        (pin (num A10) (name PB14) (type input))
+        (pin (num A11) (name PB8) (type input))
+        (pin (num A12) (name PB6) (type input))
+        (pin (num A13) (name PB4) (type input))
+        (pin (num A14) (name PB2) (type input))
+        (pin (num A15) (name PB0) (type input))
+        (pin (num A16) (name PI8) (type input))
+        (pin (num A17) (name PI6) (type input))
+        (pin (num A18) (name PI4) (type input))
+        (pin (num A19) (name PI2) (type input))
+        (pin (num A20) (name PI0) (type input))
+        (pin (num A21) (name PE11) (type input))
+        (pin (num A22) (name PE9) (type input))
+        (pin (num A23) (name PE8) (type input))
+        (pin (num AA1) (name SDQ12) (type BiDi))
+        (pin (num AA2) (name SDQS1#) (type output))
+        (pin (num AA3) (name SA8) (type output))
+        (pin (num AA4) (name SCS1) (type BiDi))
+        (pin (num AA5) (name TVIN1) (type input))
+        (pin (num AA6) (name SRST) (type BiDi))
+        (pin (num AA7) (name SZQ) (type BiDi))
+        (pin (num AA8) (name SVREF3) (type input))
+        (pin (num AA9) (name LCD0_DE) (type BiDi))
+        (pin (num AA10) (name LCD0_D21) (type BiDi))
+        (pin (num AA11) (name LCD0_D19) (type BiDi))
+        (pin (num AA12) (name LCD0_D17) (type BiDi))
+        (pin (num AA13) (name LCD0_D15) (type BiDi))
+        (pin (num AA14) (name LCD0_D13) (type BiDi))
+        (pin (num AA15) (name LCD0_D11) (type BiDi))
+        (pin (num AA16) (name NC) (type input))
+        (pin (num AA17) (name TVIN2) (type input))
+        (pin (num AA18) (name NC) (type input))
+        (pin (num AA19) (name HPCOM) (type input))
+        (pin (num AA20) (name HPCOMFB) (type input))
+        (pin (num AA21) (name VMIC) (type input))
+        (pin (num AA22) (name XN_TP) (type input))
+        (pin (num AA23) (name YN_TP) (type input))
+        (pin (num AB1) (name SDQS1) (type output))
+        (pin (num AB2) (name SDQ8) (type BiDi))
+        (pin (num AB3) (name SDQ13) (type BiDi))
+        (pin (num AB4) (name SDQ0) (type BiDi))
+        (pin (num AB5) (name SDQ5) (type BiDi))
+        (pin (num AB6) (name SDQS0) (type output))
+        (pin (num AB7) (name SDQ6) (type BiDi))
+        (pin (num AB8) (name SDQ3) (type BiDi))
+        (pin (num AB9) (name LCD0_HSYNC) (type BiDi))
+        (pin (num AB10) (name LCD0_D22) (type BiDi))
+        (pin (num AB11) (name LCD0_D8) (type BiDi))
+        (pin (num AB12) (name LCD0_D6) (type BiDi))
+        (pin (num AB13) (name LCD0_D4) (type BiDi))
+        (pin (num AB14) (name LCD0_D2) (type BiDi))
+        (pin (num AB15) (name LCD0_D0) (type BiDi))
+        (pin (num AB16) (name TVOUT1) (type output))
+        (pin (num AB17) (name TVOUT3) (type output))
+        (pin (num AB18) (name TVIN1) (type input))
+        (pin (num AB19) (name NC) (type input))
+        (pin (num AB20) (name LINEINL) (type input))
+        (pin (num AB21) (name LINEINR) (type input))
+        (pin (num AB22) (name LRADC1) (type input))
+        (pin (num AB23) (name LRADC0) (type input))
+        (pin (num AC1) (name SDQ15) (type BiDi))
+        (pin (num AC2) (name SDQ10) (type BiDi))
+        (pin (num AC3) (name SDQ7) (type BiDi))
+        (pin (num AC4) (name SDQ2) (type BiDi))
+        (pin (num AC5) (name SDQSO#) (type output))
+        (pin (num AC6) (name SDQM0) (type output))
+        (pin (num AC7) (name SDQ1) (type BiDi))
+        (pin (num AC8) (name SDQ4) (type BiDi))
+        (pin (num AC9) (name LCD0_VSYNC) (type BiDi))
+        (pin (num AC10) (name LCD0_D23) (type BiDi))
+        (pin (num AC11) (name LCD0_D9) (type BiDi))
+        (pin (num AC12) (name LCD0_D7) (type BiDi))
+        (pin (num AC13) (name LCD0_D5) (type BiDi))
+        (pin (num AC14) (name LCD0_D3) (type BiDi))
+        (pin (num AC15) (name LCD0_D1) (type BiDi))
+        (pin (num AC16) (name TVOUT0) (type output))
+        (pin (num AC17) (name TVOUT2) (type output))
+        (pin (num AC18) (name TVIN0) (type input))
+        (pin (num AC19) (name HPVCC) (type input))
+        (pin (num AC20) (name MICIN1) (type input))
+        (pin (num AC21) (name MICIN2) (type input))
+        (pin (num AC22) (name MIC1OUTP) (type BiDi))
+        (pin (num AC23) (name MIC1OUTN) (type BiDi))
+        (pin (num B1) (name PH16) (type BiDi))
+        (pin (num B2) (name PH14) (type BiDi))
+        (pin (num B3) (name PH11) (type BiDi))
+        (pin (num B4) (name U5RX) (type BiDi))
+        (pin (num B5) (name U4TX) (type BiDi))
+        (pin (num B6) (name U3RX) (type BiDi))
+        (pin (num B7) (name PB23) (type input))
+        (pin (num B8) (name PB19) (type input))
+        (pin (num B9) (name PB17) (type input))
+        (pin (num B10) (name PB15) (type input))
+        (pin (num B11) (name PB13) (type input))
+        (pin (num B12) (name PB7) (type input))
+        (pin (num B13) (name PB5) (type input))
+        (pin (num B14) (name PB3) (type input))
+        (pin (num B15) (name PB1) (type input))
+        (pin (num B16) (name PI9) (type input))
+        (pin (num B17) (name PI7) (type input))
+        (pin (num B18) (name PI5) (type input))
+        (pin (num B19) (name PI3) (type input))
+        (pin (num B20) (name PI1) (type input))
+        (pin (num B21) (name PE10) (type input))
+        (pin (num B22) (name PE7) (type input))
+        (pin (num B23) (name PE6) (type input))
+        (pin (num C1) (name PH17) (type BiDi))
+        (pin (num C2) (name PH18) (type BiDi))
+        (pin (num C3) (name PH12) (type BiDi))
+        (pin (num C4) (name PH8) (type BiDi))
+        (pin (num C5) (name U4RX) (type BiDi))
+        (pin (num C6) (name U3RTS) (type BiDi))
+        (pin (num C7) (name PB21) (type input))
+        (pin (num C8) (name PB20) (type input))
+        (pin (num C9) (name PB12) (type input))
+        (pin (num C10) (name PB11) (type input))
+        (pin (num C11) (name PB10) (type input))
+        (pin (num C12) (name PB9) (type input))
+        (pin (num C13) (name ETXERR) (type input))
+        (pin (num C14) (name RESET#) (type input))
+        (pin (num C15) (name PI14) (type input))
+        (pin (num C16) (name PI12) (type input))
+        (pin (num C17) (name PI10) (type input))
+        (pin (num C18) (name PG11) (type input))
+        (pin (num C19) (name PG9) (type input))
+        (pin (num C20) (name PG7) (type input))
+        (pin (num C21) (name PG5) (type input))
+        (pin (num C22) (name PE5) (type input))
+        (pin (num C23) (name PE4) (type input))
+        (pin (num D1) (name PH19) (type BiDi))
+        (pin (num D2) (name PH20) (type BiDi))
+        (pin (num D3) (name PH21) (type BiDi))
+        (pin (num D4) (name PH9) (type BiDi))
+        (pin (num D5) (name ERXD3) (type input))
+        (pin (num D6) (name ERXD1) (type output))
+        (pin (num D7) (name ETXD3) (type input))
+        (pin (num D8) (name ETXD1) (type input))
+        (pin (num D9) (name ERXCK) (type input))
+        (pin (num D10) (name ERXDV) (type output))
+        (pin (num D11) (name EMDIO) (type input))
+        (pin (num D12) (name ETXCK) (type input))
+        (pin (num D13) (name ECOL) (type input))
+        (pin (num D14) (name PI19) (type input))
+        (pin (num D15) (name PI15) (type input))
+        (pin (num D16) (name PI13) (type input))
+        (pin (num D17) (name PI11) (type input))
+        (pin (num D18) (name PG10) (type input))
+        (pin (num D19) (name PG8) (type input))
+        (pin (num D20) (name PG4) (type input))
+        (pin (num D21) (name PG3) (type input))
+        (pin (num D22) (name PE3) (type input))
+        (pin (num D23) (name PE2) (type input))
+        (pin (num E1) (name PH22) (type BiDi))
+        (pin (num E2) (name PH23) (type BiDi))
+        (pin (num E3) (name PH24) (type BiDi))
+        (pin (num E4) (name PH25) (type BiDi))
+        (pin (num E5) (name ERXD2) (type input))
+        (pin (num E6) (name ERXD0) (type input))
+        (pin (num E7) (name ETXD2) (type input))
+        (pin (num E8) (name ETXD0) (type input))
+        (pin (num E9) (name ERXERR) (type input))
+        (pin (num E10) (name EMDC) (type input))
+        (pin (num E11) (name ETXEN) (type input))
+        (pin (num E12) (name ECRS) (type input))
+        (pin (num E13) (name PI21) (type input))
+        (pin (num E14) (name PI20) (type input))
+        (pin (num E15) (name PI18) (type input))
+        (pin (num E16) (name PI17) (type input))
+        (pin (num E17) (name PI16) (type input))
+        (pin (num E18) (name VCC_CSI0) (type input))
+        (pin (num E19) (name PG6) (type input))
+        (pin (num E20) (name PG2) (type input))
+        (pin (num E21) (name PG1) (type input))
+        (pin (num E22) (name PE1) (type input))
+        (pin (num E23) (name PE0) (type input))
+        (pin (num F1) (name CLK32KIN) (type BiDi))
+        (pin (num F2) (name CLK32KOUT) (type BiDi))
+        (pin (num F3) (name PH26) (type BiDi))
+        (pin (num F4) (name PH27) (type input))
+        (pin (num F5) (name NMI#) (type input))
+        (pin (num F19) (name VCC_CSI1) (type input))
+        (pin (num F20) (name PG0) (type input))
+        (pin (num F21) (name PC24) (type input))
+        (pin (num F22) (name PC18) (type input))
+        (pin (num F23) (name PC17) (type input))
+        (pin (num G1) (name SDQM3) (type output))
+        (pin (num G2) (name SDQ30) (type BiDi))
+        (pin (num G3) (name GND_DRAM) (type input))
+        (pin (num G4) (name GND_DRAM) (type input))
+        (pin (num G5) (name VCC_DRAM) (type input))
+        (pin (num G19) (name PC23) (type input))
+        (pin (num G20) (name PC15) (type input))
+        (pin (num G21) (name PC14) (type input))
+        (pin (num G22) (name PC11) (type input))
+        (pin (num G23) (name PC10) (type input))
+        (pin (num H1) (name SDQ25) (type BiDi))
+        (pin (num H2) (name SDQ27) (type BiDi))
+        (pin (num H3) (name SVREF0) (type input))
+        (pin (num H4) (name SVREF1) (type input))
+        (pin (num H5) (name VCC_DRAM) (type input))
+        (pin (num H8) (name VCC) (type input))
+        (pin (num H9) (name VCC) (type input))
+        (pin (num H10) (name VCC) (type input))
+        (pin (num H11) (name VDD_CPU) (type input))
+        (pin (num H12) (name VDD_CPU) (type input))
+        (pin (num H13) (name VDD_CPU) (type input))
+        (pin (num H14) (name VDD_CPU) (type input))
+        (pin (num H15) (name VCC) (type input))
+        (pin (num H16) (name TEST) (type input))
+        (pin (num H19) (name VCC_NAND) (type input))
+        (pin (num H20) (name PC13) (type input))
+        (pin (num H21) (name PC12) (type input))
+        (pin (num H22) (name PC9) (type input))
+        (pin (num H23) (name PC8) (type input))
+        (pin (num J1) (name SDQ28) (type BiDi))
+        (pin (num J2) (name SDQS3#) (type output))
+        (pin (num J3) (name ODT1) (type BiDi))
+        (pin (num J4) (name SCKE1) (type output))
+        (pin (num J5) (name GND_DRAM) (type input))
+        (pin (num J8) (name VCC) (type input))
+        (pin (num J9) (name VCC) (type input))
+        (pin (num J10) (name VCC) (type input))
+        (pin (num J11) (name GND) (type power_in))
+        (pin (num J12) (name VDD_CPU) (type input))
+        (pin (num J13) (name VDD_CPU) (type input))
+        (pin (num J14) (name VCC) (type input))
+        (pin (num J15) (name VDD_INT) (type input))
+        (pin (num J16) (name VDD_INT) (type input))
+        (pin (num J19) (name VCC_NAND) (type input))
+        (pin (num J20) (name PC22) (type input))
+        (pin (num J21) (name PC21) (type input))
+        (pin (num J22) (name PC7) (type input))
+        (pin (num J23) (name PC6) (type input))
+        (pin (num K1) (name SDQS3) (type output))
+        (pin (num K2) (name SDQ31) (type BiDi))
+        (pin (num K3) (name SBA0) (type output))
+        (pin (num K4) (name SBA2) (type output))
+        (pin (num K5) (name GND_DRAM) (type input))
+        (pin (num K8) (name RTC_VDD) (type input))
+        (pin (num K9) (name VDD_INT) (type input))
+        (pin (num K10) (name VDD_INT) (type input))
+        (pin (num K11) (name GND) (type power_in))
+        (pin (num K12) (name GND) (type input))
+        (pin (num K13) (name GND) (type input))
+        (pin (num K14) (name ULGND) (type input))
+        (pin (num K15) (name VDD_INT) (type input))
+        (pin (num K16) (name ULVDD) (type input))
+        (pin (num K19) (name SDC0_D2) (type BiDi))
+        (pin (num K20) (name SDC0_D3) (type BiDi))
+        (pin (num K21) (name PC20) (type input))
+        (pin (num K22) (name PC5) (type input))
+        (pin (num K23) (name PC4) (type input))
+        (pin (num L1) (name SDQ24) (type BiDi))
+        (pin (num L2) (name SDQ26) (type BiDi))
+        (pin (num L3) (name SA10) (type output))
+        (pin (num L4) (name SBA1) (type output))
+        (pin (num L5) (name VCC_DRAM) (type input))
+        (pin (num L8) (name VDD_INT) (type input))
+        (pin (num L9) (name VDD_INT) (type input))
+        (pin (num L10) (name GND) (type input))
+        (pin (num L11) (name GND) (type input))
+        (pin (num L12) (name GND) (type input))
+        (pin (num L13) (name UGND_T) (type input))
+        (pin (num L14) (name UGND_C) (type input))
+        (pin (num L15) (name UVCC_T) (type input))
+        (pin (num L16) (name UVCC_C) (type input))
+        (pin (num L19) (name SDC0_CMD) (type BiDi))
+        (pin (num L20) (name SDC0_CLK) (type output))
+        (pin (num L21) (name PC19) (type input))
+        (pin (num L22) (name PC3) (type input))
+        (pin (num L23) (name PC2) (type BiDi))
+        (pin (num M1) (name SDQ29) (type BiDi))
+        (pin (num M2) (name SDQ23) (type BiDi))
+        (pin (num M3) (name SA7) (type output))
+        (pin (num M4) (name SA3) (type output))
+        (pin (num M5) (name VCC_DRAM) (type input))
+        (pin (num M8) (name VDD_DLL) (type input))
+        (pin (num M9) (name GND_DLL) (type input))
+        (pin (num M10) (name GND) (type input))
+        (pin (num M11) (name GND) (type input))
+        (pin (num M12) (name GND) (type input))
+        (pin (num M13) (name GND) (type input))
+        (pin (num M14) (name GND_SATA) (type power_in))
+        (pin (num M15) (name NC) (type input))
+        (pin (num M16) (name NC) (type input))
+        (pin (num M19) (name SDC0_D0) (type BiDi))
+        (pin (num M20) (name SDC0_D1) (type BiDi))
+        (pin (num M21) (name PC16) (type input))
+        (pin (num M22) (name PC1) (type BiDi))
+        (pin (num M23) (name PC0) (type BiDi))
+        (pin (num N1) (name SDQ16) (type BiDi))
+        (pin (num N2) (name SDQ18) (type BiDi))
+        (pin (num N3) (name SCKE0) (type output))
+        (pin (num N4) (name SA5) (type output))
+        (pin (num N5) (name GND_DRAM) (type input))
+        (pin (num N8) (name NC) (type input))
+        (pin (num N9) (name VDD_DLL) (type input))
+        (pin (num N10) (name GND_DLL) (type input))
+        (pin (num N11) (name GND) (type input))
+        (pin (num N12) (name GND) (type input))
+        (pin (num N13) (name GND_SATA) (type power_in))
+        (pin (num N14) (name GND_SATA) (type power_in))
+        (pin (num N15) (name NC) (type input))
+        (pin (num N16) (name NC) (type input))
+        (pin (num N19) (name VCC_CARD) (type input))
+        (pin (num N20) (name DM0) (type BiDi))
+        (pin (num N21) (name DP0) (type BiDi))
+        (pin (num N22) (name CLK24m_OUT) (type BiDi))
+        (pin (num N23) (name CLK24M_IN) (type BiDi))
+        (pin (num P1) (name SDQ21) (type BiDi))
+        (pin (num P2) (name SDQS2#) (type output))
+        (pin (num P3) (name SA12) (type output))
+        (pin (num P4) (name SA9) (type output))
+        (pin (num P5) (name GND_DRAM) (type input))
+        (pin (num P8) (name NC) (type input))
+        (pin (num P9) (name VDD_DLL) (type input))
+        (pin (num P10) (name GND_DLL) (type input))
+        (pin (num P11) (name GND) (type input))
+        (pin (num P12) (name GND) (type input))
+        (pin (num P13) (name GND_HDMI) (type input))
+        (pin (num P14) (name GND_HDMI) (type input))
+        (pin (num P15) (name PLLGND) (type input))
+        (pin (num P16) (name PLLVP25) (type input))
+        (pin (num P19) (name NC) (type input))
+        (pin (num P20) (name DM1) (type BiDi))
+        (pin (num P21) (name DP1) (type BiDi))
+        (pin (num P22) (name HPD_HDMI) (type BiDi))
+        (pin (num P23) (name CEC_HDMI) (type BiDi))
+        (pin (num R1) (name SDQS2) (type output))
+        (pin (num R2) (name SDQM2) (type output))
+        (pin (num R3) (name SA14) (type output))
+        (pin (num R4) (name SA1) (type output))
+        (pin (num R5) (name VCC_DRAM) (type input))
+        (pin (num R8) (name NC) (type input))
+        (pin (num R9) (name VDD_INT) (type input))
+        (pin (num R10) (name VDD_INT) (type input))
+        (pin (num R11) (name GND) (type input))
+        (pin (num R12) (name GND) (type input))
+        (pin (num R13) (name GND_HDMI) (type input))
+        (pin (num R14) (name NC) (type input))
+        (pin (num R15) (name NC) (type input))
+        (pin (num R16) (name NC) (type input))
+        (pin (num R19) (name NC) (type input))
+        (pin (num R20) (name DM2) (type BiDi))
+        (pin (num R21) (name DP2) (type BiDi))
+        (pin (num R22) (name SDA_HDMI) (type BiDi))
+        (pin (num R23) (name SCL_HDMI) (type BiDi))
+        (pin (num T1) (name SDQ22) (type BiDi))
+        (pin (num T2) (name SDQ17) (type BiDi))
+        (pin (num T3) (name SWE) (type BiDi))
+        (pin (num T4) (name SRAS) (type BiDi))
+        (pin (num T5) (name VCC_DRAM) (type input))
+        (pin (num T8) (name VDD_INT) (type input))
+        (pin (num T9) (name GND) (type input))
+        (pin (num T10) (name JTAG_SEL) (type input))
+        (pin (num T11) (name GND) (type input))
+        (pin (num T12) (name GND) (type input))
+        (pin (num T13) (name VP_HDMI) (type BiDi))
+        (pin (num T14) (name NC) (type input))
+        (pin (num T15) (name PLL_TEST) (type input))
+        (pin (num T16) (name NC) (type input))
+        (pin (num T19) (name AVCC) (type input))
+        (pin (num T20) (name NC) (type input))
+        (pin (num T21) (name NC) (type input))
+        (pin (num T22) (name TX2N_HDMI) (type BiDi))
+        (pin (num T23) (name TX2P_HDMI) (type BiDi))
+        (pin (num U1) (name SDQ19) (type BiDi))
+        (pin (num U2) (name SDQ20) (type BiDi))
+        (pin (num U3) (name SCAS) (type BiDi))
+        (pin (num U4) (name SA2) (type output))
+        (pin (num U5) (name GND_DRAM) (type input))
+        (pin (num U19) (name AGND) (type input))
+        (pin (num U20) (name NC) (type input))
+        (pin (num U21) (name NC) (type input))
+        (pin (num U22) (name TX1N_HDMI) (type BiDi))
+        (pin (num U23) (name TX1P_HDMI) (type BiDi))
+        (pin (num V1) (name SCK) (type output))
+        (pin (num V2) (name SCK#) (type output))
+        (pin (num V3) (name SCS0) (type BiDi))
+        (pin (num V4) (name SA6) (type output))
+        (pin (num V5) (name GND_DRAM) (type input))
+        (pin (num V19) (name HPGND) (type input))
+        (pin (num V20) (name VRA2) (type input))
+        (pin (num V21) (name NC) (type input))
+        (pin (num V22) (name TX0N_HDMI) (type BiDi))
+        (pin (num V23) (name TX0P_HDMI) (type BiDi))
+        (pin (num W1) (name SDQM1) (type output))
+        (pin (num W2) (name SDQ14) (type BiDi))
+        (pin (num W3) (name SA11) (type output))
+        (pin (num W4) (name SA0) (type output))
+        (pin (num W5) (name VCC_DRAM) (type input))
+        (pin (num W6) (name VCC_DRAM) (type input))
+        (pin (num W7) (name VCC_DRAM) (type input))
+        (pin (num W8) (name UBOOT_SEL) (type input))
+        (pin (num W9) (name GND_LVDS) (type input))
+        (pin (num W10) (name GND_LVDS) (type input))
+        (pin (num W11) (name GND_LVDS) (type input))
+        (pin (num W12) (name VCC_LVDS) (type input))
+        (pin (num W13) (name VCC_LVDS) (type input))
+        (pin (num W14) (name VCC_LVDS) (type input))
+        (pin (num W15) (name VCC33_TVO) (type input))
+        (pin (num W16) (name VCC33_TVIN) (type input))
+        (pin (num W17) (name NC) (type input))
+        (pin (num W18) (name GND33_TV) (type input))
+        (pin (num W19) (name HPR) (type input))
+        (pin (num W20) (name VRA1) (type input))
+        (pin (num W21) (name VRP) (type input))
+        (pin (num W22) (name TXCN_HDMI) (type BiDi))
+        (pin (num W23) (name TXCP_HDMI) (type BiDi))
+        (pin (num Y1) (name SDQ9) (type BiDi))
+        (pin (num Y2) (name SDQ11) (type BiDi))
+        (pin (num Y3) (name SA13) (type output))
+        (pin (num Y4) (name SA4) (type output))
+        (pin (num Y5) (name SVREF2) (type input))
+        (pin (num Y6) (name VCC_DRAM) (type input))
+        (pin (num Y7) (name GND_DRAM) (type input))
+        (pin (num Y8) (name GND_DRAM) (type input))
+        (pin (num Y9) (name LCD0_CLK) (type BiDi))
+        (pin (num Y10) (name LCD0_D20) (type BiDi))
+        (pin (num Y11) (name LCD0_D18) (type BiDi))
+        (pin (num Y12) (name LCD0_D16) (type BiDi))
+        (pin (num Y13) (name LCD0_D14) (type BiDi))
+        (pin (num Y14) (name LCD0_D12) (type BiDi))
+        (pin (num Y15) (name LCD0_D10) (type BiDi))
+        (pin (num Y16) (name HPVCCIN) (type input))
+        (pin (num Y17) (name TVIN3) (type input))
+        (pin (num Y18) (name NC) (type input))
+        (pin (num Y19) (name HPL) (type input))
+        (pin (num Y20) (name FMINL) (type input))
+        (pin (num Y21) (name FMINR) (type input))
+        (pin (num Y22) (name XP_TP) (type input))
+        (pin (num Y23) (name YP_TP) (type input))))
+    (libpart (lib A10-plus) (part AXP209)
+      (fields
+        (field (name Reference) U)
+        (field (name Value) AXP209))
+      (pins
+        (pin (num 1) (name SDA) (type BiDi))
+        (pin (num 2) (name SCK) (type input))
+        (pin (num 3) (name GPIO3) (type BiDi))
+        (pin (num 4) (name N_OE) (type input))
+        (pin (num 5) (name GPIO2) (type BiDi))
+        (pin (num 6) (name N_VBUSEN) (type input))
+        (pin (num 7) (name VIN2) (type input))
+        (pin (num 8) (name LX2) (type input))
+        (pin (num 9) (name PGND2) (type power_in))
+        (pin (num 10) (name DCDC2) (type power_out))
+        (pin (num 11) (name LDO4) (type power_out))
+        (pin (num 12) (name LDO2) (type power_out))
+        (pin (num 13) (name LDO24IN) (type input))
+        (pin (num 14) (name VIN3) (type input))
+        (pin (num 15) (name LX3) (type input))
+        (pin (num 16) (name PGND3) (type power_in))
+        (pin (num 17) (name DCDC3) (type power_out))
+        (pin (num 18) (name GPIO1) (type output))
+        (pin (num 19) (name GPIO0) (type BiDi))
+        (pin (num 20) (name EXTEN) (type output))
+        (pin (num 21) (name APS) (type input))
+        (pin (num 22) (name AGND) (type power_in))
+        (pin (num 23) (name BIAS) (type BiDi))
+        (pin (num 24) (name VREF) (type output))
+        (pin (num 25) (name PWROK) (type output))
+        (pin (num 26) (name VINT) (type power_out))
+        (pin (num 27) (name LDO1SET) (type input))
+        (pin (num 28) (name LDO1) (type power_out))
+        (pin (num 29) (name DC3SET) (type BiDi))
+        (pin (num 30) (name BACKUP) (type BiDi))
+        (pin (num 31) (name VBUS) (type power_in))
+        (pin (num 32) (name ACIN) (type power_in))
+        (pin (num 33) (name ACIN) (type power_in))
+        (pin (num 34) (name IPSOUT) (type input))
+        (pin (num 35) (name IPSOUT) (type power_out))
+        (pin (num 36) (name CHGLED) (type output))
+        (pin (num 37) (name TS) (type input))
+        (pin (num 38) (name BAT) (type power_in))
+        (pin (num 39) (name BAT) (type power_in))
+        (pin (num 40) (name LDO3IN) (type input))
+        (pin (num 41) (name LDO3) (type power_out))
+        (pin (num 42) (name BATSENSE) (type input))
+        (pin (num 43) (name CHSENSE) (type output))
+        (pin (num 44) (name VIN1) (type input))
+        (pin (num 45) (name LX1) (type BiDi))
+        (pin (num 46) (name PGND1) (type power_in))
+        (pin (num 47) (name PWRON) (type input))
+        (pin (num 48) (name IRQ) (type BiDi))
+        (pin (num 49) (name DP_[BODY]) (type power_in))))
+    (libpart (lib A10-plus) (part C30)
+      (fields
+        (field (name Reference) P)
+        (field (name Value) C30))
+      (pins
+        (pin (num 1) (name 1) (type BiDi))
+        (pin (num 2) (name 2) (type BiDi))
+        (pin (num 3) (name 3) (type BiDi))
+        (pin (num 4) (name 4) (type BiDi))
+        (pin (num 5) (name 5) (type BiDi))
+        (pin (num 6) (name 6) (type BiDi))
+        (pin (num 7) (name 7) (type BiDi))
+        (pin (num 8) (name 8) (type BiDi))
+        (pin (num 9) (name 9) (type BiDi))
+        (pin (num 10) (name 10) (type BiDi))
+        (pin (num 11) (name 11) (type BiDi))
+        (pin (num 12) (name 12) (type BiDi))
+        (pin (num 13) (name 13) (type BiDi))
+        (pin (num 14) (name 14) (type BiDi))
+        (pin (num 15) (name 15) (type BiDi))
+        (pin (num 16) (name 16) (type BiDi))
+        (pin (num 17) (name 17) (type BiDi))
+        (pin (num 18) (name 18) (type BiDi))
+        (pin (num 19) (name 19) (type BiDi))
+        (pin (num 20) (name 20) (type BiDi))
+        (pin (num 21) (name 21) (type BiDi))
+        (pin (num 22) (name 22) (type BiDi))
+        (pin (num 23) (name 23) (type BiDi))
+        (pin (num 24) (name 24) (type BiDi))
+        (pin (num 25) (name 25) (type BiDi))
+        (pin (num 26) (name 26) (type BiDi))
+        (pin (num 27) (name 27) (type BiDi))
+        (pin (num 28) (name 28) (type BiDi))
+        (pin (num 29) (name 29) (type BiDi))
+        (pin (num 30) (name 30) (type BiDi))))
+    (libpart (lib A10-plus) (part SY8008C)
+      (fields
+        (field (name Reference) U)
+        (field (name Value) SY8008C)
+        (field (name Footprint) MODULE)
+        (field (name Datasheet) ~))
+      (pins
+        (pin (num 1) (name EN) (type input))
+        (pin (num 2) (name GND) (type input))
+        (pin (num 3) (name LX) (type power_out))
+        (pin (num 4) (name IN) (type input))
+        (pin (num 5) (name FB) (type input)))))
+  (libraries
+    (library (logical device)
+      (uri /usr/share/kicad/library/device.lib))
+    (library (logical conn)
+      (uri /usr/share/kicad/library/conn.lib))
+    (library (logical A10-plus)
+      (uri A10-plus.lib)))
+  (nets
+    (net (code 1) (name /DQ11)
+      (node (ref U2) (pin A2))
+      (node (ref U3) (pin Y2)))
+    (net (code 2) (name /DQ14)
+      (node (ref U2) (pin C2))
+      (node (ref U3) (pin W2)))
+    (net (code 3) (name /DQ13)
+      (node (ref U2) (pin C8))
+      (node (ref U3) (pin AB3)))
+    (net (code 4) (name /DQ15)
+      (node (ref U3) (pin AC1))
+      (node (ref U2) (pin D7)))
+    (net (code 5) (name /DQ12)
+      (node (ref U2) (pin A3))
+      (node (ref U3) (pin AA1)))
+    (net (code 6) (name DRAM_REF)
+      (node (ref C76) (pin 1))
+      (node (ref C81) (pin 1))
+      (node (ref C77) (pin 1))
+      (node (ref C80) (pin 1))
+      (node (ref R32) (pin 1))
+      (node (ref U3) (pin H4))
+      (node (ref U3) (pin H3))
+      (node (ref C78) (pin 1))
+      (node (ref R33) (pin 1))
+      (node (ref U1) (pin H1))
+      (node (ref C79) (pin 1))
+      (node (ref P11) (pin 1))
+      (node (ref U2) (pin H1))
+      (node (ref U1) (pin M8))
+      (node (ref C73) (pin 1))
+      (node (ref U3) (pin Y5))
+      (node (ref U3) (pin AA8))
+      (node (ref U2) (pin M8))
+      (node (ref C74) (pin 1))
+      (node (ref C75) (pin 1)))
+    (net (code 7) (name /DQ10)
+      (node (ref U2) (pin B8))
+      (node (ref U3) (pin AC2)))
+    (net (code 8) (name 3.3V)
+      (node (ref U3) (pin E18))
+      (node (ref R17) (pin 1))
+      (node (ref C7) (pin 2))
+      (node (ref L4) (pin 2))
+      (node (ref C40) (pin 2))
+      (node (ref U3) (pin H15))
+      (node (ref R13) (pin 1))
+      (node (ref R14) (pin 1))
+      (node (ref U3) (pin N19))
+      (node (ref U3) (pin J19))
+      (node (ref R21) (pin 1))
+      (node (ref P6) (pin 1))
+      (node (ref C36) (pin 2))
+      (node (ref U3) (pin W13))
+      (node (ref U3) (pin T13))
+      (node (ref U3) (pin W15))
+      (node (ref U3) (pin L16))
+      (node (ref U3) (pin P16))
+      (node (ref U3) (pin L15))
+      (node (ref U3) (pin J14))
+      (node (ref U3) (pin W16))
+      (node (ref C38) (pin 2))
+      (node (ref R12) (pin 1))
+      (node (ref U3) (pin Y16))
+      (node (ref U3) (pin W14))
+      (node (ref U3) (pin AC19))
+      (node (ref C37) (pin 2))
+      (node (ref C63) (pin 1))
+      (node (ref C67) (pin 1))
+      (node (ref U3) (pin J10))
+      (node (ref C66) (pin 1))
+      (node (ref C65) (pin 1))
+      (node (ref C64) (pin 1))
+      (node (ref C70) (pin 1))
+      (node (ref C68) (pin 1))
+      (node (ref C72) (pin 1))
+      (node (ref C62) (pin 1))
+      (node (ref U3) (pin W12))
+      (node (ref C71) (pin 1))
+      (node (ref C61) (pin 1))
+      (node (ref C60) (pin 1))
+      (node (ref R25) (pin 2))
+      (node (ref U3) (pin J9))
+      (node (ref U3) (pin H9))
+      (node (ref U3) (pin F19))
+      (node (ref R20) (pin 1))
+      (node (ref R15) (pin 1))
+      (node (ref U3) (pin H19))
+      (node (ref U3) (pin H8))
+      (node (ref U3) (pin H10))
+      (node (ref C69) (pin 1))
+      (node (ref U3) (pin J8))
+      (node (ref R16) (pin 1)))
+    (net (code 9) (name "")
+      (node (ref U3) (pin M20))
+      (node (ref R9) (pin 2)))
+    (net (code 10) (name /SA10)
+      (node (ref U3) (pin L3))
+      (node (ref U2) (pin L7))
+      (node (ref U1) (pin L7)))
+    (net (code 11) (name /SA11)
+      (node (ref U1) (pin R7))
+      (node (ref U2) (pin R7))
+      (node (ref U3) (pin W3)))
+    (net (code 12) (name /SA12)
+      (node (ref U1) (pin N7))
+      (node (ref U2) (pin N7))
+      (node (ref U3) (pin P3)))
+    (net (code 13) (name /SA13)
+      (node (ref U2) (pin T3))
+      (node (ref U1) (pin T3))
+      (node (ref U3) (pin Y3)))
+    (net (code 14) (name /SA14)
+      (node (ref U3) (pin R3))
+      (node (ref U2) (pin T7))
+      (node (ref U1) (pin T7)))
+    (net (code 15) (name /BA0)
+      (node (ref U2) (pin M2))
+      (node (ref U1) (pin M2))
+      (node (ref U3) (pin K3)))
+    (net (code 16) (name /BA1)
+      (node (ref U3) (pin L4))
+      (node (ref U1) (pin N8))
+      (node (ref U2) (pin N8)))
+    (net (code 17) (name /BA2)
+      (node (ref U3) (pin K4))
+      (node (ref U2) (pin M3))
+      (node (ref U1) (pin M3)))
+    (net (code 18) (name /LDE)
+      (node (ref U3) (pin AA9))
+      (node (ref P13) (pin 3)))
+    (net (code 19) (name /LD14)
+      (node (ref U3) (pin Y13))
+      (node (ref P13) (pin 14)))
+    (net (code 20) (name /LD3)
+      (node (ref U3) (pin AC14))
+      (node (ref P13) (pin 25)))
+    (net (code 21) (name /LD4)
+      (node (ref U3) (pin AB13))
+      (node (ref P13) (pin 24)))
+    (net (code 22) (name /LD5)
+      (node (ref P13) (pin 23))
+      (node (ref U3) (pin AC13)))
+    (net (code 23) (name /LD6)
+      (node (ref P13) (pin 22))
+      (node (ref U3) (pin AB12)))
+    (net (code 24) (name /LD7)
+      (node (ref P13) (pin 21))
+      (node (ref U3) (pin AC12)))
+    (net (code 25) (name /LD8)
+      (node (ref U3) (pin AB11))
+      (node (ref P13) (pin 20)))
+    (net (code 26) (name /LD9)
+      (node (ref P13) (pin 19))
+      (node (ref U3) (pin AC11)))
+    (net (code 27) (name /LD10)
+      (node (ref P13) (pin 18))
+      (node (ref U3) (pin Y15)))
+    (net (code 28) (name /LD11)
+      (node (ref U3) (pin AA15))
+      (node (ref P13) (pin 17)))
+    (net (code 29) (name /LD12)
+      (node (ref P13) (pin 16))
+      (node (ref U3) (pin Y14)))
+    (net (code 30) (name /LD13)
+      (node (ref P13) (pin 15))
+      (node (ref U3) (pin AA14)))
+    (net (code 31) (name /LD2)
+      (node (ref U3) (pin AB14))
+      (node (ref P13) (pin 26)))
+    (net (code 32) (name /LD15)
+      (node (ref U3) (pin AA13))
+      (node (ref P13) (pin 13)))
+    (net (code 33) (name /LD16)
+      (node (ref P13) (pin 12))
+      (node (ref U3) (pin Y12)))
+    (net (code 34) (name /LD17)
+      (node (ref U3) (pin AA12))
+      (node (ref P13) (pin 11)))
+    (net (code 35) (name /LD18)
+      (node (ref U3) (pin Y11))
+      (node (ref P13) (pin 10)))
+    (net (code 36) (name /LD19)
+      (node (ref P13) (pin 9))
+      (node (ref U3) (pin AA11)))
+    (net (code 37) (name /LD20)
+      (node (ref U3) (pin Y10))
+      (node (ref P13) (pin 8)))
+    (net (code 38) (name /LD21)
+      (node (ref P13) (pin 7))
+      (node (ref U3) (pin AA10)))
+    (net (code 39) (name /LD22)
+      (node (ref P13) (pin 6))
+      (node (ref U3) (pin AB10)))
+    (net (code 40) (name /LD23)
+      (node (ref P13) (pin 5))
+      (node (ref U3) (pin AC10)))
+    (net (code 41) (name /LCLK)
+      (node (ref U3) (pin Y9))
+      (node (ref P13) (pin 4)))
+    (net (code 42) (name "")
+      (node (ref U7) (pin 8))
+      (node (ref L3) (pin 1)))
+    (net (code 43) (name "")
+      (node (ref L6) (pin 1))
+      (node (ref U7) (pin 15)))
+    (net (code 44) (name LDO1)
+      (node (ref C31) (pin 2))
+      (node (ref U7) (pin 28))
+      (node (ref C32) (pin 2))
+      (node (ref U3) (pin K8)))
+    (net (code 45) (name /LD0)
+      (node (ref P13) (pin 28))
+      (node (ref U3) (pin AB15)))
+    (net (code 46) (name /LD1)
+      (node (ref P13) (pin 27))
+      (node (ref U3) (pin AC15)))
+    (net (code 47) (name "")
+      (node (ref P9) (pin 29)))
+    (net (code 48) (name /PH5)
+      (node (ref P9) (pin 6))
+      (node (ref U3) (pin C5)))
+    (net (code 49) (name /PH6)
+      (node (ref P9) (pin 7))
+      (node (ref U3) (pin A4)))
+    (net (code 50) (name /PH22)
+      (node (ref U3) (pin E1))
+      (node (ref P9) (pin 23)))
+    (net (code 51) (name "")
+      (node (ref P13) (pin 29)))
+    (net (code 52) (name /LHSYN)
+      (node (ref U3) (pin AB9))
+      (node (ref P13) (pin 2)))
+    (net (code 53) (name "")
+      (node (ref P12) (pin 26)))
+    (net (code 54) (name "")
+      (node (ref P12) (pin 27)))
+    (net (code 55) (name "")
+      (node (ref P12) (pin 28)))
+    (net (code 56) (name "")
+      (node (ref P12) (pin 29)))
+    (net (code 57) (name /LVSYN)
+      (node (ref P13) (pin 1))
+      (node (ref U3) (pin AC9)))
+    (net (code 58) (name /PH4)
+      (node (ref P9) (pin 5))
+      (node (ref U3) (pin B5)))
+    (net (code 59) (name /PC23)
+      (node (ref U3) (pin G19))
+      (node (ref P12) (pin 24)))
+    (net (code 60) (name /PC8)
+      (node (ref P12) (pin 9))
+      (node (ref U3) (pin H23)))
+    (net (code 61) (name /PC7)
+      (node (ref U3) (pin J22))
+      (node (ref P12) (pin 8)))
+    (net (code 62) (name /PC6)
+      (node (ref P12) (pin 7))
+      (node (ref U3) (pin J23)))
+    (net (code 63) (name /PC5)
+      (node (ref U3) (pin K22))
+      (node (ref P12) (pin 6)))
+    (net (code 64) (name /PC4)
+      (node (ref P12) (pin 5))
+      (node (ref U3) (pin K23)))
+    (net (code 65) (name /PC3)
+      (node (ref P12) (pin 4))
+      (node (ref U3) (pin L22)))
+    (net (code 66) (name /PC2)
+      (node (ref U3) (pin L23))
+      (node (ref P12) (pin 3)))
+    (net (code 67) (name /PC1)
+      (node (ref P12) (pin 2))
+      (node (ref U3) (pin M22)))
+    (net (code 68) (name /PC0)
+      (node (ref U3) (pin M23))
+      (node (ref P12) (pin 1)))
+    (net (code 69) (name /PC21)
+      (node (ref P12) (pin 22))
+      (node (ref U3) (pin J21)))
+    (net (code 70) (name /PC22)
+      (node (ref P12) (pin 23))
+      (node (ref U3) (pin J20)))
+    (net (code 71) (name /PC9)
+      (node (ref U3) (pin H22))
+      (node (ref P12) (pin 10)))
+    (net (code 72) (name /PC24)
+      (node (ref P12) (pin 25))
+      (node (ref U3) (pin F21)))
+    (net (code 73) (name /PC20)
+      (node (ref U3) (pin K21))
+      (node (ref P12) (pin 21)))
+    (net (code 74) (name /PC10)
+      (node (ref U3) (pin G23))
+      (node (ref P12) (pin 11)))
+    (net (code 75) (name /PC19)
+      (node (ref P12) (pin 20))
+      (node (ref U3) (pin L21)))
+    (net (code 76) (name /PC18)
+      (node (ref P12) (pin 19))
+      (node (ref U3) (pin F22)))
+    (net (code 77) (name /PC17)
+      (node (ref U3) (pin F23))
+      (node (ref P12) (pin 18)))
+    (net (code 78) (name /PC16)
+      (node (ref P12) (pin 17))
+      (node (ref U3) (pin M21)))
+    (net (code 79) (name /PC15)
+      (node (ref P12) (pin 16))
+      (node (ref U3) (pin G20)))
+    (net (code 80) (name /PC14)
+      (node (ref U3) (pin G21))
+      (node (ref P12) (pin 15)))
+    (net (code 81) (name /PC13)
+      (node (ref U3) (pin H20))
+      (node (ref P12) (pin 14)))
+    (net (code 82) (name /PC12)
+      (node (ref P12) (pin 13))
+      (node (ref U3) (pin H21)))
+    (net (code 83) (name /PC11)
+      (node (ref P12) (pin 12))
+      (node (ref U3) (pin G22)))
+    (net (code 84) (name "")
+      (node (ref P10) (pin 23)))
+    (net (code 85) (name "")
+      (node (ref P10) (pin 24)))
+    (net (code 86) (name /PH0)
+      (node (ref P9) (pin 1))
+      (node (ref U3) (pin A6)))
+    (net (code 87) (name /PH1)
+      (node (ref U3) (pin B6))
+      (node (ref P9) (pin 2)))
+    (net (code 88) (name /PH2)
+      (node (ref P9) (pin 3))
+      (node (ref U3) (pin C6)))
+    (net (code 89) (name /PH3)
+      (node (ref U3) (pin A5))
+      (node (ref P9) (pin 4)))
+    (net (code 90) (name /PI20)
+      (node (ref P10) (pin 2))
+      (node (ref U3) (pin E14)))
+    (net (code 91) (name /PI19)
+      (node (ref U3) (pin D14))
+      (node (ref P10) (pin 3)))
+    (net (code 92) (name /PI18)
+      (node (ref P10) (pin 4))
+      (node (ref U3) (pin E15)))
+    (net (code 93) (name /PI17)
+      (node (ref P10) (pin 5))
+      (node (ref U3) (pin E16)))
+    (net (code 94) (name /PI16)
+      (node (ref P10) (pin 6))
+      (node (ref U3) (pin E17)))
+    (net (code 95) (name /PI15)
+      (node (ref U3) (pin D15))
+      (node (ref P10) (pin 7)))
+    (net (code 96) (name /PI14)
+      (node (ref U3) (pin C15))
+      (node (ref P10) (pin 8)))
+    (net (code 97) (name /PI13)
+      (node (ref P10) (pin 9))
+      (node (ref U3) (pin D16)))
+    (net (code 98) (name /PI12)
+      (node (ref U3) (pin C16))
+      (node (ref P10) (pin 10)))
+    (net (code 99) (name "")
+      (node (ref P15) (pin 28)))
+    (net (code 100) (name "")
+      (node (ref P15) (pin 29)))
+    (net (code 101) (name /PE0)
+      (node (ref U3) (pin E23))
+      (node (ref P16) (pin 1)))
+    (net (code 102) (name /PE1)
+      (node (ref P16) (pin 2))
+      (node (ref U3) (pin E22)))
+    (net (code 103) (name /PE2)
+      (node (ref U3) (pin D23))
+      (node (ref P16) (pin 3)))
+    (net (code 104) (name /PE3)
+      (node (ref U3) (pin D22))
+      (node (ref P16) (pin 4)))
+    (net (code 105) (name /PE4)
+      (node (ref U3) (pin C23))
+      (node (ref P16) (pin 5)))
+    (net (code 106) (name /PE5)
+      (node (ref P16) (pin 6))
+      (node (ref U3) (pin C22)))
+    (net (code 107) (name /PE6)
+      (node (ref P16) (pin 7))
+      (node (ref U3) (pin B23)))
+    (net (code 108) (name /PE7)
+      (node (ref U3) (pin B22))
+      (node (ref P16) (pin 8)))
+    (net (code 109) (name /PE8)
+      (node (ref P16) (pin 9))
+      (node (ref U3) (pin A23)))
+    (net (code 110) (name /PE9)
+      (node (ref U3) (pin A22))
+      (node (ref P16) (pin 10)))
+    (net (code 111) (name /PG1)
+      (node (ref U3) (pin E21))
+      (node (ref P16) (pin 20)))
+    (net (code 112) (name "")
+      (node (ref P15) (pin 25)))
+    (net (code 113) (name "")
+      (node (ref P15) (pin 26)))
+    (net (code 114) (name "")
+      (node (ref P15) (pin 27)))
+    (net (code 115) (name /PG11)
+      (node (ref P16) (pin 30))
+      (node (ref U3) (pin C18)))
+    (net (code 116) (name /PG4)
+      (node (ref P16) (pin 23))
+      (node (ref U3) (pin D20)))
+    (net (code 117) (name /PG5)
+      (node (ref U3) (pin C21))
+      (node (ref P16) (pin 24)))
+    (net (code 118) (name /PG6)
+      (node (ref U3) (pin E19))
+      (node (ref P16) (pin 25)))
+    (net (code 119) (name /PG7)
+      (node (ref P16) (pin 26))
+      (node (ref U3) (pin C20)))
+    (net (code 120) (name /PB17/JDI)
+      (node (ref P15) (pin 18))
+      (node (ref U3) (pin B9))
+      (node (ref P3) (pin 1)))
+    (net (code 121) (name /PB16/JD0)
+      (node (ref P15) (pin 17))
+      (node (ref U3) (pin A9))
+      (node (ref P3) (pin 2)))
+    (net (code 122) (name /PB15/JCK)
+      (node (ref P3) (pin 3))
+      (node (ref U3) (pin B10))
+      (node (ref P15) (pin 16)))
+    (net (code 123) (name /PB14/JMS)
+      (node (ref P15) (pin 15))
+      (node (ref P3) (pin 4))
+      (node (ref U3) (pin A10)))
+    (net (code 124) (name "")
+      (node (ref P16) (pin 16)))
+    (net (code 125) (name /PE10)
+      (node (ref P16) (pin 11))
+      (node (ref U3) (pin B21)))
+    (net (code 126) (name /PG2)
+      (node (ref U3) (pin E20))
+      (node (ref P16) (pin 21)))
+    (net (code 127) (name /PE11)
+      (node (ref U3) (pin A21))
+      (node (ref P16) (pin 12)))
+    (net (code 128) (name /PG3)
+      (node (ref P16) (pin 22))
+      (node (ref U3) (pin D21)))
+    (net (code 129) (name "")
+      (node (ref P16) (pin 13)))
+    (net (code 130) (name "")
+      (node (ref P16) (pin 14)))
+    (net (code 131) (name GND)
+      (node (ref U2) (pin B3))
+      (node (ref U2) (pin J2))
+      (node (ref U1) (pin J8))
+      (node (ref U1) (pin G8))
+      (node (ref U1) (pin E8))
+      (node (ref U1) (pin D8))
+      (node (ref U1) (pin B9))
+      (node (ref U2) (pin E2))
+      (node (ref C41) (pin 1))
+      (node (ref U1) (pin T9))
+      (node (ref U1) (pin P9))
+      (node (ref U1) (pin M9))
+      (node (ref U2) (pin T1))
+      (node (ref U7) (pin 46))
+      (node (ref U1) (pin G9))
+      (node (ref U1) (pin F9))
+      (node (ref U7) (pin 49))
+      (node (ref U1) (pin A9))
+      (node (ref U2) (pin G8))
+      (node (ref U2) (pin J8))
+      (node (ref U2) (pin A9))
+      (node (ref U2) (pin B9))
+      (node (ref U7) (pin 4))
+      (node (ref U2) (pin G1))
+      (node (ref R24) (pin 1))
+      (node (ref U2) (pin D1))
+      (node (ref U7) (pin 9))
+      (node (ref R4) (pin 1))
+      (node (ref R10) (pin 2))
+      (node (ref U2) (pin B1))
+      (node (ref U2) (pin E1))
+      (node (ref U2) (pin M1))
+      (node (ref U2) (pin P1))
+      (node (ref U2) (pin D8))
+      (node (ref U2) (pin E8))
+      (node (ref C15) (pin 2))
+      (node (ref C14) (pin 1))
+      (node (ref C21) (pin 1))
+      (node (ref P2) (pin 5))
+      (node (ref C22) (pin 1))
+      (node (ref C48) (pin 1))
+      (node (ref C13) (pin 2))
+      (node (ref C47) (pin 1))
+      (node (ref C46) (pin 2))
+      (node (ref C34) (pin 2))
+      (node (ref C33) (pin 2))
+      (node (ref C19) (pin 2))
+      (node (ref C18) (pin 1))
+      (node (ref C10) (pin 2))
+      (node (ref C17) (pin 2))
+      (node (ref C32) (pin 1))
+      (node (ref C20) (pin 1))
+      (node (ref C28) (pin 1))
+      (node (ref C8) (pin 2))
+      (node (ref C11) (pin 2))
+      (node (ref C27) (pin 1))
+      (node (ref C26) (pin 1))
+      (node (ref C31) (pin 1))
+      (node (ref C38) (pin 1))
+      (node (ref C36) (pin 1))
+      (node (ref C12) (pin 2))
+      (node (ref C9) (pin 2))
+      (node (ref R30) (pin 2))
+      (node (ref R11) (pin 2))
+      (node (ref U1) (pin B3))
+      (node (ref U1) (pin J2))
+      (node (ref U1) (pin E2))
+      (node (ref C42) (pin 1))
+      (node (ref U1) (pin B1))
+      (node (ref U9) (pin 2))
+      (node (ref U5) (pin 2))
+      (node (ref SW1) (pin 1))
+      (node (ref R31) (pin 2))
+      (node (ref U7) (pin 31))
+      (node (ref C16) (pin 1))
+      (node (ref R29) (pin 1))
+      (node (ref U7) (pin 16))
+      (node (ref C35) (pin 1))
+      (node (ref C29) (pin 1))
+      (node (ref C37) (pin 1))
+      (node (ref U7) (pin 22))
+      (node (ref R23) (pin 1))
+      (node (ref U1) (pin T1))
+      (node (ref U1) (pin P1))
+      (node (ref U1) (pin M1))
+      (node (ref U1) (pin G1))
+      (node (ref U1) (pin E1))
+      (node (ref U1) (pin D1))
+      (node (ref C86) (pin 2))
+      (node (ref C94) (pin 2))
+      (node (ref C95) (pin 2))
+      (node (ref C96) (pin 2))
+      (node (ref C92) (pin 2))
+      (node (ref C49) (pin 2))
+      (node (ref C82) (pin 2))
+      (node (ref C83) (pin 2))
+      (node (ref C84) (pin 2))
+      (node (ref C85) (pin 2))
+      (node (ref C93) (pin 2))
+      (node (ref C87) (pin 2))
+      (node (ref C107) (pin 2))
+      (node (ref C50) (pin 2))
+      (node (ref C53) (pin 2))
+      (node (ref C52) (pin 2))
+      (node (ref C97) (pin 2))
+      (node (ref C54) (pin 2))
+      (node (ref C55) (pin 2))
+      (node (ref C109) (pin 2))
+      (node (ref C110) (pin 2))
+      (node (ref C111) (pin 2))
+      (node (ref C112) (pin 2))
+      (node (ref C56) (pin 2))
+      (node (ref C108) (pin 1))
+      (node (ref C88) (pin 2))
+      (node (ref C89) (pin 2))
+      (node (ref C90) (pin 2))
+      (node (ref C91) (pin 2))
+      (node (ref C105) (pin 2))
+      (node (ref C57) (pin 2))
+      (node (ref C51) (pin 2))
+      (node (ref C98) (pin 2))
+      (node (ref C99) (pin 2))
+      (node (ref C100) (pin 2))
+      (node (ref C101) (pin 2))
+      (node (ref C102) (pin 2))
+      (node (ref C103) (pin 2))
+      (node (ref C104) (pin 2))
+      (node (ref C58) (pin 2))
+      (node (ref C106) (pin 2))
+      (node (ref U6) (pin 8))
+      (node (ref U6) (pin 3))
+      (node (ref U8) (pin 3))
+      (node (ref U8) (pin 8))
+      (node (ref R34) (pin 1))
+      (node (ref C23) (pin 1))
+      (node (ref U4) (pin 8))
+      (node (ref U4) (pin 3))
+      (node (ref R32) (pin 2))
+      (node (ref C59) (pin 2))
+      (node (ref C60) (pin 2))
+      (node (ref C61) (pin 2))
+      (node (ref C62) (pin 2))
+      (node (ref C63) (pin 2))
+      (node (ref C64) (pin 2))
+      (node (ref C65) (pin 2))
+      (node (ref C66) (pin 2))
+      (node (ref C67) (pin 2))
+      (node (ref C71) (pin 2))
+      (node (ref C80) (pin 2))
+      (node (ref C79) (pin 2))
+      (node (ref C78) (pin 2))
+      (node (ref C77) (pin 2))
+      (node (ref C68) (pin 2))
+      (node (ref C69) (pin 2))
+      (node (ref C70) (pin 2))
+      (node (ref C72) (pin 2))
+      (node (ref C73) (pin 2))
+      (node (ref C74) (pin 2))
+      (node (ref C75) (pin 2))
+      (node (ref C76) (pin 2))
+      (node (ref U3) (pin P12))
+      (node (ref U3) (pin AC18))
+      (node (ref U3) (pin AB18))
+      (node (ref U3) (pin AA17))
+      (node (ref U3) (pin W18))
+      (node (ref U3) (pin Y17))
+      (node (ref U3) (pin V19))
+      (node (ref U3) (pin U19))
+      (node (ref U3) (pin T12))
+      (node (ref U3) (pin R12))
+      (node (ref C30) (pin 2))
+      (node (ref U3) (pin N12))
+      (node (ref U3) (pin L12))
+      (node (ref U3) (pin K12))
+      (node (ref U3) (pin M12))
+      (node (ref U3) (pin T11))
+      (node (ref U3) (pin R11))
+      (node (ref U3) (pin P11))
+      (node (ref U3) (pin N11))
+      (node (ref C1) (pin 2))
+      (node (ref C43) (pin 2))
+      (node (ref C6) (pin 1))
+      (node (ref C5) (pin 1))
+      (node (ref U3) (pin M11))
+      (node (ref C2) (pin 2))
+      (node (ref D1) (pin 2))
+      (node (ref U2) (pin P9))
+      (node (ref U2) (pin M9))
+      (node (ref U2) (pin G9))
+      (node (ref U2) (pin F9))
+      (node (ref C4) (pin 2))
+      (node (ref U2) (pin T9))
+      (node (ref R2) (pin 2))
+      (node (ref C3) (pin 2))
+      (node (ref U3) (pin P13))
+      (node (ref U3) (pin L11))
+      (node (ref U3) (pin K11))
+      (node (ref U3) (pin J11))
+      (node (ref U3) (pin W11))
+      (node (ref U3) (pin P15))
+      (node (ref U3) (pin H16))
+      (node (ref U3) (pin P14))
+      (node (ref U3) (pin R13))
+      (node (ref C44) (pin 1))
+      (node (ref U3) (pin N13))
+      (node (ref U3) (pin M13))
+      (node (ref U3) (pin L13))
+      (node (ref U3) (pin K13))
+      (node (ref U3) (pin N14))
+      (node (ref U3) (pin M14))
+      (node (ref U3) (pin L14))
+      (node (ref U3) (pin K14))
+      (node (ref P4) (pin 2))
+      (node (ref U3) (pin K5))
+      (node (ref U3) (pin J5))
+      (node (ref U3) (pin P5))
+      (node (ref U3) (pin Y7))
+      (node (ref C7) (pin 1))
+      (node (ref U3) (pin N5))
+      (node (ref C45) (pin 1))
+      (node (ref U3) (pin Y8))
+      (node (ref U3) (pin G3))
+      (node (ref U3) (pin G4))
+      (node (ref U3) (pin W9))
+      (node (ref U3) (pin T9))
+      (node (ref U3) (pin M9))
+      (node (ref U3) (pin W10))
+      (node (ref U3) (pin P10))
+      (node (ref U3) (pin N10))
+      (node (ref U3) (pin M10))
+      (node (ref U3) (pin L10))
+      (node (ref U3) (pin V5))
+      (node (ref U3) (pin U5))
+      (node (ref P12) (pin 30))
+      (node (ref P9) (pin 30))
+      (node (ref P3) (pin 5))
+      (node (ref P15) (pin 30))
+      (node (ref P1) (pin 30))
+      (node (ref P14) (pin 10))
+      (node (ref P13) (pin 30))
+      (node (ref P16) (pin 15)))
+    (net (code 132) (name "")
+      (node (ref P16) (pin 17)))
+    (net (code 133) (name /PG8)
+      (node (ref P16) (pin 27))
+      (node (ref U3) (pin D19)))
+    (net (code 134) (name "")
+      (node (ref P16) (pin 18)))
+    (net (code 135) (name /PG9)
+      (node (ref P16) (pin 28))
+      (node (ref U3) (pin C19)))
+    (net (code 136) (name /PG0)
+      (node (ref U3) (pin F20))
+      (node (ref P16) (pin 19)))
+    (net (code 137) (name /PG10)
+      (node (ref P16) (pin 29))
+      (node (ref U3) (pin D18)))
+    (net (code 138) (name "")
+      (node (ref P1) (pin 29)))
+    (net (code 139) (name /VRA1)
+      (node (ref U3) (pin W20)))
+    (net (code 140) (name /VRA2)
+      (node (ref U3) (pin V20)))
+    (net (code 141) (name /VRP)
+      (node (ref U3) (pin W21)))
+    (net (code 142) (name "")
+      (node (ref P1) (pin 19)))
+    (net (code 143) (name /USB2P)
+      (node (ref U3) (pin R21))
+      (node (ref P10) (pin 29)))
+    (net (code 144) (name /USB2M)
+      (node (ref U3) (pin R20))
+      (node (ref P10) (pin 30)))
+    (net (code 145) (name /USB1P)
+      (node (ref P10) (pin 27))
+      (node (ref U3) (pin P21)))
+    (net (code 146) (name /USB1M)
+      (node (ref P10) (pin 28))
+      (node (ref U3) (pin P20)))
+    (net (code 147) (name /USB0P)
+      (node (ref U3) (pin N21))
+      (node (ref P10) (pin 25)))
+    (net (code 148) (name /USB0M)
+      (node (ref P10) (pin 26))
+      (node (ref U3) (pin N20)))
+    (net (code 149) (name /PB20)
+      (node (ref P15) (pin 21))
+      (node (ref U3) (pin C8)))
+    (net (code 150) (name /PB19)
+      (node (ref P15) (pin 20))
+      (node (ref U3) (pin B8)))
+    (net (code 151) (name "")
+      (node (ref P1) (pin 23)))
+    (net (code 152) (name "")
+      (node (ref P1) (pin 20)))
+    (net (code 153) (name "")
+      (node (ref P1) (pin 21)))
+    (net (code 154) (name "")
+      (node (ref P1) (pin 22)))
+    (net (code 155) (name /PB18)
+      (node (ref U3) (pin A8))
+      (node (ref P15) (pin 19)))
+    (net (code 156) (name "")
+      (node (ref P1) (pin 24)))
+    (net (code 157) (name "")
+      (node (ref P1) (pin 25)))
+    (net (code 158) (name "")
+      (node (ref P1) (pin 26)))
+    (net (code 159) (name "")
+      (node (ref P1) (pin 27)))
+    (net (code 160) (name /PA17)
+      (node (ref P1) (pin 18))
+      (node (ref U3) (pin C13)))
+    (net (code 161) (name "")
+      (node (ref P1) (pin 28)))
+    (net (code 162) (name /PB6)
+      (node (ref P15) (pin 7))
+      (node (ref U3) (pin A12)))
+    (net (code 163) (name /PB13)
+      (node (ref U3) (pin B11))
+      (node (ref P15) (pin 14)))
+    (net (code 164) (name /PB12)
+      (node (ref U3) (pin C9))
+      (node (ref P15) (pin 13)))
+    (net (code 165) (name /PB11)
+      (node (ref U3) (pin C10))
+      (node (ref P15) (pin 12)))
+    (net (code 166) (name /PB10)
+      (node (ref U3) (pin C11))
+      (node (ref P15) (pin 11)))
+    (net (code 167) (name /PB9)
+      (node (ref P15) (pin 10))
+      (node (ref U3) (pin C12)))
+    (net (code 168) (name /PB8)
+      (node (ref U3) (pin A11))
+      (node (ref P15) (pin 9)))
+    (net (code 169) (name /PB7)
+      (node (ref P15) (pin 8))
+      (node (ref U3) (pin B12)))
+    (net (code 170) (name /PB5)
+      (node (ref U3) (pin B13))
+      (node (ref P15) (pin 6)))
+    (net (code 171) (name /PB4)
+      (node (ref U3) (pin A13))
+      (node (ref P15) (pin 5)))
+    (net (code 172) (name /PB3)
+      (node (ref P15) (pin 4))
+      (node (ref U3) (pin B14)))
+    (net (code 173) (name /PB2)
+      (node (ref P15) (pin 3))
+      (node (ref U3) (pin A14)))
+    (net (code 174) (name /PB21)
+      (node (ref P15) (pin 22))
+      (node (ref U3) (pin C7)))
+    (net (code 175) (name /U0Tx/22)
+      (node (ref U3) (pin A7))
+      (node (ref P15) (pin 23)))
+    (net (code 176) (name /U0Rx/23)
+      (node (ref P15) (pin 24))
+      (node (ref U3) (pin B7)))
+    (net (code 177) (name /TWI0SCK)
+      (node (ref R20) (pin 2))
+      (node (ref U3) (pin A15))
+      (node (ref P15) (pin 1))
+      (node (ref U7) (pin 2)))
+    (net (code 178) (name /TWI0SDA)
+      (node (ref P15) (pin 2))
+      (node (ref U7) (pin 1))
+      (node (ref R21) (pin 2))
+      (node (ref U3) (pin B15)))
+    (net (code 179) (name /PI9)
+      (node (ref U3) (pin B16))
+      (node (ref P10) (pin 13)))
+    (net (code 180) (name /PI11)
+      (node (ref P10) (pin 11))
+      (node (ref U3) (pin D17)))
+    (net (code 181) (name /PI10)
+      (node (ref U3) (pin C17))
+      (node (ref P10) (pin 12)))
+    (net (code 182) (name /PI8)
+      (node (ref U3) (pin A16))
+      (node (ref P10) (pin 14)))
+    (net (code 183) (name /PI7)
+      (node (ref P10) (pin 15))
+      (node (ref U3) (pin B17)))
+    (net (code 184) (name /PI6)
+      (node (ref P10) (pin 16))
+      (node (ref U3) (pin A17)))
+    (net (code 185) (name /PI5)
+      (node (ref P10) (pin 17))
+      (node (ref U3) (pin B18)))
+    (net (code 186) (name /PI4)
+      (node (ref U3) (pin A18))
+      (node (ref P10) (pin 18)))
+    (net (code 187) (name /PI3)
+      (node (ref P10) (pin 19))
+      (node (ref U3) (pin B19)))
+    (net (code 188) (name /PI2)
+      (node (ref P10) (pin 20))
+      (node (ref U3) (pin A19)))
+    (net (code 189) (name /PI1)
+      (node (ref U3) (pin B20))
+      (node (ref P10) (pin 21)))
+    (net (code 190) (name /PI0)
+      (node (ref U3) (pin A20))
+      (node (ref P10) (pin 22)))
+    (net (code 191) (name /PI21)
+      (node (ref P10) (pin 1))
+      (node (ref U3) (pin E13)))
+    (net (code 192) (name /PH7)
+      (node (ref P9) (pin 8))
+      (node (ref U3) (pin B4)))
+    (net (code 193) (name /PH8)
+      (node (ref P9) (pin 9))
+      (node (ref U3) (pin C4)))
+    (net (code 194) (name /PA1)
+      (node (ref P1) (pin 2))
+      (node (ref U3) (pin E5)))
+    (net (code 195) (name /PA12)
+      (node (ref P1) (pin 13))
+      (node (ref U3) (pin D11)))
+    (net (code 196) (name /PA11)
+      (node (ref U3) (pin E10))
+      (node (ref P1) (pin 12)))
+    (net (code 197) (name /PA10)
+      (node (ref P1) (pin 11))
+      (node (ref U3) (pin D10)))
+    (net (code 198) (name /PA9)
+      (node (ref U3) (pin E9))
+      (node (ref P1) (pin 10)))
+    (net (code 199) (name /PA8)
+      (node (ref P1) (pin 9))
+      (node (ref U3) (pin D9)))
+    (net (code 200) (name /PA7)
+      (node (ref P1) (pin 8))
+      (node (ref U3) (pin E8)))
+    (net (code 201) (name /PA6)
+      (node (ref P1) (pin 7))
+      (node (ref U3) (pin D8)))
+    (net (code 202) (name /PA5)
+      (node (ref P1) (pin 6))
+      (node (ref U3) (pin E7)))
+    (net (code 203) (name /PA4)
+      (node (ref P1) (pin 5))
+      (node (ref U3) (pin D7)))
+    (net (code 204) (name /PA3)
+      (node (ref P1) (pin 4))
+      (node (ref U3) (pin E6)))
+    (net (code 205) (name /PA2)
+      (node (ref P1) (pin 3))
+      (node (ref U3) (pin D6)))
+    (net (code 206) (name /PA13)
+      (node (ref U3) (pin E11))
+      (node (ref P1) (pin 14)))
+    (net (code 207) (name /PA0)
+      (node (ref P1) (pin 1))
+      (node (ref U3) (pin D5)))
+    (net (code 208) (name /PA16)
+      (node (ref P1) (pin 17))
+      (node (ref U3) (pin D13)))
+    (net (code 209) (name /PA15)
+      (node (ref P1) (pin 16))
+      (node (ref U3) (pin E12)))
+    (net (code 210) (name /PA14)
+      (node (ref P1) (pin 15))
+      (node (ref U3) (pin D12)))
+    (net (code 211) (name /PH19)
+      (node (ref U3) (pin D1))
+      (node (ref P9) (pin 20)))
+    (net (code 212) (name /PH27)
+      (node (ref P9) (pin 28))
+      (node (ref U3) (pin F4)))
+    (net (code 213) (name /PH26)
+      (node (ref U3) (pin F3))
+      (node (ref P9) (pin 27)))
+    (net (code 214) (name /PH25)
+      (node (ref U3) (pin E4))
+      (node (ref P9) (pin 26)))
+    (net (code 215) (name /PH24)
+      (node (ref U3) (pin E3))
+      (node (ref P9) (pin 25)))
+    (net (code 216) (name /PH23)
+      (node (ref P9) (pin 24))
+      (node (ref U3) (pin E2)))
+    (net (code 217) (name /PH21)
+      (node (ref P9) (pin 22))
+      (node (ref U3) (pin D3)))
+    (net (code 218) (name /PH20)
+      (node (ref P9) (pin 21))
+      (node (ref U3) (pin D2)))
+    (net (code 219) (name /PH18)
+      (node (ref P9) (pin 19))
+      (node (ref U3) (pin C2)))
+    (net (code 220) (name /PH17)
+      (node (ref P9) (pin 18))
+      (node (ref U3) (pin C1)))
+    (net (code 221) (name /PH16)
+      (node (ref U3) (pin B1))
+      (node (ref P9) (pin 17)))
+    (net (code 222) (name /PH15)
+      (node (ref P9) (pin 16))
+      (node (ref U3) (pin A1)))
+    (net (code 223) (name /PH14)
+      (node (ref P9) (pin 15))
+      (node (ref U3) (pin B2)))
+    (net (code 224) (name /PH13)
+      (node (ref P9) (pin 14))
+      (node (ref U3) (pin A2)))
+    (net (code 225) (name /PH12)
+      (node (ref U3) (pin C3))
+      (node (ref P9) (pin 13)))
+    (net (code 226) (name /PH11)
+      (node (ref P9) (pin 12))
+      (node (ref U3) (pin B3)))
+    (net (code 227) (name /PH10)
+      (node (ref U3) (pin A3))
+      (node (ref P9) (pin 11)))
+    (net (code 228) (name /PH9)
+      (node (ref U3) (pin D4))
+      (node (ref P9) (pin 10)))
+    (net (code 229) (name /MICIN1)
+      (node (ref U3) (pin AC20))
+      (node (ref P14) (pin 5)))
+    (net (code 230) (name "")
+      (node (ref U3) (pin W8))
+      (node (ref P4) (pin 1)))
+    (net (code 231) (name /VMIC)
+      (node (ref P14) (pin 1))
+      (node (ref U3) (pin AA21)))
+    (net (code 232) (name /LIN_R)
+      (node (ref U3) (pin AB21))
+      (node (ref P14) (pin 2)))
+    (net (code 233) (name /LIN_L)
+      (node (ref P14) (pin 3))
+      (node (ref U3) (pin AB20)))
+    (net (code 234) (name /MICIN2)
+      (node (ref U3) (pin AC21))
+      (node (ref P14) (pin 4)))
+    (net (code 235) (name /VGA_R)
+      (node (ref P14) (pin 6))
+      (node (ref U3) (pin AC17)))
+    (net (code 236) (name /VGA_B)
+      (node (ref P14) (pin 7))
+      (node (ref U3) (pin AB16)))
+    (net (code 237) (name /VGA_G)
+      (node (ref U3) (pin AC16))
+      (node (ref P14) (pin 8)))
+    (net (code 238) (name "")
+      (node (ref P14) (pin 9)))
+    (net (code 239) (name 5V_IN)
+      (node (ref P2) (pin 1))
+      (node (ref C17) (pin 1))
+      (node (ref U7) (pin 32))
+      (node (ref U9) (pin 4))
+      (node (ref U7) (pin 33))
+      (node (ref C35) (pin 2))
+      (node (ref U5) (pin 4))
+      (node (ref C34) (pin 1))
+      (node (ref C30) (pin 1))
+      (node (ref C33) (pin 1))
+      (node (ref C23) (pin 2))
+      (node (ref R26) (pin 1))
+      (node (ref R27) (pin 1))
+      (node (ref C5) (pin 2))
+      (node (ref C6) (pin 2)))
+    (net (code 240) (name "")
+      (node (ref U9) (pin 5))
+      (node (ref C40) (pin 1))
+      (node (ref R29) (pin 2))
+      (node (ref R25) (pin 1)))
+    (net (code 241) (name AVCC)
+      (node (ref U5) (pin 1))
+      (node (ref C29) (pin 2))
+      (node (ref U3) (pin T19))
+      (node (ref C28) (pin 2))
+      (node (ref U7) (pin 12)))
+    (net (code 242) (name "")
+      (node (ref R22) (pin 1))
+      (node (ref U5) (pin 5))
+      (node (ref R24) (pin 2))
+      (node (ref C24) (pin 1)))
+    (net (code 243) (name IPSOUT)
+      (node (ref U7) (pin 21))
+      (node (ref U7) (pin 35))
+      (node (ref C8) (pin 1))
+      (node (ref C9) (pin 1))
+      (node (ref C13) (pin 1))
+      (node (ref C15) (pin 1))
+      (node (ref U7) (pin 40))
+      (node (ref U7) (pin 44))
+      (node (ref U7) (pin 34))
+      (node (ref C10) (pin 1))
+      (node (ref U7) (pin 7))
+      (node (ref C11) (pin 1))
+      (node (ref U7) (pin 13))
+      (node (ref U7) (pin 14))
+      (node (ref C12) (pin 1)))
+    (net (code 244) (name "")
+      (node (ref U7) (pin 47))
+      (node (ref R28) (pin 2)))
+    (net (code 245) (name "")
+      (node (ref C16) (pin 2))
+      (node (ref U7) (pin 19)))
+    (net (code 246) (name "")
+      (node (ref R23) (pin 2))
+      (node (ref U7) (pin 23)))
+    (net (code 247) (name /DQ18)
+      (node (ref U1) (pin H3))
+      (node (ref U3) (pin N2)))
+    (net (code 248) (name "")
+      (node (ref R4) (pin 2))
+      (node (ref U2) (pin L8)))
+    (net (code 249) (name /DQ19)
+      (node (ref U3) (pin U1))
+      (node (ref U1) (pin H7)))
+    (net (code 250) (name "")
+      (node (ref U7) (pin 24))
+      (node (ref C18) (pin 2)))
+    (net (code 251) (name /DQ30)
+      (node (ref U3) (pin G2))
+      (node (ref U1) (pin C2)))
+    (net (code 252) (name /DQ20)
+      (node (ref U1) (pin H8))
+      (node (ref U3) (pin U2)))
+    (net (code 253) (name /DQ21)
+      (node (ref U1) (pin E3))
+      (node (ref U3) (pin P1)))
+    (net (code 254) (name /DQ22)
+      (node (ref U1) (pin F8))
+      (node (ref U3) (pin T1)))
+    (net (code 255) (name /DQ23)
+      (node (ref U1) (pin G2))
+      (node (ref U3) (pin M2)))
+    (net (code 256) (name /DQ24)
+      (node (ref U3) (pin L1))
+      (node (ref U1) (pin B8)))
+    (net (code 257) (name /DQ25)
+      (node (ref U3) (pin H1))
+      (node (ref U1) (pin A2)))
+    (net (code 258) (name /DQ26)
+      (node (ref U1) (pin C8))
+      (node (ref U3) (pin L2)))
+    (net (code 259) (name /DQ27)
+      (node (ref U1) (pin A3))
+      (node (ref U3) (pin H2)))
+    (net (code 260) (name /DQ28)
+      (node (ref U1) (pin C3))
+      (node (ref U3) (pin J1)))
+    (net (code 261) (name /DQ29)
+      (node (ref U1) (pin D7))
+      (node (ref U3) (pin M1)))
+    (net (code 262) (name /DQ31)
+      (node (ref U1) (pin A7))
+      (node (ref U3) (pin K2)))
+    (net (code 263) (name "")
+      (node (ref R3) (pin 1))
+      (node (ref R17) (pin 2)))
+    (net (code 264) (name "")
+      (node (ref R30) (pin 1))
+      (node (ref U7) (pin 37)))
+    (net (code 265) (name "")
+      (node (ref C42) (pin 2))
+      (node (ref U7) (pin 26)))
+    (net (code 266) (name "")
+      (node (ref C41) (pin 2))
+      (node (ref R28) (pin 1))
+      (node (ref SW1) (pin 2)))
+    (net (code 267) (name "")
+      (node (ref R12) (pin 2))
+      (node (ref R9) (pin 1)))
+    (net (code 268) (name "")
+      (node (ref R3) (pin 2))
+      (node (ref U3) (pin K19)))
+    (net (code 269) (name "")
+      (node (ref U3) (pin K20))
+      (node (ref R5) (pin 2)))
+    (net (code 270) (name "")
+      (node (ref R6) (pin 2))
+      (node (ref U3) (pin L19)))
+    (net (code 271) (name "")
+      (node (ref U3) (pin L20))
+      (node (ref R7) (pin 2)))
+    (net (code 272) (name "")
+      (node (ref U3) (pin M19))
+      (node (ref R8) (pin 2)))
+    (net (code 273) (name "")
+      (node (ref R13) (pin 2))
+      (node (ref R8) (pin 1)))
+    (net (code 274) (name "")
+      (node (ref R7) (pin 1))
+      (node (ref R14) (pin 2)))
+    (net (code 275) (name "")
+      (node (ref R6) (pin 1))
+      (node (ref R15) (pin 2)))
+    (net (code 276) (name "")
+      (node (ref R16) (pin 2))
+      (node (ref R5) (pin 1)))
+    (net (code 277) (name /CS#)
+      (node (ref U3) (pin V3))
+      (node (ref U2) (pin L2))
+      (node (ref U1) (pin L2)))
+    (net (code 278) (name /SCAS)
+      (node (ref U1) (pin K3))
+      (node (ref U2) (pin K3))
+      (node (ref U3) (pin U3)))
+    (net (code 279) (name /SWE)
+      (node (ref U1) (pin L3))
+      (node (ref U2) (pin L3))
+      (node (ref U3) (pin T3)))
+    (net (code 280) (name /SRST)
+      (node (ref U1) (pin T2))
+      (node (ref U3) (pin AA6))
+      (node (ref U2) (pin T2)))
+    (net (code 281) (name /ODT)
+      (node (ref U3) (pin AA5))
+      (node (ref U2) (pin K1))
+      (node (ref U1) (pin K1)))
+    (net (code 282) (name /SRAS)
+      (node (ref U2) (pin J3))
+      (node (ref U1) (pin J3))
+      (node (ref U3) (pin T4)))
+    (net (code 283) (name "")
+      (node (ref C2) (pin 1))
+      (node (ref R1) (pin 2))
+      (node (ref X1) (pin 1))
+      (node (ref U3) (pin F2)))
+    (net (code 284) (name /SCK)
+      (node (ref U3) (pin V1))
+      (node (ref R18) (pin 2)))
+    (net (code 285) (name /SCK#)
+      (node (ref U3) (pin V2))
+      (node (ref R19) (pin 2)))
+    (net (code 286) (name "")
+      (node (ref U3) (pin R14)))
+    (net (code 287) (name "")
+      (node (ref U1) (pin L8))
+      (node (ref R11) (pin 1)))
+    (net (code 288) (name "")
+      (node (ref U1) (pin M7)))
+    (net (code 289) (name /CK)
+      (node (ref U2) (pin J7))
+      (node (ref U1) (pin J7))
+      (node (ref R18) (pin 1)))
+    (net (code 290) (name /CK#)
+      (node (ref R19) (pin 1))
+      (node (ref U1) (pin K7))
+      (node (ref U2) (pin K7)))
+    (net (code 291) (name /SA2)
+      (node (ref U3) (pin U4))
+      (node (ref U2) (pin P3))
+      (node (ref U1) (pin P3)))
+    (net (code 292) (name "")
+      (node (ref U1) (pin J9)))
+    (net (code 293) (name "")
+      (node (ref U1) (pin L9)))
+    (net (code 294) (name /SA0)
+      (node (ref U2) (pin N3))
+      (node (ref U3) (pin W4))
+      (node (ref U1) (pin N3)))
+    (net (code 295) (name /SA1)
+      (node (ref U3) (pin R4))
+      (node (ref U2) (pin P7))
+      (node (ref U1) (pin P7)))
+    (net (code 296) (name /SA3)
+      (node (ref U1) (pin N2))
+      (node (ref U2) (pin N2))
+      (node (ref U3) (pin M4)))
+    (net (code 297) (name /SA4)
+      (node (ref U1) (pin P8))
+      (node (ref U2) (pin P8))
+      (node (ref U3) (pin Y4)))
+    (net (code 298) (name /SA5)
+      (node (ref U1) (pin P2))
+      (node (ref U2) (pin P2))
+      (node (ref U3) (pin N4)))
+    (net (code 299) (name /SA6)
+      (node (ref U1) (pin R8))
+      (node (ref U3) (pin V4))
+      (node (ref U2) (pin R8)))
+    (net (code 300) (name /SA7)
+      (node (ref U3) (pin M3))
+      (node (ref U1) (pin R2))
+      (node (ref U2) (pin R2)))
+    (net (code 301) (name /SA8)
+      (node (ref U3) (pin AA3))
+      (node (ref U1) (pin T8))
+      (node (ref U2) (pin T8)))
+    (net (code 302) (name /SA9)
+      (node (ref U2) (pin R3))
+      (node (ref U1) (pin R3))
+      (node (ref U3) (pin P4)))
+    (net (code 303) (name "")
+      (node (ref R31) (pin 1))
+      (node (ref U7) (pin 27)))
+    (net (code 304) (name "")
+      (node (ref L2) (pin 1))
+      (node (ref U5) (pin 3)))
+    (net (code 305) (name "")
+      (node (ref U9) (pin 3))
+      (node (ref L4) (pin 1)))
+    (net (code 306) (name "")
+      (node (ref U7) (pin 18)))
+    (net (code 307) (name "")
+      (node (ref U7) (pin 5)))
+    (net (code 308) (name "")
+      (node (ref U7) (pin 3)))
+    (net (code 309) (name "")
+      (node (ref U1) (pin J1)))
+    (net (code 310) (name "")
+      (node (ref U1) (pin L1)))
+    (net (code 311) (name DRAM_VCC)
+      (node (ref U1) (pin A8))
+      (node (ref U1) (pin K8))
+      (node (ref U1) (pin D9))
+      (node (ref U3) (pin G5))
+      (node (ref U1) (pin D2))
+      (node (ref U1) (pin C9))
+      (node (ref U2) (pin R1))
+      (node (ref U2) (pin K2))
+      (node (ref U2) (pin H2))
+      (node (ref U2) (pin E9))
+      (node (ref U3) (pin R5))
+      (node (ref U3) (pin T5))
+      (node (ref U3) (pin W5))
+      (node (ref U1) (pin R9))
+      (node (ref U2) (pin N1))
+      (node (ref U1) (pin N9))
+      (node (ref U3) (pin M5))
+      (node (ref U2) (pin H9))
+      (node (ref U2) (pin A8))
+      (node (ref C97) (pin 1))
+      (node (ref U2) (pin N9))
+      (node (ref U1) (pin E9))
+      (node (ref U3) (pin W6))
+      (node (ref U3) (pin Y6))
+      (node (ref U3) (pin W7))
+      (node (ref U2) (pin A1))
+      (node (ref U2) (pin C1))
+      (node (ref U2) (pin R9))
+      (node (ref U3) (pin H5))
+      (node (ref U2) (pin D9))
+      (node (ref U2) (pin F1))
+      (node (ref U3) (pin L5))
+      (node (ref C88) (pin 1))
+      (node (ref C82) (pin 1))
+      (node (ref P5) (pin 1))
+      (node (ref C14) (pin 2))
+      (node (ref C96) (pin 1))
+      (node (ref C95) (pin 1))
+      (node (ref C84) (pin 1))
+      (node (ref C85) (pin 1))
+      (node (ref U2) (pin C9))
+      (node (ref C86) (pin 1))
+      (node (ref C87) (pin 1))
+      (node (ref C111) (pin 1))
+      (node (ref C110) (pin 1))
+      (node (ref C81) (pin 2))
+      (node (ref C20) (pin 2))
+      (node (ref C109) (pin 1))
+      (node (ref U1) (pin H9))
+      (node (ref U1) (pin G7))
+      (node (ref C22) (pin 2))
+      (node (ref C112) (pin 1))
+      (node (ref R33) (pin 2))
+      (node (ref C21) (pin 2))
+      (node (ref C108) (pin 2))
+      (node (ref C89) (pin 1))
+      (node (ref U2) (pin G7))
+      (node (ref C90) (pin 1))
+      (node (ref C91) (pin 1))
+      (node (ref C92) (pin 1))
+      (node (ref C93) (pin 1))
+      (node (ref C94) (pin 1))
+      (node (ref R22) (pin 2))
+      (node (ref C99) (pin 1))
+      (node (ref C100) (pin 1))
+      (node (ref C83) (pin 1))
+      (node (ref C101) (pin 1))
+      (node (ref U2) (pin K8))
+      (node (ref C107) (pin 1))
+      (node (ref C103) (pin 1))
+      (node (ref C24) (pin 2))
+      (node (ref C104) (pin 1))
+      (node (ref C105) (pin 1))
+      (node (ref U1) (pin H2))
+      (node (ref C106) (pin 1))
+      (node (ref C98) (pin 1))
+      (node (ref C102) (pin 1))
+      (node (ref U2) (pin B2))
+      (node (ref U2) (pin D2))
+      (node (ref U1) (pin K2))
+      (node (ref U1) (pin N1))
+      (node (ref U1) (pin B2))
+      (node (ref U1) (pin R1))
+      (node (ref U1) (pin F1))
+      (node (ref L2) (pin 2))
+      (node (ref U1) (pin C1))
+      (node (ref U1) (pin A1)))
+    (net (code 312) (name /EXTEN)
+      (node (ref U7) (pin 20))
+      (node (ref U9) (pin 1)))
+    (net (code 313) (name /CKE)
+      (node (ref U3) (pin N3))
+      (node (ref U2) (pin K9))
+      (node (ref U1) (pin K9)))
+    (net (code 314) (name "")
+      (node (ref U3) (pin J3)))
+    (net (code 315) (name "")
+      (node (ref P2) (pin 2)))
+    (net (code 316) (name "")
+      (node (ref P2) (pin 3)))
+    (net (code 317) (name "")
+      (node (ref P2) (pin 4)))
+    (net (code 318) (name LDO3)
+      (node (ref U7) (pin 41))
+      (node (ref C27) (pin 2))
+      (node (ref C26) (pin 2)))
+    (net (code 319) (name LDO4)
+      (node (ref U7) (pin 11))
+      (node (ref C19) (pin 1)))
+    (net (code 320) (name "")
+      (node (ref U7) (pin 30)))
+    (net (code 321) (name "")
+      (node (ref U7) (pin 29)))
+    (net (code 322) (name /DQ16)
+      (node (ref U3) (pin N1))
+      (node (ref U1) (pin F2)))
+    (net (code 323) (name /DQ17)
+      (node (ref U3) (pin T2))
+      (node (ref U1) (pin F7)))
+    (net (code 324) (name "")
+      (node (ref U7) (pin 38)))
+    (net (code 325) (name "")
+      (node (ref U7) (pin 39)))
+    (net (code 326) (name "")
+      (node (ref U7) (pin 43)))
+    (net (code 327) (name "")
+      (node (ref U7) (pin 42)))
+    (net (code 328) (name "")
+      (node (ref U7) (pin 45)))
+    (net (code 329) (name "")
+      (node (ref U7) (pin 36)))
+    (net (code 330) (name "")
+      (node (ref U3) (pin J4)))
+    (net (code 331) (name "")
+      (node (ref U3) (pin AA4)))
+    (net (code 332) (name "")
+      (node (ref U7) (pin 6)))
+    (net (code 333) (name "")
+      (node (ref U3) (pin AA7))
+      (node (ref R10) (pin 1)))
+    (net (code 334) (name "")
+      (node (ref U2) (pin J1)))
+    (net (code 335) (name "")
+      (node (ref U2) (pin L1)))
+    (net (code 336) (name "")
+      (node (ref U2) (pin M7)))
+    (net (code 337) (name /DQ4)
+      (node (ref U3) (pin AC7))
+      (node (ref U2) (pin H7)))
+    (net (code 338) (name /DQ5)
+      (node (ref U3) (pin AB5))
+      (node (ref U2) (pin H8)))
+    (net (code 339) (name /DQ0)
+      (node (ref U3) (pin AB4))
+      (node (ref U2) (pin E3)))
+    (net (code 340) (name /DQ9)
+      (node (ref U3) (pin Y1))
+      (node (ref U2) (pin C3)))
+    (net (code 341) (name "")
+      (node (ref U3) (pin T14)))
+    (net (code 342) (name "")
+      (node (ref U3) (pin T20)))
+    (net (code 343) (name "")
+      (node (ref U3) (pin AB19)))
+    (net (code 344) (name "")
+      (node (ref U3) (pin N15)))
+    (net (code 345) (name "")
+      (node (ref U3) (pin N16)))
+    (net (code 346) (name "")
+      (node (ref U3) (pin R16)))
+    (net (code 347) (name "")
+      (node (ref U3) (pin T16)))
+    (net (code 348) (name "")
+      (node (ref U3) (pin N8)))
+    (net (code 349) (name "")
+      (node (ref U3) (pin P8)))
+    (net (code 350) (name "")
+      (node (ref U3) (pin R8)))
+    (net (code 351) (name "")
+      (node (ref U3) (pin R15)))
+    (net (code 352) (name /DQ7)
+      (node (ref U2) (pin G2))
+      (node (ref U3) (pin AC3)))
+    (net (code 353) (name /DQ1)
+      (node (ref U2) (pin F7))
+      (node (ref U3) (pin AC8)))
+    (net (code 354) (name /DQ2)
+      (node (ref U2) (pin F2))
+      (node (ref U3) (pin AC4)))
+    (net (code 355) (name /DQ3)
+      (node (ref U2) (pin F8))
+      (node (ref U3) (pin AB8)))
+    (net (code 356) (name /DQ6)
+      (node (ref U3) (pin AB7))
+      (node (ref U2) (pin H3)))
+    (net (code 357) (name /DQ8)
+      (node (ref U2) (pin A7))
+      (node (ref U3) (pin AB2)))
+    (net (code 358) (name "")
+      (node (ref U3) (pin Y20)))
+    (net (code 359) (name "")
+      (node (ref U3) (pin Y22)))
+    (net (code 360) (name "")
+      (node (ref U3) (pin T15)))
+    (net (code 361) (name "")
+      (node (ref U3) (pin AA16)))
+    (net (code 362) (name "")
+      (node (ref U3) (pin M15)))
+    (net (code 363) (name "")
+      (node (ref U3) (pin T10))
+      (node (ref R2) (pin 1)))
+    (net (code 364) (name "")
+      (node (ref U3) (pin W19)))
+    (net (code 365) (name "")
+      (node (ref U3) (pin Y19)))
+    (net (code 366) (name "")
+      (node (ref U3) (pin AA19)))
+    (net (code 367) (name "")
+      (node (ref U3) (pin AA20)))
+    (net (code 368) (name "")
+      (node (ref U3) (pin AB23)))
+    (net (code 369) (name "")
+      (node (ref U3) (pin AB22)))
+    (net (code 370) (name "")
+      (node (ref U3) (pin M16)))
+    (net (code 371) (name "")
+      (node (ref U3) (pin Y21)))
+    (net (code 372) (name "")
+      (node (ref U3) (pin AA23)))
+    (net (code 373) (name "")
+      (node (ref U3) (pin Y23)))
+    (net (code 374) (name "")
+      (node (ref U3) (pin AA22)))
+    (net (code 375) (name "")
+      (node (ref U3) (pin T21)))
+    (net (code 376) (name "")
+      (node (ref U3) (pin U21)))
+    (net (code 377) (name "")
+      (node (ref U3) (pin U20)))
+    (net (code 378) (name "")
+      (node (ref U3) (pin V21)))
+    (net (code 379) (name "")
+      (node (ref U3) (pin P19)))
+    (net (code 380) (name "")
+      (node (ref U3) (pin R19)))
+    (net (code 381) (name /RESET#)
+      (node (ref U3) (pin C14))
+      (node (ref U7) (pin 25))
+      (node (ref R35) (pin 1)))
+    (net (code 382) (name /NMI#)
+      (node (ref U7) (pin 48))
+      (node (ref U3) (pin F5)))
+    (net (code 383) (name "")
+      (node (ref U3) (pin N22))
+      (node (ref X2) (pin 1))
+      (node (ref C4) (pin 1)))
+    (net (code 384) (name "")
+      (node (ref X2) (pin 2))
+      (node (ref C3) (pin 1))
+      (node (ref U3) (pin N23)))
+    (net (code 385) (name "")
+      (node (ref U3) (pin AC22)))
+    (net (code 386) (name "")
+      (node (ref U3) (pin AC23)))
+    (net (code 387) (name "")
+      (node (ref U2) (pin J9)))
+    (net (code 388) (name "")
+      (node (ref U2) (pin L9)))
+    (net (code 389) (name "")
+      (node (ref U3) (pin AA18)))
+    (net (code 390) (name "")
+      (node (ref U3) (pin Y18)))
+    (net (code 391) (name HCEC)
+      (node (ref U8) (pin 1))
+      (node (ref U3) (pin P23)))
+    (net (code 392) (name HSCL)
+      (node (ref R26) (pin 2))
+      (node (ref U3) (pin R23))
+      (node (ref U8) (pin 2)))
+    (net (code 393) (name HTX2P)
+      (node (ref U3) (pin T23))
+      (node (ref U4) (pin 1)))
+    (net (code 394) (name HTX1P)
+      (node (ref U3) (pin U23))
+      (node (ref U4) (pin 4)))
+    (net (code 395) (name HTX0P)
+      (node (ref U3) (pin V23))
+      (node (ref U6) (pin 1)))
+    (net (code 396) (name HTXCP)
+      (node (ref U3) (pin W23))
+      (node (ref U6) (pin 4)))
+    (net (code 397) (name HTX1N)
+      (node (ref U3) (pin U22))
+      (node (ref U4) (pin 5)))
+    (net (code 398) (name HHPD)
+      (node (ref U3) (pin P22))
+      (node (ref U8) (pin 5)))
+    (net (code 399) (name HSDA)
+      (node (ref U8) (pin 4))
+      (node (ref R27) (pin 2))
+      (node (ref U3) (pin R22)))
+    (net (code 400) (name HTX2N)
+      (node (ref U4) (pin 2))
+      (node (ref U3) (pin T22)))
+    (net (code 401) (name HTX0N)
+      (node (ref U6) (pin 2))
+      (node (ref U3) (pin V22)))
+    (net (code 402) (name HTXCN)
+      (node (ref U3) (pin W22))
+      (node (ref U6) (pin 5)))
+    (net (code 403) (name 1.25V)
+      (node (ref C48) (pin 2))
+      (node (ref U3) (pin J13))
+      (node (ref U3) (pin H13))
+      (node (ref U3) (pin H14))
+      (node (ref L3) (pin 2))
+      (node (ref U3) (pin H11))
+      (node (ref P8) (pin 1))
+      (node (ref C47) (pin 2))
+      (node (ref U7) (pin 10))
+      (node (ref C46) (pin 1))
+      (node (ref U3) (pin J12))
+      (node (ref U3) (pin H12))
+      (node (ref C59) (pin 1))
+      (node (ref C57) (pin 1))
+      (node (ref C58) (pin 1)))
+    (net (code 404) (name "")
+      (node (ref U3) (pin W17)))
+    (net (code 405) (name "")
+      (node (ref U3) (pin AB17)))
+    (net (code 406) (name /SDQS1#)
+      (node (ref U2) (pin B7))
+      (node (ref U3) (pin AA2)))
+    (net (code 407) (name /SDQS0)
+      (node (ref U2) (pin F3))
+      (node (ref U3) (pin AB6)))
+    (net (code 408) (name /SDQS0#)
+      (node (ref U2) (pin G3))
+      (node (ref U3) (pin AC5)))
+    (net (code 409) (name /SDQS1)
+      (node (ref U2) (pin C7))
+      (node (ref U3) (pin AB1)))
+    (net (code 410) (name "")
+      (node (ref R35) (pin 2))
+      (node (ref D1) (pin 1)))
+    (net (code 411) (name /SDQM0)
+      (node (ref U2) (pin E7))
+      (node (ref U3) (pin AC6)))
+    (net (code 412) (name /SDQM1)
+      (node (ref U3) (pin W1))
+      (node (ref U2) (pin D3)))
+    (net (code 413) (name /SDQS3)
+      (node (ref U3) (pin K1))
+      (node (ref U1) (pin C7)))
+    (net (code 414) (name /SDQS3#)
+      (node (ref U3) (pin J2))
+      (node (ref U1) (pin B7)))
+    (net (code 415) (name /SDQM2)
+      (node (ref U3) (pin R2))
+      (node (ref U1) (pin E7)))
+    (net (code 416) (name /SDQM3)
+      (node (ref U3) (pin G1))
+      (node (ref U1) (pin D3)))
+    (net (code 417) (name /SDQS2)
+      (node (ref U1) (pin F3))
+      (node (ref U3) (pin R1)))
+    (net (code 418) (name /SDQS2#)
+      (node (ref U3) (pin P2))
+      (node (ref U1) (pin G3)))
+    (net (code 419) (name "")
+      (node (ref R1) (pin 1))
+      (node (ref X1) (pin 2))
+      (node (ref C1) (pin 1))
+      (node (ref U3) (pin F1)))
+    (net (code 420) (name 1.2V)
+      (node (ref C50) (pin 1))
+      (node (ref P7) (pin 1))
+      (node (ref C49) (pin 1))
+      (node (ref C53) (pin 1))
+      (node (ref C52) (pin 1))
+      (node (ref C51) (pin 1))
+      (node (ref C54) (pin 1))
+      (node (ref L6) (pin 2))
+      (node (ref U7) (pin 17))
+      (node (ref U3) (pin K16))
+      (node (ref C44) (pin 2))
+      (node (ref C45) (pin 2))
+      (node (ref U3) (pin J16))
+      (node (ref U3) (pin L9))
+      (node (ref C55) (pin 1))
+      (node (ref U3) (pin L8))
+      (node (ref U3) (pin M8))
+      (node (ref U3) (pin T8))
+      (node (ref U3) (pin K10))
+      (node (ref U3) (pin R10))
+      (node (ref U3) (pin K9))
+      (node (ref U3) (pin J15))
+      (node (ref C56) (pin 1))
+      (node (ref U3) (pin R9))
+      (node (ref U3) (pin P9))
+      (node (ref U3) (pin N9))
+      (node (ref U3) (pin K15))
+      (node (ref C43) (pin 1)))
+    (net (code 421) (name "")
+      (node (ref U8) (pin 9)))
+    (net (code 422) (name "")
+      (node (ref U8) (pin 7)))
+    (net (code 423) (name "")
+      (node (ref R34) (pin 2))
+      (node (ref U8) (pin 6)))
+    (net (code 424) (name "")
+      (node (ref U8) (pin 10)))
+    (net (code 425) (name "")
+      (node (ref U6) (pin 6)))
+    (net (code 426) (name "")
+      (node (ref U6) (pin 7)))
+    (net (code 427) (name "")
+      (node (ref U6) (pin 9)))
+    (net (code 428) (name "")
+      (node (ref U6) (pin 10)))
+    (net (code 429) (name "")
+      (node (ref U4) (pin 10)))
+    (net (code 430) (name "")
+      (node (ref U4) (pin 9)))
+    (net (code 431) (name "")
+      (node (ref U4) (pin 7)))
+    (net (code 432) (name "")
+      (node (ref U4) (pin 6)))))
\ No newline at end of file
diff --git a/SBC/a10-proto/a10-sbc.pro b/SBC/a10-proto/a10-sbc.pro
new file mode 100644
index 0000000..b3bd87c
--- /dev/null
+++ b/SBC/a10-proto/a10-sbc.pro
@@ -0,0 +1,81 @@
+update=Sun 09 Jun 2013 07:21:36 AM IST
+version=1
+last_client=eeschema
+[cvpcb]
+version=1
+NetIExt=net
+[cvpcb/libraries]
+EquName1=devcms
+[general]
+version=1
+[pcbnew]
+version=1
+LastNetListRead=a10-sbc.net
+UseCmpFile=1
+PadDrill=0
+PadSizeH=2
+PadSizeV=3
+PcbTextSizeV=1
+PcbTextSizeH=1
+PcbTextThickness=0.3
+ModuleTextSizeV=1
+ModuleTextSizeH=1
+ModuleTextSizeThickness=0.15
+SolderMaskClearance=0
+SolderMaskMinWidth=0
+DrawSegmentWidth=0.2
+BoardOutlineThickness=0.15
+ModuleOutlineThickness=0.15
+[pcbnew/libraries]
+LibDir=
+LibName1=sockets
+LibName2=connect
+LibName3=discret
+LibName4=pin_array
+LibName5=divers
+LibName6=libcms
+LibName7=display
+LibName8=led
+LibName9=dip_sockets
+LibName10=pga_sockets
+LibName11=valves
+LibName12=esbc-modules
+[eeschema]
+version=1
+LibDir=
+NetFmtName=PcbnewAdvanced
+RptD_X=0
+RptD_Y=100
+RptLab=1
+LabSize=60
+[eeschema/libraries]
+LibName1=power
+LibName2=device
+LibName3=transistors
+LibName4=conn
+LibName5=linear
+LibName6=regul
+LibName7=cmos4000
+LibName8=adc-dac
+LibName9=memory
+LibName10=xilinx
+LibName11=special
+LibName12=microcontrollers
+LibName13=dsp
+LibName14=microchip
+LibName15=analog_switches
+LibName16=motorola
+LibName17=texas
+LibName18=intel
+LibName19=audio
+LibName20=interface
+LibName21=digital-audio
+LibName22=philips
+LibName23=display
+LibName24=cypress
+LibName25=siliconi
+LibName26=opto
+LibName27=atmel
+LibName28=contrib
+LibName29=valves
+LibName30=A10-plus
diff --git a/SBC/a10-proto/a10-sbc.sch b/SBC/a10-proto/a10-sbc.sch
new file mode 100644
index 0000000..59a6b51
--- /dev/null
+++ b/SBC/a10-proto/a10-sbc.sch
@@ -0,0 +1,4806 @@
+EESchema Schematic File Version 2  date Thu 06 Jun 2013 09:54:25 AM IST
+LIBS:power
+LIBS:device
+LIBS:transistors
+LIBS:conn
+LIBS:linear
+LIBS:regul
+LIBS:cmos4000
+LIBS:adc-dac
+LIBS:memory
+LIBS:xilinx
+LIBS:special
+LIBS:microcontrollers
+LIBS:dsp
+LIBS:microchip
+LIBS:analog_switches
+LIBS:motorola
+LIBS:texas
+LIBS:intel
+LIBS:audio
+LIBS:interface
+LIBS:digital-audio
+LIBS:philips
+LIBS:display
+LIBS:cypress
+LIBS:siliconi
+LIBS:opto
+LIBS:atmel
+LIBS:contrib
+LIBS:valves
+LIBS:A10-plus
+LIBS:myconns
+LIBS:a10-sbc-cache
+EELAYER 27 0
+EELAYER END
+$Descr A3 16535 11693
+encoding utf-8
+Sheet 1 3
+Title ""
+Date "6 jun 2013"
+Rev ""
+Comp ""
+Comment1 ""
+Comment2 ""
+Comment3 ""
+Comment4 ""
+$EndDescr
+NoConn ~ 10750 1900
+NoConn ~ 10750 2000
+NoConn ~ 10750 2100
+NoConn ~ 10750 2200
+NoConn ~ 10750 2300
+NoConn ~ 10750 2400
+NoConn ~ 10750 2500
+NoConn ~ 10750 2600
+NoConn ~ 10750 3000
+NoConn ~ 10750 2900
+NoConn ~ 10750 2800
+NoConn ~ 10750 2700
+$Comp
+L GND #PWR01
+U 1 1 514BE0C9
+P 6850 3650
+F 0 "#PWR01" H 6850 3650 30  0001 C CNN
+F 1 "GND" H 6850 3580 30  0001 C CNN
+	1    6850 3650
+	1    0    0    -1  
+$EndComp
+$Comp
+L PWR_FLAG #FLG02
+U 1 1 514BE196
+P 6850 3600
+F 0 "#FLG02" H 6850 3695 30  0001 C CNN
+F 1 "PWR_FLAG" H 6850 3780 30  0000 C CNN
+	1    6850 3600
+	1    0    0    -1  
+$EndComp
+Text Label 5600 1200 0    60   ~ 0
+DQ0
+Entry Wire Line
+	5450 1100 5550 1200
+Entry Wire Line
+	5450 2500 5550 2600
+Text Label 5550 2600 0    60   ~ 0
+DQ14
+Text Label 5600 1900 0    60   ~ 0
+DQ7
+Text Label 5600 1600 0    60   ~ 0
+DQ1
+Text Label 5600 1400 0    60   ~ 0
+DQ2
+Text Label 5600 1500 0    60   ~ 0
+DQ3
+Text Label 5600 1800 0    60   ~ 0
+DQ6
+Text Label 5600 2000 0    60   ~ 0
+DQ8
+Text Label 5600 2100 0    60   ~ 0
+DQ9
+Text Label 5600 1300 0    60   ~ 0
+DQ4
+Text Label 5600 1700 0    60   ~ 0
+DQ5
+Text Label 5550 2200 0    60   ~ 0
+DQ10
+Text Label 5550 2300 0    60   ~ 0
+DQ11
+Text Label 5550 2400 0    60   ~ 0
+DQ12
+Text Label 5550 2500 0    60   ~ 0
+DQ13
+Entry Wire Line
+	5450 2400 5550 2500
+Entry Wire Line
+	5450 2300 5550 2400
+Entry Wire Line
+	5450 2200 5550 2300
+Entry Wire Line
+	5450 2100 5550 2200
+Entry Wire Line
+	5450 2000 5550 2100
+Entry Wire Line
+	5450 1900 5550 2000
+Entry Wire Line
+	5450 1800 5550 1900
+Entry Wire Line
+	5450 1700 5550 1800
+Entry Wire Line
+	5450 1600 5550 1700
+Entry Wire Line
+	5450 1500 5550 1600
+Entry Wire Line
+	5450 1400 5550 1500
+Entry Wire Line
+	5450 1300 5550 1400
+Entry Wire Line
+	5450 1200 5550 1300
+Text Label 5100 1200 0    60   ~ 0
+DQ0
+Entry Wire Line
+	5250 1200 5350 1300
+Entry Wire Line
+	5250 2600 5350 2700
+Text Label 5050 2300 0    60   ~ 0
+DQ14
+$Comp
+L AXP209 U7
+U 1 1 514C3FF4
+P 7250 9400
+F 0 "U7" H 7500 10700 60  0000 C CNN
+F 1 "AXP209" H 6950 10700 60  0000 C CNN
+	1    7250 9400
+	1    0    0    -1  
+$EndComp
+Text Label 5100 1300 0    60   ~ 0
+DQ1
+Text Label 5100 1400 0    60   ~ 0
+DQ2
+Text Label 5100 1500 0    60   ~ 0
+DQ3
+Text Label 5100 1900 0    60   ~ 0
+DQ4
+Text Label 5100 1700 0    60   ~ 0
+DQ5
+Text Label 5100 1600 0    60   ~ 0
+DQ6
+Text Label 5100 1800 0    60   ~ 0
+DQ7
+Text Label 5050 2400 0    60   ~ 0
+DQ8
+Text Label 5050 2100 0    60   ~ 0
+DQ9
+Text Label 5050 2600 0    60   ~ 0
+DQ10
+Text Label 5050 2500 0    60   ~ 0
+DQ11
+Text Label 5050 2700 0    60   ~ 0
+DQ12
+Text Label 5050 2200 0    60   ~ 0
+DQ13
+Entry Wire Line
+	5250 2500 5350 2600
+Entry Wire Line
+	5250 2400 5350 2500
+Entry Wire Line
+	5250 2300 5350 2400
+Entry Wire Line
+	5250 2200 5350 2300
+Entry Wire Line
+	5250 2100 5350 2200
+Entry Wire Line
+	5250 2000 5350 2100
+Entry Wire Line
+	5250 1900 5350 2000
+Entry Wire Line
+	5250 1800 5350 1900
+Entry Wire Line
+	5250 1700 5350 1800
+Entry Wire Line
+	5250 1600 5350 1700
+Entry Wire Line
+	5250 1500 5350 1600
+Entry Wire Line
+	5250 1400 5350 1500
+Entry Wire Line
+	5250 1300 5350 1400
+$Comp
+L R R4
+U 1 1 514C6175
+P 3700 5750
+F 0 "R4" V 3650 5950 50  0000 C CNN
+F 1 "240" V 3700 5750 50  0000 C CNN
+	1    3700 5750
+	1    0    0    -1  
+$EndComp
+$Comp
+L R R10
+U 1 1 514C617B
+P 5550 6300
+F 0 "R10" V 5630 6300 50  0000 C CNN
+F 1 "240" V 5550 6300 50  0000 C CNN
+	1    5550 6300
+	0    1    1    0   
+$EndComp
+Text Label 5550 2700 0    60   ~ 0
+DQ15
+Entry Wire Line
+	5450 2600 5550 2700
+Entry Wire Line
+	5250 2700 5350 2800
+Text Label 5050 2000 0    60   ~ 0
+DQ15
+$Comp
+L 4GBDDR3 U2
+U 1 1 514D42E8
+P 4550 3700
+F 0 "U2" H 4650 6300 50  0000 C CNN
+F 1 "4GBDDR3" H 4200 6300 50  0000 C CNN
+F 2 "~" H 4550 3700 50  0001 C CNN
+F 3 "_" H 4550 3700 50  0001 C CNN
+	1    4550 3700
+	1    0    0    -1  
+$EndComp
+NoConn ~ 5800 6400
+NoConn ~ 5800 6500
+Text Label 3650 1250 0    60   ~ 0
+SA0
+Text Label 3650 1350 0    60   ~ 0
+SA1
+Text Label 3650 1450 0    60   ~ 0
+SA2
+Text Label 3650 1550 0    60   ~ 0
+SA3
+Text Label 3650 1650 0    60   ~ 0
+SA4
+Text Label 3650 1750 0    60   ~ 0
+SA5
+Text Label 3650 1850 0    60   ~ 0
+SA6
+Text Label 3650 1950 0    60   ~ 0
+SA7
+Text Label 3650 2050 0    60   ~ 0
+SA8
+Text Label 3650 2150 0    60   ~ 0
+SA9
+Text Label 3600 2250 0    60   ~ 0
+SA10
+Text Label 3600 2350 0    60   ~ 0
+SA11
+Text Label 3600 2450 0    60   ~ 0
+SA12
+Text Label 3600 2550 0    60   ~ 0
+SA13
+Text Label 3600 2650 0    60   ~ 0
+SA14
+Text Label 3600 2900 0    60   ~ 0
+BA0
+Text Label 3600 3000 0    60   ~ 0
+BA1
+Text Label 3600 3100 0    60   ~ 0
+BA2
+Text Label 6850 1200 0    60   ~ 0
+SA0
+Text Label 6850 1300 0    60   ~ 0
+SA1
+Text Label 6850 1400 0    60   ~ 0
+SA2
+Text Label 6850 1500 0    60   ~ 0
+SA3
+Text Label 6850 1600 0    60   ~ 0
+SA4
+Text Label 6850 1700 0    60   ~ 0
+SA5
+Text Label 6850 1800 0    60   ~ 0
+SA6
+Text Label 6850 1900 0    60   ~ 0
+SA7
+Text Label 6850 2000 0    60   ~ 0
+SA8
+Text Label 6850 2100 0    60   ~ 0
+SA9
+Text Label 6800 2200 0    60   ~ 0
+SA10
+Text Label 6800 2300 0    60   ~ 0
+SA11
+Text Label 6800 2400 0    60   ~ 0
+SA12
+Text Label 6800 2500 0    60   ~ 0
+SA13
+Text Label 6800 2600 0    60   ~ 0
+SA14
+Text Label 6800 2700 0    60   ~ 0
+BA0
+Text Label 6800 2800 0    60   ~ 0
+BA1
+Text Label 6800 2900 0    60   ~ 0
+BA2
+NoConn ~ 5050 4050
+NoConn ~ 5050 4150
+NoConn ~ 5050 4250
+NoConn ~ 5050 4350
+Text Label 9300 1600 0    60   ~ 0
+LD0
+Text Label 9300 1700 0    60   ~ 0
+LD1
+Text Label 9300 1800 0    60   ~ 0
+LD2
+Text Label 9300 1900 0    60   ~ 0
+LD3
+Text Label 9300 2000 0    60   ~ 0
+LD4
+Text Label 9300 2100 0    60   ~ 0
+LD5
+Text Label 9300 2200 0    60   ~ 0
+LD6
+Text Label 9300 2300 0    60   ~ 0
+LD7
+Text Label 9300 2400 0    60   ~ 0
+LD8
+Text Label 9300 2500 0    60   ~ 0
+LD9
+Text Label 9300 2600 0    60   ~ 0
+LD10
+Text Label 9300 2700 0    60   ~ 0
+LD11
+Text Label 9300 2800 0    60   ~ 0
+LD12
+Text Label 9300 2900 0    60   ~ 0
+LD13
+Text Label 9300 3000 0    60   ~ 0
+LD14
+Text Label 9300 3100 0    60   ~ 0
+LD15
+Text Label 9300 3200 0    60   ~ 0
+LD16
+Text Label 9300 3300 0    60   ~ 0
+LD17
+Text Label 9300 3400 0    60   ~ 0
+LD18
+Text Label 9300 3500 0    60   ~ 0
+LD19
+Text Label 9300 3600 0    60   ~ 0
+LD20
+Text Label 9300 3700 0    60   ~ 0
+LD21
+Text Label 9300 3800 0    60   ~ 0
+LD22
+Text Label 9300 3900 0    60   ~ 0
+LD23
+Text Label 9300 4000 0    60   ~ 0
+LCLK
+Text Label 9300 4100 0    60   ~ 0
+LDE
+Text Label 9300 4200 0    60   ~ 0
+LHSYN
+Text Label 9300 4300 0    60   ~ 0
+LVSYN
+Text GLabel 8000 7950 2    40   Input ~ 0
+IPSOUT
+Text Label 7300 7950 0    60   ~ 0
+RESET#
+Text Label 6350 8250 0    60   ~ 0
+NMI#
+Text GLabel 15500 9450 2    60   Input ~ 0
+3.3V
+Text GLabel 10400 9550 2    60   Input ~ 0
+AVCC
+Text GLabel 9450 10550 2    60   Input ~ 0
+1.2V
+Text GLabel 10850 10550 2    60   Input ~ 0
+1.25V
+$Comp
+L INDUCTOR L3
+U 1 1 514EC5DA
+P 8150 9850
+F 0 "L3" V 8100 10000 40  0000 C CNN
+F 1 "4.7uH at 1.5A" V 8100 9750 40  0000 C CNN
+	1    8150 9850
+	0    -1   -1   0   
+$EndComp
+$Comp
+L INDUCTOR L6
+U 1 1 514ECA56
+P 8150 10050
+F 0 "L6" V 8100 10200 40  0000 C CNN
+F 1 "4.7uH at 1.5A" V 8100 9950 40  0000 C CNN
+	1    8150 10050
+	0    -1   -1   0   
+$EndComp
+Text GLabel 9450 9650 2    60   Input ~ 0
+LDO1
+Text GLabel 11550 9450 2    60   Input ~ 0
+LDO3
+Text Label 11700 5900 0    60   ~ 0
+1.25V
+Text Label 11750 4400 0    60   ~ 0
+3.3V
+Text Label 11750 6900 0    60   ~ 0
+1.2V
+Text Label 13750 1200 0    60   ~ 0
+1.2V
+Text Label 11750 2000 0    60   ~ 0
+AVCC
+$Comp
+L GND #PWR03
+U 1 1 5150B417
+P 11900 1600
+F 0 "#PWR03" H 11900 1600 30  0001 C CNN
+F 1 "GND" H 11900 1530 30  0001 C CNN
+	1    11900 1600
+	0    1    1    0   
+$EndComp
+Text Label 2500 8150 0    60   ~ 0
+LD0
+Text Label 2500 8250 0    60   ~ 0
+LD1
+Text Label 2500 8350 0    60   ~ 0
+LD2
+Text Label 2500 8450 0    60   ~ 0
+LD3
+Text Label 2500 8550 0    60   ~ 0
+LD4
+Text Label 2500 8650 0    60   ~ 0
+LD5
+Text Label 2500 8750 0    60   ~ 0
+LD6
+Text Label 2500 8850 0    60   ~ 0
+LD7
+Text Label 2500 8950 0    60   ~ 0
+LD8
+Text Label 2500 9050 0    60   ~ 0
+LD9
+Text Label 2500 9150 0    60   ~ 0
+LD10
+Text Label 2500 9250 0    60   ~ 0
+LD11
+Text Label 2500 9350 0    60   ~ 0
+LD12
+Text Label 2500 9450 0    60   ~ 0
+LD13
+Text Label 2500 9550 0    60   ~ 0
+LD14
+Text Label 2500 9650 0    60   ~ 0
+LD15
+Text Label 2500 9750 0    60   ~ 0
+LD16
+Text Label 2500 9850 0    60   ~ 0
+LD17
+Text Label 2500 9950 0    60   ~ 0
+LD18
+Text Label 2500 10050 0    60   ~ 0
+LD19
+Text Label 2500 10150 0    60   ~ 0
+LD20
+Text Label 2500 10250 0    60   ~ 0
+LD21
+Text Label 2500 10350 0    60   ~ 0
+LD22
+Text Label 2500 10450 0    60   ~ 0
+LD23
+Text Label 2500 10550 0    60   ~ 0
+LCLK
+Text Label 2500 10650 0    60   ~ 0
+LDE
+Text Label 2500 10750 0    60   ~ 0
+LHSYN
+Text Label 2500 10850 0    60   ~ 0
+LVSYN
+NoConn ~ 3800 2750
+$Comp
+L GND #PWR04
+U 1 1 5150EB51
+P 5150 3350
+F 0 "#PWR04" H 5150 3350 30  0001 C CNN
+F 1 "GND" H 5150 3280 30  0001 C CNN
+	1    5150 3350
+	0    -1   -1   0   
+$EndComp
+$Comp
+L GND #PWR05
+U 1 1 5150EE2D
+P 3650 5350
+F 0 "#PWR05" H 3650 5350 30  0001 C CNN
+F 1 "GND" H 3650 5280 30  0001 C CNN
+	1    3650 5350
+	0    1    1    0   
+$EndComp
+NoConn ~ 13700 5500
+NoConn ~ 13700 5600
+NoConn ~ 13700 1300
+NoConn ~ 13700 1400
+NoConn ~ 13700 1500
+NoConn ~ 10750 1200
+NoConn ~ 10750 1300
+NoConn ~ 10750 1400
+NoConn ~ 10750 1500
+NoConn ~ 10750 1600
+NoConn ~ 10750 1700
+NoConn ~ 10750 1800
+Text Label 13800 2500 0    60   ~ 0
+RESET#
+Text Label 13800 2600 0    60   ~ 0
+NMI#
+Text Notes 12550 1400 0    60   ~ 0
+NC
+$Comp
+L GND #PWR06
+U 1 1 5151B9E0
+P 5300 6300
+F 0 "#PWR06" H 5300 6300 30  0001 C CNN
+F 1 "GND" H 5300 6230 30  0001 C CNN
+	1    5300 6300
+	0    1    1    0   
+$EndComp
+$Comp
+L CRYSTAL X2
+U 1 1 51523481
+P 15250 2050
+F 0 "X2" H 15250 2200 60  0000 C CNN
+F 1 "24MHz" H 15250 1900 60  0000 C CNN
+	1    15250 2050
+	0    -1   -1   0   
+$EndComp
+$Comp
+L C C4
+U 1 1 51523944
+P 15600 2350
+F 0 "C4" H 15650 2450 50  0000 L CNN
+F 1 "18pF" H 15650 2250 50  0000 L CNN
+	1    15600 2350
+	0    -1   -1   0   
+$EndComp
+NoConn ~ 13700 2300
+NoConn ~ 13700 2400
+$Comp
+L C C3
+U 1 1 51523965
+P 15600 1750
+F 0 "C3" H 15650 1850 50  0000 L CNN
+F 1 "18pF" H 15650 1650 50  0000 L CNN
+	1    15600 1750
+	0    -1   -1   0   
+$EndComp
+NoConn ~ 13700 1600
+$Comp
+L GND #PWR07
+U 1 1 515270AC
+P 15850 2050
+F 0 "#PWR07" H 15850 2050 30  0001 C CNN
+F 1 "GND" H 15850 1980 30  0001 C CNN
+	1    15850 2050
+	0    -1   -1   0   
+$EndComp
+NoConn ~ 13700 1800
+$Comp
+L GND #PWR08
+U 1 1 5152724A
+P 13700 1700
+F 0 "#PWR08" H 13700 1700 30  0001 C CNN
+F 1 "GND" H 13700 1630 30  0001 C CNN
+	1    13700 1700
+	0    -1   -1   0   
+$EndComp
+NoConn ~ 13700 4700
+NoConn ~ 13700 4800
+$Comp
+L R R2
+U 1 1 5152B195
+P 13950 2700
+F 0 "R2" V 14050 2550 50  0000 C CNN
+F 1 "5k1" V 13950 2700 50  0000 C CNN
+	1    13950 2700
+	0    -1   -1   0   
+$EndComp
+$Comp
+L GND #PWR09
+U 1 1 5152B1A2
+P 14200 2700
+F 0 "#PWR09" H 14200 2700 30  0001 C CNN
+F 1 "GND" H 14200 2630 30  0001 C CNN
+	1    14200 2700
+	0    -1   -1   0   
+$EndComp
+$Comp
+L GND #PWR010
+U 1 1 5152B356
+P 14700 2700
+F 0 "#PWR010" H 14700 2700 30  0001 C CNN
+F 1 "GND" H 14700 2630 30  0001 C CNN
+	1    14700 2700
+	0    -1   -1   0   
+$EndComp
+NoConn ~ 13700 3700
+NoConn ~ 13700 3800
+NoConn ~ 13700 3900
+NoConn ~ 13700 4000
+NoConn ~ 13700 4100
+NoConn ~ 13700 4200
+$Comp
+L GND #PWR011
+U 1 1 5152C8E9
+P 5250 10850
+F 0 "#PWR011" H 5250 10850 30  0001 C CNN
+F 1 "GND" H 5250 10780 30  0001 C CNN
+	1    5250 10850
+	0    -1   -1   0   
+$EndComp
+NoConn ~ 6600 8650
+NoConn ~ 6600 8750
+NoConn ~ 6600 8850
+$Comp
+L R R30
+U 1 1 5152CC57
+P 6900 11000
+F 0 "R30" V 6800 11000 50  0000 C CNN
+F 1 "240" V 6900 11000 50  0000 C CNN
+	1    6900 11000
+	0    -1   -1   0   
+$EndComp
+$Comp
+L GND #PWR012
+U 1 1 5152CC66
+P 7150 11000
+F 0 "#PWR012" H 7150 11000 30  0001 C CNN
+F 1 "GND" H 7150 10930 30  0001 C CNN
+	1    7150 11000
+	0    -1   -1   0   
+$EndComp
+$Comp
+L R R20
+U 1 1 5152D179
+P 5800 8350
+F 0 "R20" V 5880 8350 50  0000 C CNN
+F 1 "2k2" V 5800 8350 50  0000 C CNN
+	1    5800 8350
+	0    -1   -1   0   
+$EndComp
+$Comp
+L R R21
+U 1 1 5152D186
+P 5800 8450
+F 0 "R21" V 5700 8450 50  0000 C CNN
+F 1 "2k2" V 5800 8450 50  0000 C CNN
+	1    5800 8450
+	0    -1   -1   0   
+$EndComp
+Text Label 7900 5600 0    60   ~ 0
+TWI0SCK
+Text Label 7900 5500 0    60   ~ 0
+TWI0SDA
+Text Label 6150 8450 0    60   ~ 0
+TWI0SDA
+Text Label 6150 8350 0    60   ~ 0
+TWI0SCK
+Text Label 5350 8400 0    60   ~ 0
+3.3V
+$Comp
+L C C42
+U 1 1 5152E923
+P 6250 10750
+F 0 "C42" V 6150 10850 50  0000 L CNN
+F 1 "1uF" V 6300 10550 50  0000 L CNN
+	1    6250 10750
+	-1   0    0    1   
+$EndComp
+$Comp
+L GND #PWR013
+U 1 1 5152EADD
+P 6250 10950
+F 0 "#PWR013" H 6250 10950 30  0001 C CNN
+F 1 "GND" H 6250 10880 30  0001 C CNN
+	1    6250 10950
+	1    0    0    -1  
+$EndComp
+$Comp
+L GND #PWR014
+U 1 1 5152EAE3
+P 6600 10300
+F 0 "#PWR014" H 6600 10300 30  0001 C CNN
+F 1 "GND" H 6600 10230 30  0001 C CNN
+	1    6600 10300
+	0    1    1    0   
+$EndComp
+$Comp
+L SW_PUSH SW1
+U 1 1 5152F670
+P 5650 10700
+F 0 "SW1" H 5400 10750 50  0000 C CNN
+F 1 "SW_PUSH" H 5700 10850 50  0000 C CNN
+	1    5650 10700
+	0    -1   -1   0   
+$EndComp
+$Comp
+L R R28
+U 1 1 5152F676
+P 6100 10400
+F 0 "R28" V 6000 10400 50  0000 C CNN
+F 1 "33" V 6100 10400 50  0000 C CNN
+	1    6100 10400
+	0    -1   -1   0   
+$EndComp
+$Comp
+L C C41
+U 1 1 5152F82C
+P 5850 10750
+F 0 "C41" V 5750 10850 50  0000 L CNN
+F 1 ".22u" V 5800 10500 50  0000 L CNN
+	1    5850 10750
+	-1   0    0    1   
+$EndComp
+$Comp
+L GND #PWR015
+U 1 1 5153044F
+P 6600 10750
+F 0 "#PWR015" H 6600 10750 30  0001 C CNN
+F 1 "GND" H 6600 10680 30  0001 C CNN
+	1    6600 10750
+	0    1    1    0   
+$EndComp
+$Comp
+L GND #PWR016
+U 1 1 5153126F
+P 8400 10650
+F 0 "#PWR016" H 8400 10650 30  0001 C CNN
+F 1 "GND" H 8400 10580 30  0001 C CNN
+	1    8400 10650
+	0    -1   -1   0   
+$EndComp
+$Comp
+L R R31
+U 1 1 51531275
+P 8050 10650
+F 0 "R31" V 7950 10650 50  0000 C CNN
+F 1 "2k2" V 8050 10650 50  0000 C CNN
+	1    8050 10650
+	0    -1   -1   0   
+$EndComp
+$Comp
+L SY8008C U5
+U 1 1 514F0596
+P 13150 8600
+F 0 "U5" H 13100 8950 50  0000 C CNN
+F 1 "SY8008C" H 12850 8950 50  0000 C CNN
+F 2 "MODULE" H 13150 8600 50  0001 C CNN
+F 3 "DOCUMENTATION" H 13150 8600 50  0001 C CNN
+	1    13150 8600
+	1    0    0    -1  
+$EndComp
+$Comp
+L GND #PWR017
+U 1 1 514F06C7
+P 12400 8650
+F 0 "#PWR017" H 12400 8650 30  0001 C CNN
+F 1 "GND" H 12400 8580 30  0001 C CNN
+	1    12400 8650
+	1    0    0    -1  
+$EndComp
+$Comp
+L SY8008C U9
+U 1 1 514F0D71
+P 13050 9650
+F 0 "U9" H 13000 10000 50  0000 C CNN
+F 1 "SY8008C" H 12750 10000 50  0000 C CNN
+F 2 "MODULE" H 13050 9650 50  0001 C CNN
+F 3 "DOCUMENTATION" H 13050 9650 50  0001 C CNN
+	1    13050 9650
+	1    0    0    -1  
+$EndComp
+Text GLabel 5100 10200 0    60   Input ~ 0
+5V_IN
+$Comp
+L R R11
+U 1 1 514FBC8D
+P 1300 6400
+F 0 "R11" V 1400 6550 50  0000 C CNN
+F 1 "240" V 1300 6400 50  0000 C CNN
+	1    1300 6400
+	1    0    0    -1  
+$EndComp
+$Comp
+L 4GBDDR3 U1
+U 1 1 514FBC93
+P 2050 3700
+F 0 "U1" H 2150 6300 50  0000 C CNN
+F 1 "4GBDDR3" H 1700 6300 50  0000 C CNN
+F 2 "~" H 2050 3700 50  0001 C CNN
+F 3 "_" H 2050 3700 50  0001 C CNN
+	1    2050 3700
+	1    0    0    -1  
+$EndComp
+Text Label 1150 1250 0    60   ~ 0
+SA0
+Text Label 1150 1350 0    60   ~ 0
+SA1
+Text Label 1150 1450 0    60   ~ 0
+SA2
+Text Label 1150 1550 0    60   ~ 0
+SA3
+Text Label 1150 1650 0    60   ~ 0
+SA4
+Text Label 1150 1750 0    60   ~ 0
+SA5
+Text Label 1150 1850 0    60   ~ 0
+SA6
+Text Label 1150 1950 0    60   ~ 0
+SA7
+Text Label 1150 2050 0    60   ~ 0
+SA8
+Text Label 1150 2150 0    60   ~ 0
+SA9
+Text Label 1100 2250 0    60   ~ 0
+SA10
+Text Label 1100 2350 0    60   ~ 0
+SA11
+Text Label 1100 2450 0    60   ~ 0
+SA12
+Text Label 1100 2550 0    60   ~ 0
+SA13
+Text Label 1100 2650 0    60   ~ 0
+SA14
+Text Label 1100 2900 0    60   ~ 0
+BA0
+Text Label 1100 3000 0    60   ~ 0
+BA1
+Text Label 1100 3100 0    60   ~ 0
+BA2
+NoConn ~ 1300 2750
+$Comp
+L GND #PWR018
+U 1 1 514FBCAC
+P 1200 5650
+F 0 "#PWR018" H 1200 5650 30  0001 C CNN
+F 1 "GND" H 1200 5580 30  0001 C CNN
+	1    1200 5650
+	0    1    1    0   
+$EndComp
+$Comp
+L GND #PWR019
+U 1 1 514FBCB2
+P 1300 6650
+F 0 "#PWR019" H 1300 6650 30  0001 C CNN
+F 1 "GND" H 1300 6580 30  0001 C CNN
+	1    1300 6650
+	1    0    0    -1  
+$EndComp
+Text Label 5500 5800 0    60   ~ 0
+SCAS
+Text Label 5050 5900 0    60   ~ 0
+SRAS
+Text Label 5500 6000 0    60   ~ 0
+SWE
+Text Label 5500 5700 0    60   ~ 0
+SCK#
+Text Label 5500 5600 0    60   ~ 0
+SCK
+Text Label 2600 5600 0    60   ~ 0
+CK
+Text Label 2600 5700 0    60   ~ 0
+CK#
+Text Label 2600 5800 0    60   ~ 0
+SCAS
+Text Label 2600 5900 0    60   ~ 0
+SRAS
+Text Label 5500 6100 0    60   ~ 0
+SRST
+Text Label 2600 6100 0    60   ~ 0
+SRST
+Text Label 5500 6200 0    60   ~ 0
+ODT
+Text Label 2600 6200 0    60   ~ 0
+ODT
+Text GLabel 1200 5100 0    60   Input ~ 0
+DRAM_VCC
+Text GLabel 3700 3400 0    60   Input ~ 0
+DRAM_VCC
+$Comp
+L GND #PWR020
+U 1 1 51508154
+P 2700 2850
+F 0 "#PWR020" H 2700 2850 30  0001 C CNN
+F 1 "GND" H 2700 2780 30  0001 C CNN
+	1    2700 2850
+	0    -1   -1   0   
+$EndComp
+$Comp
+L PWR_FLAG #FLG021
+U 1 1 51508972
+P 5250 10200
+F 0 "#FLG021" H 5250 10470 30  0001 C CNN
+F 1 "PWR_FLAG" H 5250 10400 30  0000 C CNN
+	1    5250 10200
+	1    0    0    -1  
+$EndComp
+Text Label 2650 1400 0    60   ~ 0
+DQ16
+Text Label 2650 1300 0    60   ~ 0
+DQ17
+Text Label 2650 1600 0    60   ~ 0
+DQ18
+Text Label 2650 1900 0    60   ~ 0
+DQ19
+Text Label 2650 1700 0    60   ~ 0
+DQ20
+Text Label 2650 1200 0    60   ~ 0
+DQ21
+Text Label 2650 1500 0    60   ~ 0
+DQ22
+Text Label 2650 1800 0    60   ~ 0
+DQ23
+Text Label 2650 2600 0    60   ~ 0
+DQ24
+Text Label 2650 2500 0    60   ~ 0
+DQ25
+Text Label 2650 2200 0    60   ~ 0
+DQ26
+Text Label 2650 2700 0    60   ~ 0
+DQ27
+Text Label 2650 2100 0    60   ~ 0
+DQ28
+Text Label 2650 2000 0    60   ~ 0
+DQ29
+Text Label 2650 2300 0    60   ~ 0
+DQ30
+Text Label 2650 2400 0    60   ~ 0
+DQ31
+Text Label 5550 2800 0    60   ~ 0
+DQ16
+Text Label 5550 2900 0    60   ~ 0
+DQ17
+Text Label 5550 3000 0    60   ~ 0
+DQ18
+Text Label 5550 3100 0    60   ~ 0
+DQ19
+Text Label 5550 3200 0    60   ~ 0
+DQ20
+Text Label 5550 3300 0    60   ~ 0
+DQ21
+Text Label 5550 3400 0    60   ~ 0
+DQ22
+Text Label 5550 3500 0    60   ~ 0
+DQ23
+Text Label 5550 3600 0    60   ~ 0
+DQ24
+Text Label 5550 3700 0    60   ~ 0
+DQ25
+Text Label 5550 3800 0    60   ~ 0
+DQ26
+Text Label 5550 3900 0    60   ~ 0
+DQ27
+Text Label 5550 4000 0    60   ~ 0
+DQ28
+Text Label 5550 4100 0    60   ~ 0
+DQ29
+Text Label 5550 4200 0    60   ~ 0
+DQ30
+Text Label 5550 4300 0    60   ~ 0
+DQ31
+Entry Wire Line
+	5450 4200 5550 4300
+Entry Wire Line
+	5450 4100 5550 4200
+Entry Wire Line
+	5450 4000 5550 4100
+Entry Wire Line
+	5450 3900 5550 4000
+Entry Wire Line
+	5450 3800 5550 3900
+Entry Wire Line
+	5450 3700 5550 3800
+Entry Wire Line
+	5450 3600 5550 3700
+Entry Wire Line
+	5450 3500 5550 3600
+Entry Wire Line
+	5450 3400 5550 3500
+Entry Wire Line
+	5450 3300 5550 3400
+Entry Wire Line
+	5450 3200 5550 3300
+Entry Wire Line
+	5450 3100 5550 3200
+Entry Wire Line
+	5450 3000 5550 3100
+Entry Wire Line
+	5450 2900 5550 3000
+Entry Wire Line
+	5450 2800 5550 2900
+Entry Wire Line
+	5450 2700 5550 2800
+NoConn ~ 2550 4050
+NoConn ~ 2550 4150
+NoConn ~ 2550 4250
+NoConn ~ 2550 4350
+$Comp
+L C C18
+U 1 1 51501971
+P 6150 9000
+F 0 "C18" V 6200 9100 50  0000 L CNN
+F 1 "1uF" V 6200 8800 50  0000 L CNN
+	1    6150 9000
+	0    -1   -1   0   
+$EndComp
+NoConn ~ 6600 8950
+NoConn ~ 6600 9050
+$Comp
+L GND #PWR022
+U 1 1 51501E8F
+P 5850 9300
+F 0 "#PWR022" H 5850 9300 30  0001 C CNN
+F 1 "GND" H 5850 9230 30  0001 C CNN
+	1    5850 9300
+	0    1    1    0   
+$EndComp
+$Comp
+L R R23
+U 1 1 51501E95
+P 6200 9300
+F 0 "R23" V 6300 9400 50  0000 C CNN
+F 1 "200k" V 6200 9300 50  0000 C CNN
+	1    6200 9300
+	0    -1   -1   0   
+$EndComp
+$Comp
+L GND #PWR023
+U 1 1 51506AAA
+P 7900 10250
+F 0 "#PWR023" H 7900 10250 30  0001 C CNN
+F 1 "GND" H 7900 10180 30  0001 C CNN
+	1    7900 10250
+	0    -1   -1   0   
+$EndComp
+Text GLabel 11050 9350 2    60   Input ~ 0
+LDO4
+Text Label 15400 6950 0    60   ~ 0
+3.3V
+NoConn ~ 7800 10150
+Entry Wire Line
+	6700 2500 6800 2600
+Entry Wire Line
+	1000 2550 1100 2650
+Entry Wire Line
+	1000 2450 1100 2550
+Entry Wire Line
+	1000 2350 1100 2450
+Entry Wire Line
+	1000 2250 1100 2350
+Entry Wire Line
+	1000 2150 1100 2250
+Entry Wire Line
+	1000 2050 1100 2150
+Entry Wire Line
+	1000 1950 1100 2050
+Entry Wire Line
+	1000 1850 1100 1950
+Entry Wire Line
+	1000 1750 1100 1850
+Entry Wire Line
+	1000 1650 1100 1750
+Entry Wire Line
+	1000 1550 1100 1650
+Entry Wire Line
+	1000 1450 1100 1550
+Entry Wire Line
+	1000 1350 1100 1450
+Entry Wire Line
+	1000 1150 1100 1250
+Entry Wire Line
+	1000 1250 1100 1350
+Entry Wire Line
+	3500 2550 3600 2650
+Entry Wire Line
+	3500 2450 3600 2550
+Entry Wire Line
+	3500 2350 3600 2450
+Entry Wire Line
+	3500 2250 3600 2350
+Entry Wire Line
+	3500 2150 3600 2250
+Entry Wire Line
+	3500 2050 3600 2150
+Entry Wire Line
+	3500 1950 3600 2050
+Entry Wire Line
+	3500 1850 3600 1950
+Entry Wire Line
+	3500 1750 3600 1850
+Entry Wire Line
+	3500 1650 3600 1750
+Entry Wire Line
+	3500 1550 3600 1650
+Entry Wire Line
+	3500 1450 3600 1550
+Entry Wire Line
+	3500 1350 3600 1450
+Entry Wire Line
+	3500 1250 3600 1350
+Entry Wire Line
+	3500 1150 3600 1250
+Entry Wire Line
+	3000 2600 2900 2700
+Entry Wire Line
+	3000 2500 2900 2600
+Entry Wire Line
+	3000 2400 2900 2500
+Entry Wire Line
+	3000 2300 2900 2400
+Entry Wire Line
+	3000 2200 2900 2300
+Entry Wire Line
+	3000 1100 2900 1200
+Entry Wire Line
+	3000 1200 2900 1300
+Entry Wire Line
+	3000 1300 2900 1400
+Entry Wire Line
+	3000 1400 2900 1500
+Entry Wire Line
+	3000 1500 2900 1600
+Entry Wire Line
+	3000 1600 2900 1700
+Entry Wire Line
+	3000 1700 2900 1800
+Entry Wire Line
+	3000 1800 2900 1900
+Entry Wire Line
+	3000 1900 2900 2000
+Entry Wire Line
+	3000 2000 2900 2100
+Entry Wire Line
+	3000 2100 2900 2200
+Entry Wire Line
+	6700 2400 6800 2500
+Entry Wire Line
+	6700 2300 6800 2400
+Entry Wire Line
+	6700 2200 6800 2300
+Entry Wire Line
+	6700 2100 6800 2200
+Entry Wire Line
+	6700 2000 6800 2100
+Entry Wire Line
+	6700 1900 6800 2000
+Entry Wire Line
+	6700 1800 6800 1900
+Entry Wire Line
+	6700 1700 6800 1800
+Entry Wire Line
+	6700 1600 6800 1700
+Entry Wire Line
+	6700 1500 6800 1600
+Entry Wire Line
+	6700 1400 6800 1500
+Entry Wire Line
+	6700 1300 6800 1400
+Entry Wire Line
+	6700 1200 6800 1300
+Entry Wire Line
+	6700 1100 6800 1200
+$Comp
+L R R22
+U 1 1 5150FD34
+P 13800 8600
+F 0 "R22" V 13900 8600 50  0000 C CNN
+F 1 "15k" V 13800 8600 50  0000 C CNN
+	1    13800 8600
+	0    -1   -1   0   
+$EndComp
+$Comp
+L INDUCTOR L2
+U 1 1 5150FD3C
+P 13750 8400
+F 0 "L2" V 13850 8550 40  0000 C CNN
+F 1 "4.7uH/3A" V 13850 8300 40  0000 C CNN
+	1    13750 8400
+	0    -1   -1   0   
+$EndComp
+$Comp
+L R R24
+U 1 1 5150FD4C
+P 13150 8800
+F 0 "R24" V 13250 8600 50  0000 C CNN
+F 1 "10k" V 13150 8800 50  0000 C CNN
+	1    13150 8800
+	0    -1   -1   0   
+$EndComp
+$Comp
+L C C24
+U 1 1 515105DA
+P 13800 8800
+F 0 "C24" V 13750 8900 50  0000 L CNN
+F 1 "22pF" V 13750 8550 50  0000 L CNN
+	1    13800 8800
+	0    -1   -1   0   
+$EndComp
+$Comp
+L GND #PWR024
+U 1 1 51511CEE
+P 15600 8800
+F 0 "#PWR024" H 15600 8800 30  0001 C CNN
+F 1 "GND" H 15600 8730 30  0001 C CNN
+	1    15600 8800
+	0    -1   -1   0   
+$EndComp
+$Comp
+L GND #PWR025
+U 1 1 51512C6A
+P 12300 9700
+F 0 "#PWR025" H 12300 9700 30  0001 C CNN
+F 1 "GND" H 12300 9630 30  0001 C CNN
+	1    12300 9700
+	1    0    0    -1  
+$EndComp
+$Comp
+L R R25
+U 1 1 5151396F
+P 13700 9650
+F 0 "R25" V 13800 9650 50  0000 C CNN
+F 1 "15k" V 13700 9650 50  0000 C CNN
+	1    13700 9650
+	0    -1   -1   0   
+$EndComp
+$Comp
+L INDUCTOR L4
+U 1 1 51513975
+P 13650 9450
+F 0 "L4" V 13750 9600 40  0000 C CNN
+F 1 "4.7uH/2A" V 13750 9350 40  0000 C CNN
+	1    13650 9450
+	0    -1   -1   0   
+$EndComp
+$Comp
+L R R29
+U 1 1 5151397B
+P 13050 9850
+F 0 "R29" V 13150 9850 50  0000 C CNN
+F 1 "3.3k" V 13050 9850 50  0000 C CNN
+	1    13050 9850
+	0    -1   -1   0   
+$EndComp
+$Comp
+L C C40
+U 1 1 51513983
+P 13700 9850
+F 0 "C40" V 13650 9900 50  0000 L CNN
+F 1 "22pF" V 13650 9600 50  0000 L CNN
+	1    13700 9850
+	0    -1   -1   0   
+$EndComp
+$Comp
+L GND #PWR026
+U 1 1 51513999
+P 12800 9850
+F 0 "#PWR026" H 12800 9850 30  0001 C CNN
+F 1 "GND" H 12800 9780 30  0001 C CNN
+	1    12800 9850
+	0    1    1    0   
+$EndComp
+Text Notes 12300 10950 0    60   ~ 0
+expEYES-SBC , a minimal A10 board
+Text Notes 15500 11100 0    60   ~ 0
+.01
+Text Notes 15850 11200 0    60   ~ 0
+Ajith 
+Text Label 11750 2100 0    60   ~ 0
+LDO1
+$Sheet
+S 10950 7800 650  750 
+U 515197D1
+F0 "decouple" 50
+F1 "decouple.sch" 50
+$EndSheet
+Text Label 12050 8450 0    60   ~ 0
+AVCC
+Text Label 12050 8350 0    60   ~ 0
+5V_IN
+Text Label 11950 9400 0    60   ~ 0
+5V_IN
+Text GLabel 5700 4600 0    60   Input ~ 0
+DRAM_REF
+$Comp
+L USD J5
+U 1 1 5151A880
+P 16200 6150
+F 0 "J5" H 15900 6700 60  0000 C CNN
+F 1 "USD" H 15900 5600 60  0000 C CNN
+	1    16200 6150
+	1    0    0    -1  
+$EndComp
+NoConn ~ 6600 9900
+NoConn ~ 6600 10000
+NoConn ~ 6600 9650
+NoConn ~ 6600 9750
+NoConn ~ 6600 9550
+NoConn ~ 7800 8400
+$Comp
+L C C16
+U 1 1 5151C2DB
+P 6150 8700
+F 0 "C16" V 6200 8800 50  0000 L CNN
+F 1 "1uF" V 6200 8500 50  0000 L CNN
+	1    6150 8700
+	0    -1   -1   0   
+$EndComp
+NoConn ~ 5800 7200
+NoConn ~ 5800 7300
+Text Label 5500 5400 0    60   ~ 0
+CKE
+Text Label 2600 5400 0    60   ~ 0
+CKE
+Text Label 5500 5500 0    60   ~ 0
+CS#
+Text Label 2600 5500 0    60   ~ 0
+CS#
+NoConn ~ 5800 7400
+$Comp
+L CONN_5 P2
+U 1 1 51526492
+P 4850 10650
+F 0 "P2" V 4800 10650 50  0000 C CNN
+F 1 "CONN_5" V 4900 10650 50  0000 C CNN
+	1    4850 10650
+	-1   0    0    -1  
+$EndComp
+$Comp
+L C C21
+U 1 1 5153CE6B
+P 14400 8600
+F 0 "C21" H 14250 8500 50  0000 L CNN
+F 1 ".22u" H 14200 8700 50  0000 L CNN
+	1    14400 8600
+	-1   0    0    1   
+$EndComp
+$Comp
+L C C22
+U 1 1 5153CE77
+P 14750 8600
+F 0 "C22" H 14600 8500 50  0000 L CNN
+F 1 "10u" H 14550 8700 50  0000 L CNN
+	1    14750 8600
+	-1   0    0    1   
+$EndComp
+$Comp
+L GND #PWR027
+U 1 1 5153CE8B
+P 12900 8800
+F 0 "#PWR027" H 12900 8800 30  0001 C CNN
+F 1 "GND" H 12900 8730 30  0001 C CNN
+	1    12900 8800
+	0    1    1    0   
+$EndComp
+$Comp
+L GND #PWR028
+U 1 1 5153DF19
+P 12350 7950
+F 0 "#PWR028" H 12350 7950 30  0001 C CNN
+F 1 "GND" H 12350 7880 30  0001 C CNN
+	1    12350 7950
+	-1   0    0    1   
+$EndComp
+$Comp
+L GND #PWR029
+U 1 1 5153E23F
+P 15350 9850
+F 0 "#PWR029" H 15350 9850 30  0001 C CNN
+F 1 "GND" H 15350 9780 30  0001 C CNN
+	1    15350 9850
+	0    -1   -1   0   
+$EndComp
+$Comp
+L C C36
+U 1 1 5153E245
+P 14300 9650
+F 0 "C36" H 14150 9550 50  0000 L CNN
+F 1 ".22u" H 14100 9750 50  0000 L CNN
+	1    14300 9650
+	-1   0    0    1   
+$EndComp
+$Comp
+L C C38
+U 1 1 5153E24B
+P 15100 9650
+F 0 "C38" H 14950 9550 50  0000 L CNN
+F 1 "10u" H 14900 9750 50  0000 L CNN
+	1    15100 9650
+	-1   0    0    1   
+$EndComp
+$Comp
+L C C37
+U 1 1 5153E251
+P 14650 9650
+F 0 "C37" H 14500 9550 50  0000 L CNN
+F 1 "10u" H 14450 9750 50  0000 L CNN
+	1    14650 9650
+	-1   0    0    1   
+$EndComp
+Text Label 7900 10450 0    60   ~ 0
+EXTEN
+Text Label 11950 9500 0    60   ~ 0
+EXTEN
+Text Notes 3200 3600 0    100  ~ 0
+**
+Text Notes 5200 4800 0    100  ~ 0
+**
+Text Notes 11750 4550 0    100  ~ 0
+**
+Text Notes 11450 5000 0    100  ~ 0
+**
+Text Notes 11700 6050 0    100  ~ 0
+**
+Text Notes 11750 7050 0    100  ~ 0
+**
+Text GLabel 14450 8250 2    60   Input ~ 0
+DRAM_VCC
+$Comp
+L C C29
+U 1 1 51541706
+P 10250 9750
+F 0 "C29" H 10100 9650 50  0000 L CNN
+F 1 "4.7u" H 10050 9850 50  0000 L CNN
+	1    10250 9750
+	-1   0    0    1   
+$EndComp
+$Comp
+L C C35
+U 1 1 5154170C
+P 6250 10000
+F 0 "C35" H 6250 10100 50  0000 L CNN
+F 1 ".22u" H 6300 9900 50  0000 L CNN
+	1    6250 10000
+	1    0    0    -1  
+$EndComp
+$Comp
+L GND #PWR030
+U 1 1 5154361D
+P 10550 8100
+F 0 "#PWR030" H 10550 8100 30  0001 C CNN
+F 1 "GND" H 10550 8030 30  0001 C CNN
+	1    10550 8100
+	0    -1   -1   0   
+$EndComp
+$Comp
+L C C33
+U 1 1 515489AE
+P 5450 10000
+F 0 "C33" H 5300 9900 50  0000 L CNN
+F 1 "220u" H 5250 10100 50  0000 L CNN
+	1    5450 10000
+	-1   0    0    1   
+$EndComp
+$Comp
+L C C34
+U 1 1 515489B4
+P 5850 10000
+F 0 "C34" H 5700 9900 50  0000 L CNN
+F 1 "10u" H 5650 10100 50  0000 L CNN
+	1    5850 10000
+	-1   0    0    1   
+$EndComp
+$Comp
+L C C46
+U 1 1 5154AF6B
+P 10000 10750
+F 0 "C46" H 10050 10850 50  0000 L CNN
+F 1 ".22u" H 10050 10650 50  0000 L CNN
+	1    10000 10750
+	1    0    0    -1  
+$EndComp
+$Comp
+L GND #PWR031
+U 1 1 5154AF71
+P 10350 11050
+F 0 "#PWR031" H 10350 11050 30  0001 C CNN
+F 1 "GND" H 10350 10980 30  0001 C CNN
+	1    10350 11050
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C47
+U 1 1 5154AF77
+P 10350 10750
+F 0 "C47" H 10200 10650 50  0000 L CNN
+F 1 "10u" H 10150 10850 50  0000 L CNN
+	1    10350 10750
+	-1   0    0    1   
+$EndComp
+$Comp
+L C C48
+U 1 1 5154AF7D
+P 10700 10750
+F 0 "C48" H 10550 10650 50  0000 L CNN
+F 1 "10u" H 10500 10850 50  0000 L CNN
+	1    10700 10750
+	-1   0    0    1   
+$EndComp
+NoConn ~ 5250 10550
+NoConn ~ 5250 10650
+NoConn ~ 5250 10750
+$Comp
+L C C10
+U 1 1 5154D3CE
+P 8650 8300
+F 0 "C10" H 8550 8200 50  0000 L CNN
+F 1 "10u" H 8450 8400 50  0000 L CNN
+	1    8650 8300
+	-1   0    0    1   
+$EndComp
+$Comp
+L C C11
+U 1 1 5154DEB6
+P 8950 8300
+F 0 "C11" H 8850 8200 50  0000 L CNN
+F 1 "10u" H 8750 8400 50  0000 L CNN
+	1    8950 8300
+	-1   0    0    1   
+$EndComp
+$Comp
+L C C12
+U 1 1 5154DEC1
+P 9250 8300
+F 0 "C12" H 9150 8200 50  0000 L CNN
+F 1 "10u" H 9050 8400 50  0000 L CNN
+	1    9250 8300
+	-1   0    0    1   
+$EndComp
+$Comp
+L C C8
+U 1 1 5154EB96
+P 8050 8300
+F 0 "C8" H 7950 8200 50  0000 L CNN
+F 1 ".22u" H 7850 8400 50  0000 L CNN
+	1    8050 8300
+	-1   0    0    1   
+$EndComp
+$Comp
+L C C9
+U 1 1 5154EECF
+P 8350 8300
+F 0 "C9" H 8250 8200 50  0000 L CNN
+F 1 "10u" H 8150 8400 50  0000 L CNN
+	1    8350 8300
+	-1   0    0    1   
+$EndComp
+$Comp
+L C C13
+U 1 1 51551007
+P 9550 8300
+F 0 "C13" H 9400 8200 50  0000 L CNN
+F 1 "10u" H 9350 8400 50  0000 L CNN
+	1    9550 8300
+	-1   0    0    1   
+$EndComp
+$Comp
+L C C14
+U 1 1 5155100D
+P 15500 8600
+F 0 "C14" H 15350 8500 50  0000 L CNN
+F 1 "10u" H 15300 8700 50  0000 L CNN
+	1    15500 8600
+	-1   0    0    1   
+$EndComp
+$Comp
+L C C15
+U 1 1 515517D9
+P 10150 8300
+F 0 "C15" H 10000 8200 50  0000 L CNN
+F 1 ".22u" H 9950 8400 50  0000 L CNN
+	1    10150 8300
+	-1   0    0    1   
+$EndComp
+$Comp
+L C C19
+U 1 1 51552413
+P 10400 9150
+F 0 "C19" H 10250 9050 50  0000 L CNN
+F 1 ".22u" H 10200 9250 50  0000 L CNN
+	1    10400 9150
+	-1   0    0    1   
+$EndComp
+$Comp
+L C C20
+U 1 1 51552419
+P 15100 8600
+F 0 "C20" H 14950 8500 50  0000 L CNN
+F 1 "4.7u" H 14900 8700 50  0000 L CNN
+	1    15100 8600
+	-1   0    0    1   
+$EndComp
+$Comp
+L C C26
+U 1 1 51552580
+P 10900 9650
+F 0 "C26" H 10750 9550 50  0000 L CNN
+F 1 ".22u" H 10700 9750 50  0000 L CNN
+	1    10900 9650
+	-1   0    0    1   
+$EndComp
+$Comp
+L C C27
+U 1 1 51552586
+P 11200 9650
+F 0 "C27" H 11050 9550 50  0000 L CNN
+F 1 "4.7u" H 11000 9750 50  0000 L CNN
+	1    11200 9650
+	-1   0    0    1   
+$EndComp
+$Comp
+L GND #PWR032
+U 1 1 515533A2
+P 11300 9850
+F 0 "#PWR032" H 11300 9850 30  0001 C CNN
+F 1 "GND" H 11300 9780 30  0001 C CNN
+	1    11300 9850
+	0    -1   -1   0   
+$EndComp
+$Comp
+L C C28
+U 1 1 51554668
+P 9950 9750
+F 0 "C28" H 9800 9650 50  0000 L CNN
+F 1 ".22u" H 9750 9850 50  0000 L CNN
+	1    9950 9750
+	-1   0    0    1   
+$EndComp
+$Comp
+L GND #PWR033
+U 1 1 515549A0
+P 10400 9950
+F 0 "#PWR033" H 10400 9950 30  0001 C CNN
+F 1 "GND" H 10400 9880 30  0001 C CNN
+	1    10400 9950
+	0    -1   -1   0   
+$EndComp
+$Comp
+L C C31
+U 1 1 51554E46
+P 9000 9850
+F 0 "C31" H 8850 9750 50  0000 L CNN
+F 1 ".22u" H 8800 9950 50  0000 L CNN
+	1    9000 9850
+	-1   0    0    1   
+$EndComp
+$Comp
+L C C32
+U 1 1 51554E4C
+P 9300 9850
+F 0 "C32" H 9150 9750 50  0000 L CNN
+F 1 "1u" H 9200 9950 50  0000 L CNN
+	1    9300 9850
+	-1   0    0    1   
+$EndComp
+$Comp
+L GND #PWR034
+U 1 1 515552E9
+P 9450 10050
+F 0 "#PWR034" H 9450 10050 30  0001 C CNN
+F 1 "GND" H 9450 9980 30  0001 C CNN
+	1    9450 10050
+	0    -1   -1   0   
+$EndComp
+$Comp
+L GND #PWR035
+U 1 1 5155A0C8
+P 5350 9800
+F 0 "#PWR035" H 5350 9800 30  0001 C CNN
+F 1 "GND" H 5350 9730 30  0001 C CNN
+	1    5350 9800
+	0    1    1    0   
+$EndComp
+$Comp
+L C C17
+U 1 1 5155A734
+P 12350 8150
+F 0 "C17" H 12200 8050 50  0000 L CNN
+F 1 "10u" H 12200 8250 50  0000 L CNN
+	1    12350 8150
+	-1   0    0    1   
+$EndComp
+$Comp
+L C C30
+U 1 1 5155A749
+P 12250 9200
+F 0 "C30" H 12100 9100 50  0000 L CNN
+F 1 "10u" H 12100 9300 50  0000 L CNN
+	1    12250 9200
+	-1   0    0    1   
+$EndComp
+$Comp
+L GND #PWR036
+U 1 1 5155A759
+P 12250 9000
+F 0 "#PWR036" H 12250 9000 30  0001 C CNN
+F 1 "GND" H 12250 8930 30  0001 C CNN
+	1    12250 9000
+	-1   0    0    1   
+$EndComp
+$Comp
+L C C5
+U 1 1 5155B764
+P 11800 10050
+F 0 "C5" V 11750 10100 50  0000 L CNN
+F 1 "22pF" V 11750 9800 50  0000 L CNN
+	1    11800 10050
+	0    -1   -1   0   
+$EndComp
+$Comp
+L C C6
+U 1 1 5155B76A
+P 11800 10350
+F 0 "C6" V 11750 10400 50  0000 L CNN
+F 1 "22pF" V 11750 10100 50  0000 L CNN
+	1    11800 10350
+	0    -1   -1   0   
+$EndComp
+$Comp
+L GND #PWR037
+U 1 1 5155C101
+P 11600 10500
+F 0 "#PWR037" H 11600 10500 30  0001 C CNN
+F 1 "GND" H 11600 10430 30  0001 C CNN
+	1    11600 10500
+	1    0    0    -1  
+$EndComp
+$Comp
+L GND #PWR038
+U 1 1 51541714
+P 8950 11050
+F 0 "#PWR038" H 8950 11050 30  0001 C CNN
+F 1 "GND" H 8950 10980 30  0001 C CNN
+	1    8950 11050
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C43
+U 1 1 5155CB6D
+P 8600 10750
+F 0 "C43" H 8650 10850 50  0000 L CNN
+F 1 ".22u" H 8650 10650 50  0000 L CNN
+	1    8600 10750
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C44
+U 1 1 5155CB79
+P 8950 10750
+F 0 "C44" H 8800 10650 50  0000 L CNN
+F 1 "10u" H 8750 10850 50  0000 L CNN
+	1    8950 10750
+	-1   0    0    1   
+$EndComp
+$Comp
+L C C45
+U 1 1 5155CB7F
+P 9300 10750
+F 0 "C45" H 9150 10650 50  0000 L CNN
+F 1 "10u" H 9100 10850 50  0000 L CNN
+	1    9300 10750
+	-1   0    0    1   
+$EndComp
+Text GLabel 11950 4800 0    60   Input ~ 0
+DRAM_VCC
+Text GLabel 2650 4600 2    60   Input ~ 0
+DRAM_REF
+Text Notes 7950 9250 0    60   ~ 0
+Place caps close to IC pins
+$Comp
+L CRYSTAL X1
+U 1 1 5154375B
+P 14550 1150
+F 0 "X1" H 14550 1300 60  0000 C CNN
+F 1 "32.768k" H 14550 1000 60  0000 C CNN
+	1    14550 1150
+	0    -1   -1   0   
+$EndComp
+$Comp
+L C C1
+U 1 1 51543761
+P 15300 900
+F 0 "C1" H 15350 1000 50  0000 L CNN
+F 1 "18pF" H 15350 800 50  0000 L CNN
+	1    15300 900 
+	0    -1   -1   0   
+$EndComp
+$Comp
+L C C2
+U 1 1 51543767
+P 15300 1400
+F 0 "C2" H 15350 1500 50  0000 L CNN
+F 1 "18pF" H 15350 1300 50  0000 L CNN
+	1    15300 1400
+	0    -1   -1   0   
+$EndComp
+$Comp
+L R R1
+U 1 1 5154376F
+P 14900 1150
+F 0 "R1" V 14980 1150 50  0000 C CNN
+F 1 "10M" V 14900 1150 50  0000 C CNN
+	1    14900 1150
+	1    0    0    -1  
+$EndComp
+$Comp
+L GND #PWR039
+U 1 1 51543AD3
+P 15800 1100
+F 0 "#PWR039" H 15800 1100 30  0001 C CNN
+F 1 "GND" H 15800 1030 30  0001 C CNN
+	1    15800 1100
+	0    -1   -1   0   
+$EndComp
+Text Label 5050 5600 0    60   ~ 0
+CK
+Text Label 5050 5700 0    60   ~ 0
+CK#
+$Comp
+L R R18
+U 1 1 5154676A
+P 4850 7250
+F 0 "R18" V 4930 7250 50  0000 C CNN
+F 1 "22" V 4850 7250 50  0000 C CNN
+	1    4850 7250
+	0    -1   -1   0   
+$EndComp
+$Comp
+L R R19
+U 1 1 51546777
+P 4850 7450
+F 0 "R19" V 4930 7450 50  0000 C CNN
+F 1 "22" V 4850 7450 50  0000 C CNN
+	1    4850 7450
+	0    -1   -1   0   
+$EndComp
+Text Label 5150 7450 0    60   ~ 0
+SCK#
+Text Label 5200 7250 0    60   ~ 0
+SCK
+Text Label 4400 7250 0    60   ~ 0
+CK
+Text Label 4400 7450 0    60   ~ 0
+CK#
+$Comp
+L R R12
+U 1 1 5154C74A
+P 14750 6700
+F 0 "R12" V 14800 6450 50  0000 C CNN
+F 1 "47K" V 14750 6700 50  0000 C CNN
+	1    14750 6700
+	-1   0    0    1   
+$EndComp
+$Comp
+L R R3
+U 1 1 5154C786
+P 14000 5700
+F 0 "R3" V 14050 5500 50  0000 C CNN
+F 1 "33" V 14000 5700 50  0000 C CNN
+	1    14000 5700
+	0    1    1    0   
+$EndComp
+$Comp
+L R R5
+U 1 1 5154E138
+P 14500 5800
+F 0 "R5" V 14550 5600 50  0000 C CNN
+F 1 "33" V 14500 5800 50  0000 C CNN
+	1    14500 5800
+	0    1    1    0   
+$EndComp
+$Comp
+L R R6
+U 1 1 5154E7E5
+P 14000 5900
+F 0 "R6" V 14050 5700 50  0000 C CNN
+F 1 "33" V 14000 5900 50  0000 C CNN
+	1    14000 5900
+	0    1    1    0   
+$EndComp
+$Comp
+L R R7
+U 1 1 5154F007
+P 14500 6000
+F 0 "R7" V 14550 5800 50  0000 C CNN
+F 1 "33" V 14500 6000 50  0000 C CNN
+	1    14500 6000
+	0    1    1    0   
+$EndComp
+$Comp
+L R R8
+U 1 1 5154F826
+P 14000 6100
+F 0 "R8" V 14050 5900 50  0000 C CNN
+F 1 "33" V 14000 6100 50  0000 C CNN
+	1    14000 6100
+	0    1    1    0   
+$EndComp
+$Comp
+L R R9
+U 1 1 51550049
+P 14500 6200
+F 0 "R9" V 14550 6000 50  0000 C CNN
+F 1 "33" V 14500 6200 50  0000 C CNN
+	1    14500 6200
+	0    1    1    0   
+$EndComp
+$Comp
+L GND #PWR040
+U 1 1 514D1B1B
+P 15500 6700
+F 0 "#PWR040" H 15500 6700 30  0001 C CNN
+F 1 "GND" H 15500 6630 30  0001 C CNN
+	1    15500 6700
+	0    -1   -1   0   
+$EndComp
+$Comp
+L C C7
+U 1 1 51558874
+P 15350 7250
+F 0 "C7" H 15200 7150 50  0000 L CNN
+F 1 ".22u" H 15150 7350 50  0000 L CNN
+	1    15350 7250
+	-1   0    0    1   
+$EndComp
+$Comp
+L GND #PWR041
+U 1 1 51558BE3
+P 15350 7450
+F 0 "#PWR041" H 15350 7450 30  0001 C CNN
+F 1 "GND" H 15350 7380 30  0001 C CNN
+	1    15350 7450
+	1    0    0    -1  
+$EndComp
+$Comp
+L R R13
+U 1 1 51559D15
+P 14850 6700
+F 0 "R13" V 14900 6450 50  0000 C CNN
+F 1 "47K" V 14850 6700 50  0000 C CNN
+	1    14850 6700
+	-1   0    0    1   
+$EndComp
+$Comp
+L R R14
+U 1 1 51559D1B
+P 14950 6700
+F 0 "R14" V 15000 6450 50  0000 C CNN
+F 1 "47K" V 14950 6700 50  0000 C CNN
+	1    14950 6700
+	-1   0    0    1   
+$EndComp
+$Comp
+L R R15
+U 1 1 51559D21
+P 15050 6700
+F 0 "R15" V 15100 6450 50  0000 C CNN
+F 1 "47K" V 15050 6700 50  0000 C CNN
+	1    15050 6700
+	-1   0    0    1   
+$EndComp
+$Comp
+L R R16
+U 1 1 51559D27
+P 15150 6700
+F 0 "R16" V 15200 6450 50  0000 C CNN
+F 1 "47K" V 15150 6700 50  0000 C CNN
+	1    15150 6700
+	-1   0    0    1   
+$EndComp
+$Comp
+L R R17
+U 1 1 51559D2D
+P 15250 6700
+F 0 "R17" V 15300 6450 50  0000 C CNN
+F 1 "47K" V 15250 6700 50  0000 C CNN
+	1    15250 6700
+	-1   0    0    1   
+$EndComp
+$Comp
+L GND #PWR042
+U 1 1 5157DB99
+P 5650 11050
+F 0 "#PWR042" H 5650 11050 30  0001 C CNN
+F 1 "GND" H 5650 10980 30  0001 C CNN
+	1    5650 11050
+	1    0    0    -1  
+$EndComp
+Text Label 13800 4300 0    60   ~ 0
+VGA_G
+Text Label 13800 4400 0    60   ~ 0
+VGA_B
+Text Label 13800 4500 0    60   ~ 0
+VGA_R
+NoConn ~ 13700 4600
+$Comp
+L GND #PWR043
+U 1 1 5159275E
+P 1550 7900
+F 0 "#PWR043" H 1550 7900 30  0001 C CNN
+F 1 "GND" H 1550 7830 30  0001 C CNN
+	1    1550 7900
+	0    1    1    0   
+$EndComp
+Text Label 5350 8250 0    60   ~ 0
+GND
+$Comp
+L GND #PWR044
+U 1 1 515934E2
+P 5550 8250
+F 0 "#PWR044" H 5550 8250 30  0001 C CNN
+F 1 "GND" H 5550 8180 30  0001 C CNN
+	1    5550 8250
+	0    -1   -1   0   
+$EndComp
+Text Label 5050 5800 0    60   ~ 0
+SCAS
+Text Label 5500 5900 0    60   ~ 0
+SRAS
+Text Label 5050 6000 0    60   ~ 0
+SWE
+Text Label 5050 6100 0    60   ~ 0
+SRST
+Text Label 5050 6200 0    60   ~ 0
+ODT
+$Comp
+L CONN_2 P4
+U 1 1 515A7B4E
+P 14500 2350
+F 0 "P4" V 14450 2350 40  0000 C CNN
+F 1 "UBOOT" V 14550 2350 40  0000 C CNN
+	1    14500 2350
+	0    -1   -1   0   
+$EndComp
+Text Label 13750 4900 0    60   ~ 0
+USB2P
+Text Label 13750 5000 0    60   ~ 0
+USB2M
+Text Label 13750 5100 0    60   ~ 0
+USB1P
+Text Label 13750 5200 0    60   ~ 0
+USB1M
+Text Label 13850 2900 0    60   ~ 0
+VMIC
+Text Label 13750 3000 0    60   ~ 0
+MICIN2
+Text Label 13750 3100 0    60   ~ 0
+MICIN1
+Text Label 13850 3200 0    60   ~ 0
+VRA1
+Text Label 13850 3300 0    60   ~ 0
+VRA2
+Text Label 13900 3400 0    60   ~ 0
+VRP
+Text Label 13800 3500 0    60   ~ 0
+LIN_R
+Text Label 13800 3600 0    60   ~ 0
+LIN_L
+Text Label 5400 4800 0    60   ~ 0
+SDQS0
+Text Label 5400 4900 0    60   ~ 0
+SDQS0#
+Text Label 5400 5000 0    60   ~ 0
+SDQS1
+Text Label 5400 5100 0    60   ~ 0
+SDQS1#
+Text Label 5400 5200 0    60   ~ 0
+SDQM0
+Text Label 5400 5300 0    60   ~ 0
+SDQM1
+Text Label 5350 6600 0    60   ~ 0
+SDQS2
+Text Label 5350 6700 0    60   ~ 0
+SDQS2#
+Text Label 5350 6800 0    60   ~ 0
+SDQS3
+Text Label 5350 6900 0    60   ~ 0
+SDQS3#
+Text Label 5350 7000 0    60   ~ 0
+SDQM2
+Text Label 5350 7100 0    60   ~ 0
+SDQM3
+Text Label 2600 4800 0    60   ~ 0
+SDQS2
+Text Label 2600 4900 0    60   ~ 0
+SDQS2#
+Text Label 2600 5000 0    60   ~ 0
+SDQS3
+Text Label 2600 5100 0    60   ~ 0
+SDQS3#
+Text Label 2600 5200 0    60   ~ 0
+SDQM2
+Text Label 2600 5300 0    60   ~ 0
+SDQM3
+Text Label 2600 6000 0    60   ~ 0
+SWE
+Text Label 5050 5500 0    60   ~ 0
+CS#
+Text Label 5050 5400 0    60   ~ 0
+CKE
+$Comp
+L GND #PWR045
+U 1 1 5188D84D
+P 10400 8950
+F 0 "#PWR045" H 10400 8950 30  0001 C CNN
+F 1 "GND" H 10400 8880 30  0001 C CNN
+	1    10400 8950
+	-1   0    0    1   
+$EndComp
+$Comp
+L CONN_1 P5
+U 1 1 5189B2BF
+P 15650 8400
+F 0 "P5" H 15730 8400 40  0000 L CNN
+F 1 "CONN_1" H 15650 8455 30  0001 C CNN
+	1    15650 8400
+	1    0    0    -1  
+$EndComp
+$Comp
+L CONN_1 P6
+U 1 1 5189B2CC
+P 15250 9250
+F 0 "P6" H 15330 9250 40  0000 L CNN
+F 1 "CONN_1" H 15250 9305 30  0001 C CNN
+	1    15250 9250
+	1    0    0    -1  
+$EndComp
+$Comp
+L CONN_1 P7
+U 1 1 5189B2D2
+P 9450 10400
+F 0 "P7" H 9530 10400 40  0000 L CNN
+F 1 "CONN_1" H 9450 10455 30  0001 C CNN
+	1    9450 10400
+	1    0    0    -1  
+$EndComp
+$Comp
+L CONN_1 P8
+U 1 1 5189B2D8
+P 10850 10350
+F 0 "P8" H 10930 10350 40  0000 L CNN
+F 1 "CONN_1" H 10850 10405 30  0001 C CNN
+	1    10850 10350
+	1    0    0    -1  
+$EndComp
+$Comp
+L CONN_1 P11
+U 1 1 5189BF82
+P 2950 4450
+F 0 "P11" H 3030 4450 40  0000 L CNN
+F 1 "CONN_1" H 2950 4505 30  0001 C CNN
+	1    2950 4450
+	1    0    0    -1  
+$EndComp
+Text Label 9300 4400 0    60   ~ 0
+PE0
+Text Label 9300 4500 0    60   ~ 0
+PE1
+Text Label 9300 4600 0    60   ~ 0
+PE2
+Text Label 9300 4700 0    60   ~ 0
+PE3
+Text Label 9300 4800 0    60   ~ 0
+PE4
+Text Label 9300 4900 0    60   ~ 0
+PE5
+Text Label 9300 5000 0    60   ~ 0
+PE6
+Text Label 9300 5100 0    60   ~ 0
+PE7
+$Comp
+L R R35
+U 1 1 5189E9C5
+P 8050 7650
+F 0 "R35" V 8130 7650 50  0000 C CNN
+F 1 "4k7" V 8050 7650 50  0000 C CNN
+	1    8050 7650
+	0    -1   -1   0   
+$EndComp
+$Comp
+L LED D1
+U 1 1 5189E9D2
+P 8500 7650
+F 0 "D1" H 8500 7750 50  0000 C CNN
+F 1 "LED" H 8500 7550 50  0000 C CNN
+	1    8500 7650
+	1    0    0    -1  
+$EndComp
+$Comp
+L GND #PWR046
+U 1 1 5189E9DF
+P 8700 7650
+F 0 "#PWR046" H 8700 7650 30  0001 C CNN
+F 1 "GND" H 8700 7580 30  0001 C CNN
+	1    8700 7650
+	0    -1   -1   0   
+$EndComp
+Text Label 550  11100 0    60   ~ 0
+PA0
+Text Label 550  11000 0    60   ~ 0
+PA1
+Text Label 550  10900 0    60   ~ 0
+PA2
+Text Label 550  10800 0    60   ~ 0
+PA3
+Text Label 550  10700 0    60   ~ 0
+PA4
+Text Label 550  10600 0    60   ~ 0
+PA5
+Text Label 550  10500 0    60   ~ 0
+PA6
+Text Label 550  10400 0    60   ~ 0
+PA7
+Text Label 550  10300 0    60   ~ 0
+PA8
+Text Label 550  10200 0    60   ~ 0
+PA9
+Text Label 550  10100 0    60   ~ 0
+PA10
+Text Label 550  10000 0    60   ~ 0
+PA11
+Text Label 550  9900 0    60   ~ 0
+PA12
+Text Label 550  9800 0    60   ~ 0
+PA13
+Text Label 550  9700 0    60   ~ 0
+PA14
+Text Label 550  9600 0    60   ~ 0
+PA15
+Text Label 550  9500 0    60   ~ 0
+PA16
+Text Label 550  9400 0    60   ~ 0
+PA17
+Text Label 8050 7400 0    60   ~ 0
+PA0
+Text Label 8050 7300 0    60   ~ 0
+PA1
+Text Label 8050 7200 0    60   ~ 0
+PA2
+Text Label 8050 7100 0    60   ~ 0
+PA3
+Text Label 8050 7000 0    60   ~ 0
+PA4
+Text Label 8050 6900 0    60   ~ 0
+PA5
+Text Label 8050 6800 0    60   ~ 0
+PA6
+Text Label 8050 6700 0    60   ~ 0
+PA7
+Text Label 8050 6600 0    60   ~ 0
+PA8
+Text Label 8050 6500 0    60   ~ 0
+PA9
+Text Label 8050 6400 0    60   ~ 0
+PA10
+Text Label 8050 6300 0    60   ~ 0
+PA11
+Text Label 8050 6200 0    60   ~ 0
+PA12
+Text Label 8050 6100 0    60   ~ 0
+PA13
+Text Label 8050 6000 0    60   ~ 0
+PA14
+Text Label 8050 5900 0    60   ~ 0
+PA15
+Text Label 8050 5800 0    60   ~ 0
+PA16
+Text Label 8050 5700 0    60   ~ 0
+PA17
+Text Label 3600 9700 0    60   ~ 0
+PH6
+Text Label 3600 9800 0    60   ~ 0
+PH5
+Text Label 3600 9900 0    60   ~ 0
+PH4
+Text Label 3600 10000 0    60   ~ 0
+PH3
+Text Label 3600 10100 0    60   ~ 0
+PH2
+Text Label 3600 10200 0    60   ~ 0
+PH1
+Text Label 3600 10300 0    60   ~ 0
+PH0
+Text Label 9250 7400 0    60   ~ 0
+PH6
+Text Label 9250 7300 0    60   ~ 0
+PH5
+Text Label 9250 7200 0    60   ~ 0
+PH4
+Text Label 9250 7100 0    60   ~ 0
+PH3
+Text Label 9250 7000 0    60   ~ 0
+PH2
+Text Label 9250 6900 0    60   ~ 0
+PH1
+Text Label 9250 6800 0    60   ~ 0
+PH0
+$Comp
+L A10-SOC U3
+U 1 1 514D47F5
+P 9850 4150
+F 0 "U3" H 9750 800 50  0000 C CNN
+F 1 "A10-SOC" H 10150 800 50  0000 C CNN
+F 3 "_" H 10150 900 50  0001 C CNN
+	1    9850 4150
+	1    0    0    -1  
+$EndComp
+NoConn ~ 10750 3100
+Text Label 3600 7600 0    60   ~ 0
+PH27
+Text Label 3600 7700 0    60   ~ 0
+PH26
+Text Label 3600 7800 0    60   ~ 0
+PH25
+Text Label 3600 7900 0    60   ~ 0
+PH24
+Text Label 3600 8000 0    60   ~ 0
+PH23
+Text Label 3600 8100 0    60   ~ 0
+PH22
+Text Label 3600 8200 0    60   ~ 0
+PH21
+Text Label 3600 8300 0    60   ~ 0
+PH20
+Text Label 3600 8400 0    60   ~ 0
+PH19
+Text Label 3600 8500 0    60   ~ 0
+PH18
+Text Label 3600 8600 0    60   ~ 0
+PH17
+Text Label 3600 8700 0    60   ~ 0
+PH16
+Text Label 3600 8800 0    60   ~ 0
+PH15
+Text Label 3600 8900 0    60   ~ 0
+PH14
+Text Label 3600 9000 0    60   ~ 0
+PH13
+Text Label 3600 9100 0    60   ~ 0
+PH12
+Text Label 3600 9200 0    60   ~ 0
+PH11
+Text Label 3600 9300 0    60   ~ 0
+PH10
+Text Label 3600 9400 0    60   ~ 0
+PH9
+Text Label 3600 9500 0    60   ~ 0
+PH8
+Text Label 3600 9600 0    60   ~ 0
+PH7
+Text Label 10500 5400 0    60   ~ 0
+PH27
+Text Label 10500 5500 0    60   ~ 0
+PH26
+Text Label 10500 5600 0    60   ~ 0
+PH25
+Text Label 10500 5700 0    60   ~ 0
+PH24
+Text Label 10500 5800 0    60   ~ 0
+PH23
+Text Label 10500 5900 0    60   ~ 0
+PH22
+Text Label 10500 6000 0    60   ~ 0
+PH21
+Text Label 10500 6100 0    60   ~ 0
+PH20
+Text Label 10500 6200 0    60   ~ 0
+PH19
+Text Label 10500 6300 0    60   ~ 0
+PH18
+Text Label 10500 6400 0    60   ~ 0
+PH17
+Text Label 10500 6500 0    60   ~ 0
+PH16
+Text Label 10500 6600 0    60   ~ 0
+PH15
+Text Label 10500 6700 0    60   ~ 0
+PH14
+Text Label 10500 6800 0    60   ~ 0
+PH13
+Text Label 10500 6900 0    60   ~ 0
+PH12
+Text Label 10500 7000 0    60   ~ 0
+PH11
+Text Label 10500 7100 0    60   ~ 0
+PH10
+Text Label 10500 7200 0    60   ~ 0
+PH9
+Text Label 10500 7300 0    60   ~ 0
+PH8
+Text Label 10500 7400 0    60   ~ 0
+PH7
+Text Label 4250 8250 0    60   ~ 0
+PI20
+Text Label 4250 8350 0    60   ~ 0
+PI19
+Text Label 4250 8450 0    60   ~ 0
+PI18
+Text Label 4250 8550 0    60   ~ 0
+PI17
+Text Label 4250 8650 0    60   ~ 0
+PI16
+Text Label 4250 8750 0    60   ~ 0
+PI15
+Text Label 4250 8850 0    60   ~ 0
+PI14
+Text Label 4250 8950 0    60   ~ 0
+PI13
+Text Label 4250 9050 0    60   ~ 0
+PI12
+Text Label 4250 9150 0    60   ~ 0
+PI11
+Text Label 4250 9250 0    60   ~ 0
+PI10
+Text Label 4250 9350 0    60   ~ 0
+PI9
+Text Label 4250 9450 0    60   ~ 0
+PI8
+Text Label 4250 9550 0    60   ~ 0
+PI7
+Text Label 4250 9650 0    60   ~ 0
+PI6
+Text Label 4250 9750 0    60   ~ 0
+PI5
+Text Label 4250 9850 0    60   ~ 0
+PI4
+Text Label 4250 9950 0    60   ~ 0
+PI3
+Text Label 4250 10050 0    60   ~ 0
+PI2
+Text Label 4250 10150 0    60   ~ 0
+PI1
+Text Label 4250 10250 0    60   ~ 0
+PI0
+Text Label 4250 8150 0    60   ~ 0
+PI21
+Text Label 10500 3300 0    60   ~ 0
+PI20
+Text Label 10500 3400 0    60   ~ 0
+PI19
+Text Label 10500 3500 0    60   ~ 0
+PI18
+Text Label 10500 3600 0    60   ~ 0
+PI17
+Text Label 10500 3700 0    60   ~ 0
+PI16
+Text Label 10500 3800 0    60   ~ 0
+PI15
+Text Label 10500 3900 0    60   ~ 0
+PI14
+Text Label 10500 4000 0    60   ~ 0
+PI13
+Text Label 10500 4100 0    60   ~ 0
+PI12
+Text Label 10500 4200 0    60   ~ 0
+PI11
+Text Label 10500 4300 0    60   ~ 0
+PI10
+Text Label 10500 4400 0    60   ~ 0
+PI9
+Text Label 10500 4500 0    60   ~ 0
+PI8
+Text Label 10500 4600 0    60   ~ 0
+PI7
+Text Label 10500 4700 0    60   ~ 0
+PI6
+Text Label 10500 4800 0    60   ~ 0
+PI5
+Text Label 10500 4900 0    60   ~ 0
+PI4
+Text Label 10500 5000 0    60   ~ 0
+PI3
+Text Label 10500 5100 0    60   ~ 0
+PI2
+Text Label 10500 5200 0    60   ~ 0
+PI1
+Text Label 10500 5300 0    60   ~ 0
+PI0
+Text Label 10500 3200 0    60   ~ 0
+PI21
+Text Label 1900 8950 0    60   ~ 0
+PC20
+Text Label 1900 9050 0    60   ~ 0
+PC19
+Text Label 1900 9150 0    60   ~ 0
+PC18
+Text Label 1900 9250 0    60   ~ 0
+PC17
+Text Label 1900 9350 0    60   ~ 0
+PC16
+Text Label 1900 9450 0    60   ~ 0
+PC15
+Text Label 1900 9550 0    60   ~ 0
+PC14
+Text Label 1900 9650 0    60   ~ 0
+PC13
+Text Label 1900 9750 0    60   ~ 0
+PC12
+Text Label 1900 9850 0    60   ~ 0
+PC11
+Text Label 1900 9950 0    60   ~ 0
+PC10
+Text Label 1900 10050 0    60   ~ 0
+PC9
+Text Label 1900 10150 0    60   ~ 0
+PC8
+Text Label 1900 10250 0    60   ~ 0
+PC7
+Text Label 1900 10350 0    60   ~ 0
+PC6
+Text Label 1900 10450 0    60   ~ 0
+PC5
+Text Label 1900 10550 0    60   ~ 0
+PC4
+Text Label 1900 10650 0    60   ~ 0
+PC3
+Text Label 1900 10750 0    60   ~ 0
+PC2
+Text Label 1900 10850 0    60   ~ 0
+PC1
+Text Label 1900 10950 0    60   ~ 0
+PC0
+Text Label 8100 1200 0    60   ~ 0
+PC20
+Text Label 8100 1300 0    60   ~ 0
+PC19
+Text Label 8100 1400 0    60   ~ 0
+PC18
+Text Label 8100 1500 0    60   ~ 0
+PC17
+Text Label 8100 1600 0    60   ~ 0
+PC16
+Text Label 8100 1700 0    60   ~ 0
+PC15
+Text Label 8100 1800 0    60   ~ 0
+PC14
+Text Label 8100 1900 0    60   ~ 0
+PC13
+Text Label 8100 2000 0    60   ~ 0
+PC12
+Text Label 8100 2100 0    60   ~ 0
+PC11
+Text Label 8100 2200 0    60   ~ 0
+PC10
+Text Label 8100 2300 0    60   ~ 0
+PC9
+Text Label 8100 2400 0    60   ~ 0
+PC8
+Text Label 8100 2500 0    60   ~ 0
+PC7
+Text Label 8100 2600 0    60   ~ 0
+PC6
+Text Label 8100 2700 0    60   ~ 0
+PC5
+Text Label 8100 2800 0    60   ~ 0
+PC4
+Text Label 8100 2900 0    60   ~ 0
+PC3
+Text Label 8100 3000 0    60   ~ 0
+PC2
+Text Label 8100 3100 0    60   ~ 0
+PC1
+Text Label 8100 3200 0    60   ~ 0
+PC0
+Text Label 1900 8850 0    60   ~ 0
+PC21
+Text Label 1900 8750 0    60   ~ 0
+PC22
+Text Label 1900 8650 0    60   ~ 0
+PC23
+Text Label 1900 8550 0    60   ~ 0
+PC24
+Text Label 9300 1200 0    60   ~ 0
+PC21
+Text Label 9300 1300 0    60   ~ 0
+PC22
+Text Label 9300 1400 0    60   ~ 0
+PC23
+Text Label 9300 1500 0    60   ~ 0
+PC24
+Text Label 3100 8550 0    60   ~ 0
+PG0
+Text Label 3100 8450 0    60   ~ 0
+PG1
+Text Label 3100 8350 0    60   ~ 0
+PG2
+Text Label 3100 8250 0    60   ~ 0
+PG3
+Text Label 3100 9950 0    60   ~ 0
+PE4
+Text Label 3100 9850 0    60   ~ 0
+PE5
+Text Label 3100 9750 0    60   ~ 0
+PE6
+Text Label 3100 9650 0    60   ~ 0
+PE7
+Text Label 3100 9550 0    60   ~ 0
+PE8
+Text Label 3100 9450 0    60   ~ 0
+PE9
+Text Label 3100 9350 0    60   ~ 0
+PE10
+Text Label 3100 9250 0    60   ~ 0
+PE11
+Text Label 9300 5200 0    60   ~ 0
+PE8
+Text Label 9300 5300 0    60   ~ 0
+PE9
+Text Label 9300 5400 0    60   ~ 0
+PE10
+Text Label 9300 5500 0    60   ~ 0
+PE11
+$Comp
+L C30 P10
+U 1 1 51AC0E0C
+P 4600 9600
+F 0 "P10" H 4650 11150 30  0000 C CNN
+F 1 "C30" H 4550 11150 30  0000 C CNN
+	1    4600 9600
+	1    0    0    -1  
+$EndComp
+$Comp
+L C30 P9
+U 1 1 51AC0E1B
+P 3950 8850
+F 0 "P9" H 4000 10400 30  0000 C CNN
+F 1 "C30" H 3900 10400 30  0000 C CNN
+	1    3950 8850
+	1    0    0    1   
+$EndComp
+$Comp
+L C30 P12
+U 1 1 51AC0E34
+P 2250 9500
+F 0 "P12" H 2300 11050 30  0000 C CNN
+F 1 "C30" H 2200 11050 30  0000 C CNN
+	1    2250 9500
+	1    0    0    1   
+$EndComp
+$Comp
+L C30 P13
+U 1 1 51AC0E43
+P 2850 9400
+F 0 "P13" H 2900 10950 30  0000 C CNN
+F 1 "C30" H 2800 10950 30  0000 C CNN
+	1    2850 9400
+	1    0    0    1   
+$EndComp
+$Comp
+L C30 P1
+U 1 1 51AC0E52
+P 950 9650
+F 0 "P1" H 1000 11200 30  0000 C CNN
+F 1 "C30" H 900 11200 30  0000 C CNN
+	1    950  9650
+	1    0    0    1   
+$EndComp
+Text Label 15250 3550 0    60   ~ 0
+VMIC
+Text Label 15150 3850 0    60   ~ 0
+MICIN2
+Text Label 15150 3950 0    60   ~ 0
+MICIN1
+Text Label 14900 3100 0    60   ~ 0
+VRA1
+Text Label 14900 3200 0    60   ~ 0
+VRA2
+Text Label 14900 3300 0    60   ~ 0
+VRP
+Text Label 15200 3650 0    60   ~ 0
+LIN_R
+Text Label 15200 3750 0    60   ~ 0
+LIN_L
+Text Label 15150 4250 0    60   ~ 0
+VGA_G
+Text Label 15150 4150 0    60   ~ 0
+VGA_B
+Text Label 15150 4050 0    60   ~ 0
+VGA_R
+Text Label 4200 10950 0    60   ~ 0
+USB2P
+Text Label 4200 11050 0    60   ~ 0
+USB2M
+Text Label 4200 10750 0    60   ~ 0
+USB1P
+Text Label 4200 10850 0    60   ~ 0
+USB1M
+Text Label 13750 5300 0    60   ~ 0
+USB0P
+Text Label 13750 5400 0    60   ~ 0
+USB0M
+Text Label 4200 10550 0    60   ~ 0
+USB0P
+Text Label 4200 10650 0    60   ~ 0
+USB0M
+Text Label 1300 9050 0    60   ~ 0
+PB20
+Text Label 1300 9150 0    60   ~ 0
+PB19
+Text Label 1300 9250 0    60   ~ 0
+PB18
+Text Label 1100 9350 0    60   ~ 0
+PB17/JDI
+Text Label 1100 9450 0    60   ~ 0
+PB16/JD0
+Text Label 1100 9550 0    60   ~ 0
+PB15/JCK
+Text Label 1100 9650 0    60   ~ 0
+PB14/JMS
+Text Label 1300 9750 0    60   ~ 0
+PB13
+Text Label 1300 9850 0    60   ~ 0
+PB12
+Text Label 1300 9950 0    60   ~ 0
+PB11
+Text Label 1300 10050 0    60   ~ 0
+PB10
+Text Label 1300 10150 0    60   ~ 0
+PB9
+Text Label 1300 10250 0    60   ~ 0
+PB8
+Text Label 1300 10350 0    60   ~ 0
+PB7
+Text Label 1300 10450 0    60   ~ 0
+PB6
+Text Label 1300 10550 0    60   ~ 0
+PB5
+Text Label 1300 10650 0    60   ~ 0
+PB4
+Text Label 1300 10750 0    60   ~ 0
+PB3
+Text Label 1300 10850 0    60   ~ 0
+PB2
+Text Label 1300 8950 0    60   ~ 0
+PB21
+Text Label 1150 8850 0    60   ~ 0
+U0Tx/22
+Text Label 1150 8750 0    60   ~ 0
+U0Rx/23
+Text Label 8100 3600 0    60   ~ 0
+PB20
+Text Label 8100 3700 0    60   ~ 0
+PB19
+Text Label 8100 3800 0    60   ~ 0
+PB18
+Text Label 7900 3900 0    60   ~ 0
+PB17/JDI
+Text Label 7900 4000 0    60   ~ 0
+PB16/JD0
+Text Label 7900 4100 0    60   ~ 0
+PB15/JCK
+Text Label 7900 4200 0    60   ~ 0
+PB14/JMS
+Text Label 8100 4300 0    60   ~ 0
+PB13
+Text Label 8100 4400 0    60   ~ 0
+PB12
+Text Label 8100 4500 0    60   ~ 0
+PB11
+Text Label 8100 4600 0    60   ~ 0
+PB10
+Text Label 8100 4700 0    60   ~ 0
+PB9
+Text Label 8100 4800 0    60   ~ 0
+PB8
+Text Label 8100 4900 0    60   ~ 0
+PB7
+Text Label 8100 5000 0    60   ~ 0
+PB6
+Text Label 8100 5100 0    60   ~ 0
+PB5
+Text Label 8100 5200 0    60   ~ 0
+PB4
+Text Label 8100 5300 0    60   ~ 0
+PB3
+Text Label 8100 5400 0    60   ~ 0
+PB2
+Text Label 8100 3500 0    60   ~ 0
+PB21
+Text Label 7950 3400 0    60   ~ 0
+U0Tx/22
+Text Label 7950 3300 0    60   ~ 0
+U0Rx/23
+$Comp
+L C30 P15
+U 1 1 51AC5A05
+P 1650 9600
+F 0 "P15" H 1700 11150 30  0000 C CNN
+F 1 "C30" H 1600 11150 30  0000 C CNN
+	1    1650 9600
+	1    0    0    1   
+$EndComp
+Text Label 9300 5600 0    60   ~ 0
+PG0
+Text Label 9300 5700 0    60   ~ 0
+PG1
+Text Label 9300 5800 0    60   ~ 0
+PG2
+Text Label 9300 5900 0    60   ~ 0
+PG3
+Text Label 3100 10350 0    60   ~ 0
+PE0
+Text Label 3100 10250 0    60   ~ 0
+PE1
+Text Label 3100 10150 0    60   ~ 0
+PE2
+Text Label 3100 10050 0    60   ~ 0
+PE3
+$Comp
+L C30 P16
+U 1 1 51AC3C4E
+P 3450 8900
+F 0 "P16" H 3500 10450 30  0000 C CNN
+F 1 "C30" H 3400 10450 30  0000 C CNN
+	1    3450 8900
+	1    0    0    1   
+$EndComp
+Text Label 3100 8150 0    60   ~ 0
+PG4
+Text Label 3100 8050 0    60   ~ 0
+PG5
+Text Label 3100 7950 0    60   ~ 0
+PG6
+Text Label 3100 7850 0    60   ~ 0
+PG7
+Text Label 3100 7750 0    60   ~ 0
+PG8
+Text Label 3100 7650 0    60   ~ 0
+PG9
+Text Label 3100 7550 0    60   ~ 0
+PG10
+Text Label 3100 7450 0    60   ~ 0
+PG11
+Text Label 9300 6000 0    60   ~ 0
+PG4
+Text Label 9300 6100 0    60   ~ 0
+PG5
+Text Label 9300 6200 0    60   ~ 0
+PG6
+Text Label 9300 6300 0    60   ~ 0
+PG7
+Text Label 9300 6400 0    60   ~ 0
+PG8
+Text Label 9300 6500 0    60   ~ 0
+PG9
+Text Label 9300 6600 0    60   ~ 0
+PG10
+Text Label 9300 6700 0    60   ~ 0
+PG11
+$Comp
+L CONN_5 P3
+U 1 1 51AC45C8
+P 1950 7700
+F 0 "P3" V 1900 7700 50  0000 C CNN
+F 1 "CONN_5" V 2000 7700 50  0000 C CNN
+	1    1950 7700
+	1    0    0    -1  
+$EndComp
+Text Label 1100 7500 0    60   ~ 0
+PB17/JDI
+Text Label 1100 7600 0    60   ~ 0
+PB16/JD0
+Text Label 1100 7700 0    60   ~ 0
+PB15/JCK
+Text Label 1100 7800 0    60   ~ 0
+PB14/JMS
+Text Label 1150 11050 0    60   ~ 0
+TWI0SCK
+Text Label 1150 10950 0    60   ~ 0
+TWI0SDA
+$Sheet
+S 14250 7300 500  550 
+U 51ADDEE6
+F0 "hdmi" 50
+F1 "hdmi.sch" 50
+$EndSheet
+Connection ~ 12000 5400
+Connection ~ 12000 5300
+Connection ~ 12000 5200
+Connection ~ 12000 5100
+Connection ~ 12000 5000
+Connection ~ 12000 4900
+Connection ~ 12000 4800
+Wire Wire Line
+	12000 5600 12000 6100
+Connection ~ 12000 5700
+Connection ~ 12000 6000
+Connection ~ 12000 6300
+Wire Wire Line
+	12000 6200 12000 7400
+Connection ~ 12000 6600
+Connection ~ 12000 6700
+Connection ~ 12000 6800
+Connection ~ 12000 6900
+Connection ~ 12000 7300
+Connection ~ 12000 7200
+Connection ~ 12000 7000
+Connection ~ 12000 7100
+Connection ~ 12000 4300
+Connection ~ 12000 4000
+Connection ~ 12000 3900
+Connection ~ 12000 3800
+Connection ~ 12000 3700
+Connection ~ 12000 3600
+Connection ~ 12000 3500
+Connection ~ 12000 3400
+Connection ~ 12000 3300
+Connection ~ 7000 5700
+Connection ~ 7000 5600
+Connection ~ 7000 5500
+Connection ~ 7000 5400
+Connection ~ 7000 5300
+Connection ~ 7000 5200
+Connection ~ 7000 5100
+Connection ~ 7000 5000
+Connection ~ 7000 4900
+Connection ~ 7000 4800
+Connection ~ 7000 4700
+Connection ~ 7000 4600
+Connection ~ 7000 4500
+Connection ~ 7000 4400
+Connection ~ 7000 4300
+Connection ~ 7000 4200
+Connection ~ 7000 4100
+Connection ~ 7000 4000
+Connection ~ 7000 3900
+Connection ~ 7000 3800
+Connection ~ 7000 3700
+Connection ~ 7000 3600
+Wire Wire Line
+	7000 3600 6850 3600
+Wire Wire Line
+	6850 3600 6850 3650
+Connection ~ 6850 3600
+Wire Bus Line
+	5450 950  5450 4200
+Wire Wire Line
+	5550 2600 5800 2600
+Wire Wire Line
+	5800 1300 5550 1300
+Wire Wire Line
+	5550 1400 5800 1400
+Wire Wire Line
+	5550 1500 5800 1500
+Wire Wire Line
+	5550 1600 5800 1600
+Wire Wire Line
+	5550 1700 5800 1700
+Wire Wire Line
+	5550 1800 5800 1800
+Wire Wire Line
+	5550 1900 5800 1900
+Wire Wire Line
+	5550 2000 5800 2000
+Wire Wire Line
+	5550 2100 5800 2100
+Wire Wire Line
+	5550 2200 5800 2200
+Wire Wire Line
+	5550 2300 5800 2300
+Wire Wire Line
+	5550 2400 5800 2400
+Wire Wire Line
+	5550 2500 5800 2500
+Wire Bus Line
+	5350 950  5350 2800
+Wire Wire Line
+	5050 2600 5250 2600
+Wire Wire Line
+	5250 1200 5050 1200
+Wire Wire Line
+	5550 1200 5800 1200
+Wire Wire Line
+	5050 1300 5250 1300
+Wire Wire Line
+	5250 1400 5050 1400
+Wire Wire Line
+	5250 1500 5050 1500
+Wire Wire Line
+	5250 1600 5050 1600
+Wire Wire Line
+	5250 1700 5050 1700
+Wire Wire Line
+	5250 1800 5050 1800
+Wire Wire Line
+	5250 2500 5050 2500
+Wire Wire Line
+	5250 2400 5050 2400
+Wire Wire Line
+	5250 2300 5050 2300
+Wire Wire Line
+	5250 2200 5050 2200
+Wire Wire Line
+	5250 2100 5050 2100
+Wire Wire Line
+	5250 2000 5050 2000
+Wire Wire Line
+	5250 1900 5050 1900
+Wire Wire Line
+	5800 2700 5550 2700
+Wire Wire Line
+	5050 2700 5250 2700
+Wire Wire Line
+	5800 4400 5800 4700
+Connection ~ 5800 4500
+Connection ~ 5800 4600
+Wire Wire Line
+	5050 4600 5050 4500
+Wire Wire Line
+	5800 4800 5050 4800
+Wire Wire Line
+	5050 4900 5800 4900
+Wire Wire Line
+	5800 5000 5050 5000
+Wire Wire Line
+	5050 5100 5800 5100
+Wire Wire Line
+	5050 5200 5800 5200
+Wire Wire Line
+	5800 5300 5050 5300
+Connection ~ 5050 4500
+Wire Wire Line
+	5050 2850 5050 3950
+Connection ~ 5050 2950
+Connection ~ 5050 3050
+Connection ~ 5050 3150
+Connection ~ 5050 3250
+Connection ~ 5050 3350
+Connection ~ 5050 3450
+Connection ~ 5050 3550
+Connection ~ 5050 3850
+Connection ~ 5050 3650
+Connection ~ 5050 3750
+Wire Wire Line
+	7000 3000 7000 7400
+Connection ~ 7000 5800
+Connection ~ 7000 5900
+Connection ~ 7000 6000
+Connection ~ 7000 6100
+Connection ~ 7000 6200
+Connection ~ 7000 6300
+Connection ~ 7000 6400
+Connection ~ 7000 6500
+Connection ~ 7000 6600
+Connection ~ 7000 6700
+Connection ~ 7000 6800
+Connection ~ 7000 6900
+Connection ~ 7000 7000
+Connection ~ 7000 7100
+Connection ~ 7000 7200
+Connection ~ 7000 7300
+Connection ~ 7000 3500
+Connection ~ 7000 3400
+Connection ~ 7000 3300
+Connection ~ 7000 3200
+Connection ~ 7000 3100
+Wire Wire Line
+	3800 3400 3800 5100
+Connection ~ 3800 3500
+Connection ~ 3800 3600
+Connection ~ 3800 3700
+Connection ~ 3800 3800
+Connection ~ 3800 3900
+Connection ~ 3800 4000
+Connection ~ 3800 4100
+Connection ~ 3800 4400
+Connection ~ 3800 4500
+Connection ~ 3800 4600
+Connection ~ 3800 4700
+Connection ~ 3800 4800
+Connection ~ 3800 4900
+Connection ~ 3800 5000
+Wire Wire Line
+	3800 5250 3800 6050
+Connection ~ 3800 5950
+Connection ~ 3800 5850
+Connection ~ 3800 5750
+Connection ~ 3800 5650
+Connection ~ 3800 5550
+Connection ~ 3800 5450
+Connection ~ 3800 5350
+Wire Wire Line
+	3600 1250 3800 1250
+Wire Wire Line
+	3600 1350 3800 1350
+Wire Wire Line
+	3600 1450 3800 1450
+Wire Wire Line
+	3600 1550 3800 1550
+Wire Wire Line
+	3600 1650 3800 1650
+Wire Wire Line
+	3600 1750 3800 1750
+Wire Wire Line
+	3600 1850 3800 1850
+Wire Wire Line
+	3600 1950 3800 1950
+Wire Wire Line
+	3600 2050 3800 2050
+Wire Wire Line
+	3600 2150 3800 2150
+Wire Wire Line
+	3600 2250 3800 2250
+Wire Wire Line
+	3600 2350 3800 2350
+Wire Wire Line
+	3600 2450 3800 2450
+Wire Wire Line
+	3600 2550 3800 2550
+Wire Wire Line
+	3600 2650 3800 2650
+Wire Wire Line
+	3600 2900 3800 2900
+Wire Wire Line
+	3600 3000 3800 3000
+Wire Wire Line
+	3600 3100 3800 3100
+Wire Wire Line
+	6800 1200 7000 1200
+Wire Wire Line
+	6800 1300 7000 1300
+Wire Wire Line
+	6800 1400 7000 1400
+Wire Wire Line
+	6800 1500 7000 1500
+Wire Wire Line
+	6800 1600 7000 1600
+Wire Wire Line
+	6800 1700 7000 1700
+Wire Wire Line
+	6800 1800 7000 1800
+Wire Wire Line
+	6800 1900 7000 1900
+Wire Wire Line
+	6800 2000 7000 2000
+Wire Wire Line
+	6800 2100 7000 2100
+Wire Wire Line
+	6800 2200 7000 2200
+Wire Wire Line
+	6800 2300 7000 2300
+Wire Wire Line
+	6800 2400 7000 2400
+Wire Wire Line
+	6800 2500 7000 2500
+Wire Wire Line
+	6800 2600 7000 2600
+Wire Wire Line
+	6800 2700 7000 2700
+Wire Wire Line
+	6800 2800 7000 2800
+Wire Wire Line
+	6800 2900 7000 2900
+Wire Wire Line
+	9550 1600 9300 1600
+Wire Wire Line
+	9300 1700 9550 1700
+Wire Wire Line
+	9300 1800 9550 1800
+Wire Wire Line
+	9300 1900 9550 1900
+Wire Wire Line
+	9300 2000 9550 2000
+Wire Wire Line
+	9300 2100 9550 2100
+Wire Wire Line
+	9300 2200 9550 2200
+Wire Wire Line
+	9300 2300 9550 2300
+Wire Wire Line
+	9300 2400 9550 2400
+Wire Wire Line
+	9300 2500 9550 2500
+Wire Wire Line
+	9300 2600 9550 2600
+Wire Wire Line
+	9300 2700 9550 2700
+Wire Wire Line
+	9300 2800 9550 2800
+Wire Wire Line
+	9300 2900 9550 2900
+Wire Wire Line
+	9300 3000 9550 3000
+Wire Wire Line
+	9300 3100 9550 3100
+Wire Wire Line
+	9300 3200 9550 3200
+Wire Wire Line
+	9300 3300 9550 3300
+Wire Wire Line
+	9300 3400 9550 3400
+Wire Wire Line
+	9300 3500 9550 3500
+Wire Wire Line
+	9300 3600 9550 3600
+Wire Wire Line
+	9300 3700 9550 3700
+Wire Wire Line
+	9300 3800 9550 3800
+Wire Wire Line
+	9300 3900 9550 3900
+Wire Wire Line
+	9300 4000 9550 4000
+Wire Wire Line
+	9300 4100 9550 4100
+Wire Wire Line
+	9300 4200 9550 4200
+Wire Wire Line
+	9300 4300 9550 4300
+Wire Wire Line
+	7800 8650 8350 8650
+Connection ~ 7900 8550
+Connection ~ 7900 8650
+Connection ~ 7900 8750
+Connection ~ 7900 8850
+Wire Wire Line
+	7800 9050 9550 9050
+Connection ~ 7900 8950
+Connection ~ 7900 9050
+Wire Wire Line
+	6350 8250 6600 8250
+Wire Wire Line
+	7800 9650 9450 9650
+Wire Wire Line
+	7800 9550 10400 9550
+Wire Wire Line
+	7800 9850 7850 9850
+Wire Wire Line
+	8450 10050 8450 9950
+Connection ~ 8450 9950
+Wire Wire Line
+	7850 10050 7800 10050
+Wire Wire Line
+	11750 6900 12000 6900
+Connection ~ 12000 2900
+Connection ~ 12000 3000
+Connection ~ 12000 2800
+Connection ~ 12000 2700
+Wire Wire Line
+	12000 2100 11750 2100
+Connection ~ 12000 1800
+Connection ~ 12000 4700
+Connection ~ 12000 4400
+Connection ~ 12000 4100
+Connection ~ 12000 4200
+Connection ~ 12000 3100
+Connection ~ 12000 3200
+Wire Wire Line
+	2500 8150 2750 8150
+Wire Wire Line
+	2500 8250 2750 8250
+Wire Wire Line
+	2500 8350 2750 8350
+Wire Wire Line
+	2750 8450 2500 8450
+Wire Wire Line
+	2500 8550 2750 8550
+Wire Wire Line
+	2500 8650 2750 8650
+Wire Wire Line
+	2500 8750 2750 8750
+Wire Wire Line
+	2750 8850 2500 8850
+Wire Wire Line
+	2500 8950 2750 8950
+Wire Wire Line
+	2500 9050 2750 9050
+Wire Wire Line
+	2500 9150 2750 9150
+Wire Wire Line
+	2500 9250 2750 9250
+Wire Wire Line
+	2500 9350 2750 9350
+Wire Wire Line
+	2500 9450 2750 9450
+Wire Wire Line
+	2500 9550 2750 9550
+Wire Wire Line
+	2500 9650 2750 9650
+Wire Wire Line
+	2500 9750 2750 9750
+Wire Wire Line
+	2500 9850 2750 9850
+Wire Wire Line
+	2500 9950 2750 9950
+Wire Wire Line
+	2500 10050 2750 10050
+Wire Wire Line
+	2500 10150 2750 10150
+Wire Wire Line
+	2500 10250 2750 10250
+Wire Wire Line
+	2500 10350 2750 10350
+Wire Wire Line
+	2500 10450 2750 10450
+Wire Wire Line
+	2500 10550 2750 10550
+Wire Wire Line
+	2750 10650 2500 10650
+Wire Wire Line
+	5150 3350 5050 3350
+Wire Wire Line
+	13700 6200 14250 6200
+Connection ~ 12000 1700
+Connection ~ 12000 1600
+Connection ~ 12000 1500
+Connection ~ 12000 1400
+Connection ~ 12000 2600
+Wire Wire Line
+	12000 2000 11750 2000
+Wire Wire Line
+	12000 1200 12000 1900
+Connection ~ 12000 1300
+Wire Wire Line
+	11900 1600 12000 1600
+Wire Notes Line
+	12550 1500 12550 1200
+Wire Wire Line
+	13700 2600 14000 2600
+Wire Wire Line
+	13700 2500 14050 2500
+Wire Wire Line
+	14950 1750 15400 1750
+Connection ~ 15250 1750
+Connection ~ 15250 2350
+Wire Wire Line
+	13700 1200 13950 1200
+Wire Wire Line
+	15800 1750 15800 2350
+Wire Wire Line
+	15850 2050 15800 2050
+Connection ~ 15800 2050
+Wire Wire Line
+	13700 4300 14050 4300
+Wire Wire Line
+	13700 4400 14050 4400
+Wire Wire Line
+	13700 4500 14050 4500
+Connection ~ 12000 2500
+Wire Wire Line
+	13700 2900 14050 2900
+Wire Wire Line
+	14050 3000 13700 3000
+Wire Wire Line
+	13700 3100 14050 3100
+Wire Wire Line
+	14050 3200 13700 3200
+Wire Wire Line
+	13700 3300 14050 3300
+Wire Wire Line
+	13700 3400 14050 3400
+Wire Wire Line
+	14050 3500 13700 3500
+Wire Wire Line
+	13700 3600 14050 3600
+Wire Wire Line
+	6600 10200 6600 10100
+Wire Wire Line
+	6050 8350 6600 8350
+Wire Wire Line
+	6050 8450 6600 8450
+Wire Wire Line
+	5550 8350 5550 8450
+Wire Wire Line
+	5550 8400 5350 8400
+Connection ~ 5550 8400
+Wire Wire Line
+	7800 10750 7800 10850
+Wire Wire Line
+	8350 10800 7800 10800
+Connection ~ 7800 10800
+Wire Wire Line
+	8300 10650 8400 10650
+Wire Wire Line
+	8350 10550 8350 10800
+Connection ~ 8350 10650
+Wire Wire Line
+	7800 10550 8350 10550
+Wire Wire Line
+	7800 9450 11550 9450
+Wire Wire Line
+	1300 3400 1300 5100
+Connection ~ 1300 3500
+Connection ~ 1300 3600
+Connection ~ 1300 3700
+Connection ~ 1300 3800
+Connection ~ 1300 3900
+Connection ~ 1300 4000
+Connection ~ 1300 4100
+Connection ~ 1300 4400
+Connection ~ 1300 4500
+Connection ~ 1300 4600
+Connection ~ 1300 4700
+Connection ~ 1300 4800
+Connection ~ 1300 4900
+Connection ~ 1300 5000
+Wire Wire Line
+	1300 5250 1300 6050
+Connection ~ 1300 5950
+Connection ~ 1300 5850
+Connection ~ 1300 5750
+Connection ~ 1300 5650
+Connection ~ 1300 5550
+Connection ~ 1300 5450
+Connection ~ 1300 5350
+Wire Wire Line
+	1100 1250 1300 1250
+Wire Wire Line
+	1100 1350 1300 1350
+Wire Wire Line
+	1100 1450 1300 1450
+Wire Wire Line
+	1100 1550 1300 1550
+Wire Wire Line
+	1100 1650 1300 1650
+Wire Wire Line
+	1100 1750 1300 1750
+Wire Wire Line
+	1100 1850 1300 1850
+Wire Wire Line
+	1100 1950 1300 1950
+Wire Wire Line
+	1100 2050 1300 2050
+Wire Wire Line
+	1100 2150 1300 2150
+Wire Wire Line
+	1100 2250 1300 2250
+Wire Wire Line
+	1100 2350 1300 2350
+Wire Wire Line
+	1100 2450 1300 2450
+Wire Wire Line
+	1100 2550 1300 2550
+Wire Wire Line
+	1100 2650 1300 2650
+Wire Wire Line
+	1100 2900 1300 2900
+Wire Wire Line
+	1100 3000 1300 3000
+Wire Wire Line
+	1100 3100 1300 3100
+Wire Wire Line
+	1200 5650 1300 5650
+Wire Wire Line
+	3650 5350 3800 5350
+Wire Wire Line
+	3700 5500 3700 5350
+Connection ~ 3700 5350
+Wire Wire Line
+	3700 6000 3700 6150
+Wire Wire Line
+	3700 6150 3800 6150
+Wire Wire Line
+	5800 6600 3550 6600
+Wire Wire Line
+	3550 6600 3550 4800
+Wire Wire Line
+	3550 4800 2550 4800
+Wire Wire Line
+	2550 4900 3500 4900
+Wire Wire Line
+	3500 4900 3500 6700
+Wire Wire Line
+	3500 6700 5800 6700
+Wire Wire Line
+	2550 5000 3450 5000
+Wire Wire Line
+	3450 5000 3450 6800
+Wire Wire Line
+	3450 6800 5800 6800
+Wire Wire Line
+	2550 5100 3400 5100
+Wire Wire Line
+	3400 5100 3400 6900
+Wire Wire Line
+	3400 6900 5800 6900
+Wire Wire Line
+	5800 7000 3350 7000
+Wire Wire Line
+	3350 7000 3350 5200
+Wire Wire Line
+	3350 5200 2550 5200
+Wire Wire Line
+	2550 5300 3300 5300
+Wire Wire Line
+	3300 5300 3300 7100
+Wire Wire Line
+	3300 7100 5800 7100
+Wire Wire Line
+	2550 5600 2850 5600
+Wire Wire Line
+	2550 5700 2850 5700
+Wire Wire Line
+	2550 5800 2850 5800
+Wire Wire Line
+	2550 5900 2850 5900
+Wire Wire Line
+	2550 6000 2850 6000
+Wire Wire Line
+	2550 6100 2850 6100
+Wire Wire Line
+	2550 6200 2850 6200
+Connection ~ 1300 4300
+Connection ~ 1300 4200
+Connection ~ 3800 4300
+Connection ~ 3800 4200
+Wire Wire Line
+	2550 4450 2550 4600
+Connection ~ 2550 3850
+Connection ~ 2550 3750
+Connection ~ 2550 3650
+Connection ~ 2550 3550
+Connection ~ 2550 2950
+Connection ~ 2550 3050
+Connection ~ 2550 3150
+Connection ~ 2550 3450
+Connection ~ 2550 3250
+Connection ~ 2550 3350
+Wire Wire Line
+	5550 2800 5800 2800
+Wire Wire Line
+	5550 2900 5800 2900
+Wire Wire Line
+	5550 3000 5800 3000
+Wire Wire Line
+	5550 3100 5800 3100
+Wire Wire Line
+	5550 3200 5800 3200
+Wire Wire Line
+	5550 3300 5800 3300
+Wire Wire Line
+	5800 3400 5550 3400
+Wire Wire Line
+	5550 3500 5800 3500
+Wire Wire Line
+	5800 3600 5550 3600
+Wire Wire Line
+	5550 3700 5800 3700
+Wire Wire Line
+	5800 3800 5550 3800
+Wire Wire Line
+	5550 3900 5800 3900
+Wire Wire Line
+	5550 4000 5800 4000
+Wire Wire Line
+	5800 4100 5550 4100
+Wire Wire Line
+	5550 4200 5800 4200
+Wire Wire Line
+	5800 4300 5550 4300
+Wire Wire Line
+	2550 1200 2900 1200
+Wire Wire Line
+	2900 1300 2550 1300
+Wire Wire Line
+	2900 1400 2550 1400
+Wire Wire Line
+	2900 1500 2550 1500
+Wire Wire Line
+	2900 1600 2550 1600
+Wire Wire Line
+	2900 1700 2550 1700
+Wire Wire Line
+	2900 1800 2550 1800
+Wire Wire Line
+	2900 1900 2550 1900
+Wire Wire Line
+	2900 2000 2550 2000
+Wire Wire Line
+	2900 2100 2550 2100
+Wire Wire Line
+	2900 2200 2550 2200
+Wire Wire Line
+	2900 2300 2550 2300
+Wire Wire Line
+	2900 2400 2550 2400
+Wire Wire Line
+	2900 2500 2550 2500
+Wire Wire Line
+	2900 2600 2550 2600
+Wire Wire Line
+	2900 2700 2550 2700
+Wire Wire Line
+	2550 2850 2550 3950
+Wire Wire Line
+	6600 9200 6450 9200
+Wire Wire Line
+	6450 9200 6450 9000
+Wire Wire Line
+	6450 9300 6600 9300
+Wire Wire Line
+	5850 9300 5950 9300
+Wire Wire Line
+	5900 8700 5900 9400
+Wire Wire Line
+	5900 9000 5950 9000
+Connection ~ 5900 9300
+Wire Wire Line
+	2700 2850 2550 2850
+Connection ~ 2550 2850
+Connection ~ 3800 3400
+Wire Bus Line
+	6700 800  1000 800 
+Wire Bus Line
+	1000 800  1000 2550
+Wire Bus Line
+	3500 800  3500 2550
+Wire Bus Line
+	3000 950  3000 2600
+Wire Bus Line
+	3000 950  5450 950 
+Wire Bus Line
+	6700 800  6700 2500
+Wire Wire Line
+	13450 8500 13450 8600
+Wire Wire Line
+	13450 8600 13550 8600
+Wire Wire Line
+	13550 8600 13550 8800
+Wire Wire Line
+	13400 8800 13600 8800
+Wire Wire Line
+	14150 8800 14000 8800
+Connection ~ 13550 8800
+Wire Wire Line
+	14050 8400 15500 8400
+Wire Wire Line
+	14150 8400 14150 8800
+Connection ~ 14150 8400
+Wire Wire Line
+	14050 8600 14150 8600
+Connection ~ 14150 8600
+Wire Wire Line
+	12400 8550 12400 8650
+Wire Wire Line
+	12300 9600 12300 9700
+Wire Wire Line
+	13350 9650 13450 9650
+Wire Wire Line
+	13450 9650 13450 9850
+Wire Wire Line
+	13300 9850 13500 9850
+Wire Wire Line
+	14050 9850 13900 9850
+Connection ~ 13450 9850
+Wire Wire Line
+	14050 9450 14050 9850
+Connection ~ 14050 9450
+Wire Wire Line
+	13950 9650 14050 9650
+Connection ~ 14050 9650
+Wire Wire Line
+	13350 9550 13350 9650
+Connection ~ 12000 2400
+Connection ~ 12000 6500
+Connection ~ 12000 6400
+Connection ~ 12000 5800
+Connection ~ 12000 5900
+Wire Wire Line
+	12000 5900 11700 5900
+Wire Wire Line
+	12000 4600 12000 5500
+Wire Wire Line
+	12000 2200 12000 4500
+Wire Wire Line
+	11750 4400 12000 4400
+Wire Wire Line
+	7900 5500 8350 5500
+Wire Wire Line
+	8350 5600 7900 5600
+Wire Wire Line
+	2500 10750 2750 10750
+Wire Wire Line
+	2750 10850 2500 10850
+Wire Wire Line
+	3800 3400 3700 3400
+Wire Wire Line
+	12050 8450 12400 8450
+Wire Wire Line
+	11950 9400 12300 9400
+Wire Wire Line
+	13950 9450 15500 9450
+Wire Wire Line
+	5800 4600 5700 4600
+Wire Wire Line
+	5050 4500 5800 4500
+Connection ~ 2550 4600
+Wire Wire Line
+	7800 10250 7900 10250
+Wire Wire Line
+	7800 9250 10150 9250
+Connection ~ 7900 9150
+Wire Wire Line
+	6350 8700 6450 8700
+Wire Wire Line
+	6450 8700 6450 8550
+Wire Wire Line
+	6450 8550 6600 8550
+Wire Wire Line
+	5950 8700 5900 8700
+Connection ~ 5900 9000
+Wire Wire Line
+	6450 9000 6350 9000
+Connection ~ 6500 9300
+Wire Wire Line
+	2550 5400 2850 5400
+Wire Wire Line
+	2550 5500 2850 5500
+Connection ~ 12000 2300
+Wire Wire Line
+	6600 10400 6350 10400
+Wire Wire Line
+	13700 2200 14950 2200
+Wire Wire Line
+	13700 2100 14950 2100
+Connection ~ 14750 8800
+Wire Wire Line
+	14300 9850 15350 9850
+Connection ~ 15100 9850
+Connection ~ 14650 9850
+Wire Wire Line
+	11950 9500 12300 9500
+Connection ~ 12350 8350
+Connection ~ 12250 9400
+Wire Wire Line
+	7800 7650 7800 8300
+Wire Wire Line
+	7800 7950 7300 7950
+Connection ~ 7900 9250
+Wire Wire Line
+	7800 8550 8050 8550
+Wire Wire Line
+	7800 10450 8150 10450
+Connection ~ 8600 10550
+Connection ~ 8950 10550
+Connection ~ 9300 10550
+Wire Wire Line
+	7800 9950 8600 9950
+Wire Wire Line
+	8600 9950 8600 10550
+Wire Wire Line
+	8600 10550 9450 10550
+Connection ~ 10000 10550
+Connection ~ 10350 10550
+Connection ~ 10700 10550
+Wire Wire Line
+	10000 10950 10700 10950
+Connection ~ 10350 10950
+Wire Wire Line
+	10350 10950 10350 11050
+Wire Wire Line
+	10000 10550 10850 10550
+Connection ~ 7900 7950
+Wire Wire Line
+	8050 8550 8050 8500
+Wire Wire Line
+	8350 8650 8350 8500
+Wire Wire Line
+	8650 8750 8650 8500
+Wire Wire Line
+	7800 8750 8650 8750
+Wire Wire Line
+	7800 8850 8950 8850
+Wire Wire Line
+	8950 8850 8950 8500
+Wire Wire Line
+	7800 8950 9250 8950
+Wire Wire Line
+	9250 8950 9250 8500
+Wire Wire Line
+	8050 8100 10550 8100
+Connection ~ 8350 8100
+Connection ~ 8950 8100
+Connection ~ 8650 8100
+Connection ~ 9250 8100
+Wire Wire Line
+	9550 9050 9550 8500
+Wire Wire Line
+	10150 9250 10150 8500
+Wire Wire Line
+	7800 9350 11050 9350
+Connection ~ 11200 9450
+Connection ~ 10900 9450
+Connection ~ 10400 9350
+Wire Wire Line
+	10900 9850 11300 9850
+Connection ~ 11200 9850
+Wire Wire Line
+	10000 10550 10000 10250
+Wire Wire Line
+	10000 10250 8700 10250
+Wire Wire Line
+	8700 10250 8700 9750
+Wire Wire Line
+	8700 9850 8450 9850
+Wire Wire Line
+	8700 9750 7800 9750
+Connection ~ 8700 9850
+Wire Wire Line
+	9950 9950 10400 9950
+Connection ~ 10250 9950
+Wire Wire Line
+	9000 10050 9450 10050
+Connection ~ 9300 10050
+Wire Wire Line
+	14400 8400 14400 8250
+Wire Wire Line
+	14400 8250 14450 8250
+Connection ~ 14400 8400
+Connection ~ 14750 8400
+Wire Wire Line
+	14400 8800 15600 8800
+Connection ~ 15100 8800
+Wire Wire Line
+	7900 7950 8000 7950
+Wire Wire Line
+	5250 10200 5250 10450
+Connection ~ 5250 10200
+Wire Wire Line
+	5350 9800 6250 9800
+Connection ~ 5850 9800
+Connection ~ 5450 9800
+Wire Wire Line
+	12050 8350 12400 8350
+Wire Wire Line
+	11600 10050 11600 10500
+Wire Wire Line
+	12000 10050 12400 10050
+Connection ~ 12150 10050
+Wire Wire Line
+	12000 10350 12150 10350
+Connection ~ 12150 10350
+Connection ~ 11600 10350
+Connection ~ 6250 10200
+Connection ~ 5850 10200
+Connection ~ 5450 10200
+Connection ~ 6600 10200
+Connection ~ 10250 9550
+Connection ~ 9950 9550
+Connection ~ 9300 9650
+Connection ~ 9000 9650
+Wire Wire Line
+	8950 10950 8950 11050
+Connection ~ 8950 10950
+Wire Wire Line
+	8600 10950 9300 10950
+Connection ~ 15100 9450
+Connection ~ 14650 9450
+Connection ~ 14300 9450
+Connection ~ 10150 8100
+Connection ~ 9850 8100
+Connection ~ 9550 8100
+Wire Wire Line
+	11950 4800 12000 4800
+Wire Wire Line
+	2550 4600 2650 4600
+Wire Wire Line
+	14950 2100 14950 1750
+Wire Wire Line
+	14950 2350 15400 2350
+Wire Wire Line
+	15500 900  15700 900 
+Wire Wire Line
+	15700 900  15700 1400
+Wire Wire Line
+	15700 1400 15500 1400
+Wire Wire Line
+	15800 1100 15700 1100
+Connection ~ 15700 1100
+Wire Wire Line
+	15100 900  14900 900 
+Wire Wire Line
+	14250 850  14900 850 
+Wire Wire Line
+	14900 850  14900 900 
+Connection ~ 14900 900 
+Wire Wire Line
+	15100 1400 14900 1400
+Wire Wire Line
+	14900 1400 14900 1450
+Wire Wire Line
+	14900 1450 14550 1450
+Connection ~ 14900 1400
+Wire Wire Line
+	13700 2000 14550 2000
+Wire Wire Line
+	14550 2000 14550 1450
+Connection ~ 14550 1450
+Wire Wire Line
+	14250 850  14250 1900
+Wire Wire Line
+	14250 1900 13700 1900
+Connection ~ 14550 850 
+Wire Wire Line
+	5800 5600 5500 5600
+Wire Wire Line
+	5800 5700 5500 5700
+Wire Wire Line
+	5050 5600 5250 5600
+Wire Wire Line
+	5050 5700 5250 5700
+Wire Wire Line
+	5100 7250 5400 7250
+Wire Wire Line
+	4600 7250 4400 7250
+Wire Wire Line
+	5100 7450 5400 7450
+Wire Wire Line
+	4600 7450 4400 7450
+Wire Wire Line
+	13700 5700 13750 5700
+Wire Wire Line
+	14250 5700 15450 5700
+Wire Wire Line
+	13700 5800 14250 5800
+Wire Wire Line
+	14750 5800 15450 5800
+Wire Wire Line
+	13700 5900 13750 5900
+Wire Wire Line
+	14250 5900 15450 5900
+Wire Wire Line
+	14750 6000 15450 6000
+Wire Wire Line
+	14250 6000 13700 6000
+Wire Wire Line
+	13700 6100 13750 6100
+Wire Wire Line
+	14250 6100 15450 6100
+Wire Wire Line
+	15450 6200 14750 6200
+Wire Wire Line
+	14750 6200 14750 6450
+Wire Wire Line
+	14850 6450 14850 6100
+Connection ~ 14850 6100
+Wire Wire Line
+	14950 6450 14950 6000
+Connection ~ 14950 6000
+Connection ~ 14750 6200
+Wire Wire Line
+	15050 6450 15050 5900
+Connection ~ 15050 5900
+Wire Wire Line
+	15150 6450 15150 5800
+Connection ~ 15150 5800
+Wire Wire Line
+	15250 6450 15250 5700
+Connection ~ 15250 5700
+Wire Wire Line
+	15450 6400 15450 6700
+Wire Wire Line
+	15450 6700 15500 6700
+Connection ~ 15450 6600
+Wire Wire Line
+	14750 6950 15550 6950
+Connection ~ 14850 6950
+Connection ~ 14950 6950
+Connection ~ 15050 6950
+Connection ~ 15150 6950
+Connection ~ 15250 6950
+Connection ~ 15450 6500
+Wire Wire Line
+	15450 6300 15350 6300
+Wire Wire Line
+	15350 6300 15350 7050
+Connection ~ 15350 6950
+Wire Wire Line
+	6600 10650 6500 10650
+Wire Wire Line
+	6500 10650 6500 11000
+Wire Wire Line
+	6500 11000 6650 11000
+Wire Wire Line
+	6250 10550 6600 10550
+Wire Wire Line
+	5850 10400 5850 10550
+Connection ~ 5850 10400
+Wire Wire Line
+	5650 10400 5850 10400
+Wire Wire Line
+	5650 11050 5650 11000
+Wire Wire Line
+	5850 10950 5850 11000
+Wire Wire Line
+	5850 11000 5650 11000
+Connection ~ 5650 11000
+Wire Wire Line
+	5100 10200 6600 10200
+Wire Wire Line
+	7900 7950 7900 9250
+Wire Wire Line
+	5550 8250 5350 8250
+Wire Wire Line
+	5500 5800 5800 5800
+Wire Wire Line
+	5500 5900 5800 5900
+Wire Wire Line
+	5500 6000 5800 6000
+Wire Wire Line
+	5500 6100 5800 6100
+Wire Wire Line
+	5500 6200 5800 6200
+Wire Wire Line
+	5050 5800 5250 5800
+Wire Wire Line
+	5250 5900 5050 5900
+Wire Wire Line
+	5250 6000 5050 6000
+Wire Wire Line
+	5250 6100 5050 6100
+Wire Wire Line
+	5250 6200 5050 6200
+Wire Wire Line
+	5900 9400 6600 9400
+Wire Wire Line
+	7800 9150 7900 9150
+Wire Wire Line
+	14600 2700 14700 2700
+Connection ~ 14600 2700
+Wire Wire Line
+	1300 5100 1200 5100
+Wire Wire Line
+	5800 5400 5500 5400
+Wire Wire Line
+	5800 5500 5500 5500
+Wire Wire Line
+	5050 5500 5250 5500
+Wire Wire Line
+	5050 5400 5250 5400
+Connection ~ 15500 8800
+Connection ~ 15100 8400
+Wire Wire Line
+	15100 9450 15100 9250
+Wire Wire Line
+	9300 10550 9300 10400
+Wire Wire Line
+	10700 10550 10700 10350
+Connection ~ 7800 7950
+Wire Wire Line
+	9550 4400 9300 4400
+Wire Wire Line
+	9300 4500 9550 4500
+Wire Wire Line
+	9550 4600 9300 4600
+Wire Wire Line
+	9300 4700 9550 4700
+Wire Wire Line
+	9550 4800 9300 4800
+Wire Wire Line
+	9300 4900 9550 4900
+Wire Wire Line
+	9550 5000 9300 5000
+Wire Wire Line
+	9300 5100 9550 5100
+Wire Wire Line
+	2800 4450 2550 4450
+Connection ~ 2550 4500
+Wire Wire Line
+	14950 2200 14950 2350
+Wire Wire Line
+	13700 4900 14050 4900
+Wire Wire Line
+	13700 5000 14050 5000
+Wire Wire Line
+	13700 5100 14050 5100
+Wire Wire Line
+	13700 5200 14050 5200
+Wire Wire Line
+	550  9400 850  9400
+Wire Wire Line
+	550  9500 850  9500
+Wire Wire Line
+	550  9600 850  9600
+Wire Wire Line
+	550  9700 850  9700
+Wire Wire Line
+	550  9800 850  9800
+Wire Wire Line
+	550  9900 850  9900
+Wire Wire Line
+	550  10000 850  10000
+Wire Wire Line
+	550  10100 850  10100
+Wire Wire Line
+	550  10200 850  10200
+Wire Wire Line
+	550  10300 850  10300
+Wire Wire Line
+	550  10400 850  10400
+Wire Wire Line
+	550  10500 850  10500
+Wire Wire Line
+	550  10600 850  10600
+Wire Wire Line
+	550  10700 850  10700
+Wire Wire Line
+	550  10800 850  10800
+Wire Wire Line
+	550  10900 850  10900
+Wire Wire Line
+	550  11000 850  11000
+Wire Wire Line
+	550  11100 850  11100
+Wire Wire Line
+	8050 5700 8350 5700
+Wire Wire Line
+	8050 5800 8350 5800
+Wire Wire Line
+	8050 5900 8350 5900
+Wire Wire Line
+	8050 6000 8350 6000
+Wire Wire Line
+	8050 6100 8350 6100
+Wire Wire Line
+	8050 6200 8350 6200
+Wire Wire Line
+	8050 6300 8350 6300
+Wire Wire Line
+	8050 6400 8350 6400
+Wire Wire Line
+	8050 6500 8350 6500
+Wire Wire Line
+	8050 6600 8350 6600
+Wire Wire Line
+	8050 6700 8350 6700
+Wire Wire Line
+	8050 6800 8350 6800
+Wire Wire Line
+	8050 6900 8350 6900
+Wire Wire Line
+	8050 7000 8350 7000
+Wire Wire Line
+	8050 7100 8350 7100
+Wire Wire Line
+	8050 7200 8350 7200
+Wire Wire Line
+	8050 7300 8350 7300
+Wire Wire Line
+	8050 7400 8350 7400
+Wire Wire Line
+	3600 10300 3850 10300
+Wire Wire Line
+	3600 10200 3850 10200
+Wire Wire Line
+	3600 10100 3850 10100
+Wire Wire Line
+	3600 10000 3850 10000
+Wire Wire Line
+	3600 9900 3850 9900
+Wire Wire Line
+	3600 9800 3850 9800
+Wire Wire Line
+	3600 9700 3850 9700
+Wire Wire Line
+	9250 6800 9550 6800
+Wire Wire Line
+	9250 6900 9550 6900
+Wire Wire Line
+	9250 7000 9550 7000
+Wire Wire Line
+	9250 7100 9550 7100
+Wire Wire Line
+	9250 7200 9550 7200
+Wire Wire Line
+	9250 7300 9550 7300
+Wire Wire Line
+	9250 7400 9550 7400
+Wire Wire Line
+	3600 7600 3850 7600
+Wire Wire Line
+	3600 7700 3850 7700
+Wire Wire Line
+	3850 7800 3600 7800
+Wire Wire Line
+	3600 7900 3850 7900
+Wire Wire Line
+	3600 8000 3850 8000
+Wire Wire Line
+	3600 8100 3850 8100
+Wire Wire Line
+	3600 8200 3850 8200
+Wire Wire Line
+	3600 8300 3850 8300
+Wire Wire Line
+	3600 8400 3850 8400
+Wire Wire Line
+	3600 8500 3850 8500
+Wire Wire Line
+	3600 8600 3850 8600
+Wire Wire Line
+	3600 8700 3850 8700
+Wire Wire Line
+	3600 8800 3850 8800
+Wire Wire Line
+	3600 8900 3850 8900
+Wire Wire Line
+	3600 9000 3850 9000
+Wire Wire Line
+	3600 9100 3850 9100
+Wire Wire Line
+	3600 9200 3850 9200
+Wire Wire Line
+	3600 9300 3850 9300
+Wire Wire Line
+	3600 9400 3850 9400
+Wire Wire Line
+	3600 9500 3850 9500
+Wire Wire Line
+	3850 9600 3600 9600
+Wire Wire Line
+	10500 5400 10750 5400
+Wire Wire Line
+	10500 5500 10750 5500
+Wire Wire Line
+	10750 5600 10500 5600
+Wire Wire Line
+	10500 5700 10750 5700
+Wire Wire Line
+	10500 5800 10750 5800
+Wire Wire Line
+	10500 5900 10750 5900
+Wire Wire Line
+	10500 6000 10750 6000
+Wire Wire Line
+	10500 6100 10750 6100
+Wire Wire Line
+	10500 6200 10750 6200
+Wire Wire Line
+	10500 6300 10750 6300
+Wire Wire Line
+	10500 6400 10750 6400
+Wire Wire Line
+	10500 6500 10750 6500
+Wire Wire Line
+	10500 6600 10750 6600
+Wire Wire Line
+	10500 6700 10750 6700
+Wire Wire Line
+	10500 6800 10750 6800
+Wire Wire Line
+	10500 6900 10750 6900
+Wire Wire Line
+	10500 7000 10750 7000
+Wire Wire Line
+	10500 7100 10750 7100
+Wire Wire Line
+	10500 7200 10750 7200
+Wire Wire Line
+	10500 7300 10750 7300
+Wire Wire Line
+	10750 7400 10500 7400
+Wire Wire Line
+	4250 8250 4500 8250
+Wire Wire Line
+	4250 8350 4500 8350
+Wire Wire Line
+	4500 8450 4250 8450
+Wire Wire Line
+	4250 8550 4500 8550
+Wire Wire Line
+	4250 8650 4500 8650
+Wire Wire Line
+	4250 8750 4500 8750
+Wire Wire Line
+	4250 8850 4500 8850
+Wire Wire Line
+	4250 8950 4500 8950
+Wire Wire Line
+	4250 9050 4500 9050
+Wire Wire Line
+	4250 9150 4500 9150
+Wire Wire Line
+	4250 9250 4500 9250
+Wire Wire Line
+	4250 9350 4500 9350
+Wire Wire Line
+	4250 9450 4500 9450
+Wire Wire Line
+	4250 9550 4500 9550
+Wire Wire Line
+	4250 9650 4500 9650
+Wire Wire Line
+	4250 9750 4500 9750
+Wire Wire Line
+	4250 9850 4500 9850
+Wire Wire Line
+	4250 9950 4500 9950
+Wire Wire Line
+	4250 10050 4500 10050
+Wire Wire Line
+	4250 10150 4500 10150
+Wire Wire Line
+	4500 10250 4250 10250
+Wire Wire Line
+	4250 8150 4500 8150
+Wire Wire Line
+	10500 3300 10750 3300
+Wire Wire Line
+	10500 3400 10750 3400
+Wire Wire Line
+	10750 3500 10500 3500
+Wire Wire Line
+	10500 3600 10750 3600
+Wire Wire Line
+	10500 3700 10750 3700
+Wire Wire Line
+	10500 3800 10750 3800
+Wire Wire Line
+	10500 3900 10750 3900
+Wire Wire Line
+	10500 4000 10750 4000
+Wire Wire Line
+	10500 4100 10750 4100
+Wire Wire Line
+	10500 4200 10750 4200
+Wire Wire Line
+	10500 4300 10750 4300
+Wire Wire Line
+	10500 4400 10750 4400
+Wire Wire Line
+	10500 4500 10750 4500
+Wire Wire Line
+	10500 4600 10750 4600
+Wire Wire Line
+	10500 4700 10750 4700
+Wire Wire Line
+	10500 4800 10750 4800
+Wire Wire Line
+	10500 4900 10750 4900
+Wire Wire Line
+	10500 5000 10750 5000
+Wire Wire Line
+	10500 5100 10750 5100
+Wire Wire Line
+	10500 5200 10750 5200
+Wire Wire Line
+	10750 5300 10500 5300
+Wire Wire Line
+	10500 3200 10750 3200
+Wire Wire Line
+	1900 8950 2150 8950
+Wire Wire Line
+	1900 9050 2150 9050
+Wire Wire Line
+	2150 9150 1900 9150
+Wire Wire Line
+	1900 9250 2150 9250
+Wire Wire Line
+	1900 9350 2150 9350
+Wire Wire Line
+	1900 9450 2150 9450
+Wire Wire Line
+	1900 9550 2150 9550
+Wire Wire Line
+	1900 9650 2150 9650
+Wire Wire Line
+	1900 9750 2150 9750
+Wire Wire Line
+	1900 9850 2150 9850
+Wire Wire Line
+	1900 9950 2150 9950
+Wire Wire Line
+	1900 10050 2150 10050
+Wire Wire Line
+	1900 10150 2150 10150
+Wire Wire Line
+	1900 10250 2150 10250
+Wire Wire Line
+	1900 10350 2150 10350
+Wire Wire Line
+	1900 10450 2150 10450
+Wire Wire Line
+	1900 10550 2150 10550
+Wire Wire Line
+	1900 10650 2150 10650
+Wire Wire Line
+	1900 10750 2150 10750
+Wire Wire Line
+	1900 10850 2150 10850
+Wire Wire Line
+	2150 10950 1900 10950
+Wire Wire Line
+	8100 1200 8350 1200
+Wire Wire Line
+	8100 1300 8350 1300
+Wire Wire Line
+	8350 1400 8100 1400
+Wire Wire Line
+	8100 1500 8350 1500
+Wire Wire Line
+	8100 1600 8350 1600
+Wire Wire Line
+	8100 1700 8350 1700
+Wire Wire Line
+	8100 1800 8350 1800
+Wire Wire Line
+	8100 1900 8350 1900
+Wire Wire Line
+	8100 2000 8350 2000
+Wire Wire Line
+	8100 2100 8350 2100
+Wire Wire Line
+	8100 2200 8350 2200
+Wire Wire Line
+	8100 2300 8350 2300
+Wire Wire Line
+	8100 2400 8350 2400
+Wire Wire Line
+	8100 2500 8350 2500
+Wire Wire Line
+	8100 2600 8350 2600
+Wire Wire Line
+	8100 2700 8350 2700
+Wire Wire Line
+	8100 2800 8350 2800
+Wire Wire Line
+	8100 2900 8350 2900
+Wire Wire Line
+	8100 3000 8350 3000
+Wire Wire Line
+	8100 3100 8350 3100
+Wire Wire Line
+	8350 3200 8100 3200
+Wire Wire Line
+	1900 8850 2150 8850
+Wire Wire Line
+	1900 8750 2150 8750
+Wire Wire Line
+	2150 8650 1900 8650
+Wire Wire Line
+	1900 8550 2150 8550
+Wire Wire Line
+	9300 1200 9550 1200
+Wire Wire Line
+	9300 1300 9550 1300
+Wire Wire Line
+	9550 1400 9300 1400
+Wire Wire Line
+	9300 1500 9550 1500
+Wire Wire Line
+	3100 8550 3350 8550
+Wire Wire Line
+	3100 8450 3350 8450
+Wire Wire Line
+	3350 8350 3100 8350
+Wire Wire Line
+	3100 10050 3350 10050
+Wire Wire Line
+	3100 9950 3350 9950
+Wire Wire Line
+	3100 9850 3350 9850
+Wire Wire Line
+	3350 9750 3100 9750
+Wire Wire Line
+	3100 9650 3350 9650
+Wire Wire Line
+	3100 9550 3350 9550
+Wire Wire Line
+	3100 9450 3350 9450
+Wire Wire Line
+	3350 9350 3100 9350
+Wire Wire Line
+	3100 9250 3350 9250
+Wire Wire Line
+	9300 5200 9550 5200
+Wire Wire Line
+	9300 5300 9550 5300
+Wire Wire Line
+	9550 5400 9300 5400
+Wire Wire Line
+	9300 5500 9550 5500
+Wire Wire Line
+	15250 3550 15450 3550
+Wire Wire Line
+	15450 3850 15150 3850
+Wire Wire Line
+	15150 3950 15450 3950
+Wire Wire Line
+	15100 3100 14900 3100
+Wire Wire Line
+	14900 3200 15100 3200
+Wire Wire Line
+	14900 3300 15100 3300
+Wire Wire Line
+	15450 3650 15200 3650
+Wire Wire Line
+	15200 3750 15450 3750
+Wire Wire Line
+	15150 4050 15450 4050
+Wire Wire Line
+	15150 4150 15450 4150
+Wire Wire Line
+	15150 4250 15450 4250
+Wire Wire Line
+	4500 10950 4200 10950
+Wire Wire Line
+	4200 11050 4500 11050
+Wire Wire Line
+	4500 10750 4200 10750
+Wire Wire Line
+	4200 10850 4500 10850
+Wire Wire Line
+	13700 5300 14050 5300
+Wire Wire Line
+	14050 5400 13700 5400
+Wire Wire Line
+	4500 10550 4200 10550
+Wire Wire Line
+	4500 10650 4200 10650
+Wire Wire Line
+	1300 9050 1550 9050
+Wire Wire Line
+	1300 9150 1550 9150
+Wire Wire Line
+	1550 9250 1300 9250
+Wire Wire Line
+	1100 9350 1550 9350
+Wire Wire Line
+	1100 9450 1550 9450
+Wire Wire Line
+	1100 9550 1550 9550
+Wire Wire Line
+	1100 9650 1550 9650
+Wire Wire Line
+	1300 9750 1550 9750
+Wire Wire Line
+	1300 9850 1550 9850
+Wire Wire Line
+	1300 9950 1550 9950
+Wire Wire Line
+	1300 10050 1550 10050
+Wire Wire Line
+	1300 10150 1550 10150
+Wire Wire Line
+	1300 10250 1550 10250
+Wire Wire Line
+	1300 10350 1550 10350
+Wire Wire Line
+	1300 10450 1550 10450
+Wire Wire Line
+	1300 10550 1550 10550
+Wire Wire Line
+	1300 10650 1550 10650
+Wire Wire Line
+	1300 10750 1550 10750
+Wire Wire Line
+	1300 10850 1550 10850
+Wire Wire Line
+	1300 8950 1550 8950
+Wire Wire Line
+	1150 8850 1550 8850
+Wire Wire Line
+	1550 8750 1150 8750
+Wire Wire Line
+	8100 3600 8350 3600
+Wire Wire Line
+	8100 3700 8350 3700
+Wire Wire Line
+	8350 3800 8100 3800
+Wire Wire Line
+	7900 3900 8350 3900
+Wire Wire Line
+	7900 4000 8350 4000
+Wire Wire Line
+	7900 4100 8350 4100
+Wire Wire Line
+	7900 4200 8350 4200
+Wire Wire Line
+	8100 4300 8350 4300
+Wire Wire Line
+	8100 4400 8350 4400
+Wire Wire Line
+	8100 4500 8350 4500
+Wire Wire Line
+	8100 4600 8350 4600
+Wire Wire Line
+	8100 4700 8350 4700
+Wire Wire Line
+	8100 4800 8350 4800
+Wire Wire Line
+	8100 4900 8350 4900
+Wire Wire Line
+	8100 5000 8350 5000
+Wire Wire Line
+	8100 5100 8350 5100
+Wire Wire Line
+	8100 5200 8350 5200
+Wire Wire Line
+	8100 5300 8350 5300
+Wire Wire Line
+	8100 5400 8350 5400
+Wire Wire Line
+	8100 3500 8350 3500
+Wire Wire Line
+	7950 3400 8350 3400
+Wire Wire Line
+	8350 3300 7950 3300
+Wire Wire Line
+	3350 8250 3100 8250
+Wire Wire Line
+	9300 5600 9550 5600
+Wire Wire Line
+	9300 5700 9550 5700
+Wire Wire Line
+	9550 5800 9300 5800
+Wire Wire Line
+	9550 5900 9300 5900
+Wire Wire Line
+	3100 10350 3350 10350
+Wire Wire Line
+	3100 10250 3350 10250
+Wire Wire Line
+	3350 10150 3100 10150
+Wire Wire Line
+	3100 8150 3350 8150
+Wire Wire Line
+	3100 8050 3350 8050
+Wire Wire Line
+	3350 7950 3100 7950
+Wire Wire Line
+	3350 7850 3100 7850
+Wire Wire Line
+	3100 7750 3350 7750
+Wire Wire Line
+	3100 7650 3350 7650
+Wire Wire Line
+	3350 7550 3100 7550
+Wire Wire Line
+	3350 7450 3100 7450
+Wire Wire Line
+	9300 6000 9550 6000
+Wire Wire Line
+	9300 6100 9550 6100
+Wire Wire Line
+	9550 6200 9300 6200
+Wire Wire Line
+	9550 6300 9300 6300
+Wire Wire Line
+	9300 6400 9550 6400
+Wire Wire Line
+	9300 6500 9550 6500
+Wire Wire Line
+	9550 6600 9300 6600
+Wire Wire Line
+	9550 6700 9300 6700
+Wire Wire Line
+	1100 7500 1550 7500
+Wire Wire Line
+	1100 7600 1550 7600
+Wire Wire Line
+	1100 7700 1550 7700
+Wire Wire Line
+	1100 7800 1550 7800
+Wire Wire Line
+	1550 11050 1150 11050
+Wire Wire Line
+	1550 10950 1150 10950
+Text GLabel 13700 7100 2    40   Input ~ 0
+HCEC
+Text GLabel 13700 7400 2    40   Input ~ 0
+HHPD
+Text GLabel 13700 7300 2    40   Input ~ 0
+HSDA
+Text GLabel 13700 7200 2    40   Input ~ 0
+HSCL
+Text GLabel 13700 7000 2    40   Input ~ 0
+HTXCN
+Text GLabel 13700 6900 2    40   Input ~ 0
+HTXCP
+Text GLabel 13700 6800 2    40   Input ~ 0
+HTX0N
+Text GLabel 13700 6700 2    40   Input ~ 0
+HTX0P
+Text GLabel 13700 6600 2    40   Input ~ 0
+HTX1N
+Text GLabel 13700 6500 2    40   Input ~ 0
+HTX1P
+Text GLabel 13700 6400 2    40   Input ~ 0
+HTX2N
+Text GLabel 13700 6300 2    40   Input ~ 0
+HTX2P
+NoConn ~ 850  8300
+NoConn ~ 850  8400
+NoConn ~ 850  8500
+NoConn ~ 850  8600
+NoConn ~ 850  8700
+NoConn ~ 850  8800
+NoConn ~ 850  8900
+NoConn ~ 850  9000
+NoConn ~ 850  9100
+NoConn ~ 850  9200
+NoConn ~ 850  9300
+NoConn ~ 1550 8250
+NoConn ~ 1550 8350
+NoConn ~ 1550 8650
+NoConn ~ 1550 8550
+NoConn ~ 1550 8450
+NoConn ~ 2150 8450
+NoConn ~ 2150 8350
+NoConn ~ 2150 8250
+NoConn ~ 2150 8150
+NoConn ~ 2750 8050
+NoConn ~ 3350 8650
+NoConn ~ 3350 8750
+NoConn ~ 3350 8850
+NoConn ~ 3350 9050
+NoConn ~ 3350 9150
+$Comp
+L GND #PWR047
+U 1 1 51ADE5CD
+P 850 8200
+F 0 "#PWR047" H 850 8200 30  0001 C CNN
+F 1 "GND" H 850 8130 30  0001 C CNN
+	1    850  8200
+	0    1    1    0   
+$EndComp
+$Comp
+L GND #PWR048
+U 1 1 51ADE5D3
+P 1550 8150
+F 0 "#PWR048" H 1550 8150 30  0001 C CNN
+F 1 "GND" H 1550 8080 30  0001 C CNN
+	1    1550 8150
+	0    1    1    0   
+$EndComp
+$Comp
+L GND #PWR049
+U 1 1 51ADE5D9
+P 2150 8050
+F 0 "#PWR049" H 2150 8050 30  0001 C CNN
+F 1 "GND" H 2150 7980 30  0001 C CNN
+	1    2150 8050
+	0    1    1    0   
+$EndComp
+$Comp
+L GND #PWR050
+U 1 1 51ADE5DF
+P 2750 7950
+F 0 "#PWR050" H 2750 7950 30  0001 C CNN
+F 1 "GND" H 2750 7880 30  0001 C CNN
+	1    2750 7950
+	0    1    1    0   
+$EndComp
+$Comp
+L GND #PWR051
+U 1 1 51ADE5E5
+P 3350 8950
+F 0 "#PWR051" H 3350 8950 30  0001 C CNN
+F 1 "GND" H 3350 8880 30  0001 C CNN
+	1    3350 8950
+	0    1    1    0   
+$EndComp
+Wire Wire Line
+	13700 2800 14400 2800
+Wire Wire Line
+	14400 2800 14400 2700
+NoConn ~ 3850 7500
+$Comp
+L GND #PWR052
+U 1 1 51ADE9FA
+P 3850 7400
+F 0 "#PWR052" H 3850 7400 30  0001 C CNN
+F 1 "GND" H 3850 7330 30  0001 C CNN
+	1    3850 7400
+	0    1    1    0   
+$EndComp
+$Comp
+L CONN_10 P14
+U 1 1 51AEBAC0
+P 15800 4000
+F 0 "P14" V 15750 4000 60  0000 C CNN
+F 1 "CONN_10" V 15850 4000 60  0000 C CNN
+	1    15800 4000
+	1    0    0    -1  
+$EndComp
+$Comp
+L GND #PWR053
+U 1 1 51AEBACD
+P 15450 4450
+F 0 "#PWR053" H 15450 4450 30  0001 C CNN
+F 1 "GND" H 15450 4380 30  0001 C CNN
+	1    15450 4450
+	0    1    1    0   
+$EndComp
+NoConn ~ 15450 4350
+Wire Wire Line
+	12150 10350 12150 10050
+Text Label 12150 10050 0    60   ~ 0
+5V_IN
+$EndSCHEMATC
diff --git a/SBC/a10-proto/decouple.sch b/SBC/a10-proto/decouple.sch
new file mode 100644
index 0000000..49c2f45
--- /dev/null
+++ b/SBC/a10-proto/decouple.sch
@@ -0,0 +1,885 @@
+EESchema Schematic File Version 2  date Thu 06 Jun 2013 09:54:25 AM IST
+LIBS:power
+LIBS:device
+LIBS:transistors
+LIBS:conn
+LIBS:linear
+LIBS:regul
+LIBS:cmos4000
+LIBS:adc-dac
+LIBS:memory
+LIBS:xilinx
+LIBS:special
+LIBS:microcontrollers
+LIBS:dsp
+LIBS:microchip
+LIBS:analog_switches
+LIBS:motorola
+LIBS:texas
+LIBS:intel
+LIBS:audio
+LIBS:interface
+LIBS:digital-audio
+LIBS:philips
+LIBS:display
+LIBS:cypress
+LIBS:siliconi
+LIBS:opto
+LIBS:atmel
+LIBS:contrib
+LIBS:valves
+LIBS:A10-plus
+LIBS:myconns
+LIBS:a10-sbc-cache
+EELAYER 27 0
+EELAYER END
+$Descr A4 11693 8268
+encoding utf-8
+Sheet 2 3
+Title ""
+Date "6 jun 2013"
+Rev ""
+Comp ""
+Comment1 ""
+Comment2 ""
+Comment3 ""
+Comment4 ""
+$EndDescr
+Text GLabel 1000 3650 0    60   Input ~ 0
+3.3V
+Text GLabel 950  1450 0    60   Input ~ 0
+1.25V
+Text GLabel 1000 2250 0    60   Input ~ 0
+DRAM_VCC
+Text GLabel 900  750  0    60   Input ~ 0
+1.2V
+$Comp
+L C C49
+U 1 1 515197E6
+P 1000 950
+F 0 "C49" H 1050 1050 50  0000 L CNN
+F 1 ".22u" H 1050 850 50  0000 L CNN
+	1    1000 950 
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C82
+U 1 1 51523C00
+P 1250 2450
+F 0 "C82" H 1300 2550 50  0000 L CNN
+F 1 ".22u" H 1300 2350 50  0000 L CNN
+	1    1250 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C83
+U 1 1 51518E22
+P 1600 2450
+F 0 "C83" H 1650 2550 50  0000 L CNN
+F 1 ".22u" H 1650 2350 50  0000 L CNN
+	1    1600 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C84
+U 1 1 51518E28
+P 1950 2450
+F 0 "C84" H 2000 2550 50  0000 L CNN
+F 1 ".22u" H 2000 2350 50  0000 L CNN
+	1    1950 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C85
+U 1 1 51518E2E
+P 2300 2450
+F 0 "C85" H 2350 2550 50  0000 L CNN
+F 1 ".22u" H 2350 2350 50  0000 L CNN
+	1    2300 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C86
+U 1 1 51518E34
+P 2650 2450
+F 0 "C86" H 2700 2550 50  0000 L CNN
+F 1 ".22u" H 2700 2350 50  0000 L CNN
+	1    2650 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C87
+U 1 1 51518E3A
+P 3000 2450
+F 0 "C87" H 3050 2550 50  0000 L CNN
+F 1 ".22u" H 3050 2350 50  0000 L CNN
+	1    3000 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C88
+U 1 1 51518E40
+P 3350 2450
+F 0 "C88" H 3400 2550 50  0000 L CNN
+F 1 ".22u" H 3400 2350 50  0000 L CNN
+	1    3350 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C89
+U 1 1 51518E46
+P 3700 2450
+F 0 "C89" H 3750 2550 50  0000 L CNN
+F 1 ".22u" H 3750 2350 50  0000 L CNN
+	1    3700 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C90
+U 1 1 51518E4C
+P 4050 2450
+F 0 "C90" H 4100 2550 50  0000 L CNN
+F 1 ".22u" H 4100 2350 50  0000 L CNN
+	1    4050 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C91
+U 1 1 51518E52
+P 4400 2450
+F 0 "C91" H 4450 2550 50  0000 L CNN
+F 1 ".22u" H 4450 2350 50  0000 L CNN
+	1    4400 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C92
+U 1 1 51518E58
+P 4750 2450
+F 0 "C92" H 4800 2550 50  0000 L CNN
+F 1 ".22u" H 4800 2350 50  0000 L CNN
+	1    4750 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C93
+U 1 1 51518E5E
+P 5100 2450
+F 0 "C93" H 5150 2550 50  0000 L CNN
+F 1 ".22u" H 5150 2350 50  0000 L CNN
+	1    5100 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C94
+U 1 1 51518E64
+P 5450 2450
+F 0 "C94" H 5500 2550 50  0000 L CNN
+F 1 ".22u" H 5500 2350 50  0000 L CNN
+	1    5450 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C95
+U 1 1 51518E6A
+P 5800 2450
+F 0 "C95" H 5850 2550 50  0000 L CNN
+F 1 ".22u" H 5850 2350 50  0000 L CNN
+	1    5800 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C96
+U 1 1 51518E70
+P 6150 2450
+F 0 "C96" H 6200 2550 50  0000 L CNN
+F 1 ".22u" H 6200 2350 50  0000 L CNN
+	1    6150 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C97
+U 1 1 51518E76
+P 6500 2450
+F 0 "C97" H 6550 2550 50  0000 L CNN
+F 1 ".22u" H 6550 2350 50  0000 L CNN
+	1    6500 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C98
+U 1 1 51518E7C
+P 6850 2450
+F 0 "C98" H 6900 2550 50  0000 L CNN
+F 1 ".22u" H 6900 2350 50  0000 L CNN
+	1    6850 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C99
+U 1 1 51518E82
+P 7200 2450
+F 0 "C99" H 7250 2550 50  0000 L CNN
+F 1 ".22u" H 7250 2350 50  0000 L CNN
+	1    7200 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C100
+U 1 1 51518E88
+P 7550 2450
+F 0 "C100" H 7600 2550 50  0000 L CNN
+F 1 ".22u" H 7600 2350 50  0000 L CNN
+	1    7550 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C101
+U 1 1 51518E8E
+P 7900 2450
+F 0 "C101" H 7950 2550 50  0000 L CNN
+F 1 ".22u" H 7950 2350 50  0000 L CNN
+	1    7900 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C102
+U 1 1 51518E94
+P 8200 2450
+F 0 "C102" H 8250 2550 50  0000 L CNN
+F 1 ".22u" H 8250 2350 50  0000 L CNN
+	1    8200 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C103
+U 1 1 51518E9A
+P 8550 2450
+F 0 "C103" H 8600 2550 50  0000 L CNN
+F 1 ".22u" H 8600 2350 50  0000 L CNN
+	1    8550 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C104
+U 1 1 51518EA0
+P 8900 2450
+F 0 "C104" H 8950 2550 50  0000 L CNN
+F 1 ".22u" H 8950 2350 50  0000 L CNN
+	1    8900 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C105
+U 1 1 51518EA6
+P 9250 2450
+F 0 "C105" H 9300 2550 50  0000 L CNN
+F 1 ".22u" H 9300 2350 50  0000 L CNN
+	1    9250 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C106
+U 1 1 51518EAC
+P 9600 2450
+F 0 "C106" H 9650 2550 50  0000 L CNN
+F 1 ".22u" H 9650 2350 50  0000 L CNN
+	1    9600 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C107
+U 1 1 51518EB2
+P 9950 2450
+F 0 "C107" H 10000 2550 50  0000 L CNN
+F 1 ".22u" H 10000 2350 50  0000 L CNN
+	1    9950 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C50
+U 1 1 5151947E
+P 1350 950
+F 0 "C50" H 1400 1050 50  0000 L CNN
+F 1 ".22u" H 1400 850 50  0000 L CNN
+	1    1350 950 
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C53
+U 1 1 51519484
+P 2400 950
+F 0 "C53" H 2450 1050 50  0000 L CNN
+F 1 ".22u" H 2450 850 50  0000 L CNN
+	1    2400 950 
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C52
+U 1 1 5151948A
+P 2050 950
+F 0 "C52" H 2100 1050 50  0000 L CNN
+F 1 ".22u" H 2100 850 50  0000 L CNN
+	1    2050 950 
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C51
+U 1 1 51519490
+P 1700 950
+F 0 "C51" H 1750 1050 50  0000 L CNN
+F 1 ".22u" H 1750 850 50  0000 L CNN
+	1    1700 950 
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C54
+U 1 1 51519496
+P 2750 950
+F 0 "C54" H 2800 1050 50  0000 L CNN
+F 1 ".22u" H 2800 850 50  0000 L CNN
+	1    2750 950 
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C55
+U 1 1 5151949C
+P 3100 950
+F 0 "C55" H 3150 1050 50  0000 L CNN
+F 1 ".22u" H 3150 850 50  0000 L CNN
+	1    3100 950 
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C56
+U 1 1 515194A2
+P 3450 950
+F 0 "C56" H 3500 1050 50  0000 L CNN
+F 1 ".22u" H 3500 850 50  0000 L CNN
+	1    3450 950 
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C57
+U 1 1 515194A8
+P 1100 1650
+F 0 "C57" H 1150 1750 50  0000 L CNN
+F 1 ".22u" H 1150 1550 50  0000 L CNN
+	1    1100 1650
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C58
+U 1 1 515194AE
+P 1450 1650
+F 0 "C58" H 1500 1750 50  0000 L CNN
+F 1 ".22u" H 1500 1550 50  0000 L CNN
+	1    1450 1650
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C59
+U 1 1 515194B4
+P 1800 1650
+F 0 "C59" H 1850 1750 50  0000 L CNN
+F 1 ".22u" H 1850 1550 50  0000 L CNN
+	1    1800 1650
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C60
+U 1 1 515194BA
+P 1100 3850
+F 0 "C60" H 1150 3950 50  0000 L CNN
+F 1 ".22u" H 1150 3750 50  0000 L CNN
+	1    1100 3850
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C61
+U 1 1 515194C0
+P 1450 3850
+F 0 "C61" H 1500 3950 50  0000 L CNN
+F 1 ".22u" H 1500 3750 50  0000 L CNN
+	1    1450 3850
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C62
+U 1 1 515194C6
+P 1800 3850
+F 0 "C62" H 1850 3950 50  0000 L CNN
+F 1 ".22u" H 1850 3750 50  0000 L CNN
+	1    1800 3850
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C63
+U 1 1 515194D6
+P 2150 3850
+F 0 "C63" H 2200 3950 50  0000 L CNN
+F 1 ".22u" H 2200 3750 50  0000 L CNN
+	1    2150 3850
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C64
+U 1 1 515194DC
+P 2500 3850
+F 0 "C64" H 2550 3950 50  0000 L CNN
+F 1 ".22u" H 2550 3750 50  0000 L CNN
+	1    2500 3850
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C65
+U 1 1 515194E2
+P 2850 3850
+F 0 "C65" H 2900 3950 50  0000 L CNN
+F 1 ".22u" H 2900 3750 50  0000 L CNN
+	1    2850 3850
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C66
+U 1 1 515194E8
+P 3200 3850
+F 0 "C66" H 3250 3950 50  0000 L CNN
+F 1 ".22u" H 3250 3750 50  0000 L CNN
+	1    3200 3850
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C67
+U 1 1 515194EE
+P 3550 3850
+F 0 "C67" H 3600 3950 50  0000 L CNN
+F 1 ".22u" H 3600 3750 50  0000 L CNN
+	1    3550 3850
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C68
+U 1 1 515194F4
+P 3900 3850
+F 0 "C68" H 3950 3950 50  0000 L CNN
+F 1 ".22u" H 3950 3750 50  0000 L CNN
+	1    3900 3850
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C69
+U 1 1 51519600
+P 4250 3850
+F 0 "C69" H 4300 3950 50  0000 L CNN
+F 1 ".22u" H 4300 3750 50  0000 L CNN
+	1    4250 3850
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C70
+U 1 1 51519606
+P 4600 3850
+F 0 "C70" H 4650 3950 50  0000 L CNN
+F 1 ".22u" H 4650 3750 50  0000 L CNN
+	1    4600 3850
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C72
+U 1 1 5151960C
+P 5300 3850
+F 0 "C72" H 5350 3950 50  0000 L CNN
+F 1 ".22u" H 5350 3750 50  0000 L CNN
+	1    5300 3850
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C71
+U 1 1 51519612
+P 4950 3850
+F 0 "C71" H 5000 3950 50  0000 L CNN
+F 1 ".22u" H 5000 3750 50  0000 L CNN
+	1    4950 3850
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C73
+U 1 1 51519618
+P 7800 1800
+F 0 "C73" H 7850 1900 50  0000 L CNN
+F 1 ".22u" H 7850 1700 50  0000 L CNN
+	1    7800 1800
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C74
+U 1 1 5151961E
+P 8150 1800
+F 0 "C74" H 8200 1900 50  0000 L CNN
+F 1 ".22u" H 8200 1700 50  0000 L CNN
+	1    8150 1800
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C75
+U 1 1 51519624
+P 8500 1800
+F 0 "C75" H 8550 1900 50  0000 L CNN
+F 1 ".22u" H 8550 1700 50  0000 L CNN
+	1    8500 1800
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C76
+U 1 1 5151962A
+P 8850 1800
+F 0 "C76" H 8900 1900 50  0000 L CNN
+F 1 ".22u" H 8900 1700 50  0000 L CNN
+	1    8850 1800
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C109
+U 1 1 515198E6
+P 1300 3050
+F 0 "C109" H 1350 3150 50  0000 L CNN
+F 1 ".22u" H 1350 2950 50  0000 L CNN
+	1    1300 3050
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C110
+U 1 1 51519944
+P 1650 3050
+F 0 "C110" H 1700 3150 50  0000 L CNN
+F 1 ".22u" H 1700 2950 50  0000 L CNN
+	1    1650 3050
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C111
+U 1 1 5151994A
+P 2000 3050
+F 0 "C111" H 2050 3150 50  0000 L CNN
+F 1 ".22u" H 2050 2950 50  0000 L CNN
+	1    2000 3050
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C112
+U 1 1 51519950
+P 2350 3050
+F 0 "C112" H 2400 3150 50  0000 L CNN
+F 1 ".22u" H 2400 2950 50  0000 L CNN
+	1    2350 3050
+	1    0    0    -1  
+$EndComp
+$Comp
+L GND #PWR054
+U 1 1 51513645
+P 900 1150
+F 0 "#PWR054" H 900 1150 30  0001 C CNN
+F 1 "GND" H 900 1080 30  0001 C CNN
+	1    900  1150
+	0    1    1    0   
+$EndComp
+$Comp
+L GND #PWR055
+U 1 1 515136F7
+P 1000 1850
+F 0 "#PWR055" H 1000 1850 30  0001 C CNN
+F 1 "GND" H 1000 1780 30  0001 C CNN
+	1    1000 1850
+	0    1    1    0   
+$EndComp
+$Comp
+L GND #PWR056
+U 1 1 515136FD
+P 1000 4050
+F 0 "#PWR056" H 1000 4050 30  0001 C CNN
+F 1 "GND" H 1000 3980 30  0001 C CNN
+	1    1000 4050
+	0    1    1    0   
+$EndComp
+$Comp
+L GND #PWR057
+U 1 1 51513850
+P 1150 2650
+F 0 "#PWR057" H 1150 2650 30  0001 C CNN
+F 1 "GND" H 1150 2580 30  0001 C CNN
+	1    1150 2650
+	0    1    1    0   
+$EndComp
+$Comp
+L C C108
+U 1 1 51513AB2
+P 10300 2450
+F 0 "C108" H 10200 2350 50  0000 L CNN
+F 1 "22u" H 10100 2550 50  0000 L CNN
+	1    10300 2450
+	-1   0    0    1   
+$EndComp
+$Comp
+L R R33
+U 1 1 515147D0
+P 10750 1850
+F 0 "R33" V 10830 1850 50  0000 C CNN
+F 1 "2k2" V 10750 1850 50  0000 C CNN
+	1    10750 1850
+	0    -1   -1   0   
+$EndComp
+$Comp
+L R R32
+U 1 1 515147DD
+P 10750 1600
+F 0 "R32" V 10830 1600 50  0000 C CNN
+F 1 "2k2" V 10750 1600 50  0000 C CNN
+	1    10750 1600
+	0    -1   -1   0   
+$EndComp
+$Comp
+L GND #PWR058
+U 1 1 51514A33
+P 11050 1600
+F 0 "#PWR058" H 11050 1600 30  0001 C CNN
+F 1 "GND" H 11050 1530 30  0001 C CNN
+	1    11050 1600
+	0    -1   -1   0   
+$EndComp
+$Comp
+L C C80
+U 1 1 51514B03
+P 10250 1800
+F 0 "C80" H 10300 1900 50  0000 L CNN
+F 1 ".22u" H 10300 1700 50  0000 L CNN
+	1    10250 1800
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C79
+U 1 1 51514BCD
+P 9900 1800
+F 0 "C79" H 9950 1900 50  0000 L CNN
+F 1 ".22u" H 9950 1700 50  0000 L CNN
+	1    9900 1800
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C78
+U 1 1 51514BD3
+P 9600 1800
+F 0 "C78" H 9650 1900 50  0000 L CNN
+F 1 ".22u" H 9650 1700 50  0000 L CNN
+	1    9600 1800
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C77
+U 1 1 51514BD9
+P 9250 1800
+F 0 "C77" H 9300 1900 50  0000 L CNN
+F 1 ".22u" H 9300 1700 50  0000 L CNN
+	1    9250 1800
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C81
+U 1 1 51514BDF
+P 10750 2100
+F 0 "C81" V 10850 2200 50  0000 L CNN
+F 1 ".22u" V 10850 1850 50  0000 L CNN
+	1    10750 2100
+	0    -1   -1   0   
+$EndComp
+$Comp
+L GND #PWR059
+U 1 1 515150B9
+P 10300 2000
+F 0 "#PWR059" H 10300 2000 30  0001 C CNN
+F 1 "GND" H 10300 1930 30  0001 C CNN
+	1    10300 2000
+	0    -1   -1   0   
+$EndComp
+Text GLabel 7650 1600 0    60   Input ~ 0
+DRAM_REF
+Text Notes 800  4600 0    100  ~ 0
+After ERC check passed, all Power supply labels are disconnected to do routing\nfirst without decoupling capacitors.
+$Comp
+L GND #PWR060
+U 1 1 518773CA
+P 1200 3250
+F 0 "#PWR060" H 1200 3250 30  0001 C CNN
+F 1 "GND" H 1200 3180 30  0001 C CNN
+	1    1200 3250
+	0    1    1    0   
+$EndComp
+Connection ~ 3450 750 
+Connection ~ 2400 750 
+Connection ~ 9250 1600
+Connection ~ 10250 2000
+Connection ~ 9600 2000
+Connection ~ 9900 2000
+Connection ~ 10250 1600
+Connection ~ 9900 1600
+Connection ~ 9600 1600
+Wire Wire Line
+	7800 2000 10300 2000
+Connection ~ 11050 2100
+Wire Wire Line
+	10950 2100 11050 2100
+Connection ~ 10500 1850
+Wire Wire Line
+	10500 2100 10550 2100
+Wire Wire Line
+	7650 1600 10500 1600
+Wire Wire Line
+	10500 1600 10500 2100
+Wire Wire Line
+	11050 2250 11050 1850
+Wire Wire Line
+	11050 1850 11000 1850
+Wire Wire Line
+	11050 1600 11000 1600
+Connection ~ 10300 2650
+Connection ~ 9950 2650
+Connection ~ 10300 2250
+Connection ~ 9950 2250
+Connection ~ 1250 2650
+Connection ~ 1100 1850
+Connection ~ 1100 4050
+Connection ~ 1000 1150
+Connection ~ 1450 4050
+Connection ~ 1800 4050
+Connection ~ 2150 4050
+Connection ~ 2500 4050
+Connection ~ 2850 4050
+Connection ~ 3200 4050
+Connection ~ 3550 4050
+Connection ~ 3900 4050
+Connection ~ 4250 4050
+Connection ~ 4600 4050
+Connection ~ 4950 4050
+Connection ~ 4950 3650
+Connection ~ 4600 3650
+Connection ~ 4250 3650
+Connection ~ 3900 3650
+Connection ~ 3200 3650
+Connection ~ 2850 3650
+Connection ~ 2500 3650
+Connection ~ 2150 3650
+Connection ~ 1800 3650
+Connection ~ 1450 3650
+Connection ~ 1100 3650
+Connection ~ 7900 2650
+Connection ~ 8200 2650
+Connection ~ 7550 2650
+Connection ~ 8550 2650
+Connection ~ 8900 2650
+Connection ~ 9250 2650
+Connection ~ 9600 2650
+Connection ~ 9600 2250
+Connection ~ 9250 2250
+Connection ~ 8900 2250
+Connection ~ 8550 2250
+Connection ~ 8200 2250
+Connection ~ 7900 2250
+Connection ~ 7550 2250
+Connection ~ 1450 1450
+Connection ~ 6150 2250
+Connection ~ 5450 2650
+Connection ~ 5800 2650
+Connection ~ 5450 2250
+Connection ~ 6150 2650
+Connection ~ 6500 2650
+Connection ~ 6850 2650
+Connection ~ 7200 2650
+Connection ~ 7200 2250
+Connection ~ 6850 2250
+Connection ~ 6500 2250
+Connection ~ 5800 2250
+Connection ~ 1600 2650
+Connection ~ 1950 2650
+Connection ~ 2300 2650
+Connection ~ 2650 2650
+Connection ~ 3000 2650
+Connection ~ 3350 2650
+Connection ~ 3700 2650
+Connection ~ 4050 2650
+Connection ~ 4400 2650
+Connection ~ 4750 2650
+Connection ~ 5100 2650
+Connection ~ 5100 2250
+Connection ~ 4750 2250
+Connection ~ 4400 2250
+Connection ~ 4050 2250
+Connection ~ 3350 2250
+Connection ~ 3000 2250
+Connection ~ 2650 2250
+Connection ~ 2300 2250
+Connection ~ 1950 2250
+Connection ~ 1600 2250
+Connection ~ 1250 2250
+Wire Wire Line
+	1000 2250 11050 2250
+Connection ~ 1450 1850
+Connection ~ 1800 1850
+Connection ~ 1800 1450
+Connection ~ 1100 1450
+Connection ~ 1350 1150
+Connection ~ 1700 1150
+Connection ~ 2050 1150
+Connection ~ 2400 1150
+Connection ~ 2750 1150
+Connection ~ 3100 1150
+Connection ~ 3450 1150
+Connection ~ 3100 750 
+Connection ~ 2750 750 
+Connection ~ 2050 750 
+Connection ~ 1700 750 
+Connection ~ 1350 750 
+Connection ~ 1000 750 
+Wire Wire Line
+	900  750  4150 750 
+Connection ~ 3700 2250
+Wire Wire Line
+	1150 2650 10300 2650
+Connection ~ 3550 3650
+Connection ~ 2000 2850
+Connection ~ 1650 2850
+Wire Wire Line
+	900  2850 900  2400
+Wire Wire Line
+	900  2400 1100 2400
+Wire Wire Line
+	1100 2400 1100 2250
+Connection ~ 1100 2250
+Connection ~ 1300 2850
+Connection ~ 1650 3250
+Connection ~ 2000 3250
+Connection ~ 1300 3250
+Connection ~ 2350 2850
+Connection ~ 2350 3250
+Connection ~ 8850 1600
+Connection ~ 8500 1600
+Connection ~ 9250 2000
+Connection ~ 8850 2000
+Connection ~ 8150 1600
+Connection ~ 8500 2000
+Connection ~ 8150 2000
+Connection ~ 7800 1600
+Wire Wire Line
+	900  1150 4150 1150
+Wire Wire Line
+	950  1450 1800 1450
+Wire Wire Line
+	1000 1850 1800 1850
+Wire Wire Line
+	1000 3650 5300 3650
+Wire Wire Line
+	1000 4050 5300 4050
+Wire Wire Line
+	900  2850 2350 2850
+Wire Wire Line
+	1200 3250 2350 3250
+$EndSCHEMATC
diff --git a/SBC/a10-proto/esbc-modules.mod b/SBC/a10-proto/esbc-modules.mod
new file mode 100644
index 0000000..52ad93b
--- /dev/null
+++ b/SBC/a10-proto/esbc-modules.mod
@@ -0,0 +1,8626 @@
+PCBNEW-LibModule-V1  Wed 05 Jun 2013 10:15:26 AM IST
+# encoding utf-8
+Units mm
+$INDEX
+C2V8
+Crystal-TXC-SMD3.2x2.5
+Crystal_SMD_0603_4Pads_RevA_09Aug2010
+Crystal_SMD_7x5mm
+DB_15F-VGA
+FBGA96-new
+FPC10-0.5mm
+FPC30-0.5mm
+HDMI
+LED-3MM
+PIN_ARRAY_1
+PIN_ARRAY_2X1
+PIN_ARRAY_5x2
+QFN48+1
+RCLAMP
+RJ45-HANRUN
+RJ45_TRANSFO
+SIL-2
+SM0402
+SM0603
+SM0805
+SM1210L
+SO14E
+SODIMM200-JAE
+SOT23-5
+TFBGA441-new
+USB_DA
+USB_Double-from-FCI
+conn_usb_A-vert
+conn_usb_B_micro_smd
+crystal-32k-4.5x1.9mm
+lqfp48
+microsd_socket
+pin2mm-30
+pin_array_4x2
+$EndINDEX
+$MODULE C2V8
+Po 86.4489 31.0134 0 15 46544AA3 00000000 ~~
+Li C2V8
+Cd Condensateur polarise
+Kw CP
+Sc 0
+AR /515489AE
+Op 0 0 0
+T0 0 2.54 1.016 1.016 0 0.2032 N V 21 N "C33"
+T1 0 -2.54 1.016 1.016 0 0.2032 N V 21 N "220u"
+DC 0 0 -4.445 0 0.3048 21
+$PAD
+Sh "1" R 1.778 1.778 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 165 "5V_IN"
+Po -2.54 0
+$EndPAD
+$PAD
+Sh "2" C 1.778 1.778 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 187 "GND"
+Po 2.54 0
+$EndPAD
+$SHAPE3D
+Na "discret/c_vert_c2v10.wrl"
+Sc 1 1 1
+Of 0 0 0
+Ro 0 0 0
+$EndSHAPE3D
+$EndMODULE C2V8
+$MODULE Crystal-TXC-SMD3.2x2.5
+Po 0 0 0 15 51A6B254 00000000 ~~
+Li Crystal-TXC-SMD3.2x2.5
+Cd Crystal, Quarz, SMD, 0603, 4 Pads,
+Kw Crystal, Quarz, SMD, 0603, 4 Pads,
+Sc 0
+AR /51523481
+Op 0 0 0
+At SMD
+T0 0.075 -0.075 1.524 1.524 900 0.3048 N V 21 N "~"
+T1 -0.1 -3 1 1 0 0.2 N I 21 N "24MHz"
+DS -2.13 -1.76 2.08 -1.76 0.2 21
+DS 2.08 -1.76 2.07 1.68 0.2 21
+DS 2.07 1.68 -2.11 1.68 0.2 21
+DS -2.11 1.68 -2.11 -1.78 0.2 21
+$PAD
+Sh "4" R 1.4 1.2 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 2 "N-00000301"
+Po -1.1 -0.85
+$EndPAD
+$PAD
+Sh "2" R 1.4 1.2 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 1 "N-00000300"
+Po 1.1 0.85
+$EndPAD
+$PAD
+Sh "3" R 1.4 1.2 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 1 "N-00000300"
+Po 1.1 -0.85
+$EndPAD
+$PAD
+Sh "1" R 1.4 1.2 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 2 "N-00000301"
+Po -1.1 0.85
+$EndPAD
+$EndMODULE Crystal-TXC-SMD3.2x2.5
+$MODULE Crystal_SMD_0603_4Pads_RevA_09Aug2010
+Po 0 0 0 15 51922935 00000000 ~~
+Li Crystal_SMD_0603_4Pads_RevA_09Aug2010
+Cd Crystal, Quarz, SMD, 0603, 4 Pads,
+Kw Crystal, Quarz, SMD, 0603, 4 Pads,
+Sc 0
+AR /51523481
+Op 0 0 0
+At SMD
+T0 0.075 -0.075 1.524 1.524 900 0.3048 N V 21 N "X2"
+T1 -0.1 -3 1 1 0 0.2 N I 21 N "24MHz"
+DC -0.50038 0.94996 -0.39878 1.04902 0.381 21
+DS 0.70104 1.84912 -0.70104 1.84912 0.381 21
+DS -0.70104 -1.84912 0.70104 -1.84912 0.381 21
+$PAD
+Sh "1" R 1.80086 1.39954 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 2 "N-00000301"
+Po -2.19964 1.19888
+$EndPAD
+$PAD
+Sh "2" R 1.80086 1.39954 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 1 "N-00000300"
+Po 2.19964 1.19888
+$EndPAD
+$PAD
+Sh "2" R 1.80086 1.39954 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 2.19964 -1.19888
+$EndPAD
+$PAD
+Sh "1" R 1.80086 1.39954 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -2.19964 -1.19888
+$EndPAD
+$EndMODULE Crystal_SMD_0603_4Pads_RevA_09Aug2010
+$MODULE Crystal_SMD_7x5mm
+Po 0 0 0 15 519E0235 00000000 ~~
+Li Crystal_SMD_7x5mm
+Cd Crystal, Quarz, SMD, 0603, 4 Pads,
+Kw Crystal, Quarz, SMD, 0603, 4 Pads,
+Sc 0
+AR /51523481
+Op 0 0 0
+At SMD
+T0 0.075 -0.075 1.524 1.524 900 0.3048 N V 21 N "~"
+T1 -0.1 -3 1 1 0 0.2 N I 21 N "24MHz"
+DS -4.6 -2.45 4.65 -2.45 0.15 21
+DS 4.65 -2.45 4.65 2.35 0.15 21
+DS 4.65 2.35 -4.6 2.35 0.15 21
+DS -4.6 2.35 -4.6 -2.45 0.15 21
+$PAD
+Sh "1" R 2.2 1.4 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 2 "N-00000301"
+Po -3.15 1.25
+$EndPAD
+$PAD
+Sh "2" R 2.2 1.4 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 1 "N-00000300"
+Po 3.15 1.25
+$EndPAD
+$PAD
+Sh "2" R 2.2 1.4 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 1 "N-00000300"
+Po 3.15 -1.25
+$EndPAD
+$PAD
+Sh "1" R 2.2 1.4 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 2 "N-00000301"
+Po -3.15 -1.25
+$EndPAD
+$EndMODULE Crystal_SMD_7x5mm
+$MODULE DB_15F-VGA
+Po 49.825 105.1 0 15 515A8448 00000000 ~~
+Li DB_15F-VGA
+Cd D-SUB 15 pin VGA socket, Tyco P/N 440467-1
+Sc 0
+AR /5157D108
+Op 0 0 0
+T0 0 4.699 1.524 1.524 0 0.3048 N V 21 N "J2"
+T1 5.0165 4.826 1.524 1.524 0 0.3048 N V 21 N "DB15"
+DS -10.033 1.27 -10.033 7.112 0.381 21
+DS -10.922 -2.413 -10.16 -1.016 0.381 21
+DS -14.097 -2.413 -14.986 -1.016 0.381 21
+DS -9.017 -7.112 -9.017 -0.635 0.381 21
+DS -15.494 1.27 -15.494 -7.112 0.381 21
+DS 15.494 -7.112 15.494 1.27 0.381 21
+DS 15.494 -7.112 -15.494 -7.112 0.381 21
+DS 9.017 -0.635 9.017 -7.112 0.381 21
+DS 14.097 -2.413 14.859 -1.016 0.381 21
+DS 10.922 -2.413 10.287 -1.016 0.381 21
+DS 10.922 -2.413 14.097 -2.413 0.381 21
+DS -14.097 -2.413 -10.922 -2.413 0.381 21
+DS 14.859 -1.016 10.287 -1.016 0.381 21
+DS 10.287 -1.016 10.287 -0.762 0.381 21
+DS 10.287 -0.762 14.859 -0.762 0.381 21
+DS 14.859 -0.762 14.859 -1.016 0.381 21
+DS -10.16 -1.016 -14.986 -1.016 0.381 21
+DS -14.986 -1.016 -14.986 -0.762 0.381 21
+DS -14.986 -0.762 -10.16 -0.762 0.381 21
+DS -10.16 -0.762 -10.16 -1.016 0.381 21
+DS 11.43 -0.635 11.43 -3.175 0.381 21
+DS 11.43 -3.175 13.716 -3.175 0.381 21
+DS 13.716 -3.175 13.716 -0.635 0.381 21
+DS -13.716 -0.635 -13.716 -3.175 0.381 21
+DS -13.716 -3.175 -11.43 -3.175 0.381 21
+DS -11.43 -3.175 -11.43 -0.635 0.381 21
+DS -15.494 -0.635 15.494 -0.635 0.381 21
+DS -11.049 1.27 -11.049 7.112 0.381 21
+DS -14.097 1.27 -14.097 7.112 0.381 21
+DS 14.097 1.27 14.097 7.112 0.381 21
+DS 11.049 1.27 11.049 7.112 0.381 21
+DS 10.033 1.27 10.033 7.112 0.381 21
+DS 10.033 7.112 15.113 7.112 0.381 21
+DS 15.113 7.112 15.113 1.397 0.381 21
+DS -15.113 1.27 -15.113 7.112 0.381 21
+DS -15.113 7.112 -10.033 7.112 0.381 21
+DS -8.128 1.27 -8.128 7.112 0.381 21
+DS -8.128 7.112 8.128 7.112 0.381 21
+DS 8.128 7.112 8.128 1.27 0.381 21
+DS -15.494 1.27 15.494 1.27 0.381 21
+$PAD
+Sh "" C 5.00126 5.00126 0 0 0
+Dr 3.2004 0 0
+At STD N 0000FFFF
+Ne 0 ""
+Po 12.49426 -2.47142
+$EndPAD
+$PAD
+Sh "3" C 1.19888 1.19888 0 0 0
+Dr 0.65024 0 0
+At STD N 0000FFFF
+Ne 147 "/VGA_B"
+Po -0.2667 -4.4704
+$EndPAD
+$PAD
+Sh "2" C 1.19888 1.19888 0 0 0
+Dr 0.65024 0 0
+At STD N 0000FFFF
+Ne 148 "/VGA_G"
+Po 2.0193 -4.4704
+$EndPAD
+$PAD
+Sh "1" C 1.19888 1.19888 0 0 0
+Dr 0.65024 0 0
+At STD N 0000FFFF
+Ne 149 "/VGA_R"
+Po 4.31038 -4.4704
+$EndPAD
+$PAD
+Sh "4" C 1.19888 1.19888 0 0 0
+Dr 0.65024 0 0
+At STD N 0000FFFF
+Ne 0 ""
+Po -2.56032 -4.4704
+$EndPAD
+$PAD
+Sh "5" C 1.19888 1.19888 0 0 0
+Dr 0.65024 0 0
+At STD N 0000FFFF
+Ne 187 "GND"
+Po -4.84886 -4.4704
+$EndPAD
+$PAD
+Sh "9" C 1.19888 1.19888 0 0 0
+Dr 0.65024 0 0
+At STD N 0000FFFF
+Ne 0 ""
+Po -1.41478 -2.9718
+$EndPAD
+$PAD
+Sh "8" C 1.19888 1.19888 0 0 0
+Dr 0.65024 0 0
+At STD N 0000FFFF
+Ne 187 "GND"
+Po 0.87376 -2.9718
+$EndPAD
+$PAD
+Sh "7" C 1.19888 1.19888 0 0 0
+Dr 0.65024 0 0
+At STD N 0000FFFF
+Ne 187 "GND"
+Po 3.16484 -2.9718
+$EndPAD
+$PAD
+Sh "6" C 1.19888 1.19888 0 0 0
+Dr 0.65024 0 0
+At STD N 0000FFFF
+Ne 187 "GND"
+Po 5.45592 -2.9718
+$EndPAD
+$PAD
+Sh "" C 5.00126 5.00126 0 0 0
+Dr 3.2004 0 0
+At STD N 0000FFFF
+Ne 0 ""
+Po -12.49426 -2.47142
+$EndPAD
+$PAD
+Sh "10" C 1.19888 1.19888 0 0 0
+Dr 0.65024 0 0
+At STD N 0000FFFF
+Ne 187 "GND"
+Po -3.70586 -2.9718
+$EndPAD
+$PAD
+Sh "11" C 1.19888 1.19888 0 0 0
+Dr 0.65024 0 0
+At STD N 0000FFFF
+Ne 187 "GND"
+Po 4.31038 -1.47066
+$EndPAD
+$PAD
+Sh "12" C 1.19888 1.19888 0 0 0
+Dr 0.65024 0 0
+At STD N 0000FFFF
+Ne 0 ""
+Po 2.0193 -1.47066
+$EndPAD
+$PAD
+Sh "13" C 1.19888 1.19888 0 0 0
+Dr 0.65024 0 0
+At STD N 0000FFFF
+Ne 210 "N-00000202"
+Po -0.26924 -1.4732
+$EndPAD
+$PAD
+Sh "14" C 1.19888 1.19888 0 0 0
+Dr 0.65024 0 0
+At STD N 0000FFFF
+Ne 206 "N-00000197"
+Po -2.56032 -1.47066
+$EndPAD
+$PAD
+Sh "15" C 1.19888 1.19888 0 0 0
+Dr 0.65024 0 0
+At STD N 0000FFFF
+Ne 0 ""
+Po -4.84886 -1.47066
+$EndPAD
+$SHAPE3D
+Na "walter/conn_pc/db_15-vga.wrl"
+Sc 1 1 1
+Of 0 0 0
+Ro 0 0 0
+$EndSHAPE3D
+$EndMODULE DB_15F-VGA
+$MODULE FBGA96-new
+Po 46 81 0 15 51920FA0 00000000 ~~
+Li FBGA96-new
+Sc 0
+AR /514D42E8
+Op 0 0 0
+At SMD
+T0 -3.6 -7.6 0.508 0.508 900 0.1016 N V 21 N "U2"
+T1 -0.5 -8.9 0.508 0.508 900 0.1016 N I 21 N "4GBDDR3"
+DS -3.5 -7 -4 -6.5 0.15 21
+DS -4 -7 4 -7 0.15 21
+DS 4 -7 4 7 0.15 21
+DS 4 7 -4 7 0.15 21
+DS -4 7 -4 -7 0.15 21
+$PAD
+Sh "A1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po -3.2 -6
+$EndPAD
+$PAD
+Sh "A2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 13 "/DQ13"
+Po -2.4 -6
+$EndPAD
+$PAD
+Sh "A3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 15 "/DQ15"
+Po -1.6 -6
+$EndPAD
+$PAD
+Sh "A7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 12 "/DQ12"
+Po 1.6 -6
+$EndPAD
+$PAD
+Sh "A8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po 2.4 -6
+$EndPAD
+$PAD
+Sh "A9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 3.2 -6
+$EndPAD
+$PAD
+Sh "B1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -3.2 -5.2
+$EndPAD
+$PAD
+Sh "B2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po -2.4 -5.2
+$EndPAD
+$PAD
+Sh "B3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -1.6 -5.2
+$EndPAD
+$PAD
+Sh "B7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 125 "/SDQS1#"
+Po 1.6 -5.2
+$EndPAD
+$PAD
+Sh "B8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 14 "/DQ14"
+Po 2.4 -5.2
+$EndPAD
+$PAD
+Sh "B9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 3.2 -5.2
+$EndPAD
+$PAD
+Sh "C1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po -3.2 -4.4
+$EndPAD
+$PAD
+Sh "C2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 11 "/DQ11"
+Po -2.4 -4.4
+$EndPAD
+$PAD
+Sh "C3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 39 "/DQ9"
+Po -1.6 -4.4
+$EndPAD
+$PAD
+Sh "C7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 124 "/SDQS1"
+Po 1.6 -4.4
+$EndPAD
+$PAD
+Sh "C8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 10 "/DQ10"
+Po 2.4 -4.4
+$EndPAD
+$PAD
+Sh "C9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po 3.2 -4.4
+$EndPAD
+$PAD
+Sh "D1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -3.2 -3.6
+$EndPAD
+$PAD
+Sh "D2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po -2.4 -3.6
+$EndPAD
+$PAD
+Sh "D3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 119 "/SDQM1"
+Po -1.6 -3.6
+$EndPAD
+$PAD
+Sh "D7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 38 "/DQ8"
+Po 1.6 -3.6
+$EndPAD
+$PAD
+Sh "D8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 2.4 -3.6
+$EndPAD
+$PAD
+Sh "D9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po 3.2 -3.6
+$EndPAD
+$PAD
+Sh "E1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -3.2 -2.8
+$EndPAD
+$PAD
+Sh "E2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -2.4 -2.8
+$EndPAD
+$PAD
+Sh "E3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 8 "/DQ0"
+Po -1.6 -2.8
+$EndPAD
+$PAD
+Sh "E7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 118 "/SDQM0"
+Po 1.6 -2.8
+$EndPAD
+$PAD
+Sh "E8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 2.4 -2.8
+$EndPAD
+$PAD
+Sh "E9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po 3.2 -2.8
+$EndPAD
+$PAD
+Sh "F1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po -3.2 -2
+$EndPAD
+$PAD
+Sh "F2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 20 "/DQ2"
+Po -2.4 -2
+$EndPAD
+$PAD
+Sh "F3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 122 "/SDQS0"
+Po -1.6 -2
+$EndPAD
+$PAD
+Sh "F7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 9 "/DQ1"
+Po 1.6 -2
+$EndPAD
+$PAD
+Sh "F8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 31 "/DQ3"
+Po 2.4 -2
+$EndPAD
+$PAD
+Sh "F9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 3.2 -2
+$EndPAD
+$PAD
+Sh "G1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -3.2 -1.2
+$EndPAD
+$PAD
+Sh "G2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 36 "/DQ6"
+Po -2.4 -1.2
+$EndPAD
+$PAD
+Sh "G3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 123 "/SDQS0#"
+Po -1.6 -1.2
+$EndPAD
+$PAD
+Sh "G7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po 1.6 -1.2
+$EndPAD
+$PAD
+Sh "G8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 2.4 -1.2
+$EndPAD
+$PAD
+Sh "G9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 3.2 -1.2
+$EndPAD
+$PAD
+Sh "H1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 167 "DRAM_REF"
+Po -3.2 -0.4
+$EndPAD
+$PAD
+Sh "H2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po -2.4 -0.4
+$EndPAD
+$PAD
+Sh "H3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 34 "/DQ4"
+Po -1.6 -0.4
+$EndPAD
+$PAD
+Sh "H7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 37 "/DQ7"
+Po 1.6 -0.4
+$EndPAD
+$PAD
+Sh "H8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 35 "/DQ5"
+Po 2.4 -0.4
+$EndPAD
+$PAD
+Sh "H9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po 3.2 -0.4
+$EndPAD
+$PAD
+Sh "J1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -3.2 0.4
+$EndPAD
+$PAD
+Sh "J2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -2.4 0.4
+$EndPAD
+$PAD
+Sh "J3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 130 "/SRAS"
+Po -1.6 0.4
+$EndPAD
+$PAD
+Sh "J7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 4 "/CK"
+Po 1.6 0.4
+$EndPAD
+$PAD
+Sh "J8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 2.4 0.4
+$EndPAD
+$PAD
+Sh "J9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 3.2 0.4
+$EndPAD
+$PAD
+Sh "K1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 90 "/ODT"
+Po -3.2 1.2
+$EndPAD
+$PAD
+Sh "K2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po -2.4 1.2
+$EndPAD
+$PAD
+Sh "K3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 115 "/SCAS"
+Po -1.6 1.2
+$EndPAD
+$PAD
+Sh "K7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 5 "/CK#"
+Po 1.6 1.2
+$EndPAD
+$PAD
+Sh "K8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po 2.4 1.2
+$EndPAD
+$PAD
+Sh "K9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 6 "/CKE"
+Po 3.2 1.2
+$EndPAD
+$PAD
+Sh "L1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -3.2 2
+$EndPAD
+$PAD
+Sh "L2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 7 "/CS#"
+Po -2.4 2
+$EndPAD
+$PAD
+Sh "L3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 132 "/SWE"
+Po -1.6 2
+$EndPAD
+$PAD
+Sh "L7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 102 "/SA10"
+Po 1.6 2
+$EndPAD
+$PAD
+Sh "L8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 215 "N-00000265"
+Po 2.4 2
+$EndPAD
+$PAD
+Sh "L9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 3.2 2
+$EndPAD
+$PAD
+Sh "M1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -3.2 2.8
+$EndPAD
+$PAD
+Sh "M2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 1 "/BA0"
+Po -2.4 2.8
+$EndPAD
+$PAD
+Sh "M3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 3 "/BA2"
+Po -1.6 2.8
+$EndPAD
+$PAD
+Sh "M7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 1.6 2.8
+$EndPAD
+$PAD
+Sh "M8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 167 "DRAM_REF"
+Po 2.4 2.8
+$EndPAD
+$PAD
+Sh "M9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 3.2 2.8
+$EndPAD
+$PAD
+Sh "N1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po -3.2 3.6
+$EndPAD
+$PAD
+Sh "N2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 108 "/SA3"
+Po -2.4 3.6
+$EndPAD
+$PAD
+Sh "N3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 100 "/SA0"
+Po -1.6 3.6
+$EndPAD
+$PAD
+Sh "N7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 104 "/SA12"
+Po 1.6 3.6
+$EndPAD
+$PAD
+Sh "N8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 2 "/BA1"
+Po 2.4 3.6
+$EndPAD
+$PAD
+Sh "N9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po 3.2 3.6
+$EndPAD
+$PAD
+Sh "P1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -3.2 4.4
+$EndPAD
+$PAD
+Sh "P2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 110 "/SA5"
+Po -2.4 4.4
+$EndPAD
+$PAD
+Sh "P3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 107 "/SA2"
+Po -1.6 4.4
+$EndPAD
+$PAD
+Sh "P7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 101 "/SA1"
+Po 1.6 4.4
+$EndPAD
+$PAD
+Sh "P8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 109 "/SA4"
+Po 2.4 4.4
+$EndPAD
+$PAD
+Sh "P9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 3.2 4.4
+$EndPAD
+$PAD
+Sh "R1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po -3.2 5.2
+$EndPAD
+$PAD
+Sh "R2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 112 "/SA7"
+Po -2.4 5.2
+$EndPAD
+$PAD
+Sh "R3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 114 "/SA9"
+Po -1.6 5.2
+$EndPAD
+$PAD
+Sh "R7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 103 "/SA11"
+Po 1.6 5.2
+$EndPAD
+$PAD
+Sh "R8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 111 "/SA6"
+Po 2.4 5.2
+$EndPAD
+$PAD
+Sh "R9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po 3.2 5.2
+$EndPAD
+$PAD
+Sh "T1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -3.2 6
+$EndPAD
+$PAD
+Sh "T2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 131 "/SRST"
+Po -2.4 6
+$EndPAD
+$PAD
+Sh "T3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 105 "/SA13"
+Po -1.6 6
+$EndPAD
+$PAD
+Sh "T7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 106 "/SA14"
+Po 1.6 6
+$EndPAD
+$PAD
+Sh "T8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 113 "/SA8"
+Po 2.4 6
+$EndPAD
+$PAD
+Sh "T9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 3.2 6
+$EndPAD
+$EndMODULE FBGA96-new
+$MODULE FPC10-0.5mm
+Po 0 0 0 15 51AEC25B 00000000 ~~
+Li FPC10-0.5mm
+Sc 0
+AR 
+Op 0 0 0
+T0 0.02 1 0.5 0.5 0 0.05 N V 21 N "FPC10-0.5mm"
+T1 0.04 1.75 0.5 0.5 0 0.05 N V 21 N "VAL**"
+DS -5.425 -2.15 5.45 -2.15 0.15 21
+DS 5.45 -2.15 5.45 3.65 0.15 21
+DS 5.45 3.65 -5.375 3.65 0.15 21
+DS -5.375 3.65 -5.375 -2.15 0.15 21
+$PAD
+Sh "10" R 0.3 1.3 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 2.275 -0.65
+$EndPAD
+$PAD
+Sh "8" R 0.3 1.3 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 1.25 -0.65
+$EndPAD
+$PAD
+Sh "6" R 0.3 1.3 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 0.25 -0.65
+$EndPAD
+$PAD
+Sh "7" R 0.3 1.3 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 0.75 -0.65
+$EndPAD
+$PAD
+Sh "5" R 0.3 1.3 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -0.25 -0.65
+$EndPAD
+$PAD
+Sh "4" R 0.3 1.3 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -0.75 -0.65
+$EndPAD
+$PAD
+Sh "9" R 0.3 1.3 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 1.75 -0.65
+$EndPAD
+$PAD
+Sh "3" R 0.3 1.3 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -1.25 -0.65
+$EndPAD
+$PAD
+Sh "2" R 0.3 1.3 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -1.75 -0.65
+$EndPAD
+$PAD
+Sh "1" R 0.3 1.3 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -2.25 -0.65
+$EndPAD
+$PAD
+Sh "~" R 2 3 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 3.975 1.775
+$EndPAD
+$PAD
+Sh "~1" R 2 3 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -3.95 1.7
+$EndPAD
+$EndMODULE FPC10-0.5mm
+$MODULE FPC30-0.5mm
+Po 0 0 0 15 51AC3DE3 00000000 ~~
+Li FPC30-0.5mm
+Sc 0
+AR 
+Op 0 0 0
+T0 0.02 1 0.5 0.5 0 0.05 N V 21 N "FPC30-0.5mm"
+T1 0.04 1.75 0.5 0.5 0 0.05 N V 21 N "VAL**"
+DS -10.5 -2 10.5 -2 0.15 21
+DS 10.5 -2 10.5 8 0.15 21
+DS 10.5 8 -10.5 8 0.15 21
+DS -10.5 8 -10.5 -2 0.15 21
+$PAD
+Sh "16" R 0.3 1.3 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 0.25 -0.65
+$EndPAD
+$PAD
+Sh "15" R 0.3 1.3 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -0.25 -0.65
+$EndPAD
+$PAD
+Sh "17" R 0.3 1.3 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 0.75 -0.65
+$EndPAD
+$PAD
+Sh "19" R 0.3 1.3 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 1.75 -0.65
+$EndPAD
+$PAD
+Sh "18" R 0.3 1.3 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 1.25 -0.65
+$EndPAD
+$PAD
+Sh "20" R 0.3 1.3 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 2.25 -0.65
+$EndPAD
+$PAD
+Sh "21" R 0.3 1.3 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 2.75 -0.65
+$EndPAD
+$PAD
+Sh "22" R 0.3 1.3 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 3.25 -0.65
+$EndPAD
+$PAD
+Sh "10" R 0.3 1.3 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -2.725 -0.65
+$EndPAD
+$PAD
+Sh "11" R 0.3 1.3 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -2.25 -0.65
+$EndPAD
+$PAD
+Sh "12" R 0.3 1.3 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -1.75 -0.65
+$EndPAD
+$PAD
+Sh "13" R 0.3 1.3 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -1.25 -0.65
+$EndPAD
+$PAD
+Sh "14" R 0.3 1.3 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -0.75 -0.65
+$EndPAD
+$PAD
+Sh "8" R 0.3 1.3 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -3.75 -0.65
+$EndPAD
+$PAD
+Sh "6" R 0.3 1.3 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -4.75 -0.65
+$EndPAD
+$PAD
+Sh "7" R 0.3 1.3 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -4.25 -0.65
+$EndPAD
+$PAD
+Sh "5" R 0.3 1.3 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -5.25 -0.65
+$EndPAD
+$PAD
+Sh "4" R 0.3 1.3 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -5.75 -0.65
+$EndPAD
+$PAD
+Sh "23" R 0.3 1.3 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 3.75 -0.65
+$EndPAD
+$PAD
+Sh "24" R 0.3 1.3 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 4.25 -0.65
+$EndPAD
+$PAD
+Sh "25" R 0.3 1.3 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 4.75 -0.65
+$EndPAD
+$PAD
+Sh "9" R 0.3 1.3 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -3.25 -0.65
+$EndPAD
+$PAD
+Sh "3" R 0.3 1.3 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -6.25 -0.65
+$EndPAD
+$PAD
+Sh "2" R 0.3 1.3 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -6.75 -0.65
+$EndPAD
+$PAD
+Sh "1" R 0.3 1.3 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -7.25 -0.65
+$EndPAD
+$PAD
+Sh "26" R 0.3 1.3 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 5.25 -0.65
+$EndPAD
+$PAD
+Sh "27" R 0.3 1.3 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 5.75 -0.65
+$EndPAD
+$PAD
+Sh "28" R 0.3 1.3 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 6.25 -0.65
+$EndPAD
+$PAD
+Sh "29" R 0.3 1.3 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 6.75 -0.65
+$EndPAD
+$PAD
+Sh "30" R 0.3 1.3 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 7.25 -0.65
+$EndPAD
+$PAD
+Sh "~" R 2 3 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 8.9 1.7
+$EndPAD
+$PAD
+Sh "~1" R 2 3 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -8.9 1.7
+$EndPAD
+$EndMODULE FPC30-0.5mm
+$MODULE HDMI
+Po 0 0 0 15 519F38FE 00000000 ~~
+Li HDMI
+Cd Cms SOJ 20 pins large
+Kw CMS SOJ
+Sc 0
+AR /514F1A03
+Op 0 0 0
+At SMD
+T0 5.95 4.75 1.524 1.524 0 0.127 N V 21 N "J7"
+T1 0 4.9 1 1 0 0.1 N V 21 N "HDMI"
+DS -3.95 5.65 -3.95 -1.9 0.2 21
+DS -3.95 -1.75 4.25 -1.75 0.2 21
+DS 4.25 -1.9 4.25 5.65 0.2 21
+DS 4.25 5.65 -3.95 5.65 0.2 21
+$PAD
+Sh "9" R 0.23 0.85 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 12 "N-0000038"
+Po 0.2 -0.68
+$EndPAD
+$PAD
+Sh "7" R 0.23 0.85 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 11 "N-0000037"
+Po 0.6 -0.675
+$EndPAD
+$PAD
+Sh "5" R 0.23 0.85 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 2 "GND"
+Po 1 -0.675
+$EndPAD
+$PAD
+Sh "3" R 0.23 0.85 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 9 "N-0000035"
+Po 1.4 -0.675
+$EndPAD
+$PAD
+Sh "1" R 0.23 0.85 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 8 "N-0000034"
+Po 1.8 -0.675
+$EndPAD
+$PAD
+Sh "11" R 0.23 0.85 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 2 "GND"
+Po -0.2 -0.675
+$EndPAD
+$PAD
+Sh "15" R 0.23 0.85 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 6 "N-00000264"
+Po -1 -0.675
+$EndPAD
+$PAD
+Sh "13" R 0.23 0.85 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 3 "N-00000185"
+Po -0.6 -0.675
+$EndPAD
+$PAD
+Sh "17" R 0.23 0.85 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 2 "GND"
+Po -1.4 -0.675
+$EndPAD
+$PAD
+Sh "19" R 0.23 0.85 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 4 "N-00000189"
+Po -1.8 -0.675
+$EndPAD
+$PAD
+Sh "2" R 0.23 0.85 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 2 "GND"
+Po 1.595 0.675
+$EndPAD
+$PAD
+Sh "4" R 0.23 0.85 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 10 "N-0000036"
+Po 1.195 0.675
+$EndPAD
+$PAD
+Sh "6" R 0.23 0.85 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 7 "N-0000033"
+Po 0.795 0.675
+$EndPAD
+$PAD
+Sh "8" R 0.23 0.85 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 2 "GND"
+Po 0.395 0.675
+$EndPAD
+$PAD
+Sh "10" R 0.23 0.85 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 13 "N-0000039"
+Po -0.005 0.675
+$EndPAD
+$PAD
+Sh "12" R 0.23 0.85 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 14 "N-0000040"
+Po -0.405 0.675
+$EndPAD
+$PAD
+Sh "14" R 0.23 0.85 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 2 "GND"
+Po -0.805 0.675
+$EndPAD
+$PAD
+Sh "16" R 0.23 0.85 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 5 "N-00000190"
+Po -1.205 0.675
+$EndPAD
+$PAD
+Sh "18" R 0.23 0.85 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 1 "5V_IN"
+Po -1.605 0.675
+$EndPAD
+$PAD
+Sh "sh1" O 1.5 2.55 0 0 0
+Dr 0.65 0 0 O 0.65 1.699999
+At STD N 00E0FFFF
+Ne 2 "GND"
+Po -3.1 0.75
+$EndPAD
+$PAD
+Sh "sh2" O 1.5 2.55 0 0 0
+Dr 0.65 0 0 O 0.65 1.699999
+At STD N 00E0FFFF
+Ne 2 "GND"
+Po 3.1 0.75
+$EndPAD
+$PAD
+Sh "sh3" O 1.5 2.55 0 0 0
+Dr 0.65 0 0 O 0.65 1.699999
+At STD N 00E0FFFF
+Ne 2 "GND"
+Po -3.05 4.1
+$EndPAD
+$PAD
+Sh "sh4" O 1.5 2.55 0 0 0
+Dr 0.65 0 0 O 0.65 1.699999
+At STD N 00E0FFFF
+Ne 2 "GND"
+Po 3.1 4.1
+$EndPAD
+$SHAPE3D
+Na "smd/cms_so20.wrl"
+Sc 0.5 0.6 0.5
+Of 0 0 0
+Ro 0 0 0
+$EndSHAPE3D
+$EndMODULE HDMI
+$MODULE LED-3MM
+Po 103.4 38.35 0 15 5189C9A7 00000000 ~~
+Li LED-3MM
+Cd LED 3mm - Lead pitch 100mil (2,54mm)
+Kw LED led 3mm 3MM 100mil 2,54mm
+Sc 0
+AR /5189E9D2
+Op 0 0 0
+T0 2.775 -0.975 0.762 0.762 0 0.0889 N V 21 N "D1"
+T1 -0.9 -2.675 0.762 0.762 0 0.0889 N V 21 N "LED"
+DS 1.8288 1.27 1.8288 -1.27 0.254 21
+DA 0.254 0 -1.27 0 398 0.1524 21
+DA 0.254 0 -0.88392 1.01092 416 0.1524 21
+DA 0.254 0 1.4097 -0.9906 406 0.1524 21
+DA 0.254 0 1.778 0 398 0.1524 21
+DA 0.254 0 0.254 -1.524 544 0.1524 21
+DA 0.254 0 -0.9652 -0.9144 531 0.1524 21
+DA 0.254 0 1.45542 0.93472 521 0.1524 21
+DA 0.254 0 0.254 1.524 521 0.1524 21
+DA 0.254 0 -0.381 0 900 0.1524 21
+DA 0.254 0 -0.762 0 900 0.1524 21
+DA 0.254 0 0.889 0 900 0.1524 21
+DA 0.254 0 1.27 0 900 0.1524 21
+DA 0.254 0 0.254 -2.032 501 0.254 21
+DA 0.254 0 -1.5367 -0.95504 619 0.254 21
+DA 0.254 0 1.8034 1.31064 497 0.254 21
+DA 0.254 0 0.254 2.032 602 0.254 21
+DA 0.254 0 -1.778 0 283 0.254 21
+DA 0.254 0 -1.47574 1.06426 316 0.254 21
+$PAD
+Sh "1" C 1.6764 1.6764 0 0 0
+Dr 0.812799 0 0
+At STD N 00E0FFFF
+Ne 212 "N-00000228"
+Po -1.27 0
+$EndPAD
+$PAD
+Sh "2" C 1.6764 1.6764 0 0 0
+Dr 0.812799 0 0
+At STD N 00E0FFFF
+Ne 187 "GND"
+Po 1.27 0
+$EndPAD
+$SHAPE3D
+Na "discret/leds/led3_vertical_verde.wrl"
+Sc 1 1 1
+Of 0 0 0
+Ro 0 0 0
+$EndSHAPE3D
+$EndMODULE LED-3MM
+$MODULE PIN_ARRAY_1
+Po 52.95 93.875 0 15 51920FFF 00000000 ~~
+Li PIN_ARRAY_1
+Cd 1 pin
+Kw CONN DEV
+Sc 0
+AR /5189BF82
+Op 0 0 0
+T0 -2.6 0.85 0.762 0.762 0 0.1524 N V 21 N "P11"
+T1 -3.675 -0.55 0.762 0.762 0 0.1524 N V 21 N "CONN_1"
+DS 1.27 1.27 -1.27 1.27 0.1524 21
+DS -1.27 -1.27 1.27 -1.27 0.1524 21
+DS -1.27 1.27 -1.27 -1.27 0.1524 21
+DS 1.27 -1.27 1.27 1.27 0.1524 21
+$PAD
+Sh "1" R 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 167 "DRAM_REF"
+Po 0 0
+$EndPAD
+$SHAPE3D
+Na "pin_array\\pin_1.wrl"
+Sc 1 1 1
+Of 0 0 0
+Ro 0 0 0
+$EndSHAPE3D
+$EndMODULE PIN_ARRAY_1
+$MODULE PIN_ARRAY_2X1
+Po 73.475 107.1 0 15 5188A029 00000000 ~~
+Li PIN_ARRAY_2X1
+Cd Connecteurs 2 pins
+Kw CONN DEV
+Sc 0
+AR /515A7B4E
+Op 0 0 0
+T0 3.475 -0.025 0.762 0.762 0 0.1524 N V 21 N "P4"
+T1 0 -1.905 0.762 0.762 0 0.1524 N I 21 N "UBOOT"
+DS -2.54 1.27 -2.54 -1.27 0.1524 21
+DS -2.54 -1.27 2.54 -1.27 0.1524 21
+DS 2.54 -1.27 2.54 1.27 0.1524 21
+DS 2.54 1.27 -2.54 1.27 0.1524 21
+$PAD
+Sh "1" R 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 195 "N-00000139"
+Po -1.27 0
+$EndPAD
+$PAD
+Sh "2" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 187 "GND"
+Po 1.27 0
+$EndPAD
+$SHAPE3D
+Na "pin_array/pins_array_2x1.wrl"
+Sc 1 1 1
+Of 0 0 0
+Ro 0 0 0
+$EndSHAPE3D
+$EndMODULE PIN_ARRAY_2X1
+$MODULE PIN_ARRAY_5x2
+Po 83.87 83.31 0 15 51833FF7 00000000 ~~
+Li PIN_ARRAY_5x2
+Cd Double rangee de contacts 2 x 5 pins
+Kw CONN
+Sc 0
+AR /51518451
+Op 0 0 0
+T0 5.75 3.625 1.016 1.016 0 0.2032 N V 21 N "J1"
+T1 0 -3.81 1.016 1.016 0 0.2032 N I 21 N "CONN10"
+DS -6.35 -2.54 6.35 -2.54 0.3048 21
+DS 6.35 -2.54 6.35 2.54 0.3048 21
+DS 6.35 2.54 -6.35 2.54 0.3048 21
+DS -6.35 2.54 -6.35 -2.54 0.3048 21
+$PAD
+Sh "1" R 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 87 "/MICIN1"
+Po -5.08 1.27
+$EndPAD
+$PAD
+Sh "2" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 88 "/MICIN2"
+Po -5.08 -1.27
+$EndPAD
+$PAD
+Sh "3" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 84 "/LIN_L"
+Po -2.54 1.27
+$EndPAD
+$PAD
+Sh "4" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 85 "/LIN_R"
+Po -2.54 -1.27
+$EndPAD
+$PAD
+Sh "5" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 187 "GND"
+Po 0 1.27
+$EndPAD
+$PAD
+Sh "6" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 150 "/VMIC"
+Po 0 -1.27
+$EndPAD
+$PAD
+Sh "7" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 151 "/VRA1"
+Po 2.54 1.27
+$EndPAD
+$PAD
+Sh "8" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 152 "/VRA2"
+Po 2.54 -1.27
+$EndPAD
+$PAD
+Sh "9" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 153 "/VRP"
+Po 5.08 1.27
+$EndPAD
+$PAD
+Sh "10" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 187 "GND"
+Po 5.08 -1.27
+$EndPAD
+$SHAPE3D
+Na "pin_array/pins_array_5x2.wrl"
+Sc 1 1 1
+Of 0 0 0
+Ro 0 0 0
+$EndSHAPE3D
+$EndMODULE PIN_ARRAY_5x2
+$MODULE QFN48+1
+Po 99.2505 49.8348 0 15 515E7D5F 00000000 ~~
+Li QFN48+1
+Sc 0
+AR /514C3FF4
+Op 0 0 0
+At SMD
+T0 0.01016 2.45364 0.762 0.762 0 0.127 N V 21 N "U7"
+T1 0.381 -0.1524 0.762 0.635 0 0.1524 N I 21 N "AXP209"
+DS -2.921 -3.81 -3.175 -3.81 0.127 21
+DS -3.175 -3.81 -3.81 -3.302 0.127 21
+DS -3.81 -3.302 -3.81 -2.921 0.127 21
+DS -2.921 -3.81 3.81 -3.81 0.127 21
+DS 3.81 -3.81 3.81 3.81 0.127 21
+DS 3.81 3.81 -3.81 3.81 0.127 21
+DS -3.81 3.81 -3.81 -2.921 0.127 21
+DC -3.32994 -3.25882 -3.43154 -3.56108 0.127 21
+$PAD
+Sh "1" R 0.59944 0.24892 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 134 "/TWI0SDA"
+Po -3.39852 -2.74828
+$EndPAD
+$PAD
+Sh "2" R 0.59944 0.24892 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 133 "/TWI0SCK"
+Po -3.39852 -2.2479
+$EndPAD
+$PAD
+Sh "3" R 0.59944 0.24892 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -3.39852 -1.74752
+$EndPAD
+$PAD
+Sh "4" R 0.59944 0.24892 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -3.39852 -1.24714
+$EndPAD
+$PAD
+Sh "5" R 0.59944 0.24892 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -3.39852 -0.7493
+$EndPAD
+$PAD
+Sh "6" R 0.59944 0.24892 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -3.39852 -0.24892
+$EndPAD
+$PAD
+Sh "7" R 0.59944 0.24892 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 188 "IPSOUT"
+Po -3.39852 0.25146
+$EndPAD
+$PAD
+Sh "8" R 0.59944 0.24892 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 255 "N-0000053"
+Po -3.39852 0.75184
+$EndPAD
+$PAD
+Sh "9" R 0.59944 0.24892 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -3.39852 1.25222
+$EndPAD
+$PAD
+Sh "10" R 0.59944 0.24892 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 162 "1.25V"
+Po -3.39852 1.7526
+$EndPAD
+$PAD
+Sh "11" R 0.59944 0.24892 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 191 "LDO4"
+Po -3.39852 2.25298
+$EndPAD
+$PAD
+Sh "12" R 0.59944 0.24892 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 166 "AVCC"
+Po -3.39852 2.75082
+$EndPAD
+$PAD
+Sh "33" R 0.59944 0.24892 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 165 "5V_IN"
+Po 3.39852 -1.25222
+$EndPAD
+$PAD
+Sh "34" R 0.59944 0.24892 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 188 "IPSOUT"
+Po 3.39852 -1.75006
+$EndPAD
+$PAD
+Sh "35" R 0.59944 0.24892 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 188 "IPSOUT"
+Po 3.39852 -2.25044
+$EndPAD
+$PAD
+Sh "36" R 0.59944 0.24892 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 3.39852 -2.75082
+$EndPAD
+$PAD
+Sh "17" R 0.24892 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 163 "1.2V"
+Po -0.7493 3.40106
+$EndPAD
+$PAD
+Sh "18" R 0.24892 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -0.25146 3.40106
+$EndPAD
+$PAD
+Sh "19" R 0.24892 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 219 "N-00000276"
+Po 0.24892 3.40106
+$EndPAD
+$PAD
+Sh "20" R 0.24892 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 40 "/EXTEN"
+Po 0.7493 3.40106
+$EndPAD
+$PAD
+Sh "21" R 0.24892 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 188 "IPSOUT"
+Po 1.24968 3.40106
+$EndPAD
+$PAD
+Sh "22" R 0.24892 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 1.75006 3.40106
+$EndPAD
+$PAD
+Sh "23" R 0.24892 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 220 "N-00000277"
+Po 2.25044 3.40106
+$EndPAD
+$PAD
+Sh "24" R 0.24892 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 213 "N-00000263"
+Po 2.75082 3.40106
+$EndPAD
+$PAD
+Sh "49" R 5.10032 5.10032 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 0 0
+$EndPAD
+$PAD
+Sh "13" R 0.24892 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 188 "IPSOUT"
+Po -2.74828 3.40106
+$EndPAD
+$PAD
+Sh "14" R 0.24892 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 188 "IPSOUT"
+Po -2.2479 3.40106
+$EndPAD
+$PAD
+Sh "15" R 0.24892 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 256 "N-0000054"
+Po -1.74752 3.40106
+$EndPAD
+$PAD
+Sh "16" R 0.24892 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -1.24968 3.40106
+$EndPAD
+$PAD
+Sh "25" R 0.59944 0.24892 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 99 "/RESET#"
+Po 3.39852 2.74828
+$EndPAD
+$PAD
+Sh "26" R 0.59944 0.24892 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 208 "N-00000200"
+Po 3.40106 2.2479
+$EndPAD
+$PAD
+Sh "27" R 0.59944 0.24892 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 221 "N-00000294"
+Po 3.40106 1.75006
+$EndPAD
+$PAD
+Sh "28" R 0.59944 0.24892 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 189 "LDO1"
+Po 3.40106 1.24968
+$EndPAD
+$PAD
+Sh "29" R 0.59944 0.24892 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 3.40106 0.7493
+$EndPAD
+$PAD
+Sh "30" R 0.59944 0.24892 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 3.40106 0.24892
+$EndPAD
+$PAD
+Sh "31" R 0.59944 0.24892 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 3.40106 -0.25146
+$EndPAD
+$PAD
+Sh "32" R 0.59944 0.24892 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 165 "5V_IN"
+Po 3.40106 -0.75184
+$EndPAD
+$PAD
+Sh "37" R 0.24892 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 207 "N-00000199"
+Po 2.75082 -3.39852
+$EndPAD
+$PAD
+Sh "38" R 0.24892 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 2.25044 -3.39852
+$EndPAD
+$PAD
+Sh "39" R 0.24892 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 1.75006 -3.39852
+$EndPAD
+$PAD
+Sh "40" R 0.24892 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 188 "IPSOUT"
+Po 1.25222 -3.39852
+$EndPAD
+$PAD
+Sh "41" R 0.24892 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 190 "LDO3"
+Po 0.75184 -3.39852
+$EndPAD
+$PAD
+Sh "42" R 0.24892 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 0.25146 -3.39852
+$EndPAD
+$PAD
+Sh "43" R 0.24892 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -0.24892 -3.39852
+$EndPAD
+$PAD
+Sh "44" R 0.24892 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 188 "IPSOUT"
+Po -0.7493 -3.39852
+$EndPAD
+$PAD
+Sh "45" R 0.24892 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -1.24968 -3.39852
+$EndPAD
+$PAD
+Sh "46" R 0.24892 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -1.75006 -3.39852
+$EndPAD
+$PAD
+Sh "47" R 0.24892 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 217 "N-00000267"
+Po -2.2479 -3.39852
+$EndPAD
+$PAD
+Sh "48" R 0.24892 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 89 "/NMI#"
+Po -2.74828 -3.39852
+$EndPAD
+$EndMODULE QFN48+1
+$MODULE RCLAMP
+Po 82.5494 96.8924 0 15 5189C9EE 00000000 ~~
+Li RCLAMP
+Cd Module CMS SOJ 8 pins large
+Kw CMS SOJ
+Sc 0
+AR /514D0FDE
+Op 0 0 0
+At SMD
+T0 2.2994 1.7924 1.143 1.016 0 0.127 N V 21 N "U8"
+T1 1.3994 3.3424 1.016 1.016 0 0.127 N V 21 N "524P"
+DS -1.35 -1.05 1.4 -1.05 0.15 21
+DS 1.4 -1.05 1.4 1 0.15 21
+DS 1.4 1 -1.35 1 0.15 21
+DS -1.35 1 -1.35 -1.05 0.15 21
+$PAD
+Sh "7" R 0.2 0.675 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 199 "N-00000190"
+Po 0.5 -0.45
+$EndPAD
+$PAD
+Sh "8" R 0.4 0.675 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 0 -0.45
+$EndPAD
+$PAD
+Sh "3" R 0.4 0.675 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 0 0.45
+$EndPAD
+$PAD
+Sh "4" R 0.2 0.675 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 44 "/HSDA"
+Po 0.5 0.45
+$EndPAD
+$PAD
+Sh "6" R 0.2 0.675 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 198 "N-00000189"
+Po 1 -0.45
+$EndPAD
+$PAD
+Sh "5" R 0.2 0.675 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 42 "/HHPD"
+Po 1 0.45
+$EndPAD
+$PAD
+Sh "9" R 0.2 0.675 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 214 "N-00000264"
+Po -0.5 -0.45
+$EndPAD
+$PAD
+Sh "2" R 0.2 0.675 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 43 "/HSCL"
+Po -0.5 0.45
+$EndPAD
+$PAD
+Sh "10" R 0.2 0.675 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 197 "N-00000185"
+Po -1 -0.45
+$EndPAD
+$PAD
+Sh "1" R 0.2 0.675 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 41 "/HCEC"
+Po -1 0.45
+$EndPAD
+$SHAPE3D
+Na "smd/cms_so8.wrl"
+Sc 0.5 0.38 0.5
+Of 0 0 0
+Ro 0 0 0
+$EndSHAPE3D
+$EndMODULE RCLAMP
+$MODULE RJ45-HANRUN
+Po 0 0 0 15 51A4CE15 00000000 ~~
+Li RJ45-HANRUN
+Kw RJ45
+Sc 0
+AR /5159654C/515ADBB5
+Op 0 0 0
+T0 -3.81 15.24 1.524 1.524 0 0.3048 N V 21 N "J8"
+T1 0.51 12.27 1.00076 1.00076 0 0.2032 N V 21 N "RJ45-TRANSFO"
+DS -7.787 -11.226 -7.787 0.712 0.3048 21
+DS -7.787 3.887 -7.787 13.793 0.3048 21
+DS 8.215 -11.226 8.215 0.712 0.3048 21
+DS 8.215 3.887 8.215 13.793 0.3048 21
+DS -7.787 13.793 -6.771 13.793 0.3048 21
+DS 1.611 13.793 -1.691 13.793 0.3048 21
+DS 8.215 13.793 6.945 13.793 0.3048 21
+DS 8.215 -11.27172 -7.787 -11.27172 0.3048 21
+$PAD
+Sh "Hole" C 3.85064 3.85064 0 0 1800
+Dr 3.302 0 0
+At STD N 00F0FFFF
+Ne 0 ""
+Po -5.72 0
+$EndPAD
+$PAD
+Sh "Hole" C 3.85064 3.85064 0 0 1800
+Dr 3.302 0 0
+At STD N 00F0FFFF
+Ne 0 ""
+Po 5.71 0
+$EndPAD
+$PAD
+Sh "1" C 1.524 1.524 0 0 1800
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 0 ""
+Po 4.45 6.35
+$EndPAD
+$PAD
+Sh "2" C 1.524 1.524 0 0 1800
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 0 ""
+Po 3.18 8.89
+$EndPAD
+$PAD
+Sh "3" C 1.524 1.524 0 0 1800
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 0 ""
+Po 1.91 6.35
+$EndPAD
+$PAD
+Sh "4" C 1.524 1.524 0 0 1800
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 0 ""
+Po 0.64 8.89
+$EndPAD
+$PAD
+Sh "5" C 1.524 1.524 0 0 1800
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 0 ""
+Po -0.63 6.35
+$EndPAD
+$PAD
+Sh "6" C 1.524 1.524 0 0 1800
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 0 ""
+Po -1.9 8.89
+$EndPAD
+$PAD
+Sh "7" C 1.524 1.524 0 0 1800
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 2 "/ethernet/PFWBOUT"
+Po -3.17 6.35
+$EndPAD
+$PAD
+Sh "13" C 2.4 2.4 0 0 1800
+Dr 1.7 0 0
+At STD N 00F0FFFF
+Ne 3 "GND"
+Po -7.78 3.05
+$EndPAD
+$PAD
+Sh "13" C 2.4 2.4 0 0 1800
+Dr 1.66 0 0
+At STD N 00F0FFFF
+Ne 3 "GND"
+Po 7.75 3.05
+$EndPAD
+$PAD
+Sh "10" C 1.524 1.524 0 0 1800
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 4 "N-00000413"
+Po 4.08 -4.9
+$EndPAD
+$PAD
+Sh "11" C 1.524 1.524 0 0 1800
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 0 ""
+Po -4.08 -4.9
+$EndPAD
+$PAD
+Sh "9" C 1.524 1.524 0 0 1800
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 1 "/ethernet/LED4"
+Po 6.63 -4.9
+$EndPAD
+$PAD
+Sh "12" C 1.524 1.524 0 0 1800
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 0 ""
+Po -6.62 -4.9
+$EndPAD
+$PAD
+Sh "8" R 1.524 1.524 0 0 1800
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 0 ""
+Po -4.39 8.89
+$EndPAD
+$EndMODULE RJ45-HANRUN
+$MODULE RJ45_TRANSFO
+Po 31.175 45.425 0 15 517BEBB0 00000000 ~~
+Li RJ45_TRANSFO
+Kw RJ45
+Sc 0
+AR /5159654C/515ADBB5
+Op 0 0 0
+T0 -3.81 15.24 1.524 1.524 0 0.3048 N V 21 N "J8"
+T1 -3 12.25 1.00076 1.00076 0 0.2032 N V 21 N "RJ45-TRANSFO"
+DS 3.937 20.066 3.937 8.128 0.3048 21
+DS 3.937 4.953 3.937 -4.953 0.3048 21
+DS -12.065 20.066 -12.065 8.128 0.3048 21
+DS -12.065 4.953 -12.065 -4.953 0.3048 21
+DS 3.937 -4.953 2.921 -4.953 0.3048 21
+DS -5.461 -4.953 -2.159 -4.953 0.3048 21
+DS -12.065 -4.953 -10.795 -4.953 0.3048 21
+DS -12.065 20.11172 3.937 20.11172 0.3048 21
+$PAD
+Sh "Hole" C 3.85064 3.85064 0 0 0
+Dr 3.302 0 0
+At STD N 00F0FFFF
+Ne 0 ""
+Po 1.905 8.89
+$EndPAD
+$PAD
+Sh "Hole" C 3.85064 3.85064 0 0 0
+Dr 3.302 0 0
+At STD N 00F0FFFF
+Ne 0 ""
+Po -9.525 8.89
+$EndPAD
+$PAD
+Sh "8" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 187 "GND"
+Po -8.255 2.54
+$EndPAD
+$PAD
+Sh "7" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 0 ""
+Po -7.62 0
+$EndPAD
+$PAD
+Sh "6" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 159 "/ethernet/RX-"
+Po -5.715 2.54
+$EndPAD
+$PAD
+Sh "5" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 0 ""
+Po -5.08 0
+$EndPAD
+$PAD
+Sh "4" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 158 "/ethernet/RX+"
+Po -3.175 2.54
+$EndPAD
+$PAD
+Sh "3" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 161 "/ethernet/TX-"
+Po -2.54 0
+$EndPAD
+$PAD
+Sh "2" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 157 "/ethernet/PFWBOUT"
+Po -0.635 2.54
+$EndPAD
+$PAD
+Sh "13" C 2.54 2.54 0 0 0
+Dr 1.778 0 0
+At STD N 00F0FFFF
+Ne 187 "GND"
+Po 3.937 5.842
+$EndPAD
+$PAD
+Sh "13" C 2.54 2.54 0 0 0
+Dr 1.778 0 0
+At STD N 00F0FFFF
+Ne 187 "GND"
+Po -11.557 5.842
+$EndPAD
+$PAD
+Sh "YK" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 240 "N-00000413"
+Po -6.731 -4.826
+$EndPAD
+$PAD
+Sh "GA" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 164 "3.3V"
+Po -0.889 -4.826
+$EndPAD
+$PAD
+Sh "YA" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 156 "/ethernet/LED4"
+Po -9.271 -4.826
+$EndPAD
+$PAD
+Sh "GK" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 241 "N-00000415"
+Po 1.651 -4.826
+$EndPAD
+$PAD
+Sh "1" R 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 160 "/ethernet/TX+"
+Po 0 0
+$EndPAD
+$EndMODULE RJ45_TRANSFO
+$MODULE SIL-2
+Po 109.05 38.65 0 15 51920B20 00000000 ~~
+Li SIL-2
+Cd Connecteurs 2 pins
+Kw CONN DEV
+Sc 0
+AR /5152F670
+Op 0 0 0
+T0 2.4 2.875 1.72974 1.08712 0 0.3048 N V 21 N "SW1"
+T1 0 -2.54 1.524 1.016 0 0.254 N I 21 N " "
+DS -2.54 1.27 -2.54 -1.27 0.3048 21
+DS -2.54 -1.27 2.54 -1.27 0.3048 21
+DS 2.54 -1.27 2.54 1.27 0.3048 21
+DS 2.54 1.27 -2.54 1.27 0.3048 21
+$PAD
+Sh "1" R 1.397 1.397 0 0 0
+Dr 0.812799 0 0
+At STD N 00E0FFFF
+Ne 187 "GND"
+Po -1.27 0
+$EndPAD
+$PAD
+Sh "2" C 1.397 1.397 0 0 0
+Dr 0.812799 0 0
+At STD N 00E0FFFF
+Ne 209 "N-00000201"
+Po 1.27 0
+$EndPAD
+$EndMODULE SIL-2
+$MODULE SM0402
+Po 103.475 41.325 0 15 50A4E0BA 00000000 ~~
+Li SM0402
+Sc 0
+AR /5189E9C5
+Op 0 0 0
+At SMD
+T0 0 0 0.35052 0.3048 0 0.07112 N V 21 N "R50"
+T1 0.09906 0 0.35052 0.3048 0 0.07112 N I 21 N "4k7"
+DS -0.254 -0.381 -0.762 -0.381 0.07112 21
+DS -0.762 -0.381 -0.762 0.381 0.07112 21
+DS -0.762 0.381 -0.254 0.381 0.07112 21
+DS 0.254 -0.381 0.762 -0.381 0.07112 21
+DS 0.762 -0.381 0.762 0.381 0.07112 21
+DS 0.762 0.381 0.254 0.381 0.07112 21
+$PAD
+Sh "1" R 0.39878 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 99 "/RESET#"
+Po -0.44958 0
+$EndPAD
+$PAD
+Sh "2" R 0.39878 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 212 "N-00000228"
+Po 0.44958 0
+$EndPAD
+$SHAPE3D
+Na "smd\\chip_cms.wrl"
+Sc 0.05 0.05 0.05
+Of 0 0 0.002
+Ro 0 0 0
+$EndSHAPE3D
+$EndMODULE SM0402
+$MODULE SM0603
+Po 44.775 88.325 0 15 5191E750 00000000 ~~
+Li SM0603
+Sc 0
+AR /51552419
+Op 0 0 0
+At SMD
+T0 -1.95 0.225 0.508 0.4572 0 0.1143 N V 21 N "C20"
+T1 -1.95 -0.55 0.508 0.4572 0 0.1143 N I 21 N "4.7u"
+DS -1.143 -0.635 1.143 -0.635 0.127 21
+DS 1.143 -0.635 1.143 0.635 0.127 21
+DS 1.143 0.635 -1.143 0.635 0.127 21
+DS -1.143 0.635 -1.143 -0.635 0.127 21
+$PAD
+Sh "1" R 0.635 1.143 0 0 -0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -0.762 0
+$EndPAD
+$PAD
+Sh "2" R 0.635 1.143 0 0 -0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po 0.762 0
+$EndPAD
+$SHAPE3D
+Na "smd\\resistors\\R0603.wrl"
+Sc 0.5 0.5 0.5
+Of 0 0 0.001
+Ro 0 0 0
+$EndSHAPE3D
+$EndMODULE SM0603
+$MODULE SM0805
+Po 46.25 36.475 0 15 518882C6 00000000 ~~
+Li SM0805
+Sc 0
+AR /5159654C/515AE226
+Op 0 0 0
+At SMD
+T0 -0.575 -1.375 0.50038 0.50038 0 0.10922 N V 21 N "C138"
+T1 -0.65 -2.175 0.50038 0.50038 0 0.10922 N V 21 N "10u"
+DC -1.651 0.762 -1.651 0.635 0.09906 21
+DS -0.508 0.762 -1.524 0.762 0.09906 21
+DS -1.524 0.762 -1.524 -0.762 0.09906 21
+DS -1.524 -0.762 -0.508 -0.762 0.09906 21
+DS 0.508 -0.762 1.524 -0.762 0.09906 21
+DS 1.524 -0.762 1.524 0.762 0.09906 21
+DS 1.524 0.762 0.508 0.762 0.09906 21
+$PAD
+Sh "1" R 0.889 1.397 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -0.9525 0
+$EndPAD
+$PAD
+Sh "2" R 0.889 1.397 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 157 "/ethernet/PFWBOUT"
+Po 0.9525 0
+$EndPAD
+$SHAPE3D
+Na "smd/chip_cms.wrl"
+Sc 0.1 0.1 0.1
+Of 0 0 0
+Ro 0 0 0
+$EndSHAPE3D
+$EndMODULE SM0805
+$MODULE SM1210L
+Po 100.375 31.65 0 15 5188CB68 00000000 ~~
+Li SM1210L
+Kw CMS SM
+Sc 0
+AR /5150FD3C
+Op 0 0 0
+At SMD
+T0 -0.325 2.2 0.762 0.762 0 0.127 N V 21 N "L2"
+T1 0.025 -2.35 0.889 0.762 0 0.127 N V 21 N "4.7uH/3A"
+DC -2.921 1.651 -2.794 1.524 0.127 21
+DS 0.889 1.524 2.794 1.524 0.127 21
+DS 2.794 1.524 2.794 -1.524 0.127 21
+DS 2.794 -1.524 0.889 -1.524 0.127 21
+DS -0.762 -1.524 -2.794 -1.524 0.127 21
+DS -2.794 -1.524 -2.794 1.524 0.127 21
+DS -2.794 1.524 -0.762 1.524 0.127 21
+$PAD
+Sh "1" R 1.778 2.794 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 222 "N-00000295"
+Po -1.778 0
+$EndPAD
+$PAD
+Sh "2" R 1.778 2.794 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po 1.778 0
+$EndPAD
+$SHAPE3D
+Na "smd/chip_cms.wrl"
+Sc 0.2 0.2 0.2
+Of 0 0 0
+Ro 0 0 0
+$EndSHAPE3D
+$EndMODULE SM1210L
+$MODULE SO14E
+Po 39.225 92.15 0 15 518A1445 00000000 ~~
+Li SO14E
+Cd module CMS SOJ 14 pins etroit
+Kw CMS SOJ
+Sc 0
+AR /51593628
+Op 0 0 0
+At SMD
+T0 -3.6 3.375 1.016 1.143 0 0.127 N V 21 N "U10"
+T1 -0.075 0.05 1.016 1.016 0 0.127 N V 21 N "74LS08"
+DS -4.826 -1.778 4.826 -1.778 0.2032 21
+DS 4.826 -1.778 4.826 2.032 0.2032 21
+DS 4.826 2.032 -4.826 2.032 0.2032 21
+DS -4.826 2.032 -4.826 -1.778 0.2032 21
+DS -4.826 -0.508 -4.064 -0.508 0.2032 21
+DS -4.064 -0.508 -4.064 0.508 0.2032 21
+DS -4.064 0.508 -4.826 0.508 0.2032 21
+$PAD
+Sh "1" R 0.508 1.143 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 165 "5V_IN"
+Po -3.81 2.794
+$EndPAD
+$PAD
+Sh "2" R 0.508 1.143 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 86 "/LVSYN"
+Po -2.54 2.794
+$EndPAD
+$PAD
+Sh "3" R 0.508 1.143 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 206 "N-00000197"
+Po -1.27 2.794
+$EndPAD
+$PAD
+Sh "4" R 0.508 1.143 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 83 "/LHSYN"
+Po 0 2.794
+$EndPAD
+$PAD
+Sh "5" R 0.508 1.143 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 165 "5V_IN"
+Po 1.27 2.794
+$EndPAD
+$PAD
+Sh "6" R 0.508 1.143 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 210 "N-00000202"
+Po 2.54 2.794
+$EndPAD
+$PAD
+Sh "7" R 0.508 1.143 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 3.81 2.794
+$EndPAD
+$PAD
+Sh "8" R 0.508 1.143 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 3.81 -2.54
+$EndPAD
+$PAD
+Sh "9" R 0.508 1.143 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 2.54 -2.54
+$EndPAD
+$PAD
+Sh "10" R 0.508 1.143 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 1.27 -2.54
+$EndPAD
+$PAD
+Sh "11" R 0.508 1.143 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 0 -2.54
+$EndPAD
+$PAD
+Sh "12" R 0.508 1.143 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -1.27 -2.54
+$EndPAD
+$PAD
+Sh "13" R 0.508 1.143 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -2.54 -2.54
+$EndPAD
+$PAD
+Sh "14" R 0.508 1.143 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 165 "5V_IN"
+Po -3.81 -2.54
+$EndPAD
+$SHAPE3D
+Na "smd/cms_so14.wrl"
+Sc 0.5 0.3 0.5
+Of 0 0 0
+Ro 0 0 0
+$EndSHAPE3D
+$EndMODULE SO14E
+$MODULE SODIMM200-JAE
+Po 0 0 0 15 5112D1A3 00000000 ~~
+Li SODIMM200-JAE
+Cd JAE-CONNECTOR SO-DIMM 200 CONNECTOR
+Kw JAE-CONNECTOR SO-DIMM 200 CONNECTOR
+Sc 0
+AR /51124EB5
+Op 0 0 0
+At SMD
+T0 -32.35198 -0.59436 1.27 1.27 900 0.0889 N I 20 N "SO1"
+T1 -36.44646 0.635 1.27 1.27 900 0.0889 N I 20 N "SODIMM200_EDGE_D"
+T2 36.44646 0 1.27 1.27 900 0.0889 N I 20 N ">VALUE"
+T2 -32.02432 1.89738 0.8128 0.8128 900 0.0889 N V 20 N "1"
+T2 31.05658 1.09474 0.8128 0.8128 900 0.0889 N V 20 N "199"
+T2 -16.42364 1.6891 0.8128 0.8128 900 0.0889 N V 20 N "41"
+$PAD
+Sh "1" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 65 "N-000240"
+Po -31.64586 4.14782
+$EndPAD
+$PAD
+Sh "2" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 176 "N-000813"
+Po -31.33598 4.14782
+$EndPAD
+$PAD
+Sh "3" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 51 "N-000226"
+Po -31.04896 4.14782
+$EndPAD
+$PAD
+Sh "4" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 162 "N-000799"
+Po -30.73908 4.14782
+$EndPAD
+$PAD
+Sh "5" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 63 "N-000238"
+Po -30.44952 4.14782
+$EndPAD
+$PAD
+Sh "6" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 174 "N-000811"
+Po -30.13964 4.14782
+$EndPAD
+$PAD
+Sh "7" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 62 "N-000237"
+Po -29.84754 4.14782
+$EndPAD
+$PAD
+Sh "8" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 173 "N-000810"
+Po -29.53766 4.14782
+$EndPAD
+$PAD
+Sh "9" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 61 "N-000236"
+Po -29.2481 4.14782
+$EndPAD
+$PAD
+Sh "10" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 172 "N-000809"
+Po -28.93822 4.14782
+$EndPAD
+$PAD
+Sh "11" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 60 "N-000235"
+Po -28.64866 4.14782
+$EndPAD
+$PAD
+Sh "12" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 171 "N-000808"
+Po -28.33878 4.14782
+$EndPAD
+$PAD
+Sh "13" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 59 "N-000234"
+Po -28.04922 4.14782
+$EndPAD
+$PAD
+Sh "14" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 170 "N-000807"
+Po -27.73934 4.14782
+$EndPAD
+$PAD
+Sh "15" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 58 "N-000233"
+Po -27.44978 4.14782
+$EndPAD
+$PAD
+Sh "16" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 169 "N-000806"
+Po -27.1399 4.14782
+$EndPAD
+$PAD
+Sh "17" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 57 "N-000232"
+Po -26.8478 4.14782
+$EndPAD
+$PAD
+Sh "18" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 168 "N-000805"
+Po -26.53792 4.14782
+$EndPAD
+$PAD
+Sh "19" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 56 "N-000231"
+Po -26.24836 4.14782
+$EndPAD
+$PAD
+Sh "20" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 167 "N-000804"
+Po -25.93848 4.14782
+$EndPAD
+$PAD
+Sh "21" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 55 "N-000230"
+Po -25.64892 4.14782
+$EndPAD
+$PAD
+Sh "22" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 166 "N-000803"
+Po -25.33904 4.14782
+$EndPAD
+$PAD
+Sh "23" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 54 "N-000229"
+Po -25.04948 4.14782
+$EndPAD
+$PAD
+Sh "24" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 165 "N-000802"
+Po -24.7396 4.14782
+$EndPAD
+$PAD
+Sh "25" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 53 "N-000228"
+Po -24.4475 4.14782
+$EndPAD
+$PAD
+Sh "26" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 164 "N-000801"
+Po -24.13762 4.14782
+$EndPAD
+$PAD
+Sh "27" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 52 "N-000227"
+Po -23.84806 4.14782
+$EndPAD
+$PAD
+Sh "28" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 163 "N-000800"
+Po -23.53818 4.14782
+$EndPAD
+$PAD
+Sh "29" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 64 "N-000239"
+Po -23.24862 4.14782
+$EndPAD
+$PAD
+Sh "30" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 175 "N-000812"
+Po -22.93874 4.14782
+$EndPAD
+$PAD
+Sh "31" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 89 "N-000265"
+Po -22.64918 4.14782
+$EndPAD
+$PAD
+Sh "32" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 200 "N-000837"
+Po -22.3393 4.14782
+$EndPAD
+$PAD
+Sh "33" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 88 "N-000264"
+Po -22.04974 4.14782
+$EndPAD
+$PAD
+Sh "34" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 199 "N-000836"
+Po -21.73986 4.14782
+$EndPAD
+$PAD
+Sh "35" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 87 "N-000263"
+Po -21.44776 4.14782
+$EndPAD
+$PAD
+Sh "36" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 198 "N-000835"
+Po -21.13788 4.14782
+$EndPAD
+$PAD
+Sh "37" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 86 "N-000262"
+Po -20.84832 4.14782
+$EndPAD
+$PAD
+Sh "38" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 197 "N-000834"
+Po -20.53844 4.14782
+$EndPAD
+$PAD
+Sh "39" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 85 "N-000261"
+Po -20.24888 4.14782
+$EndPAD
+$PAD
+Sh "40" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 196 "N-000833"
+Po -19.939 4.14782
+$EndPAD
+$PAD
+Sh "41" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 84 "N-000260"
+Po -16.03756 4.14782
+$EndPAD
+$PAD
+Sh "42" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 195 "N-000832"
+Po -15.73784 4.14782
+$EndPAD
+$PAD
+Sh "43" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 83 "N-000259"
+Po -15.44828 4.14782
+$EndPAD
+$PAD
+Sh "44" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 194 "N-000831"
+Po -15.1384 4.14782
+$EndPAD
+$PAD
+Sh "45" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 82 "N-000258"
+Po -14.84884 4.14782
+$EndPAD
+$PAD
+Sh "46" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 193 "N-000830"
+Po -14.53896 4.14782
+$EndPAD
+$PAD
+Sh "47" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 81 "N-000257"
+Po -14.2494 4.14782
+$EndPAD
+$PAD
+Sh "48" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 192 "N-000829"
+Po -13.93952 4.14782
+$EndPAD
+$PAD
+Sh "49" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 80 "N-000256"
+Po -13.64996 4.14782
+$EndPAD
+$PAD
+Sh "50" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 191 "N-000828"
+Po -13.33754 4.14782
+$EndPAD
+$PAD
+Sh "51" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 79 "N-000255"
+Po -13.04798 4.14782
+$EndPAD
+$PAD
+Sh "52" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 190 "N-000827"
+Po -12.7381 4.14782
+$EndPAD
+$PAD
+Sh "53" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 66 "N-000241"
+Po -12.44854 4.14782
+$EndPAD
+$PAD
+Sh "54" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 177 "N-000814"
+Po -12.13866 4.14782
+$EndPAD
+$PAD
+Sh "55" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 78 "N-000253"
+Po -11.8491 4.14782
+$EndPAD
+$PAD
+Sh "56" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 189 "N-000826"
+Po -11.53922 4.14782
+$EndPAD
+$PAD
+Sh "57" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 77 "N-000252"
+Po -11.24966 4.14782
+$EndPAD
+$PAD
+Sh "58" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 188 "N-000825"
+Po -10.93978 4.14782
+$EndPAD
+$PAD
+Sh "59" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 76 "N-000251"
+Po -10.64768 4.14782
+$EndPAD
+$PAD
+Sh "60" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 187 "N-000824"
+Po -10.3378 4.14782
+$EndPAD
+$PAD
+Sh "61" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 75 "N-000250"
+Po -10.04824 4.14782
+$EndPAD
+$PAD
+Sh "62" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 186 "N-000823"
+Po -9.73836 4.14782
+$EndPAD
+$PAD
+Sh "63" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 74 "N-000249"
+Po -9.4488 4.14782
+$EndPAD
+$PAD
+Sh "64" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 185 "N-000822"
+Po -9.13892 4.14782
+$EndPAD
+$PAD
+Sh "65" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 73 "N-000248"
+Po -8.84936 4.14782
+$EndPAD
+$PAD
+Sh "66" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 184 "N-000821"
+Po -8.53948 4.14782
+$EndPAD
+$PAD
+Sh "67" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 72 "N-000247"
+Po -8.24992 4.14782
+$EndPAD
+$PAD
+Sh "68" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 183 "N-000820"
+Po -7.9375 4.14782
+$EndPAD
+$PAD
+Sh "69" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 71 "N-000246"
+Po -7.64794 4.14782
+$EndPAD
+$PAD
+Sh "70" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 182 "N-000819"
+Po -7.33806 4.14782
+$EndPAD
+$PAD
+Sh "71" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 70 "N-000245"
+Po -7.0485 4.14782
+$EndPAD
+$PAD
+Sh "72" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 181 "N-000818"
+Po -6.73862 4.14782
+$EndPAD
+$PAD
+Sh "73" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 69 "N-000244"
+Po -6.44906 4.14782
+$EndPAD
+$PAD
+Sh "74" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 180 "N-000817"
+Po -6.13918 4.14782
+$EndPAD
+$PAD
+Sh "75" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 68 "N-000243"
+Po -5.84962 4.14782
+$EndPAD
+$PAD
+Sh "76" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 179 "N-000816"
+Po -5.53974 4.14782
+$EndPAD
+$PAD
+Sh "77" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 67 "N-000242"
+Po -5.24764 4.14782
+$EndPAD
+$PAD
+Sh "78" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 178 "N-000815"
+Po -4.93776 4.14782
+$EndPAD
+$PAD
+Sh "79" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 39 "N-000214"
+Po -4.6482 4.14782
+$EndPAD
+$PAD
+Sh "80" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 155 "N-000792"
+Po -4.33832 4.14782
+$EndPAD
+$PAD
+Sh "81" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 25 "N-000200"
+Po -4.04876 4.14782
+$EndPAD
+$PAD
+Sh "82" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 154 "N-000791"
+Po -3.73888 4.14782
+$EndPAD
+$PAD
+Sh "83" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 24 "N-000199"
+Po -3.44932 4.14782
+$EndPAD
+$PAD
+Sh "84" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 153 "N-000790"
+Po -3.13944 4.14782
+$EndPAD
+$PAD
+Sh "85" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 23 "N-000198"
+Po -2.84988 4.14782
+$EndPAD
+$PAD
+Sh "86" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 152 "N-000789"
+Po -2.54 4.14782
+$EndPAD
+$PAD
+Sh "87" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 22 "N-000197"
+Po -2.2479 4.14782
+$EndPAD
+$PAD
+Sh "88" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 151 "N-000788"
+Po -1.93802 4.14782
+$EndPAD
+$PAD
+Sh "89" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 21 "N-000196"
+Po -1.64846 4.14782
+$EndPAD
+$PAD
+Sh "90" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 150 "N-000787"
+Po -1.33858 4.14782
+$EndPAD
+$PAD
+Sh "91" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 20 "N-000195"
+Po -1.04902 4.14782
+$EndPAD
+$PAD
+Sh "92" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 149 "N-000786"
+Po -0.73914 4.14782
+$EndPAD
+$PAD
+Sh "93" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 19 "N-000194"
+Po -0.44958 4.14782
+$EndPAD
+$PAD
+Sh "94" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 148 "N-000785"
+Po -0.1397 4.14782
+$EndPAD
+$PAD
+Sh "95" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 18 "N-000193"
+Po 0.14986 4.14782
+$EndPAD
+$PAD
+Sh "96" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 147 "N-000784"
+Po 0.45974 4.14782
+$EndPAD
+$PAD
+Sh "97" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 17 "N-000192"
+Po 0.7493 4.14782
+$EndPAD
+$PAD
+Sh "98" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 146 "N-000783"
+Po 1.05918 4.14782
+$EndPAD
+$PAD
+Sh "99" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 16 "N-000191"
+Po 1.34874 4.14782
+$EndPAD
+$PAD
+Sh "100" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 145 "N-000782"
+Po 1.65862 4.14782
+$EndPAD
+$PAD
+Sh "101" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 15 "N-000190"
+Po 1.94818 4.14782
+$EndPAD
+$PAD
+Sh "102" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 144 "N-000781"
+Po 2.25806 4.14782
+$EndPAD
+$PAD
+Sh "103" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 1 "N-000176"
+Po 2.54762 4.14782
+$EndPAD
+$PAD
+Sh "104" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 130 "N-000767"
+Po 2.8575 4.14782
+$EndPAD
+$PAD
+Sh "105" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 13 "N-000188"
+Po 3.1496 4.14782
+$EndPAD
+$PAD
+Sh "106" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 142 "N-000779"
+Po 3.45948 4.14782
+$EndPAD
+$PAD
+Sh "107" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 12 "N-000187"
+Po 3.74904 4.14782
+$EndPAD
+$PAD
+Sh "108" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 141 "N-000778"
+Po 4.05892 4.14782
+$EndPAD
+$PAD
+Sh "109" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 11 "N-000186"
+Po 4.34848 4.14782
+$EndPAD
+$PAD
+Sh "110" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 140 "N-000777"
+Po 4.65836 4.14782
+$EndPAD
+$PAD
+Sh "111" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 10 "N-000185"
+Po 4.94792 4.14782
+$EndPAD
+$PAD
+Sh "112" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 139 "N-000776"
+Po 5.2578 4.14782
+$EndPAD
+$PAD
+Sh "113" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 9 "N-000184"
+Po 5.5499 4.14782
+$EndPAD
+$PAD
+Sh "114" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 138 "N-000775"
+Po 5.85978 4.14782
+$EndPAD
+$PAD
+Sh "115" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 8 "N-000183"
+Po 6.14934 4.14782
+$EndPAD
+$PAD
+Sh "116" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 137 "N-000774"
+Po 6.45922 4.14782
+$EndPAD
+$PAD
+Sh "117" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 7 "N-000182"
+Po 6.74878 4.14782
+$EndPAD
+$PAD
+Sh "118" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 136 "N-000773"
+Po 7.05866 4.14782
+$EndPAD
+$PAD
+Sh "119" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 6 "N-000181"
+Po 7.34822 4.14782
+$EndPAD
+$PAD
+Sh "120" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 135 "N-000772"
+Po 7.6581 4.14782
+$EndPAD
+$PAD
+Sh "121" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 5 "N-000180"
+Po 7.94766 4.14782
+$EndPAD
+$PAD
+Sh "122" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 134 "N-000771"
+Po 8.25754 4.14782
+$EndPAD
+$PAD
+Sh "123" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 4 "N-000179"
+Po 8.54964 4.14782
+$EndPAD
+$PAD
+Sh "124" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 133 "N-000770"
+Po 8.85952 4.14782
+$EndPAD
+$PAD
+Sh "125" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 3 "N-000178"
+Po 9.14908 4.14782
+$EndPAD
+$PAD
+Sh "126" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 132 "N-000769"
+Po 9.45896 4.14782
+$EndPAD
+$PAD
+Sh "127" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 2 "N-000177"
+Po 9.74852 4.14782
+$EndPAD
+$PAD
+Sh "128" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 131 "N-000768"
+Po 10.0584 4.14782
+$EndPAD
+$PAD
+Sh "129" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 14 "N-000189"
+Po 10.34796 4.14782
+$EndPAD
+$PAD
+Sh "130" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 143 "N-000780"
+Po 10.65784 4.14782
+$EndPAD
+$PAD
+Sh "131" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 50 "N-000225"
+Po 10.94994 4.14782
+$EndPAD
+$PAD
+Sh "132" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 161 "N-000798"
+Po 11.25982 4.14782
+$EndPAD
+$PAD
+Sh "133" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 49 "N-000224"
+Po 11.54938 4.14782
+$EndPAD
+$PAD
+Sh "134" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 160 "N-000797"
+Po 11.85926 4.14782
+$EndPAD
+$PAD
+Sh "135" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 48 "N-000223"
+Po 12.14882 4.14782
+$EndPAD
+$PAD
+Sh "136" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 159 "N-000796"
+Po 12.4587 4.14782
+$EndPAD
+$PAD
+Sh "137" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 47 "N-000222"
+Po 12.74826 4.14782
+$EndPAD
+$PAD
+Sh "138" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 158 "N-000795"
+Po 13.05814 4.14782
+$EndPAD
+$PAD
+Sh "139" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 46 "N-000221"
+Po 13.3477 4.14782
+$EndPAD
+$PAD
+Sh "140" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 157 "N-000794"
+Po 13.65758 4.14782
+$EndPAD
+$PAD
+Sh "141" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 45 "N-000220"
+Po 13.94968 4.14782
+$EndPAD
+$PAD
+Sh "142" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 156 "N-000793"
+Po 14.25956 4.14782
+$EndPAD
+$PAD
+Sh "143" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 44 "N-000219"
+Po 14.54912 4.14782
+$EndPAD
+$PAD
+Sh "144" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 110 "N-000706"
+Po 14.859 4.14782
+$EndPAD
+$PAD
+Sh "145" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 43 "N-000218"
+Po 15.14856 4.14782
+$EndPAD
+$PAD
+Sh "146" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 111 "N-000707"
+Po 15.45844 4.14782
+$EndPAD
+$PAD
+Sh "147" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 42 "N-000217"
+Po 15.748 4.14782
+$EndPAD
+$PAD
+Sh "148" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 112 "N-000708"
+Po 16.05788 4.14782
+$EndPAD
+$PAD
+Sh "149" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 41 "N-000216"
+Po 16.34998 4.14782
+$EndPAD
+$PAD
+Sh "150" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 113 "N-000709"
+Po 16.65986 4.14782
+$EndPAD
+$PAD
+Sh "151" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 40 "N-000215"
+Po 16.94942 4.14782
+$EndPAD
+$PAD
+Sh "152" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 114 "N-000710"
+Po 17.2593 4.14782
+$EndPAD
+$PAD
+Sh "153" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 26 "N-000201"
+Po 17.54886 4.14782
+$EndPAD
+$PAD
+Sh "154" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 115 "N-000711"
+Po 17.85874 4.14782
+$EndPAD
+$PAD
+Sh "155" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 38 "N-000213"
+Po 18.1483 4.14782
+$EndPAD
+$PAD
+Sh "156" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 109 "N-000705"
+Po 18.45818 4.14782
+$EndPAD
+$PAD
+Sh "157" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 37 "N-000212"
+Po 18.74774 4.14782
+$EndPAD
+$PAD
+Sh "158" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 117 "N-000713"
+Po 19.05762 4.14782
+$EndPAD
+$PAD
+Sh "159" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 36 "N-000211"
+Po 19.34972 4.14782
+$EndPAD
+$PAD
+Sh "160" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 118 "N-000714"
+Po 19.6596 4.14782
+$EndPAD
+$PAD
+Sh "161" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 35 "N-000210"
+Po 19.94916 4.14782
+$EndPAD
+$PAD
+Sh "162" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 119 "N-000715"
+Po 20.25904 4.14782
+$EndPAD
+$PAD
+Sh "163" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 34 "N-000209"
+Po 20.5486 4.14782
+$EndPAD
+$PAD
+Sh "164" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 120 "N-000716"
+Po 20.85848 4.14782
+$EndPAD
+$PAD
+Sh "165" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 33 "N-000208"
+Po 21.14804 4.14782
+$EndPAD
+$PAD
+Sh "166" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 121 "N-000717"
+Po 21.45792 4.14782
+$EndPAD
+$PAD
+Sh "167" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 32 "N-000207"
+Po 21.74748 4.14782
+$EndPAD
+$PAD
+Sh "168" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 97 "N-000693"
+Po 22.0599 4.14782
+$EndPAD
+$PAD
+Sh "169" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 31 "N-000206"
+Po 22.34946 4.14782
+$EndPAD
+$PAD
+Sh "170" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 91 "N-000687"
+Po 22.65934 4.14782
+$EndPAD
+$PAD
+Sh "171" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 30 "N-000205"
+Po 22.9489 4.14782
+$EndPAD
+$PAD
+Sh "172" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 92 "N-000688"
+Po 23.25878 4.14782
+$EndPAD
+$PAD
+Sh "173" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 29 "N-000204"
+Po 23.54834 4.14782
+$EndPAD
+$PAD
+Sh "174" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 93 "N-000689"
+Po 23.85822 4.14782
+$EndPAD
+$PAD
+Sh "175" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 28 "N-000203"
+Po 24.14778 4.14782
+$EndPAD
+$PAD
+Sh "176" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 94 "N-000690"
+Po 24.45766 4.14782
+$EndPAD
+$PAD
+Sh "177" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 27 "N-000202"
+Po 24.74976 4.14782
+$EndPAD
+$PAD
+Sh "178" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 95 "N-000691"
+Po 25.05964 4.14782
+$EndPAD
+$PAD
+Sh "179" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 90 "N-000614"
+Po 25.3492 4.14782
+$EndPAD
+$PAD
+Sh "180" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 96 "N-000692"
+Po 25.65908 4.14782
+$EndPAD
+$PAD
+Sh "181" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 99 "N-000695"
+Po 25.94864 4.14782
+$EndPAD
+$PAD
+Sh "182" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 98 "N-000694"
+Po 26.25852 4.14782
+$EndPAD
+$PAD
+Sh "183" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 101 "N-000697"
+Po 26.54808 4.14782
+$EndPAD
+$PAD
+Sh "184" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 100 "N-000696"
+Po 26.85796 4.14782
+$EndPAD
+$PAD
+Sh "185" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 103 "N-000699"
+Po 27.14752 4.14782
+$EndPAD
+$PAD
+Sh "186" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 102 "N-000698"
+Po 27.45994 4.14782
+$EndPAD
+$PAD
+Sh "187" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 105 "N-000701"
+Po 27.7495 4.14782
+$EndPAD
+$PAD
+Sh "188" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 104 "N-000700"
+Po 28.05938 4.14782
+$EndPAD
+$PAD
+Sh "189" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 107 "N-000703"
+Po 28.34894 4.14782
+$EndPAD
+$PAD
+Sh "190" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 106 "N-000702"
+Po 28.65882 4.14782
+$EndPAD
+$PAD
+Sh "191" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 116 "N-000712"
+Po 28.94838 4.14782
+$EndPAD
+$PAD
+Sh "192" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 108 "N-000704"
+Po 29.25826 4.14782
+$EndPAD
+$PAD
+Sh "193" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 123 "N-000745"
+Po 29.54782 4.14782
+$EndPAD
+$PAD
+Sh "194" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 122 "N-000744"
+Po 29.8577 4.14782
+$EndPAD
+$PAD
+Sh "195" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 125 "N-000747"
+Po 30.1498 4.14782
+$EndPAD
+$PAD
+Sh "196" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 124 "N-000746"
+Po 30.45968 4.14782
+$EndPAD
+$PAD
+Sh "197" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 127 "N-000749"
+Po 30.74924 4.14782
+$EndPAD
+$PAD
+Sh "198" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 126 "N-000748"
+Po 31.05912 4.14782
+$EndPAD
+$PAD
+Sh "199" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 129 "N-000751"
+Po 31.34868 4.14782
+$EndPAD
+$PAD
+Sh "200" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 128 "N-000750"
+Po 31.65856 4.14782
+$EndPAD
+$EndMODULE SODIMM200-JAE
+$MODULE SOT23-5
+Po 85.65 38.175 0 15 5188CCAA 00000000 ~~
+Li SOT23-5
+Sc 0
+AR /514F0D71
+Op 0 0 0
+At SMD
+T0 2.05 -0.05 0.635 0.635 900 0.127 N V 21 N "U9"
+T1 -0.05 0.55 0.2 0.2 0 0.05 N V 21 N "SY8008C"
+DS 1.524 -0.889 1.524 0.889 0.127 21
+DS 1.524 0.889 -1.524 0.889 0.127 21
+DS -1.524 0.889 -1.524 -0.889 0.127 21
+DS -1.524 -0.889 1.524 -0.889 0.127 21
+$PAD
+Sh "1" R 0.508 0.762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 40 "/EXTEN"
+Po -0.9525 1.27
+$EndPAD
+$PAD
+Sh "3" R 0.508 0.762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 224 "N-00000297"
+Po 0.9525 1.27
+$EndPAD
+$PAD
+Sh "5" R 0.508 0.762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 218 "N-00000268"
+Po -0.9525 -1.27
+$EndPAD
+$PAD
+Sh "2" R 0.508 0.762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 0 1.27
+$EndPAD
+$PAD
+Sh "4" R 0.508 0.762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 165 "5V_IN"
+Po 0.9525 -1.27
+$EndPAD
+$SHAPE3D
+Na "smd/SOT23_5.wrl"
+Sc 0.1 0.1 0.1
+Of 0 0 0
+Ro 0 0 0
+$EndSHAPE3D
+$EndMODULE SOT23-5
+$MODULE TFBGA441-new
+Po 77 66 0 15 510A4318 00000000 ~~
+Li TFBGA441-new
+Cd 441 pin Thin Fine Pitch BGA
+Sc 0
+AR /514D47F5
+Op 0 0 0
+T0 0 0 0.0004 0.0004 0 0.00012 N V 21 N "U3"
+T1 0 0 0.0004 0.0004 0 0.00012 N V 21 N "A10-SOC"
+DS 9.6 9.6 9.6 -9.4 0.15 21
+DS 9.6 -9.4 9.4 -9.4 0.15 21
+DS -9.6 -9.4 -10 -9.8 0.15 21
+DS -9.6 -9.4 9.4 -9.4 0.15 21
+DS 9.6 9.6 -9.6 9.6 0.15 21
+DS -9.6 9.6 -9.6 -9.4 0.15 21
+$PAD
+Sh "A1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -8.8 -8.8
+$EndPAD
+$PAD
+Sh "A2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -8 -8.8
+$EndPAD
+$PAD
+Sh "A3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -7.2 -8.8
+$EndPAD
+$PAD
+Sh "A4" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 142 "/U5TX"
+Po -6.4 -8.8
+$EndPAD
+$PAD
+Sh "A5" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -5.6 -8.8
+$EndPAD
+$PAD
+Sh "A6" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 138 "/U3TX"
+Po -4.8 -8.8
+$EndPAD
+$PAD
+Sh "A7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 136 "/U0Tx"
+Po -4 -8.8
+$EndPAD
+$PAD
+Sh "A8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -3.2 -8.8
+$EndPAD
+$PAD
+Sh "A9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 55 "/J_DO0"
+Po -2.4 -8.8
+$EndPAD
+$PAD
+Sh "A10" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 56 "/J_MS0"
+Po -1.6 -8.8
+$EndPAD
+$PAD
+Sh "A11" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -0.8 -8.8
+$EndPAD
+$PAD
+Sh "A12" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 0 -8.8
+$EndPAD
+$PAD
+Sh "A13" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 0.8 -8.8
+$EndPAD
+$PAD
+Sh "A14" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 1.6 -8.8
+$EndPAD
+$PAD
+Sh "A15" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 133 "/TWI0SCK"
+Po 2.4 -8.8
+$EndPAD
+$PAD
+Sh "A16" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 3.2 -8.8
+$EndPAD
+$PAD
+Sh "A17" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 4 -8.8
+$EndPAD
+$PAD
+Sh "A18" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 4.8 -8.8
+$EndPAD
+$PAD
+Sh "A19" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 5.6 -8.8
+$EndPAD
+$PAD
+Sh "A20" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 6.4 -8.8
+$EndPAD
+$PAD
+Sh "A21" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 7.2 -8.8
+$EndPAD
+$PAD
+Sh "A22" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 8 -8.8
+$EndPAD
+$PAD
+Sh "A23" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 8.8 -8.8
+$EndPAD
+$PAD
+Sh "B1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -8.8 -8
+$EndPAD
+$PAD
+Sh "B2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -8 -8
+$EndPAD
+$PAD
+Sh "B3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -7.2 -8
+$EndPAD
+$PAD
+Sh "B4" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 141 "/U5RX"
+Po -6.4 -8
+$EndPAD
+$PAD
+Sh "B5" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 140 "/U4TX"
+Po -5.6 -8
+$EndPAD
+$PAD
+Sh "B6" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 137 "/U3RX"
+Po -4.8 -8
+$EndPAD
+$PAD
+Sh "B7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 135 "/U0Rx"
+Po -4 -8
+$EndPAD
+$PAD
+Sh "B8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -3.2 -8
+$EndPAD
+$PAD
+Sh "B9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 54 "/J_DI0"
+Po -2.4 -8
+$EndPAD
+$PAD
+Sh "B10" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 53 "/J_CK0"
+Po -1.6 -8
+$EndPAD
+$PAD
+Sh "B11" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -0.8 -8
+$EndPAD
+$PAD
+Sh "B12" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 0 -8
+$EndPAD
+$PAD
+Sh "B13" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 0.8 -8
+$EndPAD
+$PAD
+Sh "B14" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 1.6 -8
+$EndPAD
+$PAD
+Sh "B15" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 134 "/TWI0SDA"
+Po 2.4 -8
+$EndPAD
+$PAD
+Sh "B16" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 3.2 -8
+$EndPAD
+$PAD
+Sh "B17" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 4 -8
+$EndPAD
+$PAD
+Sh "B18" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 4.8 -8
+$EndPAD
+$PAD
+Sh "B19" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 5.6 -8
+$EndPAD
+$PAD
+Sh "B20" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 6.4 -8
+$EndPAD
+$PAD
+Sh "B21" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 7.2 -8
+$EndPAD
+$PAD
+Sh "B22" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 98 "/PE7"
+Po 8 -8
+$EndPAD
+$PAD
+Sh "B23" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 97 "/PE6"
+Po 8.8 -8
+$EndPAD
+$PAD
+Sh "C1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -8.8 -7.2
+$EndPAD
+$PAD
+Sh "C2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -8 -7.2
+$EndPAD
+$PAD
+Sh "C3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -7.2 -7.2
+$EndPAD
+$PAD
+Sh "C4" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -6.4 -7.2
+$EndPAD
+$PAD
+Sh "C5" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 139 "/U4RX"
+Po -5.6 -7.2
+$EndPAD
+$PAD
+Sh "C6" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -4.8 -7.2
+$EndPAD
+$PAD
+Sh "C7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -4 -7.2
+$EndPAD
+$PAD
+Sh "C8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -3.2 -7.2
+$EndPAD
+$PAD
+Sh "C9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -2.4 -7.2
+$EndPAD
+$PAD
+Sh "C10" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -1.6 -7.2
+$EndPAD
+$PAD
+Sh "C11" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -0.8 -7.2
+$EndPAD
+$PAD
+Sh "C12" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 0 -7.2
+$EndPAD
+$PAD
+Sh "C13" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 186 "ETXERR"
+Po 0.8 -7.2
+$EndPAD
+$PAD
+Sh "C14" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 99 "/RESET#"
+Po 1.6 -7.2
+$EndPAD
+$PAD
+Sh "C15" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 2.4 -7.2
+$EndPAD
+$PAD
+Sh "C16" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 3.2 -7.2
+$EndPAD
+$PAD
+Sh "C17" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 4 -7.2
+$EndPAD
+$PAD
+Sh "C18" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 4.8 -7.2
+$EndPAD
+$PAD
+Sh "C19" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 5.6 -7.2
+$EndPAD
+$PAD
+Sh "C20" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 6.4 -7.2
+$EndPAD
+$PAD
+Sh "C21" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 7.2 -7.2
+$EndPAD
+$PAD
+Sh "C22" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 96 "/PE5"
+Po 8 -7.2
+$EndPAD
+$PAD
+Sh "C23" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 95 "/PE4"
+Po 8.8 -7.2
+$EndPAD
+$PAD
+Sh "D1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -8.8 -6.4
+$EndPAD
+$PAD
+Sh "D2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -8 -6.4
+$EndPAD
+$PAD
+Sh "D3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -7.2 -6.4
+$EndPAD
+$PAD
+Sh "D4" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -6.4 -6.4
+$EndPAD
+$PAD
+Sh "D5" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 177 "ERXD3"
+Po -5.6 -6.4
+$EndPAD
+$PAD
+Sh "D6" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 175 "ERXD1"
+Po -4.8 -6.4
+$EndPAD
+$PAD
+Sh "D7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 184 "ETXD3"
+Po -4 -6.4
+$EndPAD
+$PAD
+Sh "D8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 182 "ETXD1"
+Po -3.2 -6.4
+$EndPAD
+$PAD
+Sh "D9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 173 "ERXCK"
+Po -2.4 -6.4
+$EndPAD
+$PAD
+Sh "D10" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 178 "ERXDV"
+Po -1.6 -6.4
+$EndPAD
+$PAD
+Sh "D11" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 172 "EMDIO"
+Po -0.8 -6.4
+$EndPAD
+$PAD
+Sh "D12" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 180 "ETXCK"
+Po 0 -6.4
+$EndPAD
+$PAD
+Sh "D13" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 169 "ECOL"
+Po 0.8 -6.4
+$EndPAD
+$PAD
+Sh "D14" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 1.6 -6.4
+$EndPAD
+$PAD
+Sh "D15" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 2.4 -6.4
+$EndPAD
+$PAD
+Sh "D16" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 3.2 -6.4
+$EndPAD
+$PAD
+Sh "D17" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 4 -6.4
+$EndPAD
+$PAD
+Sh "D18" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 4.8 -6.4
+$EndPAD
+$PAD
+Sh "D19" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 5.6 -6.4
+$EndPAD
+$PAD
+Sh "D20" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 6.4 -6.4
+$EndPAD
+$PAD
+Sh "D21" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 7.2 -6.4
+$EndPAD
+$PAD
+Sh "D22" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 94 "/PE3"
+Po 8 -6.4
+$EndPAD
+$PAD
+Sh "D23" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 93 "/PE2"
+Po 8.8 -6.4
+$EndPAD
+$PAD
+Sh "E1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -8.8 -5.6
+$EndPAD
+$PAD
+Sh "E2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -8 -5.6
+$EndPAD
+$PAD
+Sh "E3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -7.2 -5.6
+$EndPAD
+$PAD
+Sh "E4" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -6.4 -5.6
+$EndPAD
+$PAD
+Sh "E5" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 176 "ERXD2"
+Po -5.6 -5.6
+$EndPAD
+$PAD
+Sh "E6" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 174 "ERXD0"
+Po -4.8 -5.6
+$EndPAD
+$PAD
+Sh "E7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 183 "ETXD2"
+Po -4 -5.6
+$EndPAD
+$PAD
+Sh "E8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 181 "ETXD0"
+Po -3.2 -5.6
+$EndPAD
+$PAD
+Sh "E9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 179 "ERXERR"
+Po -2.4 -5.6
+$EndPAD
+$PAD
+Sh "E10" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 171 "EMDC"
+Po -1.6 -5.6
+$EndPAD
+$PAD
+Sh "E11" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 185 "ETXEN"
+Po -0.8 -5.6
+$EndPAD
+$PAD
+Sh "E12" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 170 "ECRS"
+Po 0 -5.6
+$EndPAD
+$PAD
+Sh "E13" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 0.8 -5.6
+$EndPAD
+$PAD
+Sh "E14" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 1.6 -5.6
+$EndPAD
+$PAD
+Sh "E15" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 2.4 -5.6
+$EndPAD
+$PAD
+Sh "E16" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 3.2 -5.6
+$EndPAD
+$PAD
+Sh "E17" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 4 -5.6
+$EndPAD
+$PAD
+Sh "E18" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po 4.8 -5.6
+$EndPAD
+$PAD
+Sh "E19" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 5.6 -5.6
+$EndPAD
+$PAD
+Sh "E20" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 6.4 -5.6
+$EndPAD
+$PAD
+Sh "E21" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 7.2 -5.6
+$EndPAD
+$PAD
+Sh "E22" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 92 "/PE1"
+Po 8 -5.6
+$EndPAD
+$PAD
+Sh "E23" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 91 "/PE0"
+Po 8.8 -5.6
+$EndPAD
+$PAD
+Sh "F1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 234 "N-00000364"
+Po -8.8 -4.8
+$EndPAD
+$PAD
+Sh "F2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 211 "N-00000203"
+Po -8 -4.8
+$EndPAD
+$PAD
+Sh "F3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -7.2 -4.8
+$EndPAD
+$PAD
+Sh "F4" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -6.4 -4.8
+$EndPAD
+$PAD
+Sh "F5" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 89 "/NMI#"
+Po -5.6 -4.8
+$EndPAD
+$PAD
+Sh "F19" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po 5.6 -4.8
+$EndPAD
+$PAD
+Sh "F20" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 6.4 -4.8
+$EndPAD
+$PAD
+Sh "F21" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 7.2 -4.8
+$EndPAD
+$PAD
+Sh "F22" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 8 -4.8
+$EndPAD
+$PAD
+Sh "F23" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 8.8 -4.8
+$EndPAD
+$PAD
+Sh "G1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 121 "/SDQM3"
+Po -8.8 -4
+$EndPAD
+$PAD
+Sh "G2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 32 "/DQ30"
+Po -8 -4
+$EndPAD
+$PAD
+Sh "G3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -7.2 -4
+$EndPAD
+$PAD
+Sh "G4" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -6.4 -4
+$EndPAD
+$PAD
+Sh "G5" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po -5.6 -4
+$EndPAD
+$PAD
+Sh "G19" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 5.6 -4
+$EndPAD
+$PAD
+Sh "G20" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 6.4 -4
+$EndPAD
+$PAD
+Sh "G21" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 7.2 -4
+$EndPAD
+$PAD
+Sh "G22" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 8 -4
+$EndPAD
+$PAD
+Sh "G23" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 8.8 -4
+$EndPAD
+$PAD
+Sh "H1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 26 "/DQ25"
+Po -8.8 -3.2
+$EndPAD
+$PAD
+Sh "H2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 28 "/DQ27"
+Po -8 -3.2
+$EndPAD
+$PAD
+Sh "H3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 167 "DRAM_REF"
+Po -7.2 -3.2
+$EndPAD
+$PAD
+Sh "H4" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 167 "DRAM_REF"
+Po -6.4 -3.2
+$EndPAD
+$PAD
+Sh "H5" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po -5.6 -3.2
+$EndPAD
+$PAD
+Sh "H8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po -3.2 -3.2
+$EndPAD
+$PAD
+Sh "H9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po -2.4 -3.2
+$EndPAD
+$PAD
+Sh "H10" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po -1.6 -3.2
+$EndPAD
+$PAD
+Sh "H11" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 162 "1.25V"
+Po -0.8 -3.2
+$EndPAD
+$PAD
+Sh "H12" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 162 "1.25V"
+Po 0 -3.2
+$EndPAD
+$PAD
+Sh "H13" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 162 "1.25V"
+Po 0.8 -3.2
+$EndPAD
+$PAD
+Sh "H14" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 162 "1.25V"
+Po 1.6 -3.2
+$EndPAD
+$PAD
+Sh "H15" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po 2.4 -3.2
+$EndPAD
+$PAD
+Sh "H16" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 3.2 -3.2
+$EndPAD
+$PAD
+Sh "H19" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po 5.6 -3.2
+$EndPAD
+$PAD
+Sh "H20" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 6.4 -3.2
+$EndPAD
+$PAD
+Sh "H21" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 7.2 -3.2
+$EndPAD
+$PAD
+Sh "H22" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 8 -3.2
+$EndPAD
+$PAD
+Sh "H23" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 8.8 -3.2
+$EndPAD
+$PAD
+Sh "J1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 29 "/DQ28"
+Po -8.8 -2.4
+$EndPAD
+$PAD
+Sh "J2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 129 "/SDQS3#"
+Po -8 -2.4
+$EndPAD
+$PAD
+Sh "J3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -7.2 -2.4
+$EndPAD
+$PAD
+Sh "J4" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -6.4 -2.4
+$EndPAD
+$PAD
+Sh "J5" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -5.6 -2.4
+$EndPAD
+$PAD
+Sh "J8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po -3.2 -2.4
+$EndPAD
+$PAD
+Sh "J9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po -2.4 -2.4
+$EndPAD
+$PAD
+Sh "J10" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po -1.6 -2.4
+$EndPAD
+$PAD
+Sh "J11" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -0.8 -2.4
+$EndPAD
+$PAD
+Sh "J12" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 162 "1.25V"
+Po 0 -2.4
+$EndPAD
+$PAD
+Sh "J13" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 162 "1.25V"
+Po 0.8 -2.4
+$EndPAD
+$PAD
+Sh "J14" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po 1.6 -2.4
+$EndPAD
+$PAD
+Sh "J15" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 163 "1.2V"
+Po 2.4 -2.4
+$EndPAD
+$PAD
+Sh "J16" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 163 "1.2V"
+Po 3.2 -2.4
+$EndPAD
+$PAD
+Sh "J19" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po 5.6 -2.4
+$EndPAD
+$PAD
+Sh "J20" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 6.4 -2.4
+$EndPAD
+$PAD
+Sh "J21" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 7.2 -2.4
+$EndPAD
+$PAD
+Sh "J22" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 8 -2.4
+$EndPAD
+$PAD
+Sh "J23" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 8.8 -2.4
+$EndPAD
+$PAD
+Sh "K1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 128 "/SDQS3"
+Po -8.8 -1.6
+$EndPAD
+$PAD
+Sh "K2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 33 "/DQ31"
+Po -8 -1.6
+$EndPAD
+$PAD
+Sh "K3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 1 "/BA0"
+Po -7.2 -1.6
+$EndPAD
+$PAD
+Sh "K4" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 3 "/BA2"
+Po -6.4 -1.6
+$EndPAD
+$PAD
+Sh "K5" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -5.6 -1.6
+$EndPAD
+$PAD
+Sh "K8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 189 "LDO1"
+Po -3.2 -1.6
+$EndPAD
+$PAD
+Sh "K9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 163 "1.2V"
+Po -2.4 -1.6
+$EndPAD
+$PAD
+Sh "K10" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 163 "1.2V"
+Po -1.6 -1.6
+$EndPAD
+$PAD
+Sh "K11" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -0.8 -1.6
+$EndPAD
+$PAD
+Sh "K12" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 0 -1.6
+$EndPAD
+$PAD
+Sh "K13" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 0.8 -1.6
+$EndPAD
+$PAD
+Sh "K14" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 1.6 -1.6
+$EndPAD
+$PAD
+Sh "K15" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 163 "1.2V"
+Po 2.4 -1.6
+$EndPAD
+$PAD
+Sh "K16" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 163 "1.2V"
+Po 3.2 -1.6
+$EndPAD
+$PAD
+Sh "K19" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 192 "N-00000132"
+Po 5.6 -1.6
+$EndPAD
+$PAD
+Sh "K20" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 258 "N-0000097"
+Po 6.4 -1.6
+$EndPAD
+$PAD
+Sh "K21" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 7.2 -1.6
+$EndPAD
+$PAD
+Sh "K22" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 8 -1.6
+$EndPAD
+$PAD
+Sh "K23" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 8.8 -1.6
+$EndPAD
+$PAD
+Sh "L1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 25 "/DQ24"
+Po -8.8 -0.8
+$EndPAD
+$PAD
+Sh "L2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 27 "/DQ26"
+Po -8 -0.8
+$EndPAD
+$PAD
+Sh "L3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 102 "/SA10"
+Po -7.2 -0.8
+$EndPAD
+$PAD
+Sh "L4" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 2 "/BA1"
+Po -6.4 -0.8
+$EndPAD
+$PAD
+Sh "L5" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po -5.6 -0.8
+$EndPAD
+$PAD
+Sh "L8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 163 "1.2V"
+Po -3.2 -0.8
+$EndPAD
+$PAD
+Sh "L9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 163 "1.2V"
+Po -2.4 -0.8
+$EndPAD
+$PAD
+Sh "L10" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -1.6 -0.8
+$EndPAD
+$PAD
+Sh "L11" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -0.8 -0.8
+$EndPAD
+$PAD
+Sh "L12" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 0 -0.8
+$EndPAD
+$PAD
+Sh "L13" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 0.8 -0.8
+$EndPAD
+$PAD
+Sh "L14" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 1.6 -0.8
+$EndPAD
+$PAD
+Sh "L15" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po 2.4 -0.8
+$EndPAD
+$PAD
+Sh "L16" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po 3.2 -0.8
+$EndPAD
+$PAD
+Sh "L19" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 193 "N-00000133"
+Po 5.6 -0.8
+$EndPAD
+$PAD
+Sh "L20" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 259 "N-0000098"
+Po 6.4 -0.8
+$EndPAD
+$PAD
+Sh "L21" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 7.2 -0.8
+$EndPAD
+$PAD
+Sh "L22" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 8 -0.8
+$EndPAD
+$PAD
+Sh "L23" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 8.8 -0.8
+$EndPAD
+$PAD
+Sh "M1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 30 "/DQ29"
+Po -8.8 0
+$EndPAD
+$PAD
+Sh "M2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 24 "/DQ23"
+Po -8 0
+$EndPAD
+$PAD
+Sh "M3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 112 "/SA7"
+Po -7.2 0
+$EndPAD
+$PAD
+Sh "M4" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 108 "/SA3"
+Po -6.4 0
+$EndPAD
+$PAD
+Sh "M5" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po -5.6 0
+$EndPAD
+$PAD
+Sh "M8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 163 "1.2V"
+Po -3.2 0
+$EndPAD
+$PAD
+Sh "M9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -2.4 0
+$EndPAD
+$PAD
+Sh "M10" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -1.6 0
+$EndPAD
+$PAD
+Sh "M11" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -0.8 0
+$EndPAD
+$PAD
+Sh "M12" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 0 0
+$EndPAD
+$PAD
+Sh "M13" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 0.8 0
+$EndPAD
+$PAD
+Sh "M14" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 1.6 0
+$EndPAD
+$PAD
+Sh "M15" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 2.4 0
+$EndPAD
+$PAD
+Sh "M16" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 3.2 0
+$EndPAD
+$PAD
+Sh "M19" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 194 "N-00000134"
+Po 5.6 0
+$EndPAD
+$PAD
+Sh "M20" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 225 "N-0000030"
+Po 6.4 0
+$EndPAD
+$PAD
+Sh "M21" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 7.2 0
+$EndPAD
+$PAD
+Sh "M22" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 8 0
+$EndPAD
+$PAD
+Sh "M23" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 8.8 0
+$EndPAD
+$PAD
+Sh "N1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 16 "/DQ16"
+Po -8.8 0.8
+$EndPAD
+$PAD
+Sh "N2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 18 "/DQ18"
+Po -8 0.8
+$EndPAD
+$PAD
+Sh "N3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 6 "/CKE"
+Po -7.2 0.8
+$EndPAD
+$PAD
+Sh "N4" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 110 "/SA5"
+Po -6.4 0.8
+$EndPAD
+$PAD
+Sh "N5" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -5.6 0.8
+$EndPAD
+$PAD
+Sh "N8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -3.2 0.8
+$EndPAD
+$PAD
+Sh "N9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 163 "1.2V"
+Po -2.4 0.8
+$EndPAD
+$PAD
+Sh "N10" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -1.6 0.8
+$EndPAD
+$PAD
+Sh "N11" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -0.8 0.8
+$EndPAD
+$PAD
+Sh "N12" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 0 0.8
+$EndPAD
+$PAD
+Sh "N13" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 0.8 0.8
+$EndPAD
+$PAD
+Sh "N14" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 1.6 0.8
+$EndPAD
+$PAD
+Sh "N15" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 2.4 0.8
+$EndPAD
+$PAD
+Sh "N16" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 3.2 0.8
+$EndPAD
+$PAD
+Sh "N19" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po 5.6 0.8
+$EndPAD
+$PAD
+Sh "N20" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 228 "N-0000031"
+Po 6.4 0.8
+$EndPAD
+$PAD
+Sh "N21" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 229 "N-0000032"
+Po 7.2 0.8
+$EndPAD
+$PAD
+Sh "N22" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 227 "N-00000301"
+Po 8 0.8
+$EndPAD
+$PAD
+Sh "N23" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 226 "N-00000300"
+Po 8.8 0.8
+$EndPAD
+$PAD
+Sh "P1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 22 "/DQ21"
+Po -8.8 1.6
+$EndPAD
+$PAD
+Sh "P2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 127 "/SDQS2#"
+Po -8 1.6
+$EndPAD
+$PAD
+Sh "P3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 104 "/SA12"
+Po -7.2 1.6
+$EndPAD
+$PAD
+Sh "P4" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 114 "/SA9"
+Po -6.4 1.6
+$EndPAD
+$PAD
+Sh "P5" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -5.6 1.6
+$EndPAD
+$PAD
+Sh "P8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -3.2 1.6
+$EndPAD
+$PAD
+Sh "P9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 163 "1.2V"
+Po -2.4 1.6
+$EndPAD
+$PAD
+Sh "P10" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -1.6 1.6
+$EndPAD
+$PAD
+Sh "P11" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -0.8 1.6
+$EndPAD
+$PAD
+Sh "P12" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 0 1.6
+$EndPAD
+$PAD
+Sh "P13" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 0.8 1.6
+$EndPAD
+$PAD
+Sh "P14" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 1.6 1.6
+$EndPAD
+$PAD
+Sh "P15" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 2.4 1.6
+$EndPAD
+$PAD
+Sh "P16" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po 3.2 1.6
+$EndPAD
+$PAD
+Sh "P19" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 5.6 1.6
+$EndPAD
+$PAD
+Sh "P20" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 143 "/USB1M"
+Po 6.4 1.6
+$EndPAD
+$PAD
+Sh "P21" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 144 "/USB1P"
+Po 7.2 1.6
+$EndPAD
+$PAD
+Sh "P22" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 42 "/HHPD"
+Po 8 1.6
+$EndPAD
+$PAD
+Sh "P23" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 41 "/HCEC"
+Po 8.8 1.6
+$EndPAD
+$PAD
+Sh "R1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 126 "/SDQS2"
+Po -8.8 2.4
+$EndPAD
+$PAD
+Sh "R2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 120 "/SDQM2"
+Po -8 2.4
+$EndPAD
+$PAD
+Sh "R3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 106 "/SA14"
+Po -7.2 2.4
+$EndPAD
+$PAD
+Sh "R4" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 101 "/SA1"
+Po -6.4 2.4
+$EndPAD
+$PAD
+Sh "R5" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po -5.6 2.4
+$EndPAD
+$PAD
+Sh "R8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -3.2 2.4
+$EndPAD
+$PAD
+Sh "R9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 163 "1.2V"
+Po -2.4 2.4
+$EndPAD
+$PAD
+Sh "R10" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 163 "1.2V"
+Po -1.6 2.4
+$EndPAD
+$PAD
+Sh "R11" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -0.8 2.4
+$EndPAD
+$PAD
+Sh "R12" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 0 2.4
+$EndPAD
+$PAD
+Sh "R13" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 0.8 2.4
+$EndPAD
+$PAD
+Sh "R14" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 1.6 2.4
+$EndPAD
+$PAD
+Sh "R15" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 2.4 2.4
+$EndPAD
+$PAD
+Sh "R16" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 3.2 2.4
+$EndPAD
+$PAD
+Sh "R19" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 5.6 2.4
+$EndPAD
+$PAD
+Sh "R20" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 145 "/USB2M"
+Po 6.4 2.4
+$EndPAD
+$PAD
+Sh "R21" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 146 "/USB2P"
+Po 7.2 2.4
+$EndPAD
+$PAD
+Sh "R22" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 44 "/HSDA"
+Po 8 2.4
+$EndPAD
+$PAD
+Sh "R23" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 43 "/HSCL"
+Po 8.8 2.4
+$EndPAD
+$PAD
+Sh "T1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 23 "/DQ22"
+Po -8.8 3.2
+$EndPAD
+$PAD
+Sh "T2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 17 "/DQ17"
+Po -8 3.2
+$EndPAD
+$PAD
+Sh "T3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 132 "/SWE"
+Po -7.2 3.2
+$EndPAD
+$PAD
+Sh "T4" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 130 "/SRAS"
+Po -6.4 3.2
+$EndPAD
+$PAD
+Sh "T5" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po -5.6 3.2
+$EndPAD
+$PAD
+Sh "T8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 163 "1.2V"
+Po -3.2 3.2
+$EndPAD
+$PAD
+Sh "T9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -2.4 3.2
+$EndPAD
+$PAD
+Sh "T10" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 257 "N-0000088"
+Po -1.6 3.2
+$EndPAD
+$PAD
+Sh "T11" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -0.8 3.2
+$EndPAD
+$PAD
+Sh "T12" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 0 3.2
+$EndPAD
+$PAD
+Sh "T13" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po 0.8 3.2
+$EndPAD
+$PAD
+Sh "T14" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 1.6 3.2
+$EndPAD
+$PAD
+Sh "T15" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 2.4 3.2
+$EndPAD
+$PAD
+Sh "T16" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 3.2 3.2
+$EndPAD
+$PAD
+Sh "T19" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 166 "AVCC"
+Po 5.6 3.2
+$EndPAD
+$PAD
+Sh "T20" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 6.4 3.2
+$EndPAD
+$PAD
+Sh "T21" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 7.2 3.2
+$EndPAD
+$PAD
+Sh "T22" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 49 "/HTX2N"
+Po 8 3.2
+$EndPAD
+$PAD
+Sh "T23" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 50 "/HTX2P"
+Po 8.8 3.2
+$EndPAD
+$PAD
+Sh "U1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 19 "/DQ19"
+Po -8.8 4
+$EndPAD
+$PAD
+Sh "U2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 21 "/DQ20"
+Po -8 4
+$EndPAD
+$PAD
+Sh "U3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 115 "/SCAS"
+Po -7.2 4
+$EndPAD
+$PAD
+Sh "U4" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 107 "/SA2"
+Po -6.4 4
+$EndPAD
+$PAD
+Sh "U5" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -5.6 4
+$EndPAD
+$PAD
+Sh "U19" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 5.6 4
+$EndPAD
+$PAD
+Sh "U20" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 6.4 4
+$EndPAD
+$PAD
+Sh "U21" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 7.2 4
+$EndPAD
+$PAD
+Sh "U22" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 47 "/HTX1N"
+Po 8 4
+$EndPAD
+$PAD
+Sh "U23" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 48 "/HTX1P"
+Po 8.8 4
+$EndPAD
+$PAD
+Sh "V1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 116 "/SCK"
+Po -8.8 4.8
+$EndPAD
+$PAD
+Sh "V2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 117 "/SCK#"
+Po -8 4.8
+$EndPAD
+$PAD
+Sh "V3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 7 "/CS#"
+Po -7.2 4.8
+$EndPAD
+$PAD
+Sh "V4" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 111 "/SA6"
+Po -6.4 4.8
+$EndPAD
+$PAD
+Sh "V5" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -5.6 4.8
+$EndPAD
+$PAD
+Sh "V19" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 5.6 4.8
+$EndPAD
+$PAD
+Sh "V20" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 152 "/VRA2"
+Po 6.4 4.8
+$EndPAD
+$PAD
+Sh "V21" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 7.2 4.8
+$EndPAD
+$PAD
+Sh "V22" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 45 "/HTX0N"
+Po 8 4.8
+$EndPAD
+$PAD
+Sh "V23" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 46 "/HTX0P"
+Po 8.8 4.8
+$EndPAD
+$PAD
+Sh "W1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 119 "/SDQM1"
+Po -8.8 5.6
+$EndPAD
+$PAD
+Sh "W2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 14 "/DQ14"
+Po -8 5.6
+$EndPAD
+$PAD
+Sh "W3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 103 "/SA11"
+Po -7.2 5.6
+$EndPAD
+$PAD
+Sh "W4" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 100 "/SA0"
+Po -6.4 5.6
+$EndPAD
+$PAD
+Sh "W5" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po -5.6 5.6
+$EndPAD
+$PAD
+Sh "W6" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po -4.8 5.6
+$EndPAD
+$PAD
+Sh "W7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po -4 5.6
+$EndPAD
+$PAD
+Sh "W8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 195 "N-00000139"
+Po -3.2 5.6
+$EndPAD
+$PAD
+Sh "W9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -2.4 5.6
+$EndPAD
+$PAD
+Sh "W10" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -1.6 5.6
+$EndPAD
+$PAD
+Sh "W11" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -0.8 5.6
+$EndPAD
+$PAD
+Sh "W12" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po 0 5.6
+$EndPAD
+$PAD
+Sh "W13" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po 0.8 5.6
+$EndPAD
+$PAD
+Sh "W14" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po 1.6 5.6
+$EndPAD
+$PAD
+Sh "W15" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po 2.4 5.6
+$EndPAD
+$PAD
+Sh "W16" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po 3.2 5.6
+$EndPAD
+$PAD
+Sh "W17" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 4 5.6
+$EndPAD
+$PAD
+Sh "W18" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 4.8 5.6
+$EndPAD
+$PAD
+Sh "W19" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 5.6 5.6
+$EndPAD
+$PAD
+Sh "W20" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 151 "/VRA1"
+Po 6.4 5.6
+$EndPAD
+$PAD
+Sh "W21" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 153 "/VRP"
+Po 7.2 5.6
+$EndPAD
+$PAD
+Sh "W22" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 51 "/HTXCN"
+Po 8 5.6
+$EndPAD
+$PAD
+Sh "W23" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 52 "/HTXCP"
+Po 8.8 5.6
+$EndPAD
+$PAD
+Sh "Y1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 39 "/DQ9"
+Po -8.8 6.4
+$EndPAD
+$PAD
+Sh "Y2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 11 "/DQ11"
+Po -8 6.4
+$EndPAD
+$PAD
+Sh "Y3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 105 "/SA13"
+Po -7.2 6.4
+$EndPAD
+$PAD
+Sh "Y4" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 109 "/SA4"
+Po -6.4 6.4
+$EndPAD
+$PAD
+Sh "Y5" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 167 "DRAM_REF"
+Po -5.6 6.4
+$EndPAD
+$PAD
+Sh "Y6" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po -4.8 6.4
+$EndPAD
+$PAD
+Sh "Y7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -4 6.4
+$EndPAD
+$PAD
+Sh "Y8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -3.2 6.4
+$EndPAD
+$PAD
+Sh "Y9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 57 "/LCLK"
+Po -2.4 6.4
+$EndPAD
+$PAD
+Sh "Y10" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 71 "/LD20"
+Po -1.6 6.4
+$EndPAD
+$PAD
+Sh "Y11" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 68 "/LD18"
+Po -0.8 6.4
+$EndPAD
+$PAD
+Sh "Y12" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 66 "/LD16"
+Po 0 6.4
+$EndPAD
+$PAD
+Sh "Y13" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 64 "/LD14"
+Po 0.8 6.4
+$EndPAD
+$PAD
+Sh "Y14" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 62 "/LD12"
+Po 1.6 6.4
+$EndPAD
+$PAD
+Sh "Y15" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 60 "/LD10"
+Po 2.4 6.4
+$EndPAD
+$PAD
+Sh "Y16" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po 3.2 6.4
+$EndPAD
+$PAD
+Sh "Y17" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 4 6.4
+$EndPAD
+$PAD
+Sh "Y18" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 4.8 6.4
+$EndPAD
+$PAD
+Sh "Y19" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 5.6 6.4
+$EndPAD
+$PAD
+Sh "Y20" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 6.4 6.4
+$EndPAD
+$PAD
+Sh "Y21" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 7.2 6.4
+$EndPAD
+$PAD
+Sh "Y22" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 8 6.4
+$EndPAD
+$PAD
+Sh "Y23" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 8.8 6.4
+$EndPAD
+$PAD
+Sh "AA1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 12 "/DQ12"
+Po -8.8 7.2
+$EndPAD
+$PAD
+Sh "AA2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 125 "/SDQS1#"
+Po -8 7.2
+$EndPAD
+$PAD
+Sh "AA3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 113 "/SA8"
+Po -7.2 7.2
+$EndPAD
+$PAD
+Sh "AA4" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -6.4 7.2
+$EndPAD
+$PAD
+Sh "AA5" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 90 "/ODT"
+Po -5.6 7.2
+$EndPAD
+$PAD
+Sh "AA6" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 131 "/SRST"
+Po -4.8 7.2
+$EndPAD
+$PAD
+Sh "AA7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 196 "N-00000171"
+Po -4 7.2
+$EndPAD
+$PAD
+Sh "AA8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 167 "DRAM_REF"
+Po -3.2 7.2
+$EndPAD
+$PAD
+Sh "AA9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 82 "/LDE"
+Po -2.4 7.2
+$EndPAD
+$PAD
+Sh "AA10" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 72 "/LD21"
+Po -1.6 7.2
+$EndPAD
+$PAD
+Sh "AA11" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 69 "/LD19"
+Po -0.8 7.2
+$EndPAD
+$PAD
+Sh "AA12" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 67 "/LD17"
+Po 0 7.2
+$EndPAD
+$PAD
+Sh "AA13" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 65 "/LD15"
+Po 0.8 7.2
+$EndPAD
+$PAD
+Sh "AA14" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 63 "/LD13"
+Po 1.6 7.2
+$EndPAD
+$PAD
+Sh "AA15" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 61 "/LD11"
+Po 2.4 7.2
+$EndPAD
+$PAD
+Sh "AA16" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 3.2 7.2
+$EndPAD
+$PAD
+Sh "AA17" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 4 7.2
+$EndPAD
+$PAD
+Sh "AA18" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 4.8 7.2
+$EndPAD
+$PAD
+Sh "AA19" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 5.6 7.2
+$EndPAD
+$PAD
+Sh "AA20" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 6.4 7.2
+$EndPAD
+$PAD
+Sh "AA21" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 150 "/VMIC"
+Po 7.2 7.2
+$EndPAD
+$PAD
+Sh "AA22" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 8 7.2
+$EndPAD
+$PAD
+Sh "AA23" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 8.8 7.2
+$EndPAD
+$PAD
+Sh "AB1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 124 "/SDQS1"
+Po -8.8 8
+$EndPAD
+$PAD
+Sh "AB2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 38 "/DQ8"
+Po -8 8
+$EndPAD
+$PAD
+Sh "AB3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 13 "/DQ13"
+Po -7.2 8
+$EndPAD
+$PAD
+Sh "AB4" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 8 "/DQ0"
+Po -6.4 8
+$EndPAD
+$PAD
+Sh "AB5" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 35 "/DQ5"
+Po -5.6 8
+$EndPAD
+$PAD
+Sh "AB6" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 122 "/SDQS0"
+Po -4.8 8
+$EndPAD
+$PAD
+Sh "AB7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 36 "/DQ6"
+Po -4 8
+$EndPAD
+$PAD
+Sh "AB8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 31 "/DQ3"
+Po -3.2 8
+$EndPAD
+$PAD
+Sh "AB9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 83 "/LHSYN"
+Po -2.4 8
+$EndPAD
+$PAD
+Sh "AB10" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 73 "/LD22"
+Po -1.6 8
+$EndPAD
+$PAD
+Sh "AB11" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 80 "/LD8"
+Po -0.8 8
+$EndPAD
+$PAD
+Sh "AB12" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 78 "/LD6"
+Po 0 8
+$EndPAD
+$PAD
+Sh "AB13" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 76 "/LD4"
+Po 0.8 8
+$EndPAD
+$PAD
+Sh "AB14" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 70 "/LD2"
+Po 1.6 8
+$EndPAD
+$PAD
+Sh "AB15" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 58 "/LD0"
+Po 2.4 8
+$EndPAD
+$PAD
+Sh "AB16" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 147 "/VGA_B"
+Po 3.2 8
+$EndPAD
+$PAD
+Sh "AB17" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 4 8
+$EndPAD
+$PAD
+Sh "AB18" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 4.8 8
+$EndPAD
+$PAD
+Sh "AB19" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 5.6 8
+$EndPAD
+$PAD
+Sh "AB20" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 84 "/LIN_L"
+Po 6.4 8
+$EndPAD
+$PAD
+Sh "AB21" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 85 "/LIN_R"
+Po 7.2 8
+$EndPAD
+$PAD
+Sh "AB22" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 8 8
+$EndPAD
+$PAD
+Sh "AB23" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 8.8 8
+$EndPAD
+$PAD
+Sh "AC1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 15 "/DQ15"
+Po -8.8 8.8
+$EndPAD
+$PAD
+Sh "AC2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 10 "/DQ10"
+Po -8 8.8
+$EndPAD
+$PAD
+Sh "AC3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 37 "/DQ7"
+Po -7.2 8.8
+$EndPAD
+$PAD
+Sh "AC4" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 20 "/DQ2"
+Po -6.4 8.8
+$EndPAD
+$PAD
+Sh "AC5" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 123 "/SDQS0#"
+Po -5.6 8.8
+$EndPAD
+$PAD
+Sh "AC6" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 118 "/SDQM0"
+Po -4.8 8.8
+$EndPAD
+$PAD
+Sh "AC7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 9 "/DQ1"
+Po -4 8.8
+$EndPAD
+$PAD
+Sh "AC8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 34 "/DQ4"
+Po -3.2 8.8
+$EndPAD
+$PAD
+Sh "AC9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 86 "/LVSYN"
+Po -2.4 8.8
+$EndPAD
+$PAD
+Sh "AC10" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 74 "/LD23"
+Po -1.6 8.8
+$EndPAD
+$PAD
+Sh "AC11" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 81 "/LD9"
+Po -0.8 8.8
+$EndPAD
+$PAD
+Sh "AC12" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 79 "/LD7"
+Po 0 8.8
+$EndPAD
+$PAD
+Sh "AC13" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 77 "/LD5"
+Po 0.8 8.8
+$EndPAD
+$PAD
+Sh "AC14" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 75 "/LD3"
+Po 1.6 8.8
+$EndPAD
+$PAD
+Sh "AC15" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 59 "/LD1"
+Po 2.4 8.8
+$EndPAD
+$PAD
+Sh "AC16" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 148 "/VGA_G"
+Po 3.2 8.8
+$EndPAD
+$PAD
+Sh "AC17" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 149 "/VGA_R"
+Po 4 8.8
+$EndPAD
+$PAD
+Sh "AC18" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 4.8 8.8
+$EndPAD
+$PAD
+Sh "AC19" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po 5.6 8.8
+$EndPAD
+$PAD
+Sh "AC20" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 87 "/MICIN1"
+Po 6.4 8.8
+$EndPAD
+$PAD
+Sh "AC21" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 88 "/MICIN2"
+Po 7.2 8.8
+$EndPAD
+$PAD
+Sh "AC22" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 8 8.8
+$EndPAD
+$PAD
+Sh "AC23" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 8.8 8.8
+$EndPAD
+$SHAPE3D
+Na "walter/smd_bga/tfbga180.wrl"
+Sc 1 1 1
+Of 0 0 0
+Ro 0 0 0
+$EndSHAPE3D
+$EndMODULE TFBGA441-new
+$MODULE USB_DA
+Po 99.7 99.925 0 15 5189CA3A 00000000 ~~
+Li USB_DA
+Sc 0
+AR /5151A44D
+Op 0 0 0
+T0 -2.425 2.225 1.524 1.524 900 0.3048 N V 21 N "J3"
+T1 -3.6 -4.875 1.524 1.524 900 0.3048 N V 21 N "DUSB"
+DS -1.143 -7.493 12.954 -7.493 0.127 21
+DS 12.954 7.493 -1.143 7.493 0.127 21
+DS -1.143 -7.493 -1.143 7.493 0.127 21
+DS 12.954 -7.493 12.954 7.493 0.127 21
+$PAD
+Sh "7" C 1.50114 1.50114 0 0 0
+Dr 1.00076 0 0
+At STD N 00E0FFFF
+Ne 187 "GND"
+Po 0 -3.556
+$EndPAD
+$PAD
+Sh "5" C 1.50114 1.50114 0 0 0
+Dr 1.00076 0 0
+At STD N 00E0FFFF
+Ne 144 "/USB1P"
+Po 0 -1.025
+$EndPAD
+$PAD
+Sh "3" C 1.50114 1.50114 0 0 0
+Dr 1.00076 0 0
+At STD N 00E0FFFF
+Ne 143 "/USB1M"
+Po 0.025 1.025
+$EndPAD
+$PAD
+Sh "1" C 1.50114 1.50114 0 0 0
+Dr 1.00076 0 0
+At STD N 00E0FFFF
+Ne 165 "5V_IN"
+Po 0 3.556
+$EndPAD
+$PAD
+Sh "sh3" C 2.99974 2.99974 0 0 0
+Dr 2.301238 0 0
+At STD N 00E0FFFF
+Ne 187 "GND"
+Po -0.675 -6.6
+$EndPAD
+$PAD
+Sh "sh1" C 2.99974 2.99974 0 0 0
+Dr 2.301238 0 0
+At STD N 00E0FFFF
+Ne 187 "GND"
+Po -0.625 6.675
+$EndPAD
+$PAD
+Sh "sh4" C 2.99974 2.99974 0 0 0
+Dr 2.301238 0 0
+At STD N 00E0FFFF
+Ne 187 "GND"
+Po 5.675 -6.6
+$EndPAD
+$PAD
+Sh "sh2" C 2.99974 2.99974 0 0 0
+Dr 2.301238 0 0
+At STD N 00E0FFFF
+Ne 187 "GND"
+Po 5.65 6.35
+$EndPAD
+$PAD
+Sh "8" C 1.50114 1.50114 0 0 0
+Dr 1.00076 0 0
+At STD N 00E0FFFF
+Ne 187 "GND"
+Po 2.7 -3.55
+$EndPAD
+$PAD
+Sh "4" C 1.50114 1.50114 0 0 0
+Dr 1.00076 0 0
+At STD N 00E0FFFF
+Ne 145 "/USB2M"
+Po 2.7 1
+$EndPAD
+$PAD
+Sh "6" C 1.50114 1.50114 0 0 0
+Dr 1.00076 0 0
+At STD N 00E0FFFF
+Ne 146 "/USB2P"
+Po 2.71 -1.03
+$EndPAD
+$PAD
+Sh "2" C 1.50114 1.50114 0 0 0
+Dr 1.00076 0 0
+At STD N 00E0FFFF
+Ne 165 "5V_IN"
+Po 2.7 3.55
+$EndPAD
+$SHAPE3D
+Na "connectors/usb_a_through_hole.wrl"
+Sc 1 1 1
+Of 0 0 0
+Ro 0 0 0
+$EndSHAPE3D
+$EndMODULE USB_DA
+$MODULE USB_Double-from-FCI
+Po 0 0 0 15 519E1574 00000000 ~~
+Li USB_Double-from-FCI
+Sc 0
+AR /5151A44D
+Op 0 0 0
+T0 -2.425 2.225 1.524 1.524 900 0.3048 N V 21 N "J3"
+T1 -3.6 -4.875 1.524 1.524 900 0.3048 N V 21 N "DUSB"
+DS -1.143 -7.493 12.954 -7.493 0.127 21
+DS 12.954 7.493 -1.143 7.493 0.127 21
+DS -1.143 -7.493 -1.143 7.493 0.127 21
+DS 12.954 -7.493 12.954 7.493 0.127 21
+$PAD
+Sh "7" C 1.50114 1.50114 0 0 0
+Dr 1.00076 0 0
+At STD N 00E0FFFF
+Ne 6 "GND"
+Po 0 -3.556
+$EndPAD
+$PAD
+Sh "5" C 1.50114 1.50114 0 0 0
+Dr 1.00076 0 0
+At STD N 00E0FFFF
+Ne 2 "/USB1P"
+Po 0 -1.025
+$EndPAD
+$PAD
+Sh "3" C 1.50114 1.50114 0 0 0
+Dr 1.00076 0 0
+At STD N 00E0FFFF
+Ne 1 "/USB1M"
+Po 0.025 1.025
+$EndPAD
+$PAD
+Sh "1" C 1.50114 1.50114 0 0 0
+Dr 1.00076 0 0
+At STD N 00E0FFFF
+Ne 5 "5V_IN"
+Po 0 3.556
+$EndPAD
+$PAD
+Sh "sh3" C 2.99974 2.99974 0 0 0
+Dr 2.301238 0 0
+At STD N 00E0FFFF
+Ne 6 "GND"
+Po -0.675 -6.6
+$EndPAD
+$PAD
+Sh "sh1" C 2.99974 2.99974 0 0 0
+Dr 2.301238 0 0
+At STD N 00E0FFFF
+Ne 6 "GND"
+Po -0.625 6.675
+$EndPAD
+$PAD
+Sh "sh4" C 2.99974 2.99974 0 0 0
+Dr 2.301238 0 0
+At STD N 00E0FFFF
+Ne 6 "GND"
+Po 5 -6.6
+$EndPAD
+$PAD
+Sh "sh2" C 2.99974 2.99974 0 0 0
+Dr 2.301238 0 0
+At STD N 00E0FFFF
+Ne 6 "GND"
+Po 5.05 6.55
+$EndPAD
+$PAD
+Sh "8" C 1.50114 1.50114 0 0 0
+Dr 1.00076 0 0
+At STD N 00E0FFFF
+Ne 6 "GND"
+Po 2.6 -3.55
+$EndPAD
+$PAD
+Sh "4" C 1.50114 1.50114 0 0 0
+Dr 1.00076 0 0
+At STD N 00E0FFFF
+Ne 3 "/USB2M"
+Po 2.55 1
+$EndPAD
+$PAD
+Sh "6" C 1.50114 1.50114 0 0 0
+Dr 1.00076 0 0
+At STD N 00E0FFFF
+Ne 4 "/USB2P"
+Po 2.6 -1.05
+$EndPAD
+$PAD
+Sh "2" C 1.50114 1.50114 0 0 0
+Dr 1.00076 0 0
+At STD N 00E0FFFF
+Ne 5 "5V_IN"
+Po 2.55 3.6
+$EndPAD
+$SHAPE3D
+Na "connectors/usb_a_through_hole.wrl"
+Sc 1 1 1
+Of 0 0 0
+Ro 0 0 0
+$EndSHAPE3D
+$EndMODULE USB_Double-from-FCI
+$MODULE conn_usb_A-vert
+Po 104.425 86.525 0 15 5189CA31 00000000 ~~
+Li conn_usb_A-vert
+Cd USB A-type vertical receptacle, Tyco P/N 440260-2
+Sc 0
+AR /5151A43E
+Op 0 0 0
+T0 -3 -11.45 1.524 1.524 0 0.3048 N V 21 N "J4"
+T1 1.175 -13.25 1.524 1.524 0 0.3048 N V 21 N "USB1"
+DS 3.937 9.652 -3.937 9.652 0.381 21
+DS -3.937 9.398 -3.937 9.906 0.381 21
+DS -3.937 9.906 3.937 9.906 0.381 21
+DS 3.937 9.906 3.937 9.398 0.381 21
+DS 3.937 9.398 -3.937 9.398 0.381 21
+DS 2.921 -9.652 -2.921 -9.652 0.381 21
+DS -2.921 -9.652 -2.921 9.652 0.381 21
+DS 2.921 9.652 2.921 -9.652 0.381 21
+$PAD
+Sh "6" C 2.19964 2.19964 0 0 0
+Dr 1.30048 0 0
+At STD N 0000FFFF
+Ne 187 "GND"
+Po -2.72034 -9.29894
+$EndPAD
+$PAD
+Sh "5" C 2.19964 2.19964 0 0 0
+Dr 1.30048 0 0
+At STD N 0000FFFF
+Ne 187 "GND"
+Po 2.72034 -9.29894
+$EndPAD
+$PAD
+Sh "1" C 1.50114 1.50114 0 0 0
+Dr 0.899159 0 0
+At STD N 0000FFFF
+Ne 165 "5V_IN"
+Po 0 -3.03022
+$EndPAD
+$PAD
+Sh "2" C 1.50114 1.50114 0 0 0
+Dr 0.899159 0 0
+At STD N 0000FFFF
+Ne 228 "N-0000031"
+Po 0 -5.0292
+$EndPAD
+$PAD
+Sh "3" C 1.50114 1.50114 0 0 0
+Dr 0.899159 0 0
+At STD N 0000FFFF
+Ne 229 "N-0000032"
+Po 0 -7.03072
+$EndPAD
+$PAD
+Sh "4" C 1.50114 1.50114 0 0 0
+Dr 0.899159 0 0
+At STD N 0000FFFF
+Ne 187 "GND"
+Po 0 -9.0297
+$EndPAD
+$PAD
+Sh "" C 2.19964 2.19964 0 0 0
+Dr 1.30048 0 0
+At STD N 0000FFFF
+Ne 0 ""
+Po 2.72034 -2.30124
+$EndPAD
+$PAD
+Sh "" C 2.19964 2.19964 0 0 0
+Dr 1.30048 0 0
+At STD N 0000FFFF
+Ne 0 ""
+Po -2.72034 -2.30124
+$EndPAD
+$SHAPE3D
+Na "walter/conn_pc/usb_A_vert.wrl"
+Sc 1 1 1
+Of 0 0 0
+Ro 0 0 0
+$EndSHAPE3D
+$EndMODULE conn_usb_A-vert
+$MODULE conn_usb_B_micro_smd
+Po 77.343 29.7561 0 15 50432F3D 00000000 ~~
+Li conn_usb_B_micro_smd
+Cd USB B micro SMD connector, Molex P/N 47346-0001
+Sc 0
+AR /51526492
+Op 0 0 0
+T0 0 2.60096 0.50038 0.50038 0 0.09906 N V 21 N "P2"
+T1 0 -4.0005 0.50038 0.50038 0 0.09906 N V 21 N "CONN_5"
+DS -4.20116 1.99898 4.20116 1.99898 0.20066 21
+DS -4.20116 2.10058 4.20116 2.10058 0.20066 21
+DS 4.20116 2.10058 4.20116 1.89992 0.20066 21
+DS 4.20116 1.89992 -4.20116 1.89992 0.20066 21
+DS -4.20116 1.89992 -4.20116 2.10058 0.20066 21
+DS -3.8989 2.10058 -3.8989 -2.90068 0.20066 21
+DS -3.8989 -2.90068 3.8989 -2.90068 0.20066 21
+DS 3.8989 -2.90068 3.8989 2.10058 0.20066 21
+$PAD
+Sh "" R 1.17348 1.89738 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -0.8382 0
+$EndPAD
+$PAD
+Sh "" R 1.17348 1.89738 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 0.8382 0
+$EndPAD
+$PAD
+Sh "" R 2.3749 1.89738 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 2.91338 0
+$EndPAD
+$PAD
+Sh "" R 2.3749 1.89738 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -2.91338 0
+$EndPAD
+$PAD
+Sh "" R 1.4732 2.10058 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 2.46126 -2.2987
+$EndPAD
+$PAD
+Sh "" R 1.4732 2.10058 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -2.46126 -2.2987
+$EndPAD
+$PAD
+Sh "1" R 0.44958 1.37922 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 165 "5V_IN"
+Po -1.30048 -2.65938
+$EndPAD
+$PAD
+Sh "2" R 0.44958 1.37922 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -0.65024 -2.65938
+$EndPAD
+$PAD
+Sh "3" R 0.44958 1.37922 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 0 -2.65938
+$EndPAD
+$PAD
+Sh "4" R 0.44958 1.37922 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 0.65024 -2.65938
+$EndPAD
+$PAD
+Sh "5" R 0.44958 1.37922 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 1.30048 -2.65938
+$EndPAD
+$SHAPE3D
+Na "walter/conn_pc/usb_B_micro_smd.wrl"
+Sc 1 1 1
+Of 0 0 0
+Ro 0 0 0
+$EndSHAPE3D
+$EndMODULE conn_usb_B_micro_smd
+$MODULE crystal-32k-4.5x1.9mm
+Po 0 0 0 15 519E01CA 00000000 ~~
+Li crystal-32k-4.5x1.9mm
+Sc 0
+AR /51552586
+Op 0 0 0
+At SMD
+T0 -0.125 1.025 0.508 0.4572 0 0.1143 N V 21 N "~"
+T1 -0.1 1.825 0.508 0.4572 0 0.1143 N I 21 N "32k"
+DS -2.65 -1.4 2.7 -1.4 0.15 21
+DS 2.7 -1.4 2.7 1.4 0.15 21
+DS 2.7 1.4 -2.65 1.4 0.15 21
+DS -2.65 1.4 -2.65 -1.4 0.15 21
+$PAD
+Sh "1" R 1.1 1.9 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 1 "GND"
+Po -1.7 0
+$EndPAD
+$PAD
+Sh "2" R 1.1 1.9 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 2 "LDO3"
+Po 1.7 0.05
+$EndPAD
+$SHAPE3D
+Na "smd\\resistors\\R0603.wrl"
+Sc 0.5 0.5 0.5
+Of 0 0 0.001
+Ro 0 0 0
+$EndSHAPE3D
+$EndMODULE crystal-32k-4.5x1.9mm
+$MODULE lqfp48
+Po 52.225 41.075 0 15 518A3D42 00000000 ~~
+Li lqfp48
+Cd LQFP-48
+Sc 0
+AR /5159654C/51597EA2
+Op 0 0 0
+T0 6.375 -4.025 0.7493 0.7493 900 0.14986 N V 21 N "U11"
+T1 7.7 -2.85 0.7493 0.7493 900 0.14986 N V 21 N "RTL8201CP"
+DS -4.09956 3.8989 -3.8989 4.09956 0.14986 21
+DS -3.70078 4.09956 -4.09956 3.70078 0.14986 21
+DS -4.09956 3.50012 -3.50012 4.09956 0.14986 21
+DS -3.29946 4.09956 -4.09956 3.29946 0.14986 21
+DS -3.0988 4.09956 -4.09956 4.09956 0.14986 21
+DS -4.09956 4.09956 -4.09956 3.0988 0.14986 21
+DS -4.09956 3.0988 -3.0988 4.09956 0.14986 21
+DS 4.09956 3.0988 4.09956 4.09956 0.14986 21
+DS 4.09956 4.09956 3.0988 4.09956 0.14986 21
+DS 3.0988 -4.09956 4.09956 -4.09956 0.14986 21
+DS 4.09956 -4.09956 4.09956 -3.0988 0.14986 21
+DS -4.09956 -3.0988 -4.09956 -4.09956 0.14986 21
+DS -4.09956 -4.09956 -3.0988 -4.09956 0.14986 21
+DC -2.413 2.413 -2.667 2.54 0.127 21
+DS 3.556 3.175 3.175 3.556 0.127 21
+DS 3.175 3.556 -3.175 3.556 0.127 21
+DS -3.175 3.556 -3.556 3.175 0.127 21
+DS -3.556 3.175 -3.556 -3.175 0.127 21
+DS -3.556 -3.175 -3.175 -3.556 0.127 21
+DS -3.175 -3.556 3.175 -3.556 0.127 21
+DS 3.175 -3.556 3.556 -3.175 0.127 21
+DS 3.556 -3.175 3.556 3.175 0.127 21
+$PAD
+Sh "4" R 0.29972 1.30048 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 183 "ETXD2"
+Po -1.24968 4.09956
+$EndPAD
+$PAD
+Sh "5" R 0.29972 1.30048 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 182 "ETXD1"
+Po -0.7493 4.09956
+$EndPAD
+$PAD
+Sh "6" R 0.29972 1.30048 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 181 "ETXD0"
+Po -0.24892 4.09956
+$EndPAD
+$PAD
+Sh "7" R 0.29972 1.30048 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 180 "ETXCK"
+Po 0.24892 4.09956
+$EndPAD
+$PAD
+Sh "8" R 0.29972 1.30048 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 239 "N-00000408"
+Po 0.7493 4.09956
+$EndPAD
+$PAD
+Sh "1" R 0.29972 1.30048 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 169 "ECOL"
+Po -2.75082 4.09956
+$EndPAD
+$PAD
+Sh "2" R 0.29972 1.30048 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 185 "ETXEN"
+Po -2.25044 4.09956
+$EndPAD
+$PAD
+Sh "3" R 0.29972 1.30048 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 184 "ETXD3"
+Po -1.75006 4.09956
+$EndPAD
+$PAD
+Sh "13" R 1.30048 0.29972 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 242 "N-00000422"
+Po 4.09956 2.75082
+$EndPAD
+$PAD
+Sh "14" R 1.30048 0.29972 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po 4.09956 2.25044
+$EndPAD
+$PAD
+Sh "15" R 1.30048 0.29972 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 156 "/ethernet/LED4"
+Po 4.09956 1.75006
+$EndPAD
+$PAD
+Sh "16" R 1.30048 0.29972 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 173 "ERXCK"
+Po 4.09956 1.24968
+$EndPAD
+$PAD
+Sh "17" R 1.30048 0.29972 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 4.09956 0.7493
+$EndPAD
+$PAD
+Sh "18" R 1.30048 0.29972 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 177 "ERXD3"
+Po 4.09956 0.24892
+$EndPAD
+$PAD
+Sh "19" R 1.30048 0.29972 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 176 "ERXD2"
+Po 4.09956 -0.24892
+$EndPAD
+$PAD
+Sh "20" R 1.30048 0.29972 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 175 "ERXD1"
+Po 4.09956 -0.7493
+$EndPAD
+$PAD
+Sh "25" R 0.29972 1.30048 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 171 "EMDC"
+Po 2.75082 -4.09956
+$EndPAD
+$PAD
+Sh "26" R 0.29972 1.30048 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 172 "EMDIO"
+Po 2.25044 -4.09956
+$EndPAD
+$PAD
+Sh "27" R 0.29972 1.30048 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 1.75006 -4.09956
+$EndPAD
+$PAD
+Sh "28" R 0.29972 1.30048 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 249 "N-00000429"
+Po 1.24968 -4.09956
+$EndPAD
+$PAD
+Sh "29" R 0.29972 1.30048 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 0.7493 -4.09956
+$EndPAD
+$PAD
+Sh "30" R 0.29972 1.30048 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 159 "/ethernet/RX-"
+Po 0.24892 -4.09956
+$EndPAD
+$PAD
+Sh "31" R 0.29972 1.30048 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 158 "/ethernet/RX+"
+Po -0.24892 -4.09956
+$EndPAD
+$PAD
+Sh "32" R 0.29972 1.30048 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 157 "/ethernet/PFWBOUT"
+Po -0.7493 -4.09956
+$EndPAD
+$PAD
+Sh "37" R 1.30048 0.29972 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 248 "N-00000428"
+Po -4.09956 -2.75082
+$EndPAD
+$PAD
+Sh "38" R 1.30048 0.29972 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 250 "N-00000430"
+Po -4.09956 -2.25044
+$EndPAD
+$PAD
+Sh "39" R 1.30048 0.29972 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 252 "N-00000432"
+Po -4.09956 -1.75006
+$EndPAD
+$PAD
+Sh "40" R 1.30048 0.29972 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 247 "N-00000427"
+Po -4.09956 -1.24968
+$EndPAD
+$PAD
+Sh "41" R 1.30048 0.29972 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 245 "N-00000425"
+Po -4.09956 -0.7493
+$EndPAD
+$PAD
+Sh "42" R 1.30048 0.29972 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 186 "ETXERR"
+Po -4.09956 -0.24892
+$EndPAD
+$PAD
+Sh "43" R 1.30048 0.29972 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 246 "N-00000426"
+Po -4.09956 0.24892
+$EndPAD
+$PAD
+Sh "44" R 1.30048 0.29972 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 251 "N-00000431"
+Po -4.09956 0.7493
+$EndPAD
+$PAD
+Sh "9" R 0.29972 1.30048 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 155 "/ethernet/LED0"
+Po 1.24968 4.09956
+$EndPAD
+$PAD
+Sh "10" R 0.29972 1.30048 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 244 "N-00000424"
+Po 1.75006 4.09956
+$EndPAD
+$PAD
+Sh "11" R 0.29972 1.30048 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 2.25044 4.09956
+$EndPAD
+$PAD
+Sh "12" R 0.29972 1.30048 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 243 "N-00000423"
+Po 2.75082 4.09956
+$EndPAD
+$PAD
+Sh "21" R 1.30048 0.29972 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 174 "ERXD0"
+Po 4.09956 -1.24968
+$EndPAD
+$PAD
+Sh "22" R 1.30048 0.29972 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 178 "ERXDV"
+Po 4.09956 -1.75006
+$EndPAD
+$PAD
+Sh "23" R 1.30048 0.29972 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 170 "ECRS"
+Po 4.09956 -2.25044
+$EndPAD
+$PAD
+Sh "24" R 1.30048 0.29972 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 179 "ERXERR"
+Po 4.09956 -2.75082
+$EndPAD
+$PAD
+Sh "33" R 0.29972 1.30048 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 161 "/ethernet/TX-"
+Po -1.24968 -4.09956
+$EndPAD
+$PAD
+Sh "34" R 0.29972 1.30048 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 160 "/ethernet/TX+"
+Po -1.75006 -4.09956
+$EndPAD
+$PAD
+Sh "35" R 0.29972 1.30048 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -2.25044 -4.09956
+$EndPAD
+$PAD
+Sh "36" R 0.29972 1.30048 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 154 "/ethernet/ETHAVDD"
+Po -2.75082 -4.09956
+$EndPAD
+$PAD
+Sh "45" R 1.30048 0.29972 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -4.09956 1.24968
+$EndPAD
+$PAD
+Sh "46" R 1.30048 0.29972 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 254 "N-00000434"
+Po -4.09956 1.75006
+$EndPAD
+$PAD
+Sh "47" R 1.30048 0.29972 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 253 "N-00000433"
+Po -4.09956 2.25044
+$EndPAD
+$PAD
+Sh "48" R 1.30048 0.29972 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po -4.09956 2.75082
+$EndPAD
+$SHAPE3D
+Na "walter/smd_lqfp/lqfp-48.wrl"
+Sc 1 1 1
+Of 0 0 0
+Ro 0 0 0
+$EndSHAPE3D
+$EndMODULE lqfp48
+$MODULE microsd_socket
+Po 103.4796 74.4855 0 15 5189CA22 00000000 ~~
+Li microsd_socket
+Cd MicroSD Card socket, Molex P/N 502774-0811
+Sc 0
+AR /5151A880
+Op 0 0 0
+T0 -5.9395 -9.6796 1.524 1.524 0 0.3048 N V 21 N "J5"
+T1 -5.0395 9.5954 1.524 1.524 0 0.3048 N V 21 N "USD"
+DS 2.90068 7.29996 4.39928 7.59968 0.381 21
+DS -2.19964 7.59968 -1.39954 7.39902 0.381 21
+DS -1.39954 7.39902 0.50038 7.2009 0.381 21
+DS 0.50038 7.2009 1.80086 7.2009 0.381 21
+DS 1.80086 7.2009 2.90068 7.29996 0.381 21
+DS -2.19964 7.59968 -4.39928 7.59968 0.381 21
+DS -4.40182 7.59968 -4.70154 8.001 0.381 21
+DS 4.699 8.001 7.2009 8.001 0.381 21
+DS -4.699 8.001 -7.2009 8.001 0.381 21
+DS 4.699 8.001 4.39928 7.59968 0.381 21
+DS -3.70078 -7.00024 -3.70078 -8.001 0.381 21
+DS -3.70078 -8.001 -5.19938 -8.001 0.381 21
+DS -5.19938 -8.001 -5.19938 -7.00024 0.381 21
+DS -5.90042 -8.001 -7.00024 -8.001 0.381 21
+DS -5.90042 -8.001 -5.90042 -7.00024 0.381 21
+DS -7.00024 -7.00024 -7.00024 -8.001 0.381 21
+DS 5.69976 -7.8994 6.70052 -7.8994 0.381 21
+DS 5.69976 -7.00024 5.69976 -7.8994 0.381 21
+DS 6.70052 -7.8994 6.70052 -7.00024 0.381 21
+DS 4.8006 -7.8994 4.8006 -7.00024 0.381 21
+DS 3.70078 -7.8994 3.70078 -7.00024 0.381 21
+DS 2.60096 -7.8994 2.60096 -7.00024 0.381 21
+DS 1.50114 -7.8994 1.50114 -7.00024 0.381 21
+DS 0.39878 -7.8994 0.39878 -7.00024 0.381 21
+DS -0.70104 -7.8994 -0.70104 -7.0993 0.381 21
+DS -1.80086 -7.8994 -1.80086 -7.00024 0.381 21
+DS -2.90068 -7.00024 -2.90068 -7.8994 0.381 21
+DS 7.2009 8.001 7.2009 -7.00024 0.381 21
+DS 7.2009 -7.00024 -7.2009 -7.00024 0.381 21
+DS -7.2009 -7.00024 -7.2009 8.001 0.381 21
+$PAD
+Sh "1" R 0.8001 1.67894 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 200 "N-00000191"
+Po 4.8006 -7.38378
+.LocalClearance 0.20066
+$EndPAD
+$PAD
+Sh "2" R 0.8001 1.67894 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 205 "N-00000196"
+Po 3.70078 -7.38378
+.LocalClearance 0.20066
+$EndPAD
+$PAD
+Sh "3" R 0.8001 1.67894 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 204 "N-00000195"
+Po 2.60096 -7.38378
+.LocalClearance 0.20066
+$EndPAD
+$PAD
+Sh "4" R 0.8001 1.67894 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po 1.50114 -7.38378
+.LocalClearance 0.20066
+$EndPAD
+$PAD
+Sh "5" R 0.8001 1.67894 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 202 "N-00000193"
+Po 0.39878 -7.38378
+.LocalClearance 0.20066
+$EndPAD
+$PAD
+Sh "6" R 0.8001 1.67894 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -0.70104 -7.38378
+.LocalClearance 0.20066
+$EndPAD
+$PAD
+Sh "7" R 0.8001 1.67894 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 201 "N-00000192"
+Po -1.80086 -7.38378
+.LocalClearance 0.20066
+$EndPAD
+$PAD
+Sh "8" R 0.8001 1.67894 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 203 "N-00000194"
+Po -2.90068 -7.38378
+.LocalClearance 0.20066
+$EndPAD
+$PAD
+Sh "sh1" R 1.29032 1.30048 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 6.85546 -5.82422
+.LocalClearance 0.20066
+$EndPAD
+$PAD
+Sh "" R 1.50114 1.69926 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 6.74878 7.37616
+$EndPAD
+$PAD
+Sh "" R 1.69926 1.50114 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -6.44906 -7.47522
+$EndPAD
+$PAD
+Sh "sh2" R 1.29032 1.39954 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 6.85546 1.5748
+$EndPAD
+$PAD
+Sh "" R 1.50114 1.69926 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -6.74878 7.37616
+$EndPAD
+$PAD
+Sh "" R 1.6002 1.50114 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 6.2103 -7.47522
+.LocalClearance 0.20066
+$EndPAD
+$SHAPE3D
+Na "walter/conn_misc/microsd_socket.wrl"
+Sc 1 1 1
+Of 0 0 0
+Ro 0 0 0
+$EndSHAPE3D
+$EndMODULE microsd_socket
+$MODULE pin2mm-30
+Po 63.7 88.8 0 15 5189C9DC 00000000 ~~
+Li pin2mm-30
+Sc 0
+AR /5150C360
+Op 0 0 0
+T0 -12 -4.05 1 1 0 0.15 N V 21 N "P1"
+T1 1.1 -3.95 1 1 0 0.15 N V 21 N "CONN30 - LCD"
+DS -13 -3 17 -3 0.15 21
+DS 17 -3 17 1 0.15 21
+DS 17 1 -13 1 0.15 21
+DS -13 1 -13 -3 0.15 21
+$PAD
+Sh "1" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 58 "/LD0"
+Po -12 -2
+$EndPAD
+$PAD
+Sh "2" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 59 "/LD1"
+Po -12 0
+$EndPAD
+$PAD
+Sh "3" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 70 "/LD2"
+Po -10 -2
+$EndPAD
+$PAD
+Sh "4" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 75 "/LD3"
+Po -10 0
+$EndPAD
+$PAD
+Sh "5" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 76 "/LD4"
+Po -8 -2
+$EndPAD
+$PAD
+Sh "6" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 77 "/LD5"
+Po -8 0
+$EndPAD
+$PAD
+Sh "7" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 78 "/LD6"
+Po -6 -2
+$EndPAD
+$PAD
+Sh "8" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 79 "/LD7"
+Po -6 0
+$EndPAD
+$PAD
+Sh "9" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 80 "/LD8"
+Po -4 -2
+$EndPAD
+$PAD
+Sh "10" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 81 "/LD9"
+Po -4 0
+$EndPAD
+$PAD
+Sh "11" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 60 "/LD10"
+Po -2 -2
+$EndPAD
+$PAD
+Sh "12" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 61 "/LD11"
+Po -2 0
+$EndPAD
+$PAD
+Sh "13" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 62 "/LD12"
+Po 0 -2
+$EndPAD
+$PAD
+Sh "14" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 63 "/LD13"
+Po 0 0
+$EndPAD
+$PAD
+Sh "15" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 64 "/LD14"
+Po 2 -2
+$EndPAD
+$PAD
+Sh "16" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 65 "/LD15"
+Po 2 0
+$EndPAD
+$PAD
+Sh "17" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 66 "/LD16"
+Po 4 -2
+$EndPAD
+$PAD
+Sh "18" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 67 "/LD17"
+Po 4 0
+$EndPAD
+$PAD
+Sh "19" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 68 "/LD18"
+Po 6 -2
+$EndPAD
+$PAD
+Sh "20" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 69 "/LD19"
+Po 6 0
+$EndPAD
+$PAD
+Sh "21" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 71 "/LD20"
+Po 8 -2
+$EndPAD
+$PAD
+Sh "22" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 72 "/LD21"
+Po 8 0
+$EndPAD
+$PAD
+Sh "23" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 73 "/LD22"
+Po 10 -2
+$EndPAD
+$PAD
+Sh "24" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 74 "/LD23"
+Po 10 0
+$EndPAD
+$PAD
+Sh "25" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 57 "/LCLK"
+Po 12 -2
+$EndPAD
+$PAD
+Sh "26" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 82 "/LDE"
+Po 12 0
+$EndPAD
+$PAD
+Sh "27" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 83 "/LHSYN"
+Po 14 -2
+$EndPAD
+$PAD
+Sh "28" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 86 "/LVSYN"
+Po 14 0
+$EndPAD
+$PAD
+Sh "29" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 165 "5V_IN"
+Po 16 -2
+$EndPAD
+$PAD
+Sh "30" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 187 "GND"
+Po 16 0
+$EndPAD
+$EndMODULE pin2mm-30
+$MODULE pin_array_4x2
+Po 82.96 50.7 0 15 5189CA94 00000000 ~~
+Li pin_array_4x2
+Cd Double rangee de contacts 2 x 4 pins
+Kw CONN
+Sc 0
+AR /5189E5B2
+Op 0 0 0
+T0 -6.16 0.325 1.016 1.016 900 0.2032 N V 21 N "P14"
+T1 -0.01 -3.425 1.016 1.016 0 0.2032 N V 21 N "CONN_8"
+DS -5.08 -2.54 5.08 -2.54 0.3048 21
+DS 5.08 -2.54 5.08 2.54 0.3048 21
+DS 5.08 2.54 -5.08 2.54 0.3048 21
+DS -5.08 2.54 -5.08 -2.54 0.3048 21
+$PAD
+Sh "1" R 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 91 "/PE0"
+Po -3.81 1.27
+$EndPAD
+$PAD
+Sh "2" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 92 "/PE1"
+Po -3.81 -1.27
+$EndPAD
+$PAD
+Sh "3" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 93 "/PE2"
+Po -1.27 1.27
+$EndPAD
+$PAD
+Sh "4" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 94 "/PE3"
+Po -1.27 -1.27
+$EndPAD
+$PAD
+Sh "5" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 95 "/PE4"
+Po 1.27 1.27
+$EndPAD
+$PAD
+Sh "6" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 96 "/PE5"
+Po 1.27 -1.27
+$EndPAD
+$PAD
+Sh "7" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 97 "/PE6"
+Po 3.81 1.27
+$EndPAD
+$PAD
+Sh "8" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 98 "/PE7"
+Po 3.81 -1.27
+$EndPAD
+$SHAPE3D
+Na "pin_array/pins_array_4x2.wrl"
+Sc 1 1 1
+Of 0 0 0
+Ro 0 0 0
+$EndSHAPE3D
+$EndMODULE pin_array_4x2
+$EndLIBRARY
diff --git a/SBC/a10-proto/ethernet.sch b/SBC/a10-proto/ethernet.sch
new file mode 100644
index 0000000..1d02ff5
--- /dev/null
+++ b/SBC/a10-proto/ethernet.sch
@@ -0,0 +1,632 @@
+EESchema Schematic File Version 2  date Thu 30 May 2013 05:35:49 PM IST
+LIBS:power
+LIBS:device
+LIBS:transistors
+LIBS:conn
+LIBS:linear
+LIBS:regul
+LIBS:cmos4000
+LIBS:adc-dac
+LIBS:memory
+LIBS:xilinx
+LIBS:special
+LIBS:microcontrollers
+LIBS:dsp
+LIBS:microchip
+LIBS:analog_switches
+LIBS:motorola
+LIBS:texas
+LIBS:intel
+LIBS:audio
+LIBS:interface
+LIBS:digital-audio
+LIBS:philips
+LIBS:display
+LIBS:cypress
+LIBS:siliconi
+LIBS:opto
+LIBS:atmel
+LIBS:contrib
+LIBS:valves
+LIBS:A10-plus
+LIBS:myconns
+LIBS:a10-sbc-cache
+EELAYER 27 0
+EELAYER END
+$Descr A4 11693 8268
+encoding utf-8
+Sheet 3 3
+Title ""
+Date "30 may 2013"
+Rev ""
+Comp ""
+Comment1 ""
+Comment2 ""
+Comment3 ""
+Comment4 ""
+$EndDescr
+Text GLabel 1900 2300 0    40   Input ~ 0
+EMDC
+Text GLabel 1900 2400 0    40   Input ~ 0
+EMDIO
+Text GLabel 1900 2500 0    40   Input ~ 0
+ETXEN
+Text GLabel 1900 2600 0    40   Input ~ 0
+ETXCK
+Text GLabel 1900 2700 0    40   Input ~ 0
+ECRS
+Text GLabel 1900 2800 0    40   Input ~ 0
+ECOL
+Text GLabel 1100 2900 0    40   Input ~ 0
+ETXERR
+Text GLabel 1900 1200 0    40   Input ~ 0
+ERXD3
+Text GLabel 1900 1300 0    40   Input ~ 0
+ERXD2
+Text GLabel 1900 1400 0    40   Input ~ 0
+ERXD1
+Text GLabel 1900 1500 0    40   Input ~ 0
+ERXD0
+Text GLabel 1900 1600 0    40   Input ~ 0
+ETXD3
+Text GLabel 1900 1700 0    40   Input ~ 0
+ETXD2
+Text GLabel 1900 1900 0    40   Input ~ 0
+ETXD0
+Text GLabel 1900 2000 0    40   Input ~ 0
+ERXCK
+Text GLabel 1900 2100 0    40   Input ~ 0
+ERXERR
+Text GLabel 1900 2200 0    40   Input ~ 0
+ERXDV
+Text GLabel 1900 1800 0    40   Input ~ 0
+ETXD1
+$Comp
+L RTL8201CP U11
+U 1 1 51597EA2
+P 2650 2450
+F 0 "U11" H 3000 3850 50  0000 C CNN
+F 1 "RTL8201CP" H 2450 3850 50  0000 C CNN
+F 2 "MODULE" H 2650 2450 50  0001 C CNN
+F 3 "~" H 2650 2450 50  0001 C CNN
+	1    2650 2450
+	1    0    0    -1  
+$EndComp
+Wire Wire Line
+	3400 1200 3450 1200
+Wire Wire Line
+	3450 1200 3450 700 
+Wire Wire Line
+	3450 700  3850 700 
+$Comp
+L C C136
+U 1 1 51599A05
+P 4050 1300
+F 0 "C136" V 3950 1400 50  0000 L CNN
+F 1 "18pF" V 3950 1050 50  0000 L CNN
+	1    4050 1300
+	0    -1   -1   0   
+$EndComp
+Connection ~ 3750 1300
+Wire Wire Line
+	4250 700  4250 1300
+Wire Wire Line
+	4250 1000 4350 1000
+Connection ~ 4250 1000
+$Comp
+L GND #PWR068
+U 1 1 51599A3B
+P 4350 1000
+F 0 "#PWR068" H 4350 1000 30  0001 C CNN
+F 1 "GND" H 4350 930 30  0001 C CNN
+	1    4350 1000
+	0    -1   -1   0   
+$EndComp
+$Comp
+L C C135
+U 1 1 51599A5C
+P 4050 700
+F 0 "C135" V 4100 800 50  0000 L CNN
+F 1 "18pF" V 4100 450 50  0000 L CNN
+	1    4050 700 
+	0    -1   -1   0   
+$EndComp
+$Comp
+L R R39
+U 1 1 5159A0EF
+P 3800 2650
+F 0 "R39" V 3850 2400 50  0000 C CNN
+F 1 "2k2" V 3800 2650 50  0000 C CNN
+	1    3800 2650
+	0    -1   -1   0   
+$EndComp
+$Comp
+L R R40
+U 1 1 5159A133
+P 3800 2750
+F 0 "R40" V 3850 2500 50  0000 C CNN
+F 1 "5k1" V 3800 2750 50  0000 C CNN
+	1    3800 2750
+	0    -1   -1   0   
+$EndComp
+$Comp
+L R R41
+U 1 1 5159A139
+P 3800 2850
+F 0 "R41" V 3850 2600 50  0000 C CNN
+F 1 "5k1" V 3800 2850 50  0000 C CNN
+	1    3800 2850
+	0    -1   -1   0   
+$EndComp
+$Comp
+L R R35
+U 1 1 5159A227
+P 3800 1750
+F 0 "R35" V 3850 1500 50  0000 C CNN
+F 1 "5k1" V 3800 1750 50  0000 C CNN
+	1    3800 1750
+	0    -1   -1   0   
+$EndComp
+$Comp
+L R R36
+U 1 1 5159A22D
+P 3800 1850
+F 0 "R36" V 3850 1600 50  0000 C CNN
+F 1 "5k1" V 3800 1850 50  0000 C CNN
+	1    3800 1850
+	0    -1   -1   0   
+$EndComp
+$Comp
+L R R37
+U 1 1 5159A233
+P 3800 1950
+F 0 "R37" V 3850 1700 50  0000 C CNN
+F 1 "5k1" V 3800 1950 50  0000 C CNN
+	1    3800 1950
+	0    -1   -1   0   
+$EndComp
+$Comp
+L R R38
+U 1 1 5159A239
+P 3800 2050
+F 0 "R38" V 3850 1800 50  0000 C CNN
+F 1 "5k1" V 3800 2050 50  0000 C CNN
+	1    3800 2050
+	0    -1   -1   0   
+$EndComp
+Wire Wire Line
+	3400 1650 3550 1650
+Wire Wire Line
+	3550 1750 3400 1750
+Wire Wire Line
+	3400 1850 3550 1850
+Wire Wire Line
+	3550 1950 3400 1950
+Wire Wire Line
+	3400 2050 3550 2050
+Wire Wire Line
+	3400 2650 3550 2650
+Wire Wire Line
+	3550 2750 3400 2750
+Wire Wire Line
+	3400 2850 3550 2850
+$Comp
+L R R34
+U 1 1 5159ABE0
+P 3800 1650
+F 0 "R34" V 3850 1400 50  0000 C CNN
+F 1 "5k1" V 3800 1650 50  0000 C CNN
+	1    3800 1650
+	0    -1   -1   0   
+$EndComp
+$Comp
+L INDUCTOR L1
+U 1 1 515ADE75
+P 1450 4700
+F 0 "L1" V 1400 4700 40  0000 C CNN
+F 1 "Bead / SM0603" V 1550 4700 40  0000 C CNN
+	1    1450 4700
+	0    -1   -1   0   
+$EndComp
+Text GLabel 1150 4700 0    60   Input ~ 0
+3.3V
+Text Label 1950 4700 0    60   ~ 0
+ETHAVDD
+Wire Wire Line
+	1750 4700 2250 4700
+$Comp
+L C C140
+U 1 1 515ADE9A
+P 1800 4900
+F 0 "C140" H 1550 5000 50  0000 L CNN
+F 1 "10u" H 1600 4800 50  0000 L CNN
+	1    1800 4900
+	-1   0    0    1   
+$EndComp
+Connection ~ 1800 4700
+Wire Wire Line
+	1900 3200 1900 3300
+Wire Wire Line
+	1900 3300 1450 3300
+Text Label 1450 3300 0    60   ~ 0
+3.3V
+Text Label 1450 3100 0    60   ~ 0
+ETHAVDD
+Wire Wire Line
+	1900 3100 1450 3100
+Wire Wire Line
+	4050 1650 4250 1650
+Wire Wire Line
+	4250 1650 4250 2050
+Wire Wire Line
+	4250 2050 4050 2050
+Wire Wire Line
+	4050 1950 4250 1950
+Connection ~ 4250 1950
+Wire Wire Line
+	4050 1850 4600 1850
+Connection ~ 4250 1850
+Wire Wire Line
+	4050 1750 4250 1750
+Connection ~ 4250 1750
+Text Label 4350 1850 0    60   ~ 0
+3.3V
+Wire Wire Line
+	4050 2650 4250 2650
+Wire Wire Line
+	4250 2650 4250 2850
+Wire Wire Line
+	4250 2850 4050 2850
+Wire Wire Line
+	4050 2750 4350 2750
+Connection ~ 4250 2750
+$Comp
+L GND #PWR069
+U 1 1 515AE043
+P 4350 2750
+F 0 "#PWR069" H 4350 2750 30  0001 C CNN
+F 1 "GND" H 4350 2680 30  0001 C CNN
+	1    4350 2750
+	0    -1   -1   0   
+$EndComp
+Wire Wire Line
+	3400 2300 3400 2500
+Wire Wire Line
+	3400 2400 3500 2400
+Connection ~ 3400 2400
+$Comp
+L GND #PWR070
+U 1 1 515AE09C
+P 3500 2400
+F 0 "#PWR070" H 3500 2400 30  0001 C CNN
+F 1 "GND" H 3500 2330 30  0001 C CNN
+	1    3500 2400
+	0    -1   -1   0   
+$EndComp
+Wire Wire Line
+	4300 3600 3400 3600
+Wire Wire Line
+	3400 3700 3650 3700
+Wire Wire Line
+	3650 3700 3650 3800
+Wire Wire Line
+	3650 3800 4300 3800
+Wire Wire Line
+	3400 3800 3550 3800
+Wire Wire Line
+	3550 3800 3550 3900
+Wire Wire Line
+	3550 3900 4300 3900
+Wire Wire Line
+	3400 3900 3400 4100
+Wire Wire Line
+	3400 4100 4300 4100
+Wire Wire Line
+	4300 3700 3800 3700
+Text Label 3800 3700 0    60   ~ 0
+PFWBOUT
+Text Label 1500 3600 0    60   ~ 0
+PFWBOUT
+Wire Wire Line
+	1150 3600 1900 3600
+$Comp
+L INDUCTOR L5
+U 1 1 515AE1D6
+P 1600 3450
+F 0 "L5" V 1550 3650 40  0000 C CNN
+F 1 "bead /SM0603" V 1700 3450 40  0000 C CNN
+	1    1600 3450
+	0    -1   -1   0   
+$EndComp
+Wire Wire Line
+	1300 3450 1150 3450
+Wire Wire Line
+	1150 3450 1150 3600
+$Comp
+L C C138
+U 1 1 515AE226
+P 1150 3800
+F 0 "C138" H 950 3900 50  0000 L CNN
+F 1 "10u" H 1000 3700 50  0000 L CNN
+	1    1150 3800
+	-1   0    0    1   
+$EndComp
+$Comp
+L C C139
+U 1 1 515AE245
+P 1500 3800
+F 0 "C139" H 1300 3900 50  0000 L CNN
+F 1 ".22u" H 1350 3700 50  0000 L CNN
+	1    1500 3800
+	-1   0    0    1   
+$EndComp
+Connection ~ 1500 3600
+Connection ~ 1150 3600
+Wire Wire Line
+	1900 4000 1150 4000
+$Comp
+L GND #PWR071
+U 1 1 515AE282
+P 1300 4100
+F 0 "#PWR071" H 1300 4100 30  0001 C CNN
+F 1 "GND" H 1300 4030 30  0001 C CNN
+	1    1300 4100
+	1    0    0    -1  
+$EndComp
+Wire Wire Line
+	1300 4100 1300 4000
+Connection ~ 1300 4000
+$Comp
+L GND #PWR072
+U 1 1 515AE2C0
+P 1800 5200
+F 0 "#PWR072" H 1800 5200 30  0001 C CNN
+F 1 "GND" H 1800 5130 30  0001 C CNN
+	1    1800 5200
+	1    0    0    -1  
+$EndComp
+Wire Wire Line
+	1800 5200 1800 5100
+Wire Wire Line
+	1100 2900 1900 2900
+$Comp
+L C C137
+U 1 1 515AE348
+P 1200 3100
+F 0 "C137" H 1250 3250 50  0000 L CNN
+F 1 ".22u" H 1300 3000 50  0000 L CNN
+	1    1200 3100
+	-1   0    0    1   
+$EndComp
+$Comp
+L R R42
+U 1 1 515AE399
+P 1200 2650
+F 0 "R42" V 1300 2700 50  0000 C CNN
+F 1 "5k1" V 1200 2650 50  0000 C CNN
+	1    1200 2650
+	1    0    0    -1  
+$EndComp
+Connection ~ 1200 2900
+Wire Wire Line
+	1200 2400 1200 2300
+Wire Wire Line
+	1200 2300 1050 2300
+Text Label 1050 2300 0    60   ~ 0
+3.3V
+$Comp
+L GND #PWR073
+U 1 1 515AE3E5
+P 1200 3300
+F 0 "#PWR073" H 1200 3300 30  0001 C CNN
+F 1 "GND" H 1200 3230 30  0001 C CNN
+	1    1200 3300
+	1    0    0    -1  
+$EndComp
+Wire Wire Line
+	1900 3800 1900 4000
+Connection ~ 1900 3900
+Connection ~ 1500 4000
+$Comp
+L GND #PWR074
+U 1 1 515AE490
+P 4300 4200
+F 0 "#PWR074" H 4300 4200 30  0001 C CNN
+F 1 "GND" H 4300 4130 30  0001 C CNN
+	1    4300 4200
+	0    1    1    0   
+$EndComp
+$Comp
+L R R43
+U 1 1 515AE4AA
+P 4200 3050
+F 0 "R43" V 4250 2800 50  0000 C CNN
+F 1 "5k1" V 4200 3050 50  0000 C CNN
+	1    4200 3050
+	0    -1   -1   0   
+$EndComp
+$Comp
+L R R44
+U 1 1 515AE4B0
+P 4200 3150
+F 0 "R44" V 4250 2900 50  0000 C CNN
+F 1 "5k1" V 4200 3150 50  0000 C CNN
+	1    4200 3150
+	0    -1   -1   0   
+$EndComp
+$Comp
+L R R45
+U 1 1 515AE4B6
+P 4200 3250
+F 0 "R45" V 4250 3000 50  0000 C CNN
+F 1 "5k1" V 4200 3250 50  0000 C CNN
+	1    4200 3250
+	0    -1   -1   0   
+$EndComp
+$Comp
+L R R46
+U 1 1 515AE4BC
+P 4200 3350
+F 0 "R46" V 4250 3100 50  0000 C CNN
+F 1 "5k1" V 4200 3350 50  0000 C CNN
+	1    4200 3350
+	0    -1   -1   0   
+$EndComp
+$Comp
+L R R47
+U 1 1 515AE4C2
+P 4200 3450
+F 0 "R47" V 4250 3200 50  0000 C CNN
+F 1 "5k1" V 4200 3450 50  0000 C CNN
+	1    4200 3450
+	0    -1   -1   0   
+$EndComp
+Wire Wire Line
+	3400 3450 3950 3450
+Wire Wire Line
+	3950 3350 3400 3350
+Wire Wire Line
+	3400 3250 3950 3250
+Wire Wire Line
+	3950 3150 3400 3150
+Wire Wire Line
+	3400 3050 3950 3050
+Wire Wire Line
+	4450 3150 4650 3150
+Wire Wire Line
+	4650 3450 4450 3450
+Wire Wire Line
+	4650 3350 4450 3350
+Wire Wire Line
+	4450 3250 4750 3250
+Connection ~ 4650 3250
+$Comp
+L GND #PWR075
+U 1 1 515AE755
+P 4750 3250
+F 0 "#PWR075" H 4750 3250 30  0001 C CNN
+F 1 "GND" H 4750 3180 30  0001 C CNN
+	1    4750 3250
+	0    -1   -1   0   
+$EndComp
+Text Label 4500 3450 0    60   ~ 0
+3.3V
+Wire Wire Line
+	4450 3050 4650 3050
+Wire Wire Line
+	4650 3050 4650 3350
+Connection ~ 4650 3150
+$Comp
+L R R49
+U 1 1 515AE7FB
+P 3900 4600
+F 0 "R49" V 3950 4350 50  0000 C CNN
+F 1 "1k" V 3900 4600 50  0000 C CNN
+	1    3900 4600
+	0    -1   -1   0   
+$EndComp
+$Comp
+L R R48
+U 1 1 515AE801
+P 3900 4400
+F 0 "R48" V 3950 4150 50  0000 C CNN
+F 1 "1k" V 3900 4400 50  0000 C CNN
+	1    3900 4400
+	0    -1   -1   0   
+$EndComp
+Wire Wire Line
+	4150 4400 4300 4400
+Wire Wire Line
+	4300 4600 4150 4600
+Wire Wire Line
+	4300 4500 3300 4500
+Wire Wire Line
+	3650 4400 3300 4400
+Wire Wire Line
+	3300 4600 3650 4600
+Text Label 3450 3050 0    60   ~ 0
+LED4
+Text Label 3300 4400 0    60   ~ 0
+LED0
+Text Label 3300 4600 0    60   ~ 0
+3.3V
+Text Label 3450 3450 0    60   ~ 0
+LED0
+$Comp
+L GND #PWR076
+U 1 1 515C00E8
+P 6000 4500
+F 0 "#PWR076" H 6000 4500 30  0001 C CNN
+F 1 "GND" H 6000 4430 30  0001 C CNN
+	1    6000 4500
+	0    -1   -1   0   
+$EndComp
+Text Label 3850 4300 0    60   ~ 0
+3.3V
+Wire Wire Line
+	3850 4300 4300 4300
+Text Label 3300 4500 0    60   ~ 0
+LED4
+NoConn ~ 3400 1400
+Text Label 3500 3600 0    60   ~ 0
+TX+
+Text Label 3500 3700 0    60   ~ 0
+TX-
+Text Label 3600 3900 0    60   ~ 0
+RX+
+Text Label 3550 4100 0    60   ~ 0
+RX-
+$Comp
+L RJ45-HANRUN J8
+U 1 1 51A4CD0A
+P 5400 4100
+F 0 "J8" H 5600 4700 60  0000 L CNN
+F 1 "RJ45-HANRUN" H 5350 4700 60  0000 R CNN
+	1    5400 4100
+	1    0    0    -1  
+$EndComp
+Wire Wire Line
+	4300 4000 4150 4000
+Wire Wire Line
+	4150 4000 4150 3700
+Connection ~ 4150 3700
+NoConn ~ 6000 4600
+$Comp
+L XTL-SMD X3
+U 1 1 51A6DE19
+P 3650 1050
+F 0 "X3" H 3700 1150 60  0000 C CNN
+F 1 "25M" H 3700 850 30  0000 C CNN
+	1    3650 1050
+	0    -1   -1   0   
+$EndComp
+Wire Wire Line
+	3750 1250 3750 1350
+Wire Wire Line
+	3650 800  3650 700 
+Connection ~ 3650 700 
+$Comp
+L GND #PWR077
+U 1 1 51A6DEEB
+P 3750 800
+F 0 "#PWR077" H 3750 800 30  0001 C CNN
+F 1 "GND" H 3750 730 30  0001 C CNN
+	1    3750 800 
+	-1   0    0    1   
+$EndComp
+$Comp
+L GND #PWR078
+U 1 1 51A6DEF1
+P 3650 1250
+F 0 "#PWR078" H 3650 1250 30  0001 C CNN
+F 1 "GND" H 3650 1180 30  0001 C CNN
+	1    3650 1250
+	1    0    0    -1  
+$EndComp
+Wire Wire Line
+	3400 1300 3400 1350
+Wire Wire Line
+	3400 1350 3750 1350
+Wire Wire Line
+	3850 1300 3750 1300
+$EndSCHEMATC
diff --git a/SBC/a10-proto/hdmi.sch b/SBC/a10-proto/hdmi.sch
new file mode 100644
index 0000000..36d3b01
--- /dev/null
+++ b/SBC/a10-proto/hdmi.sch
@@ -0,0 +1,356 @@
+EESchema Schematic File Version 2  date Thu 06 Jun 2013 09:54:25 AM IST
+LIBS:power
+LIBS:device
+LIBS:transistors
+LIBS:conn
+LIBS:linear
+LIBS:regul
+LIBS:cmos4000
+LIBS:adc-dac
+LIBS:memory
+LIBS:xilinx
+LIBS:special
+LIBS:microcontrollers
+LIBS:dsp
+LIBS:microchip
+LIBS:analog_switches
+LIBS:motorola
+LIBS:texas
+LIBS:intel
+LIBS:audio
+LIBS:interface
+LIBS:digital-audio
+LIBS:philips
+LIBS:display
+LIBS:cypress
+LIBS:siliconi
+LIBS:opto
+LIBS:atmel
+LIBS:contrib
+LIBS:valves
+LIBS:A10-plus
+LIBS:myconns
+LIBS:a10-sbc-cache
+EELAYER 27 0
+EELAYER END
+$Descr A4 11693 8268
+encoding utf-8
+Sheet 3 3
+Title ""
+Date "6 jun 2013"
+Rev ""
+Comp ""
+Comment1 ""
+Comment2 ""
+Comment3 ""
+Comment4 ""
+$EndDescr
+$Comp
+L R R27
+U 1 1 51ADDEEE
+P 3750 5850
+F 0 "R27" V 3650 5850 50  0000 C CNN
+F 1 "2k2" V 3750 5850 50  0000 C CNN
+	1    3750 5850
+	-1   0    0    1   
+$EndComp
+$Comp
+L GND #PWR061
+U 1 1 51ADDEF4
+P 3850 5300
+F 0 "#PWR061" H 3850 5300 30  0001 C CNN
+F 1 "GND" H 3850 5230 30  0001 C CNN
+	1    3850 5300
+	0    1    1    0   
+$EndComp
+$Comp
+L GND #PWR062
+U 1 1 51ADDEFA
+P 3850 4700
+F 0 "#PWR062" H 3850 4700 30  0001 C CNN
+F 1 "GND" H 3850 4630 30  0001 C CNN
+	1    3850 4700
+	0    1    1    0   
+$EndComp
+$Comp
+L GND #PWR063
+U 1 1 51ADDF00
+P 3850 4100
+F 0 "#PWR063" H 3850 4100 30  0001 C CNN
+F 1 "GND" H 3850 4030 30  0001 C CNN
+	1    3850 4100
+	0    1    1    0   
+$EndComp
+$Comp
+L GND #PWR064
+U 1 1 51ADDF06
+P 4700 4100
+F 0 "#PWR064" H 4700 4100 30  0001 C CNN
+F 1 "GND" H 4700 4030 30  0001 C CNN
+	1    4700 4100
+	0    -1   -1   0   
+$EndComp
+$Comp
+L GND #PWR065
+U 1 1 51ADDF0C
+P 4700 5300
+F 0 "#PWR065" H 4700 5300 30  0001 C CNN
+F 1 "GND" H 4700 5230 30  0001 C CNN
+	1    4700 5300
+	0    -1   -1   0   
+$EndComp
+$Comp
+L GND #PWR066
+U 1 1 51ADDF12
+P 4700 4700
+F 0 "#PWR066" H 4700 4700 30  0001 C CNN
+F 1 "GND" H 4700 4630 30  0001 C CNN
+	1    4700 4700
+	0    -1   -1   0   
+$EndComp
+$Comp
+L 524P U8
+U 1 1 51ADDF18
+P 4250 5450
+F 0 "U8" H 4400 5900 50  0000 C CNN
+F 1 "524P" H 4200 5900 50  0000 C CNN
+F 2 "~" H 4250 5450 50  0001 C CNN
+F 3 "~" H 4250 5450 50  0001 C CNN
+	1    4250 5450
+	1    0    0    -1  
+$EndComp
+$Comp
+L 524P U6
+U 1 1 51ADDF1E
+P 4250 4850
+F 0 "U6" H 4400 5300 50  0000 C CNN
+F 1 "524P" H 4200 5300 50  0000 C CNN
+F 2 "~" H 4250 4850 50  0001 C CNN
+F 3 "~" H 4250 4850 50  0001 C CNN
+	1    4250 4850
+	1    0    0    -1  
+$EndComp
+$Comp
+L 524P U4
+U 1 1 51ADDF24
+P 4250 4250
+F 0 "U4" H 4400 4700 50  0000 C CNN
+F 1 "524P" H 4200 4700 50  0000 C CNN
+F 2 "~" H 4250 4250 50  0001 C CNN
+F 3 "~" H 4250 4250 50  0001 C CNN
+	1    4250 4250
+	1    0    0    -1  
+$EndComp
+$Comp
+L HEADER_19 J1
+U 1 1 51ADDF2A
+P 5400 4800
+F 0 "J1" V 5450 6050 60  0000 C CNN
+F 1 "HDMI Connector" V 5500 4700 60  0000 C CNN
+	1    5400 4800
+	1    0    0    -1  
+$EndComp
+$Comp
+L R R26
+U 1 1 51ADDF30
+P 3600 5850
+F 0 "R26" V 3700 5850 50  0000 C CNN
+F 1 "2k2" V 3600 5850 50  0000 C CNN
+	1    3600 5850
+	-1   0    0    1   
+$EndComp
+$Comp
+L GND #PWR067
+U 1 1 51ADDF36
+P 5200 3550
+F 0 "#PWR067" H 5200 3550 30  0001 C CNN
+F 1 "GND" H 5200 3480 30  0001 C CNN
+	1    5200 3550
+	-1   0    0    1   
+$EndComp
+$Comp
+L C C23
+U 1 1 51ADDF49
+P 4200 5900
+F 0 "C23" H 4050 5800 50  0000 L CNN
+F 1 ".22u" H 4000 6000 50  0000 L CNN
+	1    4200 5900
+	-1   0    0    1   
+$EndComp
+$Comp
+L GND #PWR068
+U 1 1 51ADDF4F
+P 4200 6100
+F 0 "#PWR068" H 4200 6100 30  0001 C CNN
+F 1 "GND" H 4200 6030 30  0001 C CNN
+	1    4200 6100
+	1    0    0    -1  
+$EndComp
+Wire Wire Line
+	4700 3900 5300 3900
+Wire Wire Line
+	4700 4000 5100 4000
+Wire Wire Line
+	5100 4000 5100 4100
+Wire Wire Line
+	5100 4100 5300 4100
+Wire Wire Line
+	4700 4200 5300 4200
+Wire Wire Line
+	5300 4400 5100 4400
+Wire Wire Line
+	5100 4400 5100 4300
+Wire Wire Line
+	5100 4300 4700 4300
+Wire Wire Line
+	5300 4500 4700 4500
+Wire Wire Line
+	4700 4600 5100 4600
+Wire Wire Line
+	5100 4600 5100 4700
+Wire Wire Line
+	5100 4700 5300 4700
+Wire Wire Line
+	5300 4800 4700 4800
+Wire Wire Line
+	4700 4900 5100 4900
+Wire Wire Line
+	5100 4900 5100 5000
+Wire Wire Line
+	5100 5000 5300 5000
+Wire Wire Line
+	5300 5100 4700 5100
+Wire Wire Line
+	5100 5300 5300 5300
+Wire Wire Line
+	5100 5200 5100 5300
+Wire Wire Line
+	4700 5200 5100 5200
+Wire Wire Line
+	4700 5400 5300 5400
+Wire Wire Line
+	5200 5900 5300 5900
+Wire Wire Line
+	5200 3550 5200 5900
+Wire Wire Line
+	5200 3700 5300 3700
+Wire Wire Line
+	5300 3800 5200 3800
+Connection ~ 5200 3800
+Wire Wire Line
+	5300 4000 5200 4000
+Connection ~ 5200 4000
+Wire Wire Line
+	5300 4300 5200 4300
+Connection ~ 5200 4300
+Wire Wire Line
+	5300 4600 5200 4600
+Connection ~ 5200 4600
+Wire Wire Line
+	5300 4900 5200 4900
+Connection ~ 5200 4900
+Wire Wire Line
+	5200 5200 5300 5200
+Connection ~ 5200 5200
+Wire Wire Line
+	5300 5500 5200 5500
+Connection ~ 5200 5500
+Connection ~ 5200 5900
+Wire Wire Line
+	5300 5800 5200 5800
+Connection ~ 5200 5800
+Connection ~ 5200 3700
+Wire Wire Line
+	3550 3900 3850 3900
+Wire Wire Line
+	3550 4000 3850 4000
+Wire Wire Line
+	3850 4200 3550 4200
+Wire Wire Line
+	3850 4300 3550 4300
+Wire Wire Line
+	3850 4500 3550 4500
+Wire Wire Line
+	3850 4600 3550 4600
+Wire Wire Line
+	3850 4800 3550 4800
+Wire Wire Line
+	3850 4900 3550 4900
+Wire Wire Line
+	3850 5100 3550 5100
+Wire Wire Line
+	3850 5200 3550 5200
+Wire Wire Line
+	3850 5400 3550 5400
+Wire Wire Line
+	3850 5500 3550 5500
+Wire Wire Line
+	4700 5500 5100 5500
+Wire Wire Line
+	4800 5700 5300 5700
+Wire Wire Line
+	5100 5500 5100 5700
+Wire Wire Line
+	4650 5600 5300 5600
+Connection ~ 4200 5700
+Wire Wire Line
+	3950 5700 4650 5700
+Text GLabel 3550 5100 0    40   Input ~ 0
+HCEC
+Text GLabel 3550 5500 0    40   Input ~ 0
+HHPD
+Text GLabel 3550 5400 0    40   Input ~ 0
+HSDA
+Text GLabel 3550 5200 0    40   Input ~ 0
+HSCL
+Text GLabel 3550 4900 0    40   Input ~ 0
+HTXCN
+Text GLabel 3550 4800 0    40   Input ~ 0
+HTXCP
+Text GLabel 3550 4600 0    40   Input ~ 0
+HTX0N
+Text GLabel 3550 4500 0    40   Input ~ 0
+HTX0P
+Text GLabel 3550 4300 0    40   Input ~ 0
+HTX1N
+Text GLabel 3550 4200 0    40   Input ~ 0
+HTX1P
+Text GLabel 3550 4000 0    40   Input ~ 0
+HTX2N
+Text GLabel 3550 3900 0    40   Input ~ 0
+HTX2P
+Wire Wire Line
+	3750 5600 3750 5400
+Connection ~ 3750 5400
+Wire Wire Line
+	3600 5600 3600 5200
+Connection ~ 3600 5200
+Wire Wire Line
+	3950 6100 3950 5700
+Wire Wire Line
+	3600 6100 3950 6100
+Connection ~ 3750 6100
+Wire Wire Line
+	4650 5700 4650 5600
+Connection ~ 5100 5700
+$Comp
+L R R34
+U 1 1 51ADE12E
+P 4800 5950
+F 0 "R34" V 4700 5950 50  0000 C CNN
+F 1 "47k" V 4800 5950 50  0000 C CNN
+	1    4800 5950
+	-1   0    0    1   
+$EndComp
+$Comp
+L GND #PWR069
+U 1 1 51ADE134
+P 4800 6200
+F 0 "#PWR069" H 4800 6200 30  0001 C CNN
+F 1 "GND" H 4800 6130 30  0001 C CNN
+	1    4800 6200
+	1    0    0    -1  
+$EndComp
+Text GLabel 3600 6100 0    60   Input ~ 0
+5V_IN
+$EndSCHEMATC
diff --git a/SBC/netholi/A10-plus.lib b/SBC/netholi/A10-plus.lib
new file mode 100644
index 0000000..d5691d9
--- /dev/null
+++ b/SBC/netholi/A10-plus.lib
@@ -0,0 +1,1473 @@
+EESchema-LIBRARY Version 2.3  Date: Thu 30 May 2013 07:51:58 AM IST
+#encoding utf-8
+#
+# 4GbDDR3
+#
+DEF 4GbDDR3 U 0 40 Y Y 1 F N
+F0 "U" 100 2600 50 H V C CNN
+F1 "4GbDDR3" -350 2600 50 H V C CNN
+F2 "~" 0 0 50 H I C CNN
+F3 "_" 0 0 50 H I C CNN
+DRAW
+S -450 -2700 200 2550 1 0 0 N
+X VDDQ A1 -750 300 300 R 50 50 1 1 I
+X VSSQ B1 -750 -1550 300 R 50 50 1 1 I
+X VDDQ C1 -750 200 300 R 50 50 1 1 I
+X VSSQ D1 -750 -1650 300 R 50 50 1 1 I
+X VSS E1 500 850 300 L 50 50 1 1 I
+X VDDQ F1 -750 100 300 R 50 50 1 1 I
+X VSSQ G1 -750 -1750 300 R 50 50 1 1 I
+X VREFDQ H1 500 -900 300 L 50 50 1 1 I
+X NC J1 500 -350 300 L 50 50 1 1 I
+X ODT K1 500 -2500 300 L 50 50 1 1 I
+X NC L1 500 -450 300 L 50 50 1 1 I
+X VSS M1 500 750 300 L 50 50 1 1 I
+X VDD N1 -750 -600 300 R 50 50 1 1 I
+X VSS P1 500 650 300 L 50 50 1 1 I
+X VDD R1 -750 -700 300 R 50 50 1 1 I
+X VSS T1 500 550 300 L 50 50 1 1 I
+X DQ13 A2 500 1200 300 L 50 50 1 1 I
+X VDD B2 -750 -800 300 R 50 50 1 1 I
+X DQ11 C2 500 1400 300 L 50 50 1 1 I
+X VDDQ D2 -750 0 300 R 50 50 1 1 I
+X VSSQ E2 -750 -1850 300 R 50 50 1 1 I
+X DQ2 F2 500 2300 300 L 50 50 1 1 I
+X DQ6 G2 500 1900 300 L 50 50 1 1 I
+X VDDQ H2 -750 -100 300 R 50 50 1 1 I
+X VSS J2 500 450 300 L 50 50 1 1 I
+X VDD K2 -750 -900 300 R 50 50 1 1 I
+X CS# L2 500 -1800 300 L 50 50 1 1 I
+X BA0 M2 -750 800 300 R 50 50 1 1 I
+X A3 N2 -750 2150 300 R 50 50 1 1 I
+X A5 P2 -750 1950 300 R 50 50 1 1 I
+X A7 R2 -750 1750 300 R 50 50 1 1 I
+X RESET# T2 500 -2400 300 L 50 50 1 1 I
+X DQ15 A3 500 1000 300 L 50 50 1 1 I
+X VSS B3 500 350 300 L 50 50 1 1 I
+X DQ9 C3 500 1600 300 L 50 50 1 1 I
+X UDM D3 500 -1600 300 L 50 50 1 1 I
+X DQ0 E3 500 2500 300 L 50 50 1 1 B
+X LDQS F3 500 -1100 300 L 50 50 1 1 I
+X LDQS# G3 500 -1200 300 L 50 50 1 1 I
+X DQ4 H3 500 2100 300 L 50 50 1 1 I
+X RAS# J3 500 -2200 300 L 50 50 1 1 I
+X CAS# K3 500 -2100 300 L 50 50 1 1 I
+X WE# L3 500 -2300 300 L 50 50 1 1 I
+X BA2 M3 -750 600 300 R 50 50 1 1 I
+X A0 N3 -750 2450 300 R 50 50 1 1 I
+X A2 P3 -750 2250 300 R 50 50 1 1 I
+X A9 R3 -750 1550 300 R 50 50 1 1 I
+X A13 T3 -750 1150 300 R 50 50 1 1 I
+X DQ12 A7 500 1300 300 L 50 50 1 1 I
+X UDQS# B7 500 -1400 300 L 50 50 1 1 I
+X UDQS C7 500 -1300 300 L 50 50 1 1 I
+X DQ8 D7 500 1700 300 L 50 50 1 1 I
+X LDM E7 500 -1500 300 L 50 50 1 1 I
+X DQ1 F7 500 2400 300 L 50 50 1 1 I
+X VDD G7 -750 -1000 300 R 50 50 1 1 I
+X DQ7 H7 500 1800 300 L 50 50 1 1 I
+X CK J7 500 -1900 300 L 50 50 1 1 I
+X CK# K7 500 -2000 300 L 50 50 1 1 I
+X A10 L7 -750 1450 300 R 50 50 1 1 I
+X A15 M7 -750 950 300 R 50 50 1 1 I
+X A12 N7 -750 1250 300 R 50 50 1 1 I
+X A1 P7 -750 2350 300 R 50 50 1 1 I
+X A11 R7 -750 1350 300 R 50 50 1 1 I
+X A14 T7 -750 1050 300 R 50 50 1 1 I
+X VDDQ A8 -750 -200 300 R 50 50 1 1 I
+X DQ14 B8 500 1100 300 L 50 50 1 1 I
+X DQ10 C8 500 1500 300 L 50 50 1 1 I
+X VSSQ D8 -750 -1950 300 R 50 50 1 1 I
+X VSSQ E8 -750 -2050 300 R 50 50 1 1 I
+X DQ3 F8 500 2200 300 L 50 50 1 1 I
+X VSS G8 500 250 300 L 50 50 1 1 I
+X DQ5 H8 500 2000 300 L 50 50 1 1 I
+X VSS J8 500 150 300 L 50 50 1 1 I
+X VDD K8 -750 -1100 300 R 50 50 1 1 I
+X ZQ L8 -750 -2450 300 R 50 50 1 1 I
+X VREFCA M8 500 -800 300 L 50 50 1 1 I
+X BA1 N8 -750 700 300 R 50 50 1 1 I
+X A4 P8 -750 2050 300 R 50 50 1 1 I
+X A6 R8 -750 1850 300 R 50 50 1 1 I
+X A8 T8 -750 1650 300 R 50 50 1 1 I
+X VSS A9 500 50 300 L 50 50 1 1 I
+X VSSQ B9 -750 -2150 300 R 50 50 1 1 I
+X VDDQ C9 -750 -500 300 R 50 50 1 1 I
+X VDD D9 -750 -1200 300 R 50 50 1 1 I
+X VDDQ E9 -750 -400 300 R 50 50 1 1 I
+X VSSQ F9 -750 -2250 300 R 50 50 1 1 I
+X VSSQ G9 -750 -2350 300 R 50 50 1 1 I
+X VDDQ H9 -750 -300 300 R 50 50 1 1 I
+X NC J9 500 -550 300 L 50 50 1 1 I
+X CKE K9 500 -1700 300 L 50 50 1 1 I
+X NC L9 500 -650 300 L 50 50 1 1 I
+X VSS M9 500 -50 300 L 50 50 1 1 I
+X VDD N9 -750 -1300 300 R 50 50 1 1 I
+X VSS P9 500 -150 300 L 50 50 1 1 I
+X VDD R9 -750 -1400 300 R 50 50 1 1 I
+X VSS T9 500 -250 300 L 50 50 1 1 I
+ENDDRAW
+ENDDEF
+#
+# 524P
+#
+DEF 524P U 0 40 Y Y 1 F N
+F0 "U" 150 450 50 H V C CNN
+F1 "524P" -50 450 50 H V C CNN
+F2 "~" 0 0 50 H I C CNN
+F3 "~" 0 0 50 H I C CNN
+DRAW
+S -200 -100 250 400 1 0 0 N
+X IN1 1 -400 350 200 R 50 50 1 1 I
+X IN2 2 -400 250 200 R 50 50 1 1 I
+X GND 3 -400 150 200 R 50 50 1 1 I
+X IN3 4 -400 50 200 R 50 50 1 1 I
+X IN4 5 -400 -50 200 R 50 50 1 1 I
+X OUT4 6 450 -50 200 L 50 50 1 1 I
+X OUT3 7 450 50 200 L 50 50 1 1 I
+X GND 8 450 150 200 L 50 50 1 1 I
+X OUT2 9 450 250 200 L 50 50 1 1 I
+X OUT1 10 450 350 200 L 50 50 1 1 I
+ENDDRAW
+ENDDEF
+#
+# 74LS08
+#
+DEF 74LS08 U 0 30 Y Y 4 F N
+F0 "U" 0 50 60 H V C CNN
+F1 "74LS08" 0 -50 60 H V C CNN
+ALIAS 74LS09
+DRAW
+X GND 7 -200 -200 0 U 40 40 0 0 W N
+X 5V 14 -200 200 0 U 40 40 0 0 W N
+A 100 0 200 896 -896 0 1 0 N 101 200 101 -199
+P 4 0 1 0  100 200  -300 200  -300 -200  100 -200 N
+X ~ 1 -600 100 300 R 60 60 1 1 I
+X ~ 2 -600 -100 300 R 60 60 1 1 I
+X ~ 3 600 0 300 L 60 60 1 1 O
+X ~ 4 -600 100 300 R 60 60 2 1 I
+X ~ 5 -600 -100 300 R 60 60 2 1 I
+X ~ 6 600 0 300 L 60 60 2 1 O
+X ~ 8 600 0 300 L 60 60 3 1 O
+X ~ 9 -600 100 300 R 60 60 3 1 I
+X ~ 10 -600 -100 300 R 60 60 3 1 I
+X ~ 11 600 0 300 L 60 60 4 1 O
+X ~ 12 -600 100 300 R 60 60 4 1 I
+X ~ 13 -600 -100 300 R 60 60 4 1 I
+A -470 0 262 495 -495 0 2 0 N -300 199 -300 -198
+A -2 126 326 -897 -225 0 2 0 N 0 -199 299 2
+A 4 -120 320 906 221 0 2 0 N 2 200 300 0
+P 2 0 2 0  -300 -200  0 -200 N
+P 2 0 2 0  -300 200  0 200 N
+X ~ 1 -600 100 370 R 60 60 1 2 I I
+X ~ 2 -600 -100 370 R 60 60 1 2 I I
+X ~ 3 600 0 300 L 60 60 1 2 O I
+X ~ 4 -600 100 370 R 60 60 2 2 I I
+X ~ 5 -600 -100 370 R 60 60 2 2 I I
+X ~ 6 600 0 300 L 60 60 2 2 O I
+X ~ 8 600 0 300 L 60 60 3 2 O I
+X ~ 9 -600 100 370 R 60 60 3 2 I I
+X ~ 10 -600 -100 370 R 60 60 3 2 I I
+X ~ 11 600 0 300 L 60 60 4 2 O I
+X ~ 12 -600 100 370 R 60 60 4 2 I I
+X ~ 13 -600 -100 370 R 60 60 4 2 I I
+ENDDRAW
+ENDDEF
+#
+# A10-SOC
+#
+DEF A10-SOC U 0 40 Y Y 1 F N
+F0 "U" -100 -3350 50 H V C CNN
+F1 "A10-SOC" 300 -3350 50 H V C CNN
+F3 "_" 300 -3250 50 H I C CNN
+DRAW
+S -3750 -3300 3550 3050 1 0 0 N
+P 2 0 1 0  -3750 -200  -3450 -200 N
+P 2 0 1 0  -2550 -3300  -2550 3050 N
+P 2 0 1 0  -2550 1200  -2300 1200 N
+P 2 0 1 0  -1200 -1500  -950 -1500 N
+P 2 0 1 0  -1200 900  -1000 900 N
+P 2 0 1 0  -1200 3050  -1200 -3300 N
+P 2 0 1 0  0 -2600  300 -2600 N
+P 2 0 1 0  0 -1400  250 -1400 N
+P 2 0 1 0  0 -200  250 -200 N
+P 2 0 1 0  0 2600  350 2600 N
+P 2 0 1 0  0 3050  0 -3300 N
+P 2 0 1 0  1200 -3300  1200 -3300 N
+P 2 0 1 0  1200 -1300  1450 -1300 N
+P 2 0 1 0  1200 1000  1400 1000 N
+P 2 0 1 0  1200 3050  1200 -3300 N
+P 2 0 1 0  2450 -3300  2450 3050 N
+P 2 0 1 0  2450 -2300  2750 -2300 N
+P 2 0 1 0  2450 -2000  2750 -2000 N
+P 2 0 1 0  2450 -1400  2800 -1400 N
+P 2 0 1 0  2450 -400  2850 -400 N
+P 2 0 1 0  2450 400  2800 400 N
+P 2 0 1 0  2450 700  2800 700 N
+P 2 0 1 0  2450 1000  2850 1000 N
+P 2 0 1 0  3050 -2100  3550 -2100 N
+P 2 0 1 0  3150 -1500  3550 -1500 N
+P 2 0 1 0  3200 -100  3550 -100 N
+P 2 0 1 0  3300 -700  3550 -700 N
+P 2 0 1 0  3550 -1300  3300 -1300 N
+X PH15 A1 900 -2450 300 R 50 50 1 1 B
+X PH16 B1 900 -2350 300 R 50 50 1 1 B
+X PH17 C1 900 -2250 300 R 50 50 1 1 B
+X PH19 D1 900 -2050 300 R 50 50 1 1 B
+X PH22 E1 900 -1750 300 R 50 50 1 1 B
+X CLK32KIN F1 3850 2250 300 L 50 50 1 1 B
+X SDQM3 G1 -4050 -2950 300 R 50 50 1 1 O
+X SDQ25 H1 -4050 450 300 R 50 50 1 1 B
+X SDQ28 J1 -4050 150 300 R 50 50 1 1 B
+X SDQS3 K1 -4050 -2650 300 R 50 50 1 1 O
+X SDQ24 L1 -4050 550 300 R 50 50 1 1 B
+X SDQ29 M1 -4050 50 300 R 50 50 1 1 B
+X SDQ16 N1 -4050 1350 300 R 50 50 1 1 B
+X SDQ21 P1 -4050 850 300 R 50 50 1 1 B
+X SDQS2 R1 -4050 -2450 300 R 50 50 1 1 O
+X SDQ22 T1 -4050 750 300 R 50 50 1 1 B
+X SDQ19 U1 -4050 1050 300 R 50 50 1 1 B
+X SCK V1 -4050 -1450 300 R 50 50 1 1 O
+X SDQM1 W1 -4050 -1150 300 R 50 50 1 1 O
+X SDQ9 Y1 -4050 2050 300 R 50 50 1 1 B
+X PH13 A2 900 -2650 300 R 50 50 1 1 B
+X PH14 B2 900 -2550 300 R 50 50 1 1 B
+X PH18 C2 900 -2150 300 R 50 50 1 1 B
+X PH20 D2 900 -1950 300 R 50 50 1 1 B
+X PH23 E2 900 -1650 300 R 50 50 1 1 B
+X CLK32KOUT F2 3850 2150 300 L 50 50 1 1 B
+X SDQ30 G2 -4050 -50 300 R 50 50 1 1 B
+X SDQ27 H2 -4050 250 300 R 50 50 1 1 B
+X SDQS3# J2 -4050 -2750 300 R 50 50 1 1 O
+X SDQ31 K2 -4050 -150 300 R 50 50 1 1 B
+X SDQ26 L2 -4050 350 300 R 50 50 1 1 B
+X SDQ23 M2 -4050 650 300 R 50 50 1 1 B
+X SDQ18 N2 -4050 1150 300 R 50 50 1 1 B
+X SDQS2# P2 -4050 -2550 300 R 50 50 1 1 O
+X SDQM2 R2 -4050 -2850 300 R 50 50 1 1 O
+X SDQ17 T2 -4050 1250 300 R 50 50 1 1 B
+X SDQ20 U2 -4050 950 300 R 50 50 1 1 B
+X SCK# V2 -4050 -1550 300 R 50 50 1 1 O
+X SDQ14 W2 -4050 1550 300 R 50 50 1 1 B
+X SDQ11 Y2 -4050 1850 300 R 50 50 1 1 B
+X PH10 A3 900 -2950 300 R 50 50 1 1 B
+X PH11 B3 900 -2850 300 R 50 50 1 1 B
+X PH12 C3 900 -2750 300 R 50 50 1 1 B
+X PH21 D3 900 -1850 300 R 50 50 1 1 B
+X PH24 E3 900 -1550 300 R 50 50 1 1 B
+X PH26 F3 900 -1350 300 R 50 50 1 1 B
+X GND_DRAM G3 -2850 -3150 300 R 50 50 1 1 I
+X SVREF0 H3 -4050 -250 300 R 50 50 1 1 I
+X ODT1 J3 -4050 -3250 300 R 50 50 1 1 B
+X SBA0 K3 -2850 1450 300 R 50 50 1 1 O
+X SA10 L3 -2850 1950 300 R 50 50 1 1 O
+X SA7 M3 -2850 2250 300 R 50 50 1 1 O
+X SCKE0 N3 -4050 -1250 300 R 50 50 1 1 O
+X SA12 P3 -2850 1750 300 R 50 50 1 1 O
+X SA14 R3 -2850 1550 300 R 50 50 1 1 O
+X SWE T3 -4050 -1850 300 R 50 50 1 1 B
+X SCAS U3 -4050 -1650 300 R 50 50 1 1 B
+X SCS0 V3 -4050 -1350 300 R 50 50 1 1 B
+X SA11 W3 -2850 1850 300 R 50 50 1 1 O
+X SA13 Y3 -2850 1650 300 R 50 50 1 1 O
+X U5TX A4 -300 -3250 300 R 50 50 1 1 B
+X U5RX B4 900 -3250 300 R 50 50 1 1 B
+X PH8 C4 900 -3150 300 R 50 50 1 1 B
+X PH9 D4 900 -3050 300 R 50 50 1 1 B
+X PH25 E4 900 -1450 300 R 50 50 1 1 B
+X PH27 F4 900 -1250 300 R 50 50 1 1 I
+X GND_DRAM G4 -2850 -3050 300 R 50 50 1 1 I
+X SVREF1 H4 -4050 -350 300 R 50 50 1 1 I
+X SCKE1 J4 -4050 -3050 300 R 50 50 1 1 O
+X SBA2 K4 -2850 1250 300 R 50 50 1 1 O
+X SBA1 L4 -2850 1350 300 R 50 50 1 1 O
+X SA3 M4 -2850 2650 300 R 50 50 1 1 O
+X SA5 N4 -2850 2450 300 R 50 50 1 1 O
+X SA9 P4 -2850 2050 300 R 50 50 1 1 O
+X SA1 R4 -2850 2850 300 R 50 50 1 1 O
+X SRAS T4 -4050 -1750 300 R 50 50 1 1 B
+X SA2 U4 -2850 2750 300 R 50 50 1 1 O
+X SA6 V4 -2850 2350 300 R 50 50 1 1 O
+X SA0 W4 -2850 2950 300 R 50 50 1 1 O
+X SA4 Y4 -2850 2550 300 R 50 50 1 1 O
+X U3CTS A5 -300 -2950 300 R 50 50 1 1 B
+X U4TX B5 -300 -3050 300 R 50 50 1 1 B
+X U4RX C5 -300 -3150 300 R 50 50 1 1 B
+X ERXD3 D5 -1500 -3250 300 R 50 50 1 1 I
+X ERXD2 E5 -1500 -3150 300 R 50 50 1 1 I
+X NMI# F5 3850 1550 300 L 50 50 1 1 I
+X VCC_DRAM G5 2150 -450 300 R 50 50 1 1 I
+X VCC_DRAM H5 2150 -550 300 R 50 50 1 1 I
+X GND_DRAM J5 -2850 -2950 300 R 50 50 1 1 I
+X GND_DRAM K5 -2850 -3250 300 R 50 50 1 1 I
+X VCC_DRAM L5 2150 -650 300 R 50 50 1 1 I
+X VCC_DRAM M5 2150 -750 300 R 50 50 1 1 I
+X GND_DRAM N5 -2850 -2850 300 R 50 50 1 1 I
+X GND_DRAM P5 -2850 -2750 300 R 50 50 1 1 I
+X VCC_DRAM R5 2150 -850 300 R 50 50 1 1 I
+X VCC_DRAM T5 2150 -950 300 R 50 50 1 1 I
+X GND_DRAM U5 -2850 -2650 300 R 50 50 1 1 I
+X GND_DRAM V5 -2850 -2550 300 R 50 50 1 1 I
+X VCC_DRAM W5 2150 -1050 300 R 50 50 1 1 I
+X SVREF2 Y5 -4050 -450 300 R 50 50 1 1 I
+X U3TX A6 -300 -2650 300 R 50 50 1 1 B
+X U3RX B6 -300 -2750 300 R 50 50 1 1 B
+X U3RTS C6 -300 -2850 300 R 50 50 1 1 B
+X ERXD1 D6 -1500 -3050 300 R 50 50 1 1 O
+X ERXD0 E6 -1500 -2950 300 R 50 50 1 1 I
+X VCC_DRAM W6 2150 -1150 300 R 50 50 1 1 I
+X VCC_DRAM Y6 2150 -1350 300 R 50 50 1 1 I
+X PB22 A7 -1500 750 300 R 50 50 1 1 I
+X PB23 B7 -1500 850 300 R 50 50 1 1 I
+X PB21 C7 -1500 650 300 R 50 50 1 1 I
+X ETXD3 D7 -1500 -2850 300 R 50 50 1 1 I
+X ETXD2 E7 -1500 -2750 300 R 50 50 1 1 I
+X VCC_DRAM W7 2150 -1250 300 R 50 50 1 1 I
+X GND_DRAM Y7 -2850 -2450 300 R 50 50 1 1 I
+X PB18 A8 -1500 350 300 R 50 50 1 1 I
+X PB19 B8 -1500 450 300 R 50 50 1 1 I
+X PB20 C8 -1500 550 300 R 50 50 1 1 I
+X ETXD1 D8 -1500 -2650 300 R 50 50 1 1 I
+X ETXD0 E8 -1500 -2550 300 R 50 50 1 1 I
+X VCC H8 2150 350 300 R 50 50 1 1 I
+X VCC J8 2150 -50 300 R 50 50 1 1 I
+X RTC_VDD K8 2150 2050 300 R 50 50 1 1 I
+X VDD_INT L8 2150 -2950 300 R 50 50 1 1 I
+X VDD_DLL M8 2150 -2250 300 R 50 50 1 1 I
+X NC N8 900 1150 300 R 50 50 1 1 I
+X NC P8 900 1250 300 R 50 50 1 1 I
+X NC R8 900 1350 300 R 50 50 1 1 I
+X VDD_INT T8 2150 -3250 300 R 50 50 1 1 I
+X UBOOT_SEL W8 3850 1350 300 L 50 50 1 1 I
+X GND_DRAM Y8 -2850 -2350 300 R 50 50 1 1 I
+X PB16 A9 -1500 150 300 R 50 50 1 1 I
+X PB17 B9 -1500 250 300 R 50 50 1 1 I
+X PB12 C9 -1500 -250 300 R 50 50 1 1 I
+X ERXCK D9 -1500 -2450 300 R 50 50 1 1 I
+X ERXERR E9 -1500 -2350 300 R 50 50 1 1 I
+X VCC H9 2150 250 300 R 50 50 1 1 I
+X VCC J9 2150 -150 300 R 50 50 1 1 I
+X VDD_INT K9 2150 -2750 300 R 50 50 1 1 I
+X VDD_INT L9 2150 -2850 300 R 50 50 1 1 I
+X GND_DLL M9 -2850 -2250 300 R 50 50 1 1 I
+X VDD_DLL N9 2150 -2150 300 R 50 50 1 1 I
+X VDD_DLL P9 2150 -2050 300 R 50 50 1 1 I
+X VDD_INT R9 2150 -3150 300 R 50 50 1 1 I
+X GND T9 -2850 -1650 300 R 50 50 1 1 I
+X GND_LVDS W9 -2850 -1750 300 R 50 50 1 1 I
+X LCD0_CLK Y9 -300 150 300 R 50 50 1 1 B
+X PB14 A10 -1500 -50 300 R 50 50 1 1 I
+X PB15 B10 -1500 50 300 R 50 50 1 1 I
+X PB11 C10 -1500 -350 300 R 50 50 1 1 I
+X ERXDV D10 -1500 -2250 300 R 50 50 1 1 O
+X EMDC E10 -1500 -2150 300 R 50 50 1 1 I
+X VCC H10 2150 150 300 R 50 50 1 1 I
+X VCC J10 2150 -250 300 R 50 50 1 1 I
+X VDD_INT K10 2150 -2650 300 R 50 50 1 1 I
+X GND L10 -2850 -150 300 R 50 50 1 1 I
+X GND M10 -2850 -450 300 R 50 50 1 1 I
+X GND_DLL N10 -2850 -2150 300 R 50 50 1 1 I
+X GND_DLL P10 -2850 -2050 300 R 50 50 1 1 I
+X VDD_INT R10 2150 -3050 300 R 50 50 1 1 I
+X JTAG_SEL T10 3850 1450 300 L 50 50 1 1 I
+X GND_LVDS W10 -2850 -1850 300 R 50 50 1 1 I
+X LCD0_D20 Y10 -300 550 300 R 50 50 1 1 B
+X PI0 A20 900 -1150 300 R 50 50 1 1 I
+X PI1 B20 900 -1050 300 R 50 50 1 1 I
+X PG7 C20 -300 -2150 300 R 50 50 1 1 I
+X PG4 D20 -300 -1850 300 R 50 50 1 1 I
+X PG2 E20 -300 -1650 300 R 50 50 1 1 I
+X PG0 F20 -300 -1450 300 R 50 50 1 1 I
+X PC15 G20 -1500 2450 300 R 50 50 1 1 I
+X PC13 H20 -1500 2250 300 R 50 50 1 1 I
+X PC22 J20 -300 2850 300 R 50 50 1 1 I
+X SDC0_D3 K20 3850 -1650 300 L 50 50 1 1 B
+X SDC0_CLK L20 3850 -1850 300 L 50 50 1 1 O
+X SDC0_D1 M20 3850 -2050 300 L 50 50 1 1 B
+X DM0 N20 3850 -1250 300 L 50 50 1 1 B
+X DM1 P20 3850 -1050 300 L 50 50 1 1 B
+X DM2 R20 3850 -850 300 L 50 50 1 1 B
+X NC T20 900 2050 300 R 50 50 1 1 I
+X NC U20 900 2750 300 R 50 50 1 1 I
+X VRA2 V20 3850 850 300 L 50 50 1 1 I
+X VRA1 W20 3850 950 300 L 50 50 1 1 I
+X FMINL Y20 3850 -1350 300 L 50 50 1 1 I
+X PB8 A11 -1500 -650 300 R 50 50 1 1 I
+X PB13 B11 -1500 -150 300 R 50 50 1 1 I
+X PB10 C11 -1500 -450 300 R 50 50 1 1 I
+X EMDIO D11 -1500 -2050 300 R 50 50 1 1 I
+X ETXEN E11 -1500 -1950 300 R 50 50 1 1 I
+X VDD_CPU H11 2150 -1750 300 R 50 50 1 1 I
+X GND J11 -2850 250 300 R 50 50 1 1 W
+X GND K11 -2850 150 300 R 50 50 1 1 W
+X GND L11 -2850 -250 300 R 50 50 1 1 I
+X GND M11 -2850 -550 300 R 50 50 1 1 I
+X GND N11 -2850 -850 300 R 50 50 1 1 I
+X GND P11 -2850 -1050 300 R 50 50 1 1 I
+X GND R11 -2850 -1250 300 R 50 50 1 1 I
+X GND T11 -2850 -1450 300 R 50 50 1 1 I
+X GND_LVDS W11 -2850 -1950 300 R 50 50 1 1 I
+X LCD0_D18 Y11 -300 750 300 R 50 50 1 1 B
+X PE11 A21 -300 -1350 300 R 50 50 1 1 I
+X PE10 B21 -300 -1250 300 R 50 50 1 1 I
+X PG5 C21 -300 -1950 300 R 50 50 1 1 I
+X PG3 D21 -300 -1750 300 R 50 50 1 1 I
+X PG1 E21 -300 -1550 300 R 50 50 1 1 I
+X PC24 F21 -300 2650 300 R 50 50 1 1 I
+X PC14 G21 -1500 2350 300 R 50 50 1 1 I
+X PC12 H21 -1500 2150 300 R 50 50 1 1 I
+X PC21 J21 -300 2950 300 R 50 50 1 1 I
+X PC20 K21 -1500 2950 300 R 50 50 1 1 I
+X PC19 L21 -1500 2850 300 R 50 50 1 1 I
+X PC16 M21 -1500 2550 300 R 50 50 1 1 I
+X DP0 N21 3850 -1150 300 L 50 50 1 1 B
+X DP1 P21 3850 -950 300 L 50 50 1 1 B
+X DP2 R21 3850 -750 300 L 50 50 1 1 B
+X NC T21 900 2950 300 R 50 50 1 1 I
+X NC U21 900 2850 300 R 50 50 1 1 I
+X NC V21 900 2650 300 R 50 50 1 1 I
+X VRP W21 3850 750 300 L 50 50 1 1 I
+X FMINR Y21 3850 -1450 300 L 50 50 1 1 I
+X SDQ12 AA1 -4050 1750 300 R 50 50 1 1 B
+X SDQS1 AB1 -4050 -850 300 R 50 50 1 1 O
+X SDQ15 AC1 -4050 1450 300 R 50 50 1 1 B
+X PB6 A12 -1500 -850 300 R 50 50 1 1 I
+X PB7 B12 -1500 -750 300 R 50 50 1 1 I
+X PB9 C12 -1500 -550 300 R 50 50 1 1 I
+X ETXCK D12 -1500 -1850 300 R 50 50 1 1 I
+X ECRS E12 -1500 -1750 300 R 50 50 1 1 I
+X VDD_CPU H12 2150 -1650 300 R 50 50 1 1 I
+X VDD_CPU J12 2150 -1950 300 R 50 50 1 1 I
+X GND K12 -2850 50 300 R 50 50 1 1 I
+X GND L12 -2850 -350 300 R 50 50 1 1 I
+X GND M12 -2850 -650 300 R 50 50 1 1 I
+X GND N12 -2850 -950 300 R 50 50 1 1 I
+X GND P12 -2850 -1150 300 R 50 50 1 1 I
+X GND R12 -2850 -1350 300 R 50 50 1 1 I
+X GND T12 -2850 -1550 300 R 50 50 1 1 I
+X VCC_LVDS W12 2150 650 300 R 50 50 1 1 I
+X LCD0_D16 Y12 -300 950 300 R 50 50 1 1 B
+X PE9 A22 -300 -1150 300 R 50 50 1 1 I
+X PE7 B22 -300 -950 300 R 50 50 1 1 I
+X PE5 C22 -300 -750 300 R 50 50 1 1 I
+X PE3 D22 -300 -550 300 R 50 50 1 1 I
+X PE1 E22 -300 -350 300 R 50 50 1 1 I
+X PC18 F22 -1500 2750 300 R 50 50 1 1 I
+X PC11 G22 -1500 2050 300 R 50 50 1 1 I
+X PC9 H22 -1500 1850 300 R 50 50 1 1 I
+X PC7 J22 -1500 1650 300 R 50 50 1 1 I
+X PC5 K22 -1500 1450 300 R 50 50 1 1 I
+X PC3 L22 -1500 1250 300 R 50 50 1 1 I
+X PC1 M22 -1500 1050 300 R 50 50 1 1 B
+X CLK24m_OUT N22 3850 1950 300 L 50 50 1 1 B
+X HPD_HDMI P22 3850 -3250 300 L 50 50 1 1 B
+X SDA_HDMI R22 3850 -3150 300 L 50 50 1 1 B
+X TX2N_HDMI T22 3850 -2250 300 L 50 50 1 1 B
+X TX1N_HDMI U22 3850 -2450 300 L 50 50 1 1 B
+X TX0N_HDMI V22 3850 -2650 300 L 50 50 1 1 B
+X TXCN_HDMI W22 3850 -2850 300 L 50 50 1 1 B
+X XP_TP Y22 3850 2550 300 L 50 50 1 1 I
+X SDQS1# AA2 -4050 -950 300 R 50 50 1 1 O
+X SDQ8 AB2 -4050 2150 300 R 50 50 1 1 B
+X SDQ10 AC2 -4050 1950 300 R 50 50 1 1 B
+X PB4 A13 -1500 -1050 300 R 50 50 1 1 I
+X PB5 B13 -1500 -950 300 R 50 50 1 1 I
+X ETXERR C13 -1500 -1550 300 R 50 50 1 1 I
+X ECOL D13 -1500 -1650 300 R 50 50 1 1 I
+X PI21 E13 900 950 300 R 50 50 1 1 I
+X VDD_CPU H13 2150 -1550 300 R 50 50 1 1 I
+X VDD_CPU J13 2150 -1850 300 R 50 50 1 1 I
+X GND K13 -2850 -50 300 R 50 50 1 1 I
+X UGND_T L13 -2850 750 300 R 50 50 1 1 I
+X GND M13 -2850 -750 300 R 50 50 1 1 I
+X GND_SATA N13 -2850 350 300 R 50 50 1 1 W
+X GND_HDMI P13 2150 2250 300 R 50 50 1 1 I
+X GND_HDMI R13 2150 2350 300 R 50 50 1 1 I
+X VP_HDMI T13 2150 1850 300 R 50 50 1 1 B
+X VCC_LVDS W13 2150 550 300 R 50 50 1 1 I
+X LCD0_D14 Y13 -300 1150 300 R 50 50 1 1 B
+X PE8 A23 -300 -1050 300 R 50 50 1 1 I
+X PE6 B23 -300 -850 300 R 50 50 1 1 I
+X PE4 C23 -300 -650 300 R 50 50 1 1 I
+X PE2 D23 -300 -450 300 R 50 50 1 1 I
+X PE0 E23 -300 -250 300 R 50 50 1 1 I
+X PC17 F23 -1500 2650 300 R 50 50 1 1 I
+X PC10 G23 -1500 1950 300 R 50 50 1 1 I
+X PC8 H23 -1500 1750 300 R 50 50 1 1 I
+X PC6 J23 -1500 1550 300 R 50 50 1 1 I
+X PC4 K23 -1500 1350 300 R 50 50 1 1 I
+X PC2 L23 -1500 1150 300 R 50 50 1 1 B
+X PC0 M23 -1500 950 300 R 50 50 1 1 B
+X CLK24M_IN N23 3850 2050 300 L 50 50 1 1 B
+X CEC_HDMI P23 3850 -2950 300 L 50 50 1 1 B
+X SCL_HDMI R23 3850 -3050 300 L 50 50 1 1 B
+X TX2P_HDMI T23 3850 -2150 300 L 50 50 1 1 B
+X TX1P_HDMI U23 3850 -2350 300 L 50 50 1 1 B
+X TX0P_HDMI V23 3850 -2550 300 L 50 50 1 1 B
+X TXCP_HDMI W23 3850 -2750 300 L 50 50 1 1 B
+X YP_TP Y23 3850 2750 300 L 50 50 1 1 I
+X SA8 AA3 -2850 2150 300 R 50 50 1 1 O
+X SDQ13 AB3 -4050 1650 300 R 50 50 1 1 B
+X SDQ7 AC3 -4050 2250 300 R 50 50 1 1 B
+X PB2 A14 -1500 -1250 300 R 50 50 1 1 I
+X PB3 B14 -1500 -1150 300 R 50 50 1 1 I
+X RESET# C14 3850 1650 300 L 50 50 1 1 I
+X PI19 D14 900 750 300 R 50 50 1 1 I
+X PI20 E14 900 850 300 R 50 50 1 1 I
+X VDD_CPU H14 2150 -1450 300 R 50 50 1 1 I
+X VCC J14 2150 -350 300 R 50 50 1 1 I
+X ULGND K14 -2850 650 300 R 50 50 1 1 I
+X UGND_C L14 -2850 850 300 R 50 50 1 1 I
+X GND_SATA M14 -2850 450 300 R 50 50 1 1 W
+X GND_SATA N14 -2850 550 300 R 50 50 1 1 W
+X GND_HDMI P14 2150 2450 300 R 50 50 1 1 I
+X NC R14 900 2250 300 R 50 50 1 1 I
+X NC T14 900 2150 300 R 50 50 1 1 I
+X VCC_LVDS W14 2150 450 300 R 50 50 1 1 I
+X LCD0_D12 Y14 -300 1350 300 R 50 50 1 1 B
+X SCS1 AA4 -4050 -3150 300 R 50 50 1 1 B
+X SDQ0 AB4 -4050 2950 300 R 50 50 1 1 B
+X SDQ2 AC4 -4050 2750 300 R 50 50 1 1 B
+X PB0 A15 -1500 -1450 300 R 50 50 1 1 I
+X PB1 B15 -1500 -1350 300 R 50 50 1 1 I
+X PI14 C15 900 250 300 R 50 50 1 1 I
+X PI15 D15 900 350 300 R 50 50 1 1 I
+X PI18 E15 900 650 300 R 50 50 1 1 I
+X VCC H15 2150 50 300 R 50 50 1 1 I
+X VDD_INT J15 2150 -2450 300 R 50 50 1 1 I
+X VDD_INT K15 2150 -2550 300 R 50 50 1 1 I
+X UVCC_T L15 2150 1350 300 R 50 50 1 1 I
+X NC M15 3850 -650 300 L 50 50 1 1 I
+X NC N15 900 1850 300 R 50 50 1 1 I
+X PLLGND P15 -2850 950 300 R 50 50 1 1 I
+X NC R15 900 1450 300 R 50 50 1 1 I
+X PLL_TEST T15 3850 2350 300 L 50 50 1 1 I
+X VCC33_TVO W15 2150 1550 300 R 50 50 1 1 I
+X LCD0_D10 Y15 -300 1550 300 R 50 50 1 1 B
+X ODT0 AA5 -4050 -2050 300 R 50 50 1 1 B
+X SDQ5 AB5 -4050 2450 300 R 50 50 1 1 B
+X SDQSO# AC5 -4050 -750 300 R 50 50 1 1 O
+X PI8 A16 900 -350 300 R 50 50 1 1 I
+X PI9 B16 900 -250 300 R 50 50 1 1 I
+X PI12 C16 900 50 300 R 50 50 1 1 I
+X PI13 D16 900 150 300 R 50 50 1 1 I
+X PI17 E16 900 550 300 R 50 50 1 1 I
+X TEST H16 3850 2450 300 L 50 50 1 1 I
+X VDD_INT J16 2150 -2350 300 R 50 50 1 1 I
+X ULVDD K16 3850 2950 300 L 50 50 1 1 I
+X UVCC_C L16 2150 1250 300 R 50 50 1 1 I
+X NC M16 900 2350 300 R 50 50 1 1 I
+X NC N16 900 1750 300 R 50 50 1 1 I
+X PLLVP25 P16 2150 1450 300 R 50 50 1 1 I
+X NC R16 900 1650 300 R 50 50 1 1 I
+X NC T16 900 1550 300 R 50 50 1 1 I
+X VCC33_TVIN W16 2150 1650 300 R 50 50 1 1 I
+X HPVCCIN Y16 2150 1750 300 R 50 50 1 1 I
+X SRST AA6 -4050 -1950 300 R 50 50 1 1 B
+X SDQS0 AB6 -4050 -650 300 R 50 50 1 1 O
+X SDQM0 AC6 -4050 -1050 300 R 50 50 1 1 O
+X PI6 A17 900 -550 300 R 50 50 1 1 I
+X PI7 B17 900 -450 300 R 50 50 1 1 I
+X PI10 C17 900 -150 300 R 50 50 1 1 I
+X PI11 D17 900 -50 300 R 50 50 1 1 I
+X PI16 E17 900 450 300 R 50 50 1 1 I
+X NC W17 900 1050 300 R 50 50 1 1 I
+X TVIN3 Y17 2150 2650 300 R 50 50 1 1 I
+X SZQ AA7 -4050 -2150 300 R 50 50 1 1 B
+X SDQ6 AB7 -4050 2350 300 R 50 50 1 1 B
+X SDQ1 AC7 -4050 2850 300 R 50 50 1 1 B
+X PI4 A18 900 -750 300 R 50 50 1 1 I
+X PI5 B18 900 -650 300 R 50 50 1 1 I
+X PG11 C18 -300 -2550 300 R 50 50 1 1 I
+X PG10 D18 -300 -2450 300 R 50 50 1 1 I
+X VCC_CSI0 E18 2150 1150 300 R 50 50 1 1 I
+X GND33_TV W18 2150 2550 300 R 50 50 1 1 I
+X NC Y18 -4050 -2350 300 R 50 50 1 1 I
+X SVREF3 AA8 -4050 -550 300 R 50 50 1 1 I
+X SDQ3 AB8 -4050 2650 300 R 50 50 1 1 B
+X SDQ4 AC8 -4050 2550 300 R 50 50 1 1 B
+X PI2 A19 900 -950 300 R 50 50 1 1 I
+X PI3 B19 900 -850 300 R 50 50 1 1 I
+X PG9 C19 -300 -2350 300 R 50 50 1 1 I
+X PG8 D19 -300 -2250 300 R 50 50 1 1 I
+X PG6 E19 -300 -2050 300 R 50 50 1 1 I
+X VCC_CSI1 F19 2150 1050 300 R 50 50 1 1 I
+X PC23 G19 -300 2750 300 R 50 50 1 1 I
+X VCC_NAND H19 2150 850 300 R 50 50 1 1 I
+X VCC_NAND J19 2150 950 300 R 50 50 1 1 I
+X SDC0_D2 K19 3850 -1550 300 L 50 50 1 1 B
+X SDC0_CMD L19 3850 -1750 300 L 50 50 1 1 B
+X SDC0_D0 M19 3850 -1950 300 L 50 50 1 1 B
+X VCC_CARD N19 2150 750 300 R 50 50 1 1 I
+X NC P19 900 2550 300 R 50 50 1 1 I
+X NC R19 900 2450 300 R 50 50 1 1 I
+X AVCC T19 2150 2150 300 R 50 50 1 1 I
+X AGND U19 -2850 1150 300 R 50 50 1 1 I
+X HPGND V19 -2850 1050 300 R 50 50 1 1 I
+X HPR W19 3850 450 300 L 50 50 1 1 I
+X HPL Y19 3850 350 300 L 50 50 1 1 I
+X LCD0_DE AA9 -300 50 300 R 50 50 1 1 B
+X LCD0_HSYNC AB9 -300 -50 300 R 50 50 1 1 B
+X LCD0_VSYNC AC9 -300 -150 300 R 50 50 1 1 B
+X LCD0_D21 AA10 -300 450 300 R 50 50 1 1 B
+X LCD0_D22 AB10 -300 350 300 R 50 50 1 1 B
+X LCD0_D23 AC10 -300 250 300 R 50 50 1 1 B
+X HPCOMFB AA20 3850 150 300 L 50 50 1 1 I
+X LINEINL AB20 3850 550 300 L 50 50 1 1 I
+X MICIN1 AC20 3850 1050 300 L 50 50 1 1 I
+X LCD0_D19 AA11 -300 650 300 R 50 50 1 1 B
+X LCD0_D8 AB11 -300 1750 300 R 50 50 1 1 B
+X LCD0_D9 AC11 -300 1650 300 R 50 50 1 1 B
+X VMIC AA21 3850 1250 300 L 50 50 1 1 I
+X LINEINR AB21 3850 650 300 L 50 50 1 1 I
+X MICIN2 AC21 3850 1150 300 L 50 50 1 1 I
+X LCD0_D17 AA12 -300 850 300 R 50 50 1 1 B
+X LCD0_D6 AB12 -300 1950 300 R 50 50 1 1 B
+X LCD0_D7 AC12 -300 1850 300 R 50 50 1 1 B
+X XN_TP AA22 3850 2650 300 L 50 50 1 1 I
+X LRADC1 AB22 3850 -50 300 L 50 50 1 1 I
+X MIC1OUTP AC22 3850 1850 300 L 50 50 1 1 B
+X LCD0_D15 AA13 -300 1050 300 R 50 50 1 1 B
+X LCD0_D4 AB13 -300 2150 300 R 50 50 1 1 B
+X LCD0_D5 AC13 -300 2050 300 R 50 50 1 1 B
+X YN_TP AA23 3850 2850 300 L 50 50 1 1 I
+X LRADC0 AB23 3850 50 300 L 50 50 1 1 I
+X MIC1OUTN AC23 3850 1750 300 L 50 50 1 1 B
+X LCD0_D13 AA14 -300 1250 300 R 50 50 1 1 B
+X LCD0_D2 AB14 -300 2350 300 R 50 50 1 1 B
+X LCD0_D3 AC14 -300 2250 300 R 50 50 1 1 B
+X LCD0_D11 AA15 -300 1450 300 R 50 50 1 1 B
+X LCD0_D0 AB15 -300 2550 300 R 50 50 1 1 B
+X LCD0_D1 AC15 -300 2450 300 R 50 50 1 1 B
+X NC AA16 3850 -550 300 L 50 50 1 1 I
+X TVOUT1 AB16 3850 -250 300 L 50 50 1 1 O
+X TVOUT0 AC16 3850 -150 300 L 50 50 1 1 O
+X TVIN2 AA17 2150 2750 300 R 50 50 1 1 I
+X TVOUT3 AB17 3850 -450 300 L 50 50 1 1 O
+X TVOUT2 AC17 3850 -350 300 L 50 50 1 1 O
+X NC AA18 -4050 -2250 300 R 50 50 1 1 I
+X TVIN1 AB18 2150 2850 300 R 50 50 1 1 I
+X TVIN0 AC18 2150 2950 300 R 50 50 1 1 I
+X HPCOM AA19 3850 250 300 L 50 50 1 1 I
+X NC AB19 900 1950 300 R 50 50 1 1 I
+X HPVCC AC19 2150 1950 300 R 50 50 1 1 I
+X SDQ5 80 -4050 2450 300 R 50 50 2 1 B
+X SDQ16 90 -4050 1350 300 R 50 50 2 1 B
+X SDQ6 81 -4050 2350 300 R 50 50 2 1 B
+X SDQ17 91 -4050 1250 300 R 50 50 2 1 B
+X SDQ8 82 -4050 2150 300 R 50 50 2 1 B
+X SDQ18 92 -4050 1150 300 R 50 50 2 1 B
+X SDQS3# J2 -4050 -2750 300 R 50 50 2 1 O
+X SDQ9 83 -4050 2050 300 R 50 50 2 1 B
+X SDQ19 93 -4050 1050 300 R 50 50 2 1 B
+X GND_DDR G3 -5000 50 300 R 50 50 2 1 I
+X ODT1 J3 -4050 -2450 300 R 50 50 2 1 B
+X SDQ10 84 -4050 1950 300 R 50 50 2 1 B
+X SDQ20 94 -4050 950 300 R 50 50 2 1 B
+X GND_DRAM G4 -2850 -3050 300 R 50 50 2 1 I
+X SDQ0 75 -4050 2950 300 R 50 50 2 1 B
+X SDQ11 85 -4050 1850 300 R 50 50 2 1 B
+X SDQ21 95 -4050 850 300 R 50 50 2 1 B
+X VCC_DRAM G5 2150 -450 300 R 50 50 2 1 I
+X GND_DRAM J5 -2850 -2950 300 R 50 50 2 1 I
+X GND_DRAM N5 -2850 -2850 300 R 50 50 2 1 I
+X GND_DRAM P5 -2850 -2750 300 R 50 50 2 1 I
+X GND_DRAM U5 -2850 -2650 300 R 50 50 2 1 I
+X GND_DRAM V5 -2850 -2550 300 R 50 50 2 1 I
+X VCC_DRAM W5 2150 -1050 300 R 50 50 2 1 I
+X SDQ1 76 -4050 2850 300 R 50 50 2 1 B
+X SDQ12 86 -4050 1750 300 R 50 50 2 1 B
+X SDQ22 96 -4050 750 300 R 50 50 2 1 B
+X VCC_DRAM W6 2150 -1150 300 R 50 50 2 1 I
+X VCC_DRAM Y6 2150 -1350 300 R 50 50 2 1 I
+X SDQ2 77 -4050 2750 300 R 50 50 2 1 B
+X SDQ13 87 -4050 1650 300 R 50 50 2 1 B
+X SDQ23 97 -4050 650 300 R 50 50 2 1 B
+X VCC_DRAM W7 2150 -1250 300 R 50 50 2 1 I
+X GND_DRAM Y7 -2850 -2450 300 R 50 50 2 1 I
+X SDQ3 78 -4050 2650 300 R 50 50 2 1 B
+X SDQ14 88 -4050 1550 300 R 50 50 2 1 B
+X SDQ24 98 -4050 550 300 R 50 50 2 1 B
+X GND_DRAM Y8 -2850 -2350 300 R 50 50 2 1 I
+X SDQ4 79 -4050 2550 300 R 50 50 2 1 B
+X SDQ15 89 -4050 1450 300 R 50 50 2 1 B
+X SDQ25 99 -4050 450 300 R 50 50 2 1 B
+X SDQ26 100 -4050 350 300 R 50 50 2 1 B
+X VCC_DRAM 110 2150 -850 300 R 50 50 2 1 I
+X ODT0 120 -4050 -2550 300 R 50 50 2 1 B
+X SCK 130 -4050 -1350 300 R 50 50 2 1 O
+X SA8 140 -2850 2150 300 R 50 50 2 1 O
+X SDQ27 101 -4050 250 300 R 50 50 2 1 B
+X VCC_DRAM 111 2150 -950 300 R 50 50 2 1 I
+X ODT1 121 -4050 -2450 300 R 50 50 2 1 B
+X SBA2 131 -2850 1250 300 R 50 50 2 1 O
+X SA7 141 -2850 2250 300 R 50 50 2 1 O
+X SDQ28 102 -4050 150 300 R 50 50 2 1 B
+X SDQS1 112 -4050 -850 300 R 50 50 2 1 O
+X TVIN1 122 2150 2850 300 R 50 50 2 1 I
+X SBA1 132 -2850 1350 300 R 50 50 2 1 O
+X SA6 142 -2850 2350 300 R 50 50 2 1 O
+X SDQ29 103 -4050 50 300 R 50 50 2 1 B
+X SDQSO# 113 -4050 -750 300 R 50 50 2 1 O
+X SDQS3 123 -4050 -2650 300 R 50 50 2 1 O
+X SBA0 133 -2850 1450 300 R 50 50 2 1 O
+X SA5 143 -2850 2450 300 R 50 50 2 1 O
+X SDQ30 104 -4050 -50 300 R 50 50 2 1 B
+X SDQS0 114 -4050 -650 300 R 50 50 2 1 O
+X SDQS2# 124 -4050 -2550 300 R 50 50 2 1 O
+X SA14 134 -2850 1550 300 R 50 50 2 1 O
+X SA4 144 -2850 2550 300 R 50 50 2 1 O
+X SDQ31 105 -4050 -150 300 R 50 50 2 1 B
+X SDQM3 115 -4050 -2950 300 R 50 50 2 1 O
+X SDQS2 125 -4050 -2450 300 R 50 50 2 1 O
+X SA13 135 -2850 1650 300 R 50 50 2 1 O
+X SA3 145 -2850 2650 300 R 50 50 2 1 O
+X TVIN1 AA5 2150 2850 300 R 50 50 2 1 I
+X SDQ7 106 -4050 2250 300 R 50 50 2 1 B
+X SDQM2 116 -4050 -2850 300 R 50 50 2 1 O
+X SDQS1# 126 -4050 -950 300 R 50 50 2 1 O
+X SA12 136 -2850 1750 300 R 50 50 2 1 O
+X SA2 146 -2850 2750 300 R 50 50 2 1 O
+X VCC_DRAM 107 2150 -550 300 R 50 50 2 1 I
+X SDQM1 117 -4050 -1150 300 R 50 50 2 1 O
+X TVIN0 127 2150 2950 300 R 50 50 2 1 I
+X SA11 137 -2850 1850 300 R 50 50 2 1 O
+X SA1 147 -2850 2850 300 R 50 50 2 1 O
+X VCC_DRAM 108 2150 -650 300 R 50 50 2 1 I
+X SDQM0 118 -4050 -1050 300 R 50 50 2 1 O
+X SCKE0 128 -4950 -3300 300 R 50 50 2 1 O
+X SA10 138 -2850 1950 300 R 50 50 2 1 O
+X SA0 148 -2850 2950 300 R 50 50 2 1 O
+X VCC_DRAM 109 2150 -750 300 R 50 50 2 1 I
+X TVIN1 119 2150 2850 300 R 50 50 2 1 I
+X SCK# 129 -4950 -3200 300 R 50 50 2 1 O
+X SA9 139 -2850 2050 300 R 50 50 2 1 O
+X SDQS3# J2 -4050 -2750 300 R 50 50 3 1 O
+X GND_DDR G3 -5000 50 300 R 50 50 3 1 I
+X ODT1 J3 -4050 -2450 300 R 50 50 3 1 B
+X GND_DRAM G4 -2850 -3050 300 R 50 50 3 1 I
+X VCC_DRAM G5 2150 -450 300 R 50 50 3 1 I
+X GND_DRAM J5 -2850 -2950 300 R 50 50 3 1 I
+X GND_DRAM N5 -2850 -2850 300 R 50 50 3 1 I
+X GND_DRAM P5 -2850 -2750 300 R 50 50 3 1 I
+X GND_DRAM U5 -2850 -2650 300 R 50 50 3 1 I
+X GND_DRAM V5 -2850 -2550 300 R 50 50 3 1 I
+X VCC_DRAM W5 2150 -1050 300 R 50 50 3 1 I
+X VCC_DRAM W6 2150 -1150 300 R 50 50 3 1 I
+X VCC_DRAM Y6 2150 -1350 300 R 50 50 3 1 I
+X VCC_DRAM W7 2150 -1250 300 R 50 50 3 1 I
+X GND_DRAM Y7 -2850 -2450 300 R 50 50 3 1 I
+X GND_DRAM Y8 -2850 -2350 300 R 50 50 3 1 I
+X SDQS1# 200 -4050 -950 300 R 50 50 3 1 O
+X SA12 210 -2850 1750 300 R 50 50 3 1 O
+X SA2 220 -2850 2750 300 R 50 50 3 1 O
+X SDQ1 150 -4050 2850 300 R 50 50 3 1 B
+X SDQ12 160 -4050 1750 300 R 50 50 3 1 B
+X SDQ22 170 -4050 750 300 R 50 50 3 1 B
+X SDQ7 180 -4050 2250 300 R 50 50 3 1 B
+X SDQM2 190 -4050 -2850 300 R 50 50 3 1 O
+X TVIN0 201 2150 2950 300 R 50 50 3 1 I
+X SA11 211 -2850 1850 300 R 50 50 3 1 O
+X SA1 221 -2850 2850 300 R 50 50 3 1 O
+X SDQ2 151 -4050 2750 300 R 50 50 3 1 B
+X SDQ13 161 -4050 1650 300 R 50 50 3 1 B
+X SDQ23 171 -4050 650 300 R 50 50 3 1 B
+X VCC_DRAM 181 2150 -550 300 R 50 50 3 1 I
+X SDQM1 191 -4050 -1150 300 R 50 50 3 1 O
+X SCKE0 202 -4950 -3300 300 R 50 50 3 1 O
+X SA10 212 -2850 1950 300 R 50 50 3 1 O
+X SA0 222 -2850 2950 300 R 50 50 3 1 O
+X SDQ3 152 -4050 2650 300 R 50 50 3 1 B
+X SDQ14 162 -4050 1550 300 R 50 50 3 1 B
+X SDQ24 172 -4050 550 300 R 50 50 3 1 B
+X VCC_DRAM 182 2150 -650 300 R 50 50 3 1 I
+X SDQM0 192 -4050 -1050 300 R 50 50 3 1 O
+X SCK# 203 -4950 -3200 300 R 50 50 3 1 O
+X SA9 213 -2850 2050 300 R 50 50 3 1 O
+X SDQ4 153 -4050 2550 300 R 50 50 3 1 B
+X SDQ15 163 -4050 1450 300 R 50 50 3 1 B
+X SDQ25 173 -4050 450 300 R 50 50 3 1 B
+X VCC_DRAM 183 2150 -750 300 R 50 50 3 1 I
+X TVIN1 193 2150 2850 300 R 50 50 3 1 I
+X SCK 204 -4050 -1350 300 R 50 50 3 1 O
+X SA8 214 -2850 2150 300 R 50 50 3 1 O
+X SDQ5 154 -4050 2450 300 R 50 50 3 1 B
+X SDQ16 164 -4050 1350 300 R 50 50 3 1 B
+X SDQ26 174 -4050 350 300 R 50 50 3 1 B
+X VCC_DRAM 184 2150 -850 300 R 50 50 3 1 I
+X ODT0 194 -4050 -2550 300 R 50 50 3 1 B
+X SBA2 205 -2850 1250 300 R 50 50 3 1 O
+X SA7 215 -2850 2250 300 R 50 50 3 1 O
+X SDQ6 155 -4050 2350 300 R 50 50 3 1 B
+X SDQ17 165 -4050 1250 300 R 50 50 3 1 B
+X SDQ27 175 -4050 250 300 R 50 50 3 1 B
+X VCC_DRAM 185 2150 -950 300 R 50 50 3 1 I
+X ODT1 195 -4050 -2450 300 R 50 50 3 1 B
+X TVIN1 AA5 2150 2850 300 R 50 50 3 1 I
+X SBA1 206 -2850 1350 300 R 50 50 3 1 O
+X SA6 216 -2850 2350 300 R 50 50 3 1 O
+X SDQ8 156 -4050 2150 300 R 50 50 3 1 B
+X SDQ18 166 -4050 1150 300 R 50 50 3 1 B
+X SDQ28 176 -4050 150 300 R 50 50 3 1 B
+X SDQS1 186 -4050 -850 300 R 50 50 3 1 O
+X TVIN1 196 2150 2850 300 R 50 50 3 1 I
+X SBA0 207 -2850 1450 300 R 50 50 3 1 O
+X SA5 217 -2850 2450 300 R 50 50 3 1 O
+X SDQ9 157 -4050 2050 300 R 50 50 3 1 B
+X SDQ19 167 -4050 1050 300 R 50 50 3 1 B
+X SDQ29 177 -4050 50 300 R 50 50 3 1 B
+X SDQSO# 187 -4050 -750 300 R 50 50 3 1 O
+X SDQS3 197 -4050 -2650 300 R 50 50 3 1 O
+X SA14 208 -2850 1550 300 R 50 50 3 1 O
+X SA4 218 -2850 2550 300 R 50 50 3 1 O
+X SDQ10 158 -4050 1950 300 R 50 50 3 1 B
+X SDQ20 168 -4050 950 300 R 50 50 3 1 B
+X SDQ30 178 -4050 -50 300 R 50 50 3 1 B
+X SDQS0 188 -4050 -650 300 R 50 50 3 1 O
+X SDQS2# 198 -4050 -2550 300 R 50 50 3 1 O
+X SA13 209 -2850 1650 300 R 50 50 3 1 O
+X SA3 219 -2850 2650 300 R 50 50 3 1 O
+X SDQ0 149 -4050 2950 300 R 50 50 3 1 B
+X SDQ11 159 -4050 1850 300 R 50 50 3 1 B
+X SDQ21 169 -4050 850 300 R 50 50 3 1 B
+X SDQ31 179 -4050 -150 300 R 50 50 3 1 B
+X SDQM3 189 -4050 -2950 300 R 50 50 3 1 O
+X SDQS2 199 -4050 -2450 300 R 50 50 3 1 O
+X SDQS3# J2 -4050 -2750 300 R 50 50 4 1 O
+X GND_DDR G3 -5000 50 300 R 50 50 4 1 I
+X ODT1 J3 -4050 -2450 300 R 50 50 4 1 B
+X GND_DRAM G4 -2850 -3050 300 R 50 50 4 1 I
+X VCC_DRAM G5 2150 -450 300 R 50 50 4 1 I
+X GND_DRAM J5 -2850 -2950 300 R 50 50 4 1 I
+X GND_DRAM N5 -2850 -2850 300 R 50 50 4 1 I
+X GND_DRAM P5 -2850 -2750 300 R 50 50 4 1 I
+X GND_DRAM U5 -2850 -2650 300 R 50 50 4 1 I
+X GND_DRAM V5 -2850 -2550 300 R 50 50 4 1 I
+X VCC_DRAM W5 2150 -1050 300 R 50 50 4 1 I
+X VCC_DRAM W6 2150 -1150 300 R 50 50 4 1 I
+X VCC_DRAM Y6 2150 -1350 300 R 50 50 4 1 I
+X VCC_DRAM W7 2150 -1250 300 R 50 50 4 1 I
+X GND_DRAM Y7 -2850 -2450 300 R 50 50 4 1 I
+X GND_DRAM Y8 -2850 -2350 300 R 50 50 4 1 I
+X SDQ8 230 -4050 2150 300 R 50 50 4 1 B
+X SDQ18 240 -4050 1150 300 R 50 50 4 1 B
+X SDQ28 250 -4050 150 300 R 50 50 4 1 B
+X SDQS1 260 -4050 -850 300 R 50 50 4 1 O
+X TVIN1 270 2150 2850 300 R 50 50 4 1 I
+X SBA1 280 -2850 1350 300 R 50 50 4 1 O
+X SA6 290 -2850 2350 300 R 50 50 4 1 O
+X SDQ9 231 -4050 2050 300 R 50 50 4 1 B
+X SDQ19 241 -4050 1050 300 R 50 50 4 1 B
+X SDQ29 251 -4050 50 300 R 50 50 4 1 B
+X SDQSO# 261 -4050 -750 300 R 50 50 4 1 O
+X SDQS3 271 -4050 -2650 300 R 50 50 4 1 O
+X SBA0 281 -2850 1450 300 R 50 50 4 1 O
+X SA5 291 -2850 2450 300 R 50 50 4 1 O
+X SDQ10 232 -4050 1950 300 R 50 50 4 1 B
+X SDQ20 242 -4050 950 300 R 50 50 4 1 B
+X SDQ30 252 -4050 -50 300 R 50 50 4 1 B
+X SDQS0 262 -4050 -650 300 R 50 50 4 1 O
+X SDQS2# 272 -4050 -2550 300 R 50 50 4 1 O
+X SA14 282 -2850 1550 300 R 50 50 4 1 O
+X SA4 292 -2850 2550 300 R 50 50 4 1 O
+X SDQ0 223 -4050 2950 300 R 50 50 4 1 B
+X SDQ11 233 -4050 1850 300 R 50 50 4 1 B
+X SDQ21 243 -4050 850 300 R 50 50 4 1 B
+X SDQ31 253 -4050 -150 300 R 50 50 4 1 B
+X SDQM3 263 -4050 -2950 300 R 50 50 4 1 O
+X SDQS2 273 -4050 -2450 300 R 50 50 4 1 O
+X SA13 283 -2850 1650 300 R 50 50 4 1 O
+X SA3 293 -2850 2650 300 R 50 50 4 1 O
+X SDQ1 224 -4050 2850 300 R 50 50 4 1 B
+X SDQ12 234 -4050 1750 300 R 50 50 4 1 B
+X SDQ22 244 -4050 750 300 R 50 50 4 1 B
+X SDQ7 254 -4050 2250 300 R 50 50 4 1 B
+X SDQM2 264 -4050 -2850 300 R 50 50 4 1 O
+X SDQS1# 274 -4050 -950 300 R 50 50 4 1 O
+X SA12 284 -2850 1750 300 R 50 50 4 1 O
+X SA2 294 -2850 2750 300 R 50 50 4 1 O
+X SDQ2 225 -4050 2750 300 R 50 50 4 1 B
+X SDQ13 235 -4050 1650 300 R 50 50 4 1 B
+X SDQ23 245 -4050 650 300 R 50 50 4 1 B
+X VCC_DRAM 255 2150 -550 300 R 50 50 4 1 I
+X SDQM1 265 -4050 -1150 300 R 50 50 4 1 O
+X TVIN0 275 2150 2950 300 R 50 50 4 1 I
+X SA11 285 -2850 1850 300 R 50 50 4 1 O
+X SA1 295 -2850 2850 300 R 50 50 4 1 O
+X TVIN1 AA5 2150 2850 300 R 50 50 4 1 I
+X SDQ3 226 -4050 2650 300 R 50 50 4 1 B
+X SDQ14 236 -4050 1550 300 R 50 50 4 1 B
+X SDQ24 246 -4050 550 300 R 50 50 4 1 B
+X VCC_DRAM 256 2150 -650 300 R 50 50 4 1 I
+X SDQM0 266 -4050 -1050 300 R 50 50 4 1 O
+X SCKE0 276 -4950 -3300 300 R 50 50 4 1 O
+X SA10 286 -2850 1950 300 R 50 50 4 1 O
+X SA0 296 -2850 2950 300 R 50 50 4 1 O
+X SDQ4 227 -4050 2550 300 R 50 50 4 1 B
+X SDQ15 237 -4050 1450 300 R 50 50 4 1 B
+X SDQ25 247 -4050 450 300 R 50 50 4 1 B
+X VCC_DRAM 257 2150 -750 300 R 50 50 4 1 I
+X TVIN1 267 2150 2850 300 R 50 50 4 1 I
+X SCK# 277 -4950 -3200 300 R 50 50 4 1 O
+X SA9 287 -2850 2050 300 R 50 50 4 1 O
+X SDQ5 228 -4050 2450 300 R 50 50 4 1 B
+X SDQ16 238 -4050 1350 300 R 50 50 4 1 B
+X SDQ26 248 -4050 350 300 R 50 50 4 1 B
+X VCC_DRAM 258 2150 -850 300 R 50 50 4 1 I
+X ODT0 268 -4050 -2550 300 R 50 50 4 1 B
+X SCK 278 -4050 -1350 300 R 50 50 4 1 O
+X SA8 288 -2850 2150 300 R 50 50 4 1 O
+X SDQ6 229 -4050 2350 300 R 50 50 4 1 B
+X SDQ17 239 -4050 1250 300 R 50 50 4 1 B
+X SDQ27 249 -4050 250 300 R 50 50 4 1 B
+X VCC_DRAM 259 2150 -950 300 R 50 50 4 1 I
+X ODT1 269 -4050 -2450 300 R 50 50 4 1 B
+X SBA2 279 -2850 1250 300 R 50 50 4 1 O
+X SA7 289 -2850 2250 300 R 50 50 4 1 O
+X SDQS3# J2 -4050 -2750 300 R 50 50 5 1 O
+X GND_DDR G3 -5000 50 300 R 50 50 5 1 I
+X ODT1 J3 -4050 -2450 300 R 50 50 5 1 B
+X GND_DRAM G4 -2850 -3050 300 R 50 50 5 1 I
+X VCC_DRAM G5 2150 -450 300 R 50 50 5 1 I
+X GND_DRAM J5 -2850 -2950 300 R 50 50 5 1 I
+X GND_DRAM N5 -2850 -2850 300 R 50 50 5 1 I
+X GND_DRAM P5 -2850 -2750 300 R 50 50 5 1 I
+X GND_DRAM U5 -2850 -2650 300 R 50 50 5 1 I
+X GND_DRAM V5 -2850 -2550 300 R 50 50 5 1 I
+X VCC_DRAM W5 2150 -1050 300 R 50 50 5 1 I
+X VCC_DRAM W6 2150 -1150 300 R 50 50 5 1 I
+X VCC_DRAM Y6 2150 -1350 300 R 50 50 5 1 I
+X VCC_DRAM W7 2150 -1250 300 R 50 50 5 1 I
+X GND_DRAM Y7 -2850 -2450 300 R 50 50 5 1 I
+X GND_DRAM Y8 -2850 -2350 300 R 50 50 5 1 I
+X SDQ3 300 -4050 2650 300 R 50 50 5 1 B
+X SDQ14 310 -4050 1550 300 R 50 50 5 1 B
+X SDQ24 320 -4050 550 300 R 50 50 5 1 B
+X VCC_DRAM 330 2150 -650 300 R 50 50 5 1 I
+X SDQM0 340 -4050 -1050 300 R 50 50 5 1 O
+X SCKE0 350 -4950 -3300 300 R 50 50 5 1 O
+X SA10 360 -2850 1950 300 R 50 50 5 1 O
+X SA0 370 -2850 2950 300 R 50 50 5 1 O
+X SDQ4 301 -4050 2550 300 R 50 50 5 1 B
+X SDQ15 311 -4050 1450 300 R 50 50 5 1 B
+X SDQ25 321 -4050 450 300 R 50 50 5 1 B
+X VCC_DRAM 331 2150 -750 300 R 50 50 5 1 I
+X TVIN1 341 2150 2850 300 R 50 50 5 1 I
+X SCK# 351 -4950 -3200 300 R 50 50 5 1 O
+X SA9 361 -2850 2050 300 R 50 50 5 1 O
+X SDQ5 302 -4050 2450 300 R 50 50 5 1 B
+X SDQ16 312 -4050 1350 300 R 50 50 5 1 B
+X SDQ26 322 -4050 350 300 R 50 50 5 1 B
+X VCC_DRAM 332 2150 -850 300 R 50 50 5 1 I
+X ODT0 342 -4050 -2550 300 R 50 50 5 1 B
+X SCK 352 -4050 -1350 300 R 50 50 5 1 O
+X SA8 362 -2850 2150 300 R 50 50 5 1 O
+X SDQ6 303 -4050 2350 300 R 50 50 5 1 B
+X SDQ17 313 -4050 1250 300 R 50 50 5 1 B
+X SDQ27 323 -4050 250 300 R 50 50 5 1 B
+X VCC_DRAM 333 2150 -950 300 R 50 50 5 1 I
+X ODT1 343 -4050 -2450 300 R 50 50 5 1 B
+X SBA2 353 -2850 1250 300 R 50 50 5 1 O
+X SA7 363 -2850 2250 300 R 50 50 5 1 O
+X SDQ8 304 -4050 2150 300 R 50 50 5 1 B
+X SDQ18 314 -4050 1150 300 R 50 50 5 1 B
+X SDQ28 324 -4050 150 300 R 50 50 5 1 B
+X SDQS1 334 -4050 -850 300 R 50 50 5 1 O
+X TVIN1 344 2150 2850 300 R 50 50 5 1 I
+X SBA1 354 -2850 1350 300 R 50 50 5 1 O
+X SA6 364 -2850 2350 300 R 50 50 5 1 O
+X SDQ9 305 -4050 2050 300 R 50 50 5 1 B
+X SDQ19 315 -4050 1050 300 R 50 50 5 1 B
+X SDQ29 325 -4050 50 300 R 50 50 5 1 B
+X SDQSO# 335 -4050 -750 300 R 50 50 5 1 O
+X SDQS3 345 -4050 -2650 300 R 50 50 5 1 O
+X SBA0 355 -2850 1450 300 R 50 50 5 1 O
+X SA5 365 -2850 2450 300 R 50 50 5 1 O
+X TVIN1 AA5 2150 2850 300 R 50 50 5 1 I
+X SDQ10 306 -4050 1950 300 R 50 50 5 1 B
+X SDQ20 316 -4050 950 300 R 50 50 5 1 B
+X SDQ30 326 -4050 -50 300 R 50 50 5 1 B
+X SDQS0 336 -4050 -650 300 R 50 50 5 1 O
+X SDQS2# 346 -4050 -2550 300 R 50 50 5 1 O
+X SA14 356 -2850 1550 300 R 50 50 5 1 O
+X SA4 366 -2850 2550 300 R 50 50 5 1 O
+X SDQ11 307 -4050 1850 300 R 50 50 5 1 B
+X SDQ21 317 -4050 850 300 R 50 50 5 1 B
+X SDQ31 327 -4050 -150 300 R 50 50 5 1 B
+X SDQM3 337 -4050 -2950 300 R 50 50 5 1 O
+X SDQS2 347 -4050 -2450 300 R 50 50 5 1 O
+X SA13 357 -2850 1650 300 R 50 50 5 1 O
+X SA3 367 -2850 2650 300 R 50 50 5 1 O
+X SDQ0 297 -4050 2950 300 R 50 50 5 1 B
+X SDQ12 308 -4050 1750 300 R 50 50 5 1 B
+X SDQ22 318 -4050 750 300 R 50 50 5 1 B
+X SDQ7 328 -4050 2250 300 R 50 50 5 1 B
+X SDQM2 338 -4050 -2850 300 R 50 50 5 1 O
+X SDQS1# 348 -4050 -950 300 R 50 50 5 1 O
+X SA12 358 -2850 1750 300 R 50 50 5 1 O
+X SA2 368 -2850 2750 300 R 50 50 5 1 O
+X SDQ1 298 -4050 2850 300 R 50 50 5 1 B
+X SDQ13 309 -4050 1650 300 R 50 50 5 1 B
+X SDQ23 319 -4050 650 300 R 50 50 5 1 B
+X VCC_DRAM 329 2150 -550 300 R 50 50 5 1 I
+X SDQM1 339 -4050 -1150 300 R 50 50 5 1 O
+X TVIN0 349 2150 2950 300 R 50 50 5 1 I
+X SA11 359 -2850 1850 300 R 50 50 5 1 O
+X SA1 369 -2850 2850 300 R 50 50 5 1 O
+X SDQ2 299 -4050 2750 300 R 50 50 5 1 B
+X SDQS3# J2 -4050 -2750 300 R 50 50 6 1 O
+X GND_DDR G3 -5000 50 300 R 50 50 6 1 I
+X ODT1 J3 -4050 -2450 300 R 50 50 6 1 B
+X GND_DRAM G4 -2850 -3050 300 R 50 50 6 1 I
+X VCC_DRAM G5 2150 -450 300 R 50 50 6 1 I
+X GND_DRAM J5 -2850 -2950 300 R 50 50 6 1 I
+X GND_DRAM N5 -2850 -2850 300 R 50 50 6 1 I
+X GND_DRAM P5 -2850 -2750 300 R 50 50 6 1 I
+X GND_DRAM U5 -2850 -2650 300 R 50 50 6 1 I
+X GND_DRAM V5 -2850 -2550 300 R 50 50 6 1 I
+X VCC_DRAM W5 2150 -1050 300 R 50 50 6 1 I
+X VCC_DRAM W6 2150 -1150 300 R 50 50 6 1 I
+X VCC_DRAM Y6 2150 -1350 300 R 50 50 6 1 I
+X VCC_DRAM W7 2150 -1250 300 R 50 50 6 1 I
+X GND_DRAM Y7 -2850 -2450 300 R 50 50 6 1 I
+X GND_DRAM Y8 -2850 -2350 300 R 50 50 6 1 I
+X SDQ30 400 -4050 -50 300 R 50 50 6 1 B
+X SDQS0 410 -4050 -650 300 R 50 50 6 1 O
+X SDQS2# 420 -4050 -2550 300 R 50 50 6 1 O
+X SA14 430 -2850 1550 300 R 50 50 6 1 O
+X SA4 440 -2850 2550 300 R 50 50 6 1 O
+X SDQ10 380 -4050 1950 300 R 50 50 6 1 B
+X SDQ20 390 -4050 950 300 R 50 50 6 1 B
+X SDQ31 401 -4050 -150 300 R 50 50 6 1 B
+X SDQM3 411 -4050 -2950 300 R 50 50 6 1 O
+X SDQS2 421 -4050 -2450 300 R 50 50 6 1 O
+X SA13 431 -2850 1650 300 R 50 50 6 1 O
+X SA3 441 -2850 2650 300 R 50 50 6 1 O
+X SDQ0 371 -4050 2950 300 R 50 50 6 1 B
+X SDQ11 381 -4050 1850 300 R 50 50 6 1 B
+X SDQ21 391 -4050 850 300 R 50 50 6 1 B
+X SDQ7 402 -4050 2250 300 R 50 50 6 1 B
+X SDQM2 412 -4050 -2850 300 R 50 50 6 1 O
+X SDQS1# 422 -4050 -950 300 R 50 50 6 1 O
+X SA12 432 -2850 1750 300 R 50 50 6 1 O
+X SDQ1 372 -4050 2850 300 R 50 50 6 1 B
+X SDQ12 382 -4050 1750 300 R 50 50 6 1 B
+X SDQ22 392 -4050 750 300 R 50 50 6 1 B
+X VCC_DRAM 403 2150 -550 300 R 50 50 6 1 I
+X SDQM1 413 -4050 -1150 300 R 50 50 6 1 O
+X TVIN0 423 2150 2950 300 R 50 50 6 1 I
+X SA11 433 -2850 1850 300 R 50 50 6 1 O
+X SDQ2 373 -4050 2750 300 R 50 50 6 1 B
+X SDQ13 383 -4050 1650 300 R 50 50 6 1 B
+X SDQ23 393 -4050 650 300 R 50 50 6 1 B
+X VCC_DRAM 404 2150 -650 300 R 50 50 6 1 I
+X SDQM0 414 -4050 -1050 300 R 50 50 6 1 O
+X SCKE0 424 -4950 -3300 300 R 50 50 6 1 O
+X SA10 434 -2850 1950 300 R 50 50 6 1 O
+X SDQ3 374 -4050 2650 300 R 50 50 6 1 B
+X SDQ14 384 -4050 1550 300 R 50 50 6 1 B
+X SDQ24 394 -4050 550 300 R 50 50 6 1 B
+X VCC_DRAM 405 2150 -750 300 R 50 50 6 1 I
+X TVIN1 415 2150 2850 300 R 50 50 6 1 I
+X SCK# 425 -4950 -3200 300 R 50 50 6 1 O
+X SA9 435 -2850 2050 300 R 50 50 6 1 O
+X SDQ4 375 -4050 2550 300 R 50 50 6 1 B
+X SDQ15 385 -4050 1450 300 R 50 50 6 1 B
+X SDQ25 395 -4050 450 300 R 50 50 6 1 B
+X TVIN1 AA5 2150 2850 300 R 50 50 6 1 I
+X VCC_DRAM 406 2150 -850 300 R 50 50 6 1 I
+X ODT0 416 -4050 -2550 300 R 50 50 6 1 B
+X SCK 426 -4050 -1350 300 R 50 50 6 1 O
+X SA8 436 -2850 2150 300 R 50 50 6 1 O
+X SDQ5 376 -4050 2450 300 R 50 50 6 1 B
+X SDQ16 386 -4050 1350 300 R 50 50 6 1 B
+X SDQ26 396 -4050 350 300 R 50 50 6 1 B
+X VCC_DRAM 407 2150 -950 300 R 50 50 6 1 I
+X ODT1 417 -4050 -2450 300 R 50 50 6 1 B
+X SBA2 427 -2850 1250 300 R 50 50 6 1 O
+X SA7 437 -2850 2250 300 R 50 50 6 1 O
+X SDQ6 377 -4050 2350 300 R 50 50 6 1 B
+X SDQ17 387 -4050 1250 300 R 50 50 6 1 B
+X SDQ27 397 -4050 250 300 R 50 50 6 1 B
+X SDQS1 408 -4050 -850 300 R 50 50 6 1 O
+X TVIN1 418 2150 2850 300 R 50 50 6 1 I
+X SBA1 428 -2850 1350 300 R 50 50 6 1 O
+X SA6 438 -2850 2350 300 R 50 50 6 1 O
+X SDQ8 378 -4050 2150 300 R 50 50 6 1 B
+X SDQ18 388 -4050 1150 300 R 50 50 6 1 B
+X SDQ28 398 -4050 150 300 R 50 50 6 1 B
+X SDQSO# 409 -4050 -750 300 R 50 50 6 1 O
+X SDQS3 419 -4050 -2650 300 R 50 50 6 1 O
+X SBA0 429 -2850 1450 300 R 50 50 6 1 O
+X SA5 439 -2850 2450 300 R 50 50 6 1 O
+X SDQ9 379 -4050 2050 300 R 50 50 6 1 B
+X SDQ19 389 -4050 1050 300 R 50 50 6 1 B
+X SDQ29 399 -4050 50 300 R 50 50 6 1 B
+ENDDRAW
+ENDDEF
+#
+# AXP209
+#
+DEF AXP209 U 0 40 Y Y 1 F N
+F0 "U" 300 1300 60 H V C CNN
+F1 "AXP209" -250 1300 60 H V C CNN
+DRAW
+T 0 250 0 30 0 0 0 "~"  Normal 0 C C
+S -450 1250 350 -1500 0 1 0 N
+X SDA 1 -650 950 200 R 50 50 1 1 B
+X SCK 2 -650 1050 200 R 50 50 1 1 I
+X GPIO3 3 -650 550 200 R 50 50 1 1 B
+X N_OE 4 550 -850 200 L 50 50 1 1 I
+X GPIO2 5 -650 650 200 R 50 50 1 1 B
+X N_VBUSEN 6 550 -750 200 L 50 50 1 1 I
+X VIN2 7 550 550 200 L 50 50 1 1 I
+X LX2 8 550 -450 200 L 50 50 1 1 I
+X PGND2 9 550 -1450 200 L 50 50 1 1 W
+X DCDC2 10 550 -350 200 L 50 50 1 1 w
+X EXTEN 20 550 -1050 200 L 50 50 1 1 O
+X BACKUP 30 -650 450 200 R 50 50 1 1 B
+X LDO3IN 40 550 350 200 L 50 50 1 1 I
+X LDO4 11 550 50 200 L 50 50 1 1 w
+X APS 21 550 150 200 L 50 50 1 1 I
+X VBUS 31 550 -1150 200 L 50 50 1 1 W
+X LDO3 41 550 -50 200 L 50 50 1 1 w
+X LDO2 12 550 -150 200 L 50 50 1 1 w
+X AGND 22 -650 0 200 R 50 50 1 1 W
+X ACIN 32 -650 -800 200 R 50 50 1 1 W
+X BATSENSE 42 -650 -350 200 R 50 50 1 1 I
+X LDO24IN 13 550 250 200 L 50 50 1 1 I
+X BIAS 23 -650 100 200 R 50 50 1 1 B
+X ACIN 33 -650 -700 200 R 50 50 1 1 W
+X CHSENSE 43 -650 -250 200 R 50 50 1 1 O
+X VIN3 14 550 650 200 L 50 50 1 1 I
+X VREF 24 -650 200 200 R 50 50 1 1 O
+X IPSOUT 34 550 750 200 L 50 50 1 1 I
+X VIN1 44 550 450 200 L 50 50 1 1 I
+X LX3 15 550 -650 200 L 50 50 1 1 I
+X PWROK 25 550 1100 200 L 50 50 1 1 O
+X IPSOUT 35 550 850 200 L 50 50 1 1 w
+X LX1 45 -650 -150 200 R 50 50 1 1 B
+X PGND3 16 550 -1350 200 L 50 50 1 1 W
+X VINT 26 -650 -1150 200 R 50 50 1 1 w
+X CHGLED 36 550 1000 200 L 50 50 1 1 O
+X PGND1 46 -650 -900 200 R 50 50 1 1 W
+X DCDC3 17 550 -550 200 L 50 50 1 1 w
+X LDO1SET 27 550 -1250 200 L 50 50 1 1 I
+X TS 37 -650 -1250 200 R 50 50 1 1 I
+X PWRON 47 -650 -1000 200 R 50 50 1 1 I
+X GPIO1 18 -650 750 200 R 50 50 1 1 O
+X LDO1 28 550 -250 200 L 50 50 1 1 w
+X BAT 38 -650 -500 200 R 50 50 1 1 W
+X IRQ 48 -650 1150 200 R 50 50 1 1 B
+X GPIO0 19 -650 850 200 R 50 50 1 1 B
+X DC3SET 29 -650 350 200 R 50 50 1 1 B
+X BAT 39 -650 -600 200 R 50 50 1 1 W
+X DP_[BODY] 49 -650 -1350 200 R 50 50 1 1 W
+ENDDRAW
+ENDDEF
+#
+# CONN
+#
+DEF CONN uSD 0 40 Y Y 1 F N
+F0 "uSD" -300 550 60 H V C CNN
+F1 "CONN" -300 -550 60 H V C CNN
+DRAW
+S -450 500 -200 -500 0 1 0 N
+X DAT2 1 -750 450 300 R 50 50 1 1 I
+X DAT3 2 -750 350 300 R 50 50 1 1 I
+X CMD 3 -750 250 300 R 50 50 1 1 I
+X VDD 4 -750 -150 300 R 50 50 1 1 I
+X CLK 5 -750 150 300 R 50 50 1 1 I
+X VSS 6 -750 -250 300 R 50 50 1 1 I
+X DAT0 7 -750 50 300 R 50 50 1 1 I
+X DAT1 8 -750 -50 300 R 50 50 1 1 I
+X CD1 ~ -750 -350 300 R 50 50 1 1 I
+X CD2 ~ -750 -450 300 R 50 50 1 1 I
+ENDDRAW
+ENDDEF
+#
+# CRYSTAL
+#
+DEF CRYSTAL X 0 40 N N 1 F N
+F0 "X" 0 150 60 H V C CNN
+F1 "CRYSTAL" 0 -150 60 H V C CNN
+DRAW
+P 2 0 1 16  -100 100  -100 -100 N
+P 2 0 1 16  100 100  100 -100 N
+P 5 0 1 12  -50 50  50 50  50 -50  -50 -50  -50 50 f
+X 1 1 -300 -50 200 R 40 40 1 1 P
+X 2 2 300 -50 200 L 40 40 1 1 P
+X 3 3 -300 50 200 R 50 50 1 1 I
+X 4 4 300 50 200 L 50 50 1 1 I
+ENDDRAW
+ENDDEF
+#
+# CRYSTAL-SMD
+#
+DEF CRYSTAL-SMD X 0 40 N N 1 F N
+F0 "X" 0 150 60 H V C CNN
+F1 "CRYSTAL-SMD" 0 -150 60 H V C CNN
+DRAW
+P 2 0 1 16  -100 100  -100 -100 N
+P 2 0 1 16  100 100  100 -100 N
+P 5 0 1 12  -50 50  50 50  50 -50  -50 -50  -50 50 f
+X 1 1 -300 -50 200 R 40 40 1 1 P
+X GND 2 300 -50 200 L 40 40 1 1 P
+X 3 3 300 50 200 L 50 50 1 1 I
+X GND 4 -300 50 200 R 50 50 1 1 I
+ENDDRAW
+ENDDEF
+#
+# DUSB
+#
+DEF DUSB J 0 40 Y Y 1 F N
+F0 "J" -200 550 50 H V C CNN
+F1 "DUSB" -350 550 50 H V C CNN
+F2 "~" 0 0 50 H I C CNN
+F3 "~" 0 0 50 H I C CNN
+DRAW
+S -450 -700 -150 500 1 0 0 N
+X PWR 1 -750 -650 300 R 50 50 1 1 I
+X PWR 2 -750 -550 300 R 50 50 1 1 I
+X D- 3 -750 -450 300 R 50 50 1 1 I
+X D- 4 -750 -250 300 R 50 50 1 1 I
+X D+ 5 -750 -350 300 R 50 50 1 1 I
+X D+ 6 -750 -150 300 R 50 50 1 1 I
+X GND 7 -750 -50 300 R 50 50 1 1 I
+X GND 8 -750 50 300 R 50 50 1 1 I
+X ~ sh1 -750 150 300 R 50 50 1 1 I
+X ~ sh2 -750 250 300 R 50 50 1 1 I
+X ~ sh3 -750 350 300 R 50 50 1 1 I
+X ~ sh4 -750 450 300 R 50 50 1 1 I
+ENDDRAW
+ENDDEF
+#
+# HEADER_10x2
+#
+DEF HEADER_10x2 J 0 0 Y Y 1 F N
+F0 "J" 0 550 60 H V C CNN
+F1 "HEADER_10x2" 50 -550 60 H V C CNN
+DRAW
+S 50 500 -50 -500 0 1 0 N
+X ~ 1 -150 450 100 R 35 50 1 1 P
+X ~ 2 150 450 100 L 35 50 1 1 P
+X ~ 3 -150 350 100 R 35 50 1 1 P
+X ~ 4 150 350 100 L 35 50 1 1 P
+X ~ 5 -150 250 100 R 35 50 1 1 P
+X ~ 6 150 250 100 L 35 50 1 1 P
+X ~ 7 -150 150 100 R 35 50 1 1 P
+X ~ 8 150 150 100 L 35 50 1 1 P
+X ~ 9 -150 50 100 R 35 50 1 1 P
+X ~ 10 150 50 100 L 35 50 1 1 P
+X ~ 20 150 -450 100 L 35 50 1 1 P
+X ~ 11 -150 -50 100 R 35 50 1 1 P
+X ~ 12 150 -50 100 L 35 50 1 1 P
+X ~ 13 -150 -150 100 R 35 50 1 1 P
+X ~ 14 150 -150 100 L 35 50 1 1 P
+X ~ 15 -150 -250 100 R 35 50 1 1 P
+X ~ 16 150 -250 100 L 35 50 1 1 P
+X ~ 17 -150 -350 100 R 35 50 1 1 P
+X ~ 18 150 -350 100 L 35 50 1 1 P
+X ~ 19 -150 -450 100 R 35 50 1 1 P
+ENDDRAW
+ENDDEF
+#
+# RJ45-HANRUN
+#
+DEF RJ45-HANRUN J 0 0 Y Y 1 F N
+F0 "J" 200 600 60 H V L CNN
+F1 "RJ45-HANRUN" -50 600 60 H V R CNN
+DRAW
+T 0 -575 -250 40 0 0 0 GREEN  Normal 0 C C
+T 0 -275 475 40 0 0 0 J1  Normal 0 C C
+T 0 -275 325 40 0 0 0 J2  Normal 0 C C
+T 0 -275 175 40 0 0 0 J3  Normal 0 C C
+T 0 -275 400 35 0 0 0 J4  Normal 0 C B
+T 0 -275 375 35 0 0 0 J5  Normal 0 C C
+T 0 -275 25 40 0 0 0 J6  Normal 0 C C
+T 0 -275 100 35 0 0 0 J7  Normal 0 C B
+T 0 -275 75 35 0 0 0 J8  Normal 0 C C
+T 0 -675 -25 40 0 0 0 RCV  Normal 0 C C
+T 0 -650 275 40 0 0 0 XMIT  Normal 0 C C
+T 0 -575 -450 40 0 0 0 YELLOW  Normal 0 C C
+P 3 0 0 0  -700 -475  -800 -475  -800 -475 N
+P 3 0 0 0  -700 -275  -800 -275  -800 -275 N
+P 3 0 0 0  -500 100  -500 0  -500 0 N
+P 3 0 0 0  -500 400  -500 100  -500 100 N
+P 3 0 0 10  -450 -25  -550 -25  -550 -25 N
+P 3 0 0 0  -350 100  -375 100  -375 100 N
+P 3 0 0 0  -350 400  -375 400  -375 400 N
+P 4 0 0 0  -925 -500  -750 -500  -750 -475  -750 -475 N
+P 4 0 0 0  -925 -400  -750 -400  -750 -425  -750 -425 N
+P 4 0 0 0  -925 -200  -750 -200  -750 -225  -750 -225 N
+P 4 0 0 0  -750 -275  -750 -300  -925 -300  -900 -300 N
+P 4 0 0 0  -500 -25  -500 -100  -925 -100  -875 -100 N
+P 4 0 0 10  -450 0  -525 0  -550 0  -550 0 N
+P 5 0 0 0  -800 -225  -700 -225  -750 -275  -800 -225  -800 -225 N
+P 5 0 0 0  -700 -425  -800 -425  -750 -475  -700 -425  -700 -425 N
+P 5 0 0 0  -325 125  -350 125  -350 75  -325 75  -325 75 N
+P 5 0 0 0  -325 425  -350 425  -350 375  -325 375  -325 375 N
+A -900 25 25 -899 899 0 1 0 N -900 0 -900 50
+A -900 75 25 -899 899 0 1 0 N -900 50 -900 100
+A -900 125 25 -899 899 0 1 0 N -900 100 -900 150
+A -900 175 25 -899 899 0 1 0 N -900 150 -900 200
+A -900 325 25 -899 899 0 1 0 N -900 300 -900 350
+A -900 375 25 -899 899 0 1 0 N -900 350 -900 400
+A -900 425 25 -899 899 0 1 0 N -900 400 -900 450
+A -900 475 25 -899 899 0 1 0 N -900 450 -900 500
+A -800 25 25 901 -901 0 1 0 N -800 50 -800 0
+A -800 75 25 901 -901 0 1 0 N -800 100 -800 50
+A -800 125 25 901 -901 0 1 0 N -800 150 -800 100
+A -800 175 25 901 -901 0 1 0 N -800 200 -800 150
+A -800 325 25 901 -901 0 1 0 N -800 350 -800 300
+A -800 375 25 901 -901 0 1 0 N -800 400 -800 350
+A -800 425 25 901 -901 0 1 0 N -800 450 -800 400
+A -800 475 25 901 -901 0 1 0 N -800 500 -800 450
+A -725 25 25 1 1799 0 1 0 N -700 25 -750 25
+A -725 175 25 -1799 -1 0 1 0 N -750 175 -700 175
+A -725 325 25 1 1799 0 1 0 N -700 325 -750 325
+A -725 475 25 -1799 -1 0 1 0 N -750 475 -700 475
+A -675 25 25 1 1799 0 1 0 N -650 25 -700 25
+A -675 175 25 -1799 -1 0 1 0 N -700 175 -650 175
+A -675 325 25 1 1799 0 1 0 N -650 325 -700 325
+A -675 475 25 -1799 -1 0 1 0 N -700 475 -650 475
+S -925 -550 400 550 0 1 0 N
+S -625 125 -525 75 0 1 0 N
+S -625 425 -525 375 0 1 0 N
+S -475 125 -375 75 0 1 0 N
+S -475 425 -375 375 0 1 0 N
+P 3 0 1 0  -900 0  -925 0  -925 0 N
+P 3 0 1 0  -900 100  -925 100  -925 100 N
+P 3 0 1 0  -900 200  -925 200  -925 200 N
+P 3 0 1 0  -900 300  -925 300  -925 300 N
+P 3 0 1 0  -900 400  -925 400  -925 400 N
+P 3 0 1 0  -650 25  -325 25  -325 25 N
+P 3 0 1 0  -650 175  -325 175  -325 175 N
+P 3 0 1 0  -650 325  -325 325  -325 325 N
+P 3 0 1 0  -650 475  -325 475  -325 475 N
+P 3 0 1 0  -525 100  -475 100  -475 100 N
+P 3 0 1 0  -525 400  -475 400  -475 400 N
+P 3 0 1 0  300 -175  350 -175  350 -175 N
+P 3 0 1 0  300 -125  350 -125  350 -125 N
+P 3 0 1 0  300 175  350 175  350 175 N
+P 3 0 1 0  350 -75  300 -75  300 -75 N
+P 3 0 1 0  350 -25  300 -25  300 -25 N
+P 3 0 1 0  350 25  300 25  300 25 N
+P 3 0 1 0  350 75  300 75  300 75 N
+P 3 0 1 0  350 125  300 125  300 125 N
+P 4 0 1 0  -900 500  -925 500  -925 500  -925 500 N
+P 4 0 1 0  -750 25  -750 0  -800 0  -800 0 N
+P 4 0 1 0  -750 325  -750 300  -800 300  -800 300 N
+P 4 0 1 0  -625 100  -800 100  -800 100  -800 100 N
+P 4 0 1 0  -625 400  -800 400  -800 400  -800 400 N
+P 6 0 1 0  -800 200  -750 200  -750 175  -750 175  -750 175  -750 175 N
+P 6 0 1 0  -800 500  -750 500  -750 475  -750 475  -750 475  -750 475 N
+P 14 0 1 0  350 225  350 -225  -50 -225  -50 -125  -100 -125  -100 -75  -150 -75  -150 75  -100 75  -100 125  -50 125  -50 225  350 225  350 225 N
+X TD+ 1 -1100 500 175 R 30 20 1 1 P
+X TD- 2 -1100 300 175 R 30 20 1 1 P
+X RD+ 3 -1100 200 175 R 30 20 1 1 P
+X COM 4 -1100 400 175 R 30 20 1 1 P
+X COM 5 -1100 100 175 R 30 20 1 1 P
+X RD- 6 -1100 0 175 R 30 20 1 1 P
+X NC 7 600 -500 200 L 30 20 1 1 P
+X GND 8 -1100 -100 175 R 30 20 1 1 P
+X LEDG_A 9 -1100 -200 175 R 30 20 1 1 P
+X LEDG_K 10 -1100 -300 175 R 30 20 1 1 P
+X LEDY_K 11 -1100 -500 175 R 30 20 1 1 P
+X LEDY_A 12 -1100 -400 175 R 30 20 1 1 P
+X SHIELD 13 600 -400 200 L 30 20 1 1 P
+ENDDRAW
+ENDDEF
+#
+# RTL8201CP
+#
+DEF RTL8201CP U 0 40 Y Y 1 F N
+F0 "U" 350 1400 50 H V C CNN
+F1 "RTL8201CP" -200 1400 50 H V C CNN
+F2 "MODULE" 0 0 50 H I C CNN
+F3 "~" 0 0 50 H I C CNN
+DRAW
+S -450 -1550 450 1350 1 0 0 N
+X COL 1 -750 -350 300 R 50 50 1 1 B
+X TXEN 2 -750 -50 300 R 50 50 1 1 B
+X TXD3 3 -750 850 300 R 50 50 1 1 B
+X TXD2 4 -750 750 300 R 50 50 1 1 B
+X TXD1 5 -750 650 300 R 50 50 1 1 B
+X TXD0 6 -750 550 300 R 50 50 1 1 B
+X TXC 7 -750 -150 300 R 50 50 1 1 B
+X PFWBIN 8 -750 -1000 300 R 50 50 1 1 I
+X LED0 9 750 -1000 300 L 50 50 1 1 I
+X LED1 10 750 -900 300 L 50 50 1 1 I
+X RXD1 20 -750 1050 300 R 50 50 1 1 B
+X TPRX- 30 750 -1450 300 L 50 50 1 1 I
+X RPTR 40 750 -400 300 L 50 50 1 1 I
+X DGND 11 750 150 300 L 50 50 1 1 I
+X RXD0 21 -750 950 300 R 50 50 1 1 B
+X TPRX+ 31 750 -1350 300 L 50 50 1 1 I
+X LDPS 41 750 500 300 L 50 50 1 1 I
+X LED2 12 750 -800 300 L 50 50 1 1 I
+X RXDV 22 -750 250 300 R 50 50 1 1 B
+X PFWBOUT 32 -750 -1150 300 R 50 50 1 1 I
+X RESETB 42 -750 -450 300 R 50 50 1 1 B I
+X LED3 13 750 -700 300 L 50 50 1 1 I
+X CRS 23 -750 -250 300 R 50 50 1 1 B
+X TPTX- 33 750 -1250 300 L 50 50 1 1 I
+X ISOLATE 43 750 -300 300 L 50 50 1 1 I
+X DVDD33 14 -750 -750 300 R 50 50 1 1 I
+X RXER 24 -750 350 300 R 50 50 1 1 B
+X TPTX+ 34 750 -1150 300 L 50 50 1 1 I
+X MII 44 750 400 300 L 50 50 1 1 I
+X LED4 15 750 -600 300 L 50 50 1 1 I
+X MDC 25 -750 150 300 R 50 50 1 1 B C
+X AGND 35 -750 -1450 300 R 50 50 1 1 I
+X DGND 45 750 -50 300 L 50 50 1 1 I
+X RXC 16 -750 450 300 R 50 50 1 1 B
+X MDIO 26 -750 50 300 R 50 50 1 1 B
+X AVDD33 36 -750 -650 300 R 50 50 1 1 I
+X X1 46 750 1250 300 L 50 50 1 1 I
+X DGND 17 750 50 300 L 50 50 1 1 I
+X NC 27 750 1050 300 L 50 50 1 1 I
+X ANE 37 750 600 300 L 50 50 1 1 I
+X X2 47 750 1150 300 L 50 50 1 1 I
+X RXD3 18 -750 1250 300 R 50 50 1 1 B
+X RTEST 28 750 -200 300 L 50 50 1 1 I
+X DUPLEX 38 750 700 300 L 50 50 1 1 I
+X DVDD33 48 -750 -850 300 R 50 50 1 1 I
+X RXD2 19 -750 1150 300 R 50 50 1 1 B
+X AGND 29 -750 -1350 300 R 50 50 1 1 I
+X SPEED 39 750 800 300 L 50 50 1 1 I
+ENDDRAW
+ENDDEF
+#
+# SY8008C
+#
+DEF SY8008C U 0 40 Y Y 1 F N
+F0 "U" -50 350 50 H V C CNN
+F1 "SY8008C" -300 350 50 H V C CNN
+F2 "MODULE" -200 -50 50 H I C CNN
+F3 "~" 0 0 50 H I C CNN
+DRAW
+S -450 0 0 300 1 0 0 N
+X EN 1 -750 150 300 R 50 50 1 1 I
+X GND 2 -750 50 300 R 50 50 1 1 I
+X LX 3 300 200 300 L 50 50 1 1 w
+X IN 4 -750 250 300 R 50 50 1 1 I
+X FB 5 300 100 300 L 50 50 1 1 I
+ENDDRAW
+ENDDEF
+#
+# XTL-SMD
+#
+DEF XTL-SMD X 0 40 Y Y 1 F N
+F0 "X" 50 100 60 H V C CNN
+F1 "XTL-SMD" 50 -50 30 H V C CNN
+DRAW
+S -100 50 150 -150 0 1 0 N
+X 1 1 -200 -100 100 R 40 40 1 1 B
+X GND 2 250 -100 100 L 40 30 1 1 B
+X 3 3 250 0 100 L 40 40 1 1 B
+X GND 4 -200 0 100 R 40 30 1 1 B
+ENDDRAW
+ENDDEF
+#
+#End Library
diff --git a/SBC/netholi/decouple.sch b/SBC/netholi/decouple.sch
new file mode 100644
index 0000000..ffcb9d8
--- /dev/null
+++ b/SBC/netholi/decouple.sch
@@ -0,0 +1,896 @@
+EESchema Schematic File Version 2  date Thu 06 Jun 2013 04:32:23 PM IST
+LIBS:power
+LIBS:device
+LIBS:transistors
+LIBS:conn
+LIBS:linear
+LIBS:regul
+LIBS:cmos4000
+LIBS:adc-dac
+LIBS:memory
+LIBS:xilinx
+LIBS:special
+LIBS:microcontrollers
+LIBS:dsp
+LIBS:microchip
+LIBS:analog_switches
+LIBS:motorola
+LIBS:texas
+LIBS:intel
+LIBS:audio
+LIBS:interface
+LIBS:digital-audio
+LIBS:philips
+LIBS:display
+LIBS:cypress
+LIBS:siliconi
+LIBS:opto
+LIBS:atmel
+LIBS:contrib
+LIBS:valves
+LIBS:A10-plus
+LIBS:myconns
+LIBS:a10-sbc-cache
+EELAYER 27 0
+EELAYER END
+$Descr A4 11693 8268
+encoding utf-8
+Sheet 2 3
+Title ""
+Date "4 jun 2013"
+Rev ""
+Comp ""
+Comment1 ""
+Comment2 ""
+Comment3 ""
+Comment4 ""
+$EndDescr
+Text GLabel 1000 3650 0    60   Input ~ 0
+3.3V
+Text GLabel 950  1450 0    60   Input ~ 0
+1.25V
+Text GLabel 1000 2250 0    60   Input ~ 0
+DRAM_VCC
+Text GLabel 900  750  0    60   Input ~ 0
+1.2V
+$Comp
+L C C49
+U 1 1 515197E6
+P 1000 950
+F 0 "C49" H 1050 1050 50  0000 L CNN
+F 1 ".22u" H 1050 850 50  0000 L CNN
+	1    1000 950 
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C82
+U 1 1 51523C00
+P 1250 2450
+F 0 "C82" H 1300 2550 50  0000 L CNN
+F 1 ".22u" H 1300 2350 50  0000 L CNN
+	1    1250 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C83
+U 1 1 51518E22
+P 1600 2450
+F 0 "C83" H 1650 2550 50  0000 L CNN
+F 1 ".22u" H 1650 2350 50  0000 L CNN
+	1    1600 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C84
+U 1 1 51518E28
+P 1950 2450
+F 0 "C84" H 2000 2550 50  0000 L CNN
+F 1 ".22u" H 2000 2350 50  0000 L CNN
+	1    1950 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C85
+U 1 1 51518E2E
+P 2300 2450
+F 0 "C85" H 2350 2550 50  0000 L CNN
+F 1 ".22u" H 2350 2350 50  0000 L CNN
+	1    2300 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C86
+U 1 1 51518E34
+P 2650 2450
+F 0 "C86" H 2700 2550 50  0000 L CNN
+F 1 ".22u" H 2700 2350 50  0000 L CNN
+	1    2650 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C87
+U 1 1 51518E3A
+P 3000 2450
+F 0 "C87" H 3050 2550 50  0000 L CNN
+F 1 ".22u" H 3050 2350 50  0000 L CNN
+	1    3000 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C88
+U 1 1 51518E40
+P 3350 2450
+F 0 "C88" H 3400 2550 50  0000 L CNN
+F 1 ".22u" H 3400 2350 50  0000 L CNN
+	1    3350 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C89
+U 1 1 51518E46
+P 3700 2450
+F 0 "C89" H 3750 2550 50  0000 L CNN
+F 1 ".22u" H 3750 2350 50  0000 L CNN
+	1    3700 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C90
+U 1 1 51518E4C
+P 4050 2450
+F 0 "C90" H 4100 2550 50  0000 L CNN
+F 1 ".22u" H 4100 2350 50  0000 L CNN
+	1    4050 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C91
+U 1 1 51518E52
+P 4400 2450
+F 0 "C91" H 4450 2550 50  0000 L CNN
+F 1 ".22u" H 4450 2350 50  0000 L CNN
+	1    4400 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C92
+U 1 1 51518E58
+P 4750 2450
+F 0 "C92" H 4800 2550 50  0000 L CNN
+F 1 ".22u" H 4800 2350 50  0000 L CNN
+	1    4750 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C93
+U 1 1 51518E5E
+P 5100 2450
+F 0 "C93" H 5150 2550 50  0000 L CNN
+F 1 ".22u" H 5150 2350 50  0000 L CNN
+	1    5100 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C94
+U 1 1 51518E64
+P 5450 2450
+F 0 "C94" H 5500 2550 50  0000 L CNN
+F 1 ".22u" H 5500 2350 50  0000 L CNN
+	1    5450 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C95
+U 1 1 51518E6A
+P 5800 2450
+F 0 "C95" H 5850 2550 50  0000 L CNN
+F 1 ".22u" H 5850 2350 50  0000 L CNN
+	1    5800 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C96
+U 1 1 51518E70
+P 6150 2450
+F 0 "C96" H 6200 2550 50  0000 L CNN
+F 1 ".22u" H 6200 2350 50  0000 L CNN
+	1    6150 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C97
+U 1 1 51518E76
+P 6500 2450
+F 0 "C97" H 6550 2550 50  0000 L CNN
+F 1 ".22u" H 6550 2350 50  0000 L CNN
+	1    6500 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C98
+U 1 1 51518E7C
+P 6850 2450
+F 0 "C98" H 6900 2550 50  0000 L CNN
+F 1 ".22u" H 6900 2350 50  0000 L CNN
+	1    6850 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C99
+U 1 1 51518E82
+P 7200 2450
+F 0 "C99" H 7250 2550 50  0000 L CNN
+F 1 ".22u" H 7250 2350 50  0000 L CNN
+	1    7200 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C100
+U 1 1 51518E88
+P 7550 2450
+F 0 "C100" H 7600 2550 50  0000 L CNN
+F 1 ".22u" H 7600 2350 50  0000 L CNN
+	1    7550 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C101
+U 1 1 51518E8E
+P 7900 2450
+F 0 "C101" H 7950 2550 50  0000 L CNN
+F 1 ".22u" H 7950 2350 50  0000 L CNN
+	1    7900 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C102
+U 1 1 51518E94
+P 8200 2450
+F 0 "C102" H 8250 2550 50  0000 L CNN
+F 1 ".22u" H 8250 2350 50  0000 L CNN
+	1    8200 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C103
+U 1 1 51518E9A
+P 8550 2450
+F 0 "C103" H 8600 2550 50  0000 L CNN
+F 1 ".22u" H 8600 2350 50  0000 L CNN
+	1    8550 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C104
+U 1 1 51518EA0
+P 8900 2450
+F 0 "C104" H 8950 2550 50  0000 L CNN
+F 1 ".22u" H 8950 2350 50  0000 L CNN
+	1    8900 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C105
+U 1 1 51518EA6
+P 9250 2450
+F 0 "C105" H 9300 2550 50  0000 L CNN
+F 1 ".22u" H 9300 2350 50  0000 L CNN
+	1    9250 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C106
+U 1 1 51518EAC
+P 9600 2450
+F 0 "C106" H 9650 2550 50  0000 L CNN
+F 1 ".22u" H 9650 2350 50  0000 L CNN
+	1    9600 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C107
+U 1 1 51518EB2
+P 9950 2450
+F 0 "C107" H 10000 2550 50  0000 L CNN
+F 1 ".22u" H 10000 2350 50  0000 L CNN
+	1    9950 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C50
+U 1 1 5151947E
+P 1350 950
+F 0 "C50" H 1400 1050 50  0000 L CNN
+F 1 ".22u" H 1400 850 50  0000 L CNN
+	1    1350 950 
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C53
+U 1 1 51519484
+P 2400 950
+F 0 "C53" H 2450 1050 50  0000 L CNN
+F 1 ".22u" H 2450 850 50  0000 L CNN
+	1    2400 950 
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C52
+U 1 1 5151948A
+P 2050 950
+F 0 "C52" H 2100 1050 50  0000 L CNN
+F 1 ".22u" H 2100 850 50  0000 L CNN
+	1    2050 950 
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C51
+U 1 1 51519490
+P 1700 950
+F 0 "C51" H 1750 1050 50  0000 L CNN
+F 1 ".22u" H 1750 850 50  0000 L CNN
+	1    1700 950 
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C54
+U 1 1 51519496
+P 2750 950
+F 0 "C54" H 2800 1050 50  0000 L CNN
+F 1 ".22u" H 2800 850 50  0000 L CNN
+	1    2750 950 
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C55
+U 1 1 5151949C
+P 3100 950
+F 0 "C55" H 3150 1050 50  0000 L CNN
+F 1 ".22u" H 3150 850 50  0000 L CNN
+	1    3100 950 
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C56
+U 1 1 515194A2
+P 3450 950
+F 0 "C56" H 3500 1050 50  0000 L CNN
+F 1 ".22u" H 3500 850 50  0000 L CNN
+	1    3450 950 
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C57
+U 1 1 515194A8
+P 1100 1650
+F 0 "C57" H 1150 1750 50  0000 L CNN
+F 1 ".22u" H 1150 1550 50  0000 L CNN
+	1    1100 1650
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C58
+U 1 1 515194AE
+P 1450 1650
+F 0 "C58" H 1500 1750 50  0000 L CNN
+F 1 ".22u" H 1500 1550 50  0000 L CNN
+	1    1450 1650
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C59
+U 1 1 515194B4
+P 1800 1650
+F 0 "C59" H 1850 1750 50  0000 L CNN
+F 1 ".22u" H 1850 1550 50  0000 L CNN
+	1    1800 1650
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C60
+U 1 1 515194BA
+P 1100 3850
+F 0 "C60" H 1150 3950 50  0000 L CNN
+F 1 ".22u" H 1150 3750 50  0000 L CNN
+	1    1100 3850
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C61
+U 1 1 515194C0
+P 1450 3850
+F 0 "C61" H 1500 3950 50  0000 L CNN
+F 1 ".22u" H 1500 3750 50  0000 L CNN
+	1    1450 3850
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C62
+U 1 1 515194C6
+P 1800 3850
+F 0 "C62" H 1850 3950 50  0000 L CNN
+F 1 ".22u" H 1850 3750 50  0000 L CNN
+	1    1800 3850
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C63
+U 1 1 515194D6
+P 2150 3850
+F 0 "C63" H 2200 3950 50  0000 L CNN
+F 1 ".22u" H 2200 3750 50  0000 L CNN
+	1    2150 3850
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C64
+U 1 1 515194DC
+P 2500 3850
+F 0 "C64" H 2550 3950 50  0000 L CNN
+F 1 ".22u" H 2550 3750 50  0000 L CNN
+	1    2500 3850
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C65
+U 1 1 515194E2
+P 2850 3850
+F 0 "C65" H 2900 3950 50  0000 L CNN
+F 1 ".22u" H 2900 3750 50  0000 L CNN
+	1    2850 3850
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C66
+U 1 1 515194E8
+P 3200 3850
+F 0 "C66" H 3250 3950 50  0000 L CNN
+F 1 ".22u" H 3250 3750 50  0000 L CNN
+	1    3200 3850
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C67
+U 1 1 515194EE
+P 3550 3850
+F 0 "C67" H 3600 3950 50  0000 L CNN
+F 1 ".22u" H 3600 3750 50  0000 L CNN
+	1    3550 3850
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C68
+U 1 1 515194F4
+P 3900 3850
+F 0 "C68" H 3950 3950 50  0000 L CNN
+F 1 ".22u" H 3950 3750 50  0000 L CNN
+	1    3900 3850
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C69
+U 1 1 51519600
+P 4250 3850
+F 0 "C69" H 4300 3950 50  0000 L CNN
+F 1 ".22u" H 4300 3750 50  0000 L CNN
+	1    4250 3850
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C70
+U 1 1 51519606
+P 4600 3850
+F 0 "C70" H 4650 3950 50  0000 L CNN
+F 1 ".22u" H 4650 3750 50  0000 L CNN
+	1    4600 3850
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C72
+U 1 1 5151960C
+P 5300 3850
+F 0 "C72" H 5350 3950 50  0000 L CNN
+F 1 ".22u" H 5350 3750 50  0000 L CNN
+	1    5300 3850
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C71
+U 1 1 51519612
+P 4950 3850
+F 0 "C71" H 5000 3950 50  0000 L CNN
+F 1 ".22u" H 5000 3750 50  0000 L CNN
+	1    4950 3850
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C73
+U 1 1 51519618
+P 7800 1800
+F 0 "C73" H 7850 1900 50  0000 L CNN
+F 1 ".22u" H 7850 1700 50  0000 L CNN
+	1    7800 1800
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C74
+U 1 1 5151961E
+P 8150 1800
+F 0 "C74" H 8200 1900 50  0000 L CNN
+F 1 ".22u" H 8200 1700 50  0000 L CNN
+	1    8150 1800
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C75
+U 1 1 51519624
+P 8500 1800
+F 0 "C75" H 8550 1900 50  0000 L CNN
+F 1 ".22u" H 8550 1700 50  0000 L CNN
+	1    8500 1800
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C76
+U 1 1 5151962A
+P 8850 1800
+F 0 "C76" H 8900 1900 50  0000 L CNN
+F 1 ".22u" H 8900 1700 50  0000 L CNN
+	1    8850 1800
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C109
+U 1 1 515198E6
+P 1300 3050
+F 0 "C109" H 1350 3150 50  0000 L CNN
+F 1 ".22u" H 1350 2950 50  0000 L CNN
+	1    1300 3050
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C110
+U 1 1 51519944
+P 1650 3050
+F 0 "C110" H 1700 3150 50  0000 L CNN
+F 1 ".22u" H 1700 2950 50  0000 L CNN
+	1    1650 3050
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C111
+U 1 1 5151994A
+P 2000 3050
+F 0 "C111" H 2050 3150 50  0000 L CNN
+F 1 ".22u" H 2050 2950 50  0000 L CNN
+	1    2000 3050
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C112
+U 1 1 51519950
+P 2350 3050
+F 0 "C112" H 2400 3150 50  0000 L CNN
+F 1 ".22u" H 2400 2950 50  0000 L CNN
+	1    2350 3050
+	1    0    0    -1  
+$EndComp
+$Comp
+L GND #PWR062
+U 1 1 51513645
+P 900 1150
+F 0 "#PWR062" H 900 1150 30  0001 C CNN
+F 1 "GND" H 900 1080 30  0001 C CNN
+	1    900  1150
+	0    1    1    0   
+$EndComp
+$Comp
+L GND #PWR063
+U 1 1 515136F7
+P 1000 1850
+F 0 "#PWR063" H 1000 1850 30  0001 C CNN
+F 1 "GND" H 1000 1780 30  0001 C CNN
+	1    1000 1850
+	0    1    1    0   
+$EndComp
+$Comp
+L GND #PWR064
+U 1 1 515136FD
+P 1000 4050
+F 0 "#PWR064" H 1000 4050 30  0001 C CNN
+F 1 "GND" H 1000 3980 30  0001 C CNN
+	1    1000 4050
+	0    1    1    0   
+$EndComp
+$Comp
+L GND #PWR065
+U 1 1 51513850
+P 1150 2650
+F 0 "#PWR065" H 1150 2650 30  0001 C CNN
+F 1 "GND" H 1150 2580 30  0001 C CNN
+	1    1150 2650
+	0    1    1    0   
+$EndComp
+$Comp
+L C C108
+U 1 1 51513AB2
+P 10300 2450
+F 0 "C108" H 10200 2350 50  0000 L CNN
+F 1 "22u" H 10100 2550 50  0000 L CNN
+	1    10300 2450
+	-1   0    0    1   
+$EndComp
+$Comp
+L R R33
+U 1 1 515147D0
+P 10750 1850
+F 0 "R33" V 10830 1850 50  0000 C CNN
+F 1 "2k2" V 10750 1850 50  0000 C CNN
+	1    10750 1850
+	0    -1   -1   0   
+$EndComp
+$Comp
+L R R32
+U 1 1 515147DD
+P 10750 1600
+F 0 "R32" V 10830 1600 50  0000 C CNN
+F 1 "2k2" V 10750 1600 50  0000 C CNN
+	1    10750 1600
+	0    -1   -1   0   
+$EndComp
+$Comp
+L GND #PWR066
+U 1 1 51514A33
+P 11050 1600
+F 0 "#PWR066" H 11050 1600 30  0001 C CNN
+F 1 "GND" H 11050 1530 30  0001 C CNN
+	1    11050 1600
+	0    -1   -1   0   
+$EndComp
+$Comp
+L C C80
+U 1 1 51514B03
+P 10250 1800
+F 0 "C80" H 10300 1900 50  0000 L CNN
+F 1 ".22u" H 10300 1700 50  0000 L CNN
+	1    10250 1800
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C79
+U 1 1 51514BCD
+P 9900 1800
+F 0 "C79" H 9950 1900 50  0000 L CNN
+F 1 ".22u" H 9950 1700 50  0000 L CNN
+	1    9900 1800
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C78
+U 1 1 51514BD3
+P 9600 1800
+F 0 "C78" H 9650 1900 50  0000 L CNN
+F 1 ".22u" H 9650 1700 50  0000 L CNN
+	1    9600 1800
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C77
+U 1 1 51514BD9
+P 9250 1800
+F 0 "C77" H 9300 1900 50  0000 L CNN
+F 1 ".22u" H 9300 1700 50  0000 L CNN
+	1    9250 1800
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C81
+U 1 1 51514BDF
+P 10750 2100
+F 0 "C81" V 10850 2200 50  0000 L CNN
+F 1 ".22u" V 10850 1850 50  0000 L CNN
+	1    10750 2100
+	0    -1   -1   0   
+$EndComp
+$Comp
+L GND #PWR067
+U 1 1 515150B9
+P 10300 2000
+F 0 "#PWR067" H 10300 2000 30  0001 C CNN
+F 1 "GND" H 10300 1930 30  0001 C CNN
+	1    10300 2000
+	0    -1   -1   0   
+$EndComp
+Text GLabel 7650 1600 0    60   Input ~ 0
+DRAM_REF
+Text Notes 800  4600 0    100  ~ 0
+After ERC check passed, all Power supply labels are disconnected to do routing\nfirst without decoupling capacitors.
+$Comp
+L GND #PWR068
+U 1 1 518773CA
+P 1200 3250
+F 0 "#PWR068" H 1200 3250 30  0001 C CNN
+F 1 "GND" H 1200 3180 30  0001 C CNN
+	1    1200 3250
+	0    1    1    0   
+$EndComp
+Connection ~ 3450 750 
+Connection ~ 2400 750 
+Connection ~ 9250 1600
+Connection ~ 10250 2000
+Connection ~ 9600 2000
+Connection ~ 9900 2000
+Connection ~ 10250 1600
+Connection ~ 9900 1600
+Connection ~ 9600 1600
+Wire Wire Line
+	7800 2000 10300 2000
+Connection ~ 11050 2100
+Wire Wire Line
+	10950 2100 11050 2100
+Connection ~ 10500 1850
+Wire Wire Line
+	10500 2100 10550 2100
+Wire Wire Line
+	7650 1600 10500 1600
+Wire Wire Line
+	10500 1600 10500 2100
+Wire Wire Line
+	11050 2250 11050 1850
+Wire Wire Line
+	11050 1850 11000 1850
+Wire Wire Line
+	11050 1600 11000 1600
+Connection ~ 10300 2650
+Connection ~ 9950 2650
+Connection ~ 10300 2250
+Connection ~ 9950 2250
+Connection ~ 1250 2650
+Connection ~ 1100 1850
+Connection ~ 1100 4050
+Connection ~ 1000 1150
+Connection ~ 1450 4050
+Connection ~ 1800 4050
+Connection ~ 2150 4050
+Connection ~ 2500 4050
+Connection ~ 2850 4050
+Connection ~ 3200 4050
+Connection ~ 3550 4050
+Connection ~ 3900 4050
+Connection ~ 4250 4050
+Connection ~ 4600 4050
+Connection ~ 4950 4050
+Connection ~ 4950 3650
+Connection ~ 4600 3650
+Connection ~ 4250 3650
+Connection ~ 3900 3650
+Connection ~ 3200 3650
+Connection ~ 2850 3650
+Connection ~ 2500 3650
+Connection ~ 2150 3650
+Connection ~ 1800 3650
+Connection ~ 1450 3650
+Connection ~ 1100 3650
+Connection ~ 7900 2650
+Connection ~ 8200 2650
+Connection ~ 7550 2650
+Connection ~ 8550 2650
+Connection ~ 8900 2650
+Connection ~ 9250 2650
+Connection ~ 9600 2650
+Connection ~ 9600 2250
+Connection ~ 9250 2250
+Connection ~ 8900 2250
+Connection ~ 8550 2250
+Connection ~ 8200 2250
+Connection ~ 7900 2250
+Connection ~ 7550 2250
+Connection ~ 1450 1450
+Connection ~ 6150 2250
+Connection ~ 5450 2650
+Connection ~ 5800 2650
+Connection ~ 5450 2250
+Connection ~ 6150 2650
+Connection ~ 6500 2650
+Connection ~ 6850 2650
+Connection ~ 7200 2650
+Connection ~ 7200 2250
+Connection ~ 6850 2250
+Connection ~ 6500 2250
+Connection ~ 5800 2250
+Connection ~ 1600 2650
+Connection ~ 1950 2650
+Connection ~ 2300 2650
+Connection ~ 2650 2650
+Connection ~ 3000 2650
+Connection ~ 3350 2650
+Connection ~ 3700 2650
+Connection ~ 4050 2650
+Connection ~ 4400 2650
+Connection ~ 4750 2650
+Connection ~ 5100 2650
+Connection ~ 5100 2250
+Connection ~ 4750 2250
+Connection ~ 4400 2250
+Connection ~ 4050 2250
+Connection ~ 3350 2250
+Connection ~ 3000 2250
+Connection ~ 2650 2250
+Connection ~ 2300 2250
+Connection ~ 1950 2250
+Connection ~ 1600 2250
+Connection ~ 1250 2250
+Wire Wire Line
+	1000 2250 11050 2250
+Connection ~ 1450 1850
+Connection ~ 1800 1850
+Connection ~ 1800 1450
+Connection ~ 1100 1450
+Connection ~ 1350 1150
+Connection ~ 1700 1150
+Connection ~ 2050 1150
+Connection ~ 2400 1150
+Connection ~ 2750 1150
+Connection ~ 3100 1150
+Connection ~ 3450 1150
+Connection ~ 3100 750 
+Connection ~ 2750 750 
+Connection ~ 2050 750 
+Connection ~ 1700 750 
+Connection ~ 1350 750 
+Connection ~ 1000 750 
+Wire Wire Line
+	900  750  4150 750 
+Connection ~ 3700 2250
+Wire Wire Line
+	1150 2650 10300 2650
+Connection ~ 3550 3650
+Connection ~ 2000 2850
+Connection ~ 1650 2850
+Wire Wire Line
+	900  2850 900  2400
+Wire Wire Line
+	900  2400 1100 2400
+Wire Wire Line
+	1100 2400 1100 2250
+Connection ~ 1100 2250
+Connection ~ 1300 2850
+Connection ~ 1650 3250
+Connection ~ 2000 3250
+Connection ~ 1300 3250
+Connection ~ 2350 2850
+Connection ~ 2350 3250
+Connection ~ 8850 1600
+Connection ~ 8500 1600
+Connection ~ 9250 2000
+Connection ~ 8850 2000
+Connection ~ 8150 1600
+Connection ~ 8500 2000
+Connection ~ 8150 2000
+Connection ~ 7800 1600
+Wire Wire Line
+	900  1150 4150 1150
+Wire Wire Line
+	950  1450 1800 1450
+Wire Wire Line
+	1000 1850 1800 1850
+Wire Wire Line
+	1000 3650 5700 3650
+Wire Wire Line
+	1000 4050 5700 4050
+Wire Wire Line
+	900  2850 2350 2850
+Wire Wire Line
+	1200 3250 2350 3250
+$Comp
+L C C23
+U 1 1 51A88020
+P 4150 950
+F 0 "C23" H 4200 1050 50  0000 L CNN
+F 1 ".22u" H 4200 850 50  0000 L CNN
+	1    4150 950 
+	1    0    0    -1  
+$EndComp
+Connection ~ 5300 3650
+Connection ~ 5300 4050
+$EndSCHEMATC
diff --git a/SBC/netholi/esbc-modules.mod b/SBC/netholi/esbc-modules.mod
new file mode 100644
index 0000000..e618728
--- /dev/null
+++ b/SBC/netholi/esbc-modules.mod
@@ -0,0 +1,8308 @@
+PCBNEW-LibModule-V1  Tue 04 Jun 2013 09:58:31 AM IST
+# encoding utf-8
+Units mm
+$INDEX
+C2V8
+Crystal-TXC-SMD3.2x2.5
+Crystal_SMD_0603_4Pads_RevA_09Aug2010
+Crystal_SMD_7x5mm
+DB_15F-VGA
+FBGA96-new
+HDMI
+LED-3MM
+PIN_ARRAY_1
+PIN_ARRAY_2X1
+PIN_ARRAY_5x2
+QFN48+1
+RCLAMP
+RJ45-HANRUN
+RJ45_TRANSFO
+SIL-2
+SM0402
+SM0603
+SM0805
+SM1210L
+SO14E
+SODIMM200-JAE
+SOT23-5
+TFBGA441-new
+TPT
+USB_DA
+USB_Double-from-FCI
+conn_usb_A-vert
+conn_usb_B_micro_smd
+crystal-32k-4.5x1.9mm
+lqfp48
+microsd_socket
+pin2mm-30
+pin_array_4x2
+$EndINDEX
+$MODULE C2V8
+Po 86.4489 31.0134 0 15 46544AA3 00000000 ~~
+Li C2V8
+Cd Condensateur polarise
+Kw CP
+Sc 0
+AR /515489AE
+Op 0 0 0
+T0 0 2.54 1.016 1.016 0 0.2032 N V 21 N "C33"
+T1 0 -2.54 1.016 1.016 0 0.2032 N V 21 N "220u"
+DC 0 0 -4.445 0 0.3048 21
+$PAD
+Sh "1" R 1.778 1.778 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 165 "5V_IN"
+Po -2.54 0
+$EndPAD
+$PAD
+Sh "2" C 1.778 1.778 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 187 "GND"
+Po 2.54 0
+$EndPAD
+$SHAPE3D
+Na "discret/c_vert_c2v10.wrl"
+Sc 1 1 1
+Of 0 0 0
+Ro 0 0 0
+$EndSHAPE3D
+$EndMODULE C2V8
+$MODULE Crystal-TXC-SMD3.2x2.5
+Po 0 0 0 15 51A6B254 00000000 ~~
+Li Crystal-TXC-SMD3.2x2.5
+Cd Crystal, Quarz, SMD, 0603, 4 Pads,
+Kw Crystal, Quarz, SMD, 0603, 4 Pads,
+Sc 0
+AR /51523481
+Op 0 0 0
+At SMD
+T0 0.075 -0.075 1.524 1.524 900 0.3048 N V 21 N "~"
+T1 -0.1 -3 1 1 0 0.2 N I 21 N "24MHz"
+DS -2.13 -1.76 2.08 -1.76 0.2 21
+DS 2.08 -1.76 2.07 1.68 0.2 21
+DS 2.07 1.68 -2.11 1.68 0.2 21
+DS -2.11 1.68 -2.11 -1.78 0.2 21
+$PAD
+Sh "4" R 1.4 1.2 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 2 "N-00000301"
+Po -1.1 -0.85
+$EndPAD
+$PAD
+Sh "2" R 1.4 1.2 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 1 "N-00000300"
+Po 1.1 0.85
+$EndPAD
+$PAD
+Sh "3" R 1.4 1.2 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 1 "N-00000300"
+Po 1.1 -0.85
+$EndPAD
+$PAD
+Sh "1" R 1.4 1.2 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 2 "N-00000301"
+Po -1.1 0.85
+$EndPAD
+$EndMODULE Crystal-TXC-SMD3.2x2.5
+$MODULE Crystal_SMD_0603_4Pads_RevA_09Aug2010
+Po 0 0 0 15 51922935 00000000 ~~
+Li Crystal_SMD_0603_4Pads_RevA_09Aug2010
+Cd Crystal, Quarz, SMD, 0603, 4 Pads,
+Kw Crystal, Quarz, SMD, 0603, 4 Pads,
+Sc 0
+AR /51523481
+Op 0 0 0
+At SMD
+T0 0.075 -0.075 1.524 1.524 900 0.3048 N V 21 N "X2"
+T1 -0.1 -3 1 1 0 0.2 N I 21 N "24MHz"
+DC -0.50038 0.94996 -0.39878 1.04902 0.381 21
+DS 0.70104 1.84912 -0.70104 1.84912 0.381 21
+DS -0.70104 -1.84912 0.70104 -1.84912 0.381 21
+$PAD
+Sh "1" R 1.80086 1.39954 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 2 "N-00000301"
+Po -2.19964 1.19888
+$EndPAD
+$PAD
+Sh "2" R 1.80086 1.39954 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 1 "N-00000300"
+Po 2.19964 1.19888
+$EndPAD
+$PAD
+Sh "2" R 1.80086 1.39954 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 2.19964 -1.19888
+$EndPAD
+$PAD
+Sh "1" R 1.80086 1.39954 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -2.19964 -1.19888
+$EndPAD
+$EndMODULE Crystal_SMD_0603_4Pads_RevA_09Aug2010
+$MODULE Crystal_SMD_7x5mm
+Po 0 0 0 15 519E0235 00000000 ~~
+Li Crystal_SMD_7x5mm
+Cd Crystal, Quarz, SMD, 0603, 4 Pads,
+Kw Crystal, Quarz, SMD, 0603, 4 Pads,
+Sc 0
+AR /51523481
+Op 0 0 0
+At SMD
+T0 0.075 -0.075 1.524 1.524 900 0.3048 N V 21 N "~"
+T1 -0.1 -3 1 1 0 0.2 N I 21 N "24MHz"
+DS -4.6 -2.45 4.65 -2.45 0.15 21
+DS 4.65 -2.45 4.65 2.35 0.15 21
+DS 4.65 2.35 -4.6 2.35 0.15 21
+DS -4.6 2.35 -4.6 -2.45 0.15 21
+$PAD
+Sh "1" R 2.2 1.4 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 2 "N-00000301"
+Po -3.15 1.25
+$EndPAD
+$PAD
+Sh "2" R 2.2 1.4 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 1 "N-00000300"
+Po 3.15 1.25
+$EndPAD
+$PAD
+Sh "2" R 2.2 1.4 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 1 "N-00000300"
+Po 3.15 -1.25
+$EndPAD
+$PAD
+Sh "1" R 2.2 1.4 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 2 "N-00000301"
+Po -3.15 -1.25
+$EndPAD
+$EndMODULE Crystal_SMD_7x5mm
+$MODULE DB_15F-VGA
+Po 49.825 105.1 0 15 515A8448 00000000 ~~
+Li DB_15F-VGA
+Cd D-SUB 15 pin VGA socket, Tyco P/N 440467-1
+Sc 0
+AR /5157D108
+Op 0 0 0
+T0 0 4.699 1.524 1.524 0 0.3048 N V 21 N "J2"
+T1 5.0165 4.826 1.524 1.524 0 0.3048 N V 21 N "DB15"
+DS -10.033 1.27 -10.033 7.112 0.381 21
+DS -10.922 -2.413 -10.16 -1.016 0.381 21
+DS -14.097 -2.413 -14.986 -1.016 0.381 21
+DS -9.017 -7.112 -9.017 -0.635 0.381 21
+DS -15.494 1.27 -15.494 -7.112 0.381 21
+DS 15.494 -7.112 15.494 1.27 0.381 21
+DS 15.494 -7.112 -15.494 -7.112 0.381 21
+DS 9.017 -0.635 9.017 -7.112 0.381 21
+DS 14.097 -2.413 14.859 -1.016 0.381 21
+DS 10.922 -2.413 10.287 -1.016 0.381 21
+DS 10.922 -2.413 14.097 -2.413 0.381 21
+DS -14.097 -2.413 -10.922 -2.413 0.381 21
+DS 14.859 -1.016 10.287 -1.016 0.381 21
+DS 10.287 -1.016 10.287 -0.762 0.381 21
+DS 10.287 -0.762 14.859 -0.762 0.381 21
+DS 14.859 -0.762 14.859 -1.016 0.381 21
+DS -10.16 -1.016 -14.986 -1.016 0.381 21
+DS -14.986 -1.016 -14.986 -0.762 0.381 21
+DS -14.986 -0.762 -10.16 -0.762 0.381 21
+DS -10.16 -0.762 -10.16 -1.016 0.381 21
+DS 11.43 -0.635 11.43 -3.175 0.381 21
+DS 11.43 -3.175 13.716 -3.175 0.381 21
+DS 13.716 -3.175 13.716 -0.635 0.381 21
+DS -13.716 -0.635 -13.716 -3.175 0.381 21
+DS -13.716 -3.175 -11.43 -3.175 0.381 21
+DS -11.43 -3.175 -11.43 -0.635 0.381 21
+DS -15.494 -0.635 15.494 -0.635 0.381 21
+DS -11.049 1.27 -11.049 7.112 0.381 21
+DS -14.097 1.27 -14.097 7.112 0.381 21
+DS 14.097 1.27 14.097 7.112 0.381 21
+DS 11.049 1.27 11.049 7.112 0.381 21
+DS 10.033 1.27 10.033 7.112 0.381 21
+DS 10.033 7.112 15.113 7.112 0.381 21
+DS 15.113 7.112 15.113 1.397 0.381 21
+DS -15.113 1.27 -15.113 7.112 0.381 21
+DS -15.113 7.112 -10.033 7.112 0.381 21
+DS -8.128 1.27 -8.128 7.112 0.381 21
+DS -8.128 7.112 8.128 7.112 0.381 21
+DS 8.128 7.112 8.128 1.27 0.381 21
+DS -15.494 1.27 15.494 1.27 0.381 21
+$PAD
+Sh "" C 5.00126 5.00126 0 0 0
+Dr 3.2004 0 0
+At STD N 0000FFFF
+Ne 0 ""
+Po 12.49426 -2.47142
+$EndPAD
+$PAD
+Sh "3" C 1.19888 1.19888 0 0 0
+Dr 0.65024 0 0
+At STD N 0000FFFF
+Ne 147 "/VGA_B"
+Po -0.2667 -4.4704
+$EndPAD
+$PAD
+Sh "2" C 1.19888 1.19888 0 0 0
+Dr 0.65024 0 0
+At STD N 0000FFFF
+Ne 148 "/VGA_G"
+Po 2.0193 -4.4704
+$EndPAD
+$PAD
+Sh "1" C 1.19888 1.19888 0 0 0
+Dr 0.65024 0 0
+At STD N 0000FFFF
+Ne 149 "/VGA_R"
+Po 4.31038 -4.4704
+$EndPAD
+$PAD
+Sh "4" C 1.19888 1.19888 0 0 0
+Dr 0.65024 0 0
+At STD N 0000FFFF
+Ne 0 ""
+Po -2.56032 -4.4704
+$EndPAD
+$PAD
+Sh "5" C 1.19888 1.19888 0 0 0
+Dr 0.65024 0 0
+At STD N 0000FFFF
+Ne 187 "GND"
+Po -4.84886 -4.4704
+$EndPAD
+$PAD
+Sh "9" C 1.19888 1.19888 0 0 0
+Dr 0.65024 0 0
+At STD N 0000FFFF
+Ne 0 ""
+Po -1.41478 -2.9718
+$EndPAD
+$PAD
+Sh "8" C 1.19888 1.19888 0 0 0
+Dr 0.65024 0 0
+At STD N 0000FFFF
+Ne 187 "GND"
+Po 0.87376 -2.9718
+$EndPAD
+$PAD
+Sh "7" C 1.19888 1.19888 0 0 0
+Dr 0.65024 0 0
+At STD N 0000FFFF
+Ne 187 "GND"
+Po 3.16484 -2.9718
+$EndPAD
+$PAD
+Sh "6" C 1.19888 1.19888 0 0 0
+Dr 0.65024 0 0
+At STD N 0000FFFF
+Ne 187 "GND"
+Po 5.45592 -2.9718
+$EndPAD
+$PAD
+Sh "" C 5.00126 5.00126 0 0 0
+Dr 3.2004 0 0
+At STD N 0000FFFF
+Ne 0 ""
+Po -12.49426 -2.47142
+$EndPAD
+$PAD
+Sh "10" C 1.19888 1.19888 0 0 0
+Dr 0.65024 0 0
+At STD N 0000FFFF
+Ne 187 "GND"
+Po -3.70586 -2.9718
+$EndPAD
+$PAD
+Sh "11" C 1.19888 1.19888 0 0 0
+Dr 0.65024 0 0
+At STD N 0000FFFF
+Ne 187 "GND"
+Po 4.31038 -1.47066
+$EndPAD
+$PAD
+Sh "12" C 1.19888 1.19888 0 0 0
+Dr 0.65024 0 0
+At STD N 0000FFFF
+Ne 0 ""
+Po 2.0193 -1.47066
+$EndPAD
+$PAD
+Sh "13" C 1.19888 1.19888 0 0 0
+Dr 0.65024 0 0
+At STD N 0000FFFF
+Ne 210 "N-00000202"
+Po -0.26924 -1.4732
+$EndPAD
+$PAD
+Sh "14" C 1.19888 1.19888 0 0 0
+Dr 0.65024 0 0
+At STD N 0000FFFF
+Ne 206 "N-00000197"
+Po -2.56032 -1.47066
+$EndPAD
+$PAD
+Sh "15" C 1.19888 1.19888 0 0 0
+Dr 0.65024 0 0
+At STD N 0000FFFF
+Ne 0 ""
+Po -4.84886 -1.47066
+$EndPAD
+$SHAPE3D
+Na "walter/conn_pc/db_15-vga.wrl"
+Sc 1 1 1
+Of 0 0 0
+Ro 0 0 0
+$EndSHAPE3D
+$EndMODULE DB_15F-VGA
+$MODULE FBGA96-new
+Po 46 81 0 15 51920FA0 00000000 ~~
+Li FBGA96-new
+Sc 0
+AR /514D42E8
+Op 0 0 0
+At SMD
+T0 -3.6 -7.6 0.508 0.508 900 0.1016 N V 21 N "U2"
+T1 -0.5 -8.9 0.508 0.508 900 0.1016 N I 21 N "4GBDDR3"
+DS -3.5 -7 -4 -6.5 0.15 21
+DS -4 -7 4 -7 0.15 21
+DS 4 -7 4 7 0.15 21
+DS 4 7 -4 7 0.15 21
+DS -4 7 -4 -7 0.15 21
+$PAD
+Sh "A1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po -3.2 -6
+$EndPAD
+$PAD
+Sh "A2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 13 "/DQ13"
+Po -2.4 -6
+$EndPAD
+$PAD
+Sh "A3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 15 "/DQ15"
+Po -1.6 -6
+$EndPAD
+$PAD
+Sh "A7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 12 "/DQ12"
+Po 1.6 -6
+$EndPAD
+$PAD
+Sh "A8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po 2.4 -6
+$EndPAD
+$PAD
+Sh "A9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 3.2 -6
+$EndPAD
+$PAD
+Sh "B1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -3.2 -5.2
+$EndPAD
+$PAD
+Sh "B2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po -2.4 -5.2
+$EndPAD
+$PAD
+Sh "B3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -1.6 -5.2
+$EndPAD
+$PAD
+Sh "B7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 125 "/SDQS1#"
+Po 1.6 -5.2
+$EndPAD
+$PAD
+Sh "B8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 14 "/DQ14"
+Po 2.4 -5.2
+$EndPAD
+$PAD
+Sh "B9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 3.2 -5.2
+$EndPAD
+$PAD
+Sh "C1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po -3.2 -4.4
+$EndPAD
+$PAD
+Sh "C2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 11 "/DQ11"
+Po -2.4 -4.4
+$EndPAD
+$PAD
+Sh "C3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 39 "/DQ9"
+Po -1.6 -4.4
+$EndPAD
+$PAD
+Sh "C7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 124 "/SDQS1"
+Po 1.6 -4.4
+$EndPAD
+$PAD
+Sh "C8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 10 "/DQ10"
+Po 2.4 -4.4
+$EndPAD
+$PAD
+Sh "C9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po 3.2 -4.4
+$EndPAD
+$PAD
+Sh "D1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -3.2 -3.6
+$EndPAD
+$PAD
+Sh "D2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po -2.4 -3.6
+$EndPAD
+$PAD
+Sh "D3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 119 "/SDQM1"
+Po -1.6 -3.6
+$EndPAD
+$PAD
+Sh "D7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 38 "/DQ8"
+Po 1.6 -3.6
+$EndPAD
+$PAD
+Sh "D8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 2.4 -3.6
+$EndPAD
+$PAD
+Sh "D9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po 3.2 -3.6
+$EndPAD
+$PAD
+Sh "E1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -3.2 -2.8
+$EndPAD
+$PAD
+Sh "E2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -2.4 -2.8
+$EndPAD
+$PAD
+Sh "E3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 8 "/DQ0"
+Po -1.6 -2.8
+$EndPAD
+$PAD
+Sh "E7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 118 "/SDQM0"
+Po 1.6 -2.8
+$EndPAD
+$PAD
+Sh "E8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 2.4 -2.8
+$EndPAD
+$PAD
+Sh "E9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po 3.2 -2.8
+$EndPAD
+$PAD
+Sh "F1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po -3.2 -2
+$EndPAD
+$PAD
+Sh "F2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 20 "/DQ2"
+Po -2.4 -2
+$EndPAD
+$PAD
+Sh "F3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 122 "/SDQS0"
+Po -1.6 -2
+$EndPAD
+$PAD
+Sh "F7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 9 "/DQ1"
+Po 1.6 -2
+$EndPAD
+$PAD
+Sh "F8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 31 "/DQ3"
+Po 2.4 -2
+$EndPAD
+$PAD
+Sh "F9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 3.2 -2
+$EndPAD
+$PAD
+Sh "G1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -3.2 -1.2
+$EndPAD
+$PAD
+Sh "G2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 36 "/DQ6"
+Po -2.4 -1.2
+$EndPAD
+$PAD
+Sh "G3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 123 "/SDQS0#"
+Po -1.6 -1.2
+$EndPAD
+$PAD
+Sh "G7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po 1.6 -1.2
+$EndPAD
+$PAD
+Sh "G8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 2.4 -1.2
+$EndPAD
+$PAD
+Sh "G9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 3.2 -1.2
+$EndPAD
+$PAD
+Sh "H1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 167 "DRAM_REF"
+Po -3.2 -0.4
+$EndPAD
+$PAD
+Sh "H2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po -2.4 -0.4
+$EndPAD
+$PAD
+Sh "H3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 34 "/DQ4"
+Po -1.6 -0.4
+$EndPAD
+$PAD
+Sh "H7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 37 "/DQ7"
+Po 1.6 -0.4
+$EndPAD
+$PAD
+Sh "H8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 35 "/DQ5"
+Po 2.4 -0.4
+$EndPAD
+$PAD
+Sh "H9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po 3.2 -0.4
+$EndPAD
+$PAD
+Sh "J1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -3.2 0.4
+$EndPAD
+$PAD
+Sh "J2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -2.4 0.4
+$EndPAD
+$PAD
+Sh "J3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 130 "/SRAS"
+Po -1.6 0.4
+$EndPAD
+$PAD
+Sh "J7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 4 "/CK"
+Po 1.6 0.4
+$EndPAD
+$PAD
+Sh "J8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 2.4 0.4
+$EndPAD
+$PAD
+Sh "J9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 3.2 0.4
+$EndPAD
+$PAD
+Sh "K1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 90 "/ODT"
+Po -3.2 1.2
+$EndPAD
+$PAD
+Sh "K2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po -2.4 1.2
+$EndPAD
+$PAD
+Sh "K3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 115 "/SCAS"
+Po -1.6 1.2
+$EndPAD
+$PAD
+Sh "K7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 5 "/CK#"
+Po 1.6 1.2
+$EndPAD
+$PAD
+Sh "K8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po 2.4 1.2
+$EndPAD
+$PAD
+Sh "K9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 6 "/CKE"
+Po 3.2 1.2
+$EndPAD
+$PAD
+Sh "L1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -3.2 2
+$EndPAD
+$PAD
+Sh "L2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 7 "/CS#"
+Po -2.4 2
+$EndPAD
+$PAD
+Sh "L3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 132 "/SWE"
+Po -1.6 2
+$EndPAD
+$PAD
+Sh "L7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 102 "/SA10"
+Po 1.6 2
+$EndPAD
+$PAD
+Sh "L8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 215 "N-00000265"
+Po 2.4 2
+$EndPAD
+$PAD
+Sh "L9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 3.2 2
+$EndPAD
+$PAD
+Sh "M1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -3.2 2.8
+$EndPAD
+$PAD
+Sh "M2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 1 "/BA0"
+Po -2.4 2.8
+$EndPAD
+$PAD
+Sh "M3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 3 "/BA2"
+Po -1.6 2.8
+$EndPAD
+$PAD
+Sh "M7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 1.6 2.8
+$EndPAD
+$PAD
+Sh "M8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 167 "DRAM_REF"
+Po 2.4 2.8
+$EndPAD
+$PAD
+Sh "M9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 3.2 2.8
+$EndPAD
+$PAD
+Sh "N1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po -3.2 3.6
+$EndPAD
+$PAD
+Sh "N2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 108 "/SA3"
+Po -2.4 3.6
+$EndPAD
+$PAD
+Sh "N3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 100 "/SA0"
+Po -1.6 3.6
+$EndPAD
+$PAD
+Sh "N7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 104 "/SA12"
+Po 1.6 3.6
+$EndPAD
+$PAD
+Sh "N8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 2 "/BA1"
+Po 2.4 3.6
+$EndPAD
+$PAD
+Sh "N9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po 3.2 3.6
+$EndPAD
+$PAD
+Sh "P1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -3.2 4.4
+$EndPAD
+$PAD
+Sh "P2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 110 "/SA5"
+Po -2.4 4.4
+$EndPAD
+$PAD
+Sh "P3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 107 "/SA2"
+Po -1.6 4.4
+$EndPAD
+$PAD
+Sh "P7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 101 "/SA1"
+Po 1.6 4.4
+$EndPAD
+$PAD
+Sh "P8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 109 "/SA4"
+Po 2.4 4.4
+$EndPAD
+$PAD
+Sh "P9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 3.2 4.4
+$EndPAD
+$PAD
+Sh "R1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po -3.2 5.2
+$EndPAD
+$PAD
+Sh "R2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 112 "/SA7"
+Po -2.4 5.2
+$EndPAD
+$PAD
+Sh "R3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 114 "/SA9"
+Po -1.6 5.2
+$EndPAD
+$PAD
+Sh "R7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 103 "/SA11"
+Po 1.6 5.2
+$EndPAD
+$PAD
+Sh "R8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 111 "/SA6"
+Po 2.4 5.2
+$EndPAD
+$PAD
+Sh "R9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po 3.2 5.2
+$EndPAD
+$PAD
+Sh "T1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -3.2 6
+$EndPAD
+$PAD
+Sh "T2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 131 "/SRST"
+Po -2.4 6
+$EndPAD
+$PAD
+Sh "T3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 105 "/SA13"
+Po -1.6 6
+$EndPAD
+$PAD
+Sh "T7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 106 "/SA14"
+Po 1.6 6
+$EndPAD
+$PAD
+Sh "T8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 113 "/SA8"
+Po 2.4 6
+$EndPAD
+$PAD
+Sh "T9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 3.2 6
+$EndPAD
+$EndMODULE FBGA96-new
+$MODULE HDMI
+Po 0 0 0 15 519F38FE 00000000 ~~
+Li HDMI
+Cd Cms SOJ 20 pins large
+Kw CMS SOJ
+Sc 0
+AR /514F1A03
+Op 0 0 0
+At SMD
+T0 5.95 4.75 1.524 1.524 0 0.127 N V 21 N "J7"
+T1 0 4.9 1 1 0 0.1 N V 21 N "HDMI"
+DS -3.95 5.65 -3.95 -1.9 0.2 21
+DS -3.95 -1.75 4.25 -1.75 0.2 21
+DS 4.25 -1.9 4.25 5.65 0.2 21
+DS 4.25 5.65 -3.95 5.65 0.2 21
+$PAD
+Sh "9" R 0.23 0.85 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 12 "N-0000038"
+Po 0.2 -0.68
+$EndPAD
+$PAD
+Sh "7" R 0.23 0.85 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 11 "N-0000037"
+Po 0.6 -0.675
+$EndPAD
+$PAD
+Sh "5" R 0.23 0.85 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 2 "GND"
+Po 1 -0.675
+$EndPAD
+$PAD
+Sh "3" R 0.23 0.85 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 9 "N-0000035"
+Po 1.4 -0.675
+$EndPAD
+$PAD
+Sh "1" R 0.23 0.85 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 8 "N-0000034"
+Po 1.8 -0.675
+$EndPAD
+$PAD
+Sh "11" R 0.23 0.85 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 2 "GND"
+Po -0.2 -0.675
+$EndPAD
+$PAD
+Sh "15" R 0.23 0.85 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 6 "N-00000264"
+Po -1 -0.675
+$EndPAD
+$PAD
+Sh "13" R 0.23 0.85 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 3 "N-00000185"
+Po -0.6 -0.675
+$EndPAD
+$PAD
+Sh "17" R 0.23 0.85 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 2 "GND"
+Po -1.4 -0.675
+$EndPAD
+$PAD
+Sh "19" R 0.23 0.85 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 4 "N-00000189"
+Po -1.8 -0.675
+$EndPAD
+$PAD
+Sh "2" R 0.23 0.85 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 2 "GND"
+Po 1.595 0.675
+$EndPAD
+$PAD
+Sh "4" R 0.23 0.85 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 10 "N-0000036"
+Po 1.195 0.675
+$EndPAD
+$PAD
+Sh "6" R 0.23 0.85 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 7 "N-0000033"
+Po 0.795 0.675
+$EndPAD
+$PAD
+Sh "8" R 0.23 0.85 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 2 "GND"
+Po 0.395 0.675
+$EndPAD
+$PAD
+Sh "10" R 0.23 0.85 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 13 "N-0000039"
+Po -0.005 0.675
+$EndPAD
+$PAD
+Sh "12" R 0.23 0.85 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 14 "N-0000040"
+Po -0.405 0.675
+$EndPAD
+$PAD
+Sh "14" R 0.23 0.85 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 2 "GND"
+Po -0.805 0.675
+$EndPAD
+$PAD
+Sh "16" R 0.23 0.85 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 5 "N-00000190"
+Po -1.205 0.675
+$EndPAD
+$PAD
+Sh "18" R 0.23 0.85 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 1 "5V_IN"
+Po -1.605 0.675
+$EndPAD
+$PAD
+Sh "sh1" O 1.5 2.55 0 0 0
+Dr 0.65 0 0 O 0.65 1.699999
+At STD N 00E0FFFF
+Ne 2 "GND"
+Po -3.1 0.75
+$EndPAD
+$PAD
+Sh "sh2" O 1.5 2.55 0 0 0
+Dr 0.65 0 0 O 0.65 1.699999
+At STD N 00E0FFFF
+Ne 2 "GND"
+Po 3.1 0.75
+$EndPAD
+$PAD
+Sh "sh3" O 1.5 2.55 0 0 0
+Dr 0.65 0 0 O 0.65 1.699999
+At STD N 00E0FFFF
+Ne 2 "GND"
+Po -3.05 4.1
+$EndPAD
+$PAD
+Sh "sh4" O 1.5 2.55 0 0 0
+Dr 0.65 0 0 O 0.65 1.699999
+At STD N 00E0FFFF
+Ne 2 "GND"
+Po 3.1 4.1
+$EndPAD
+$SHAPE3D
+Na "smd/cms_so20.wrl"
+Sc 0.5 0.6 0.5
+Of 0 0 0
+Ro 0 0 0
+$EndSHAPE3D
+$EndMODULE HDMI
+$MODULE LED-3MM
+Po 103.4 38.35 0 15 5189C9A7 00000000 ~~
+Li LED-3MM
+Cd LED 3mm - Lead pitch 100mil (2,54mm)
+Kw LED led 3mm 3MM 100mil 2,54mm
+Sc 0
+AR /5189E9D2
+Op 0 0 0
+T0 2.775 -0.975 0.762 0.762 0 0.0889 N V 21 N "D1"
+T1 -0.9 -2.675 0.762 0.762 0 0.0889 N V 21 N "LED"
+DS 1.8288 1.27 1.8288 -1.27 0.254 21
+DA 0.254 0 -1.27 0 398 0.1524 21
+DA 0.254 0 -0.88392 1.01092 416 0.1524 21
+DA 0.254 0 1.4097 -0.9906 406 0.1524 21
+DA 0.254 0 1.778 0 398 0.1524 21
+DA 0.254 0 0.254 -1.524 544 0.1524 21
+DA 0.254 0 -0.9652 -0.9144 531 0.1524 21
+DA 0.254 0 1.45542 0.93472 521 0.1524 21
+DA 0.254 0 0.254 1.524 521 0.1524 21
+DA 0.254 0 -0.381 0 900 0.1524 21
+DA 0.254 0 -0.762 0 900 0.1524 21
+DA 0.254 0 0.889 0 900 0.1524 21
+DA 0.254 0 1.27 0 900 0.1524 21
+DA 0.254 0 0.254 -2.032 501 0.254 21
+DA 0.254 0 -1.5367 -0.95504 619 0.254 21
+DA 0.254 0 1.8034 1.31064 497 0.254 21
+DA 0.254 0 0.254 2.032 602 0.254 21
+DA 0.254 0 -1.778 0 283 0.254 21
+DA 0.254 0 -1.47574 1.06426 316 0.254 21
+$PAD
+Sh "1" C 1.6764 1.6764 0 0 0
+Dr 0.812799 0 0
+At STD N 00E0FFFF
+Ne 212 "N-00000228"
+Po -1.27 0
+$EndPAD
+$PAD
+Sh "2" C 1.6764 1.6764 0 0 0
+Dr 0.812799 0 0
+At STD N 00E0FFFF
+Ne 187 "GND"
+Po 1.27 0
+$EndPAD
+$SHAPE3D
+Na "discret/leds/led3_vertical_verde.wrl"
+Sc 1 1 1
+Of 0 0 0
+Ro 0 0 0
+$EndSHAPE3D
+$EndMODULE LED-3MM
+$MODULE PIN_ARRAY_1
+Po 0 0 0 15 51AD6C1C 00000000 ~~
+Li PIN_ARRAY_1
+Cd 1 pin
+Kw CONN DEV
+Sc 0
+AR /5189B2BF
+Op 0 0 0
+T0 2.05 -0.2 0.762 0.762 0 0.1524 N V 21 N "P5"
+T1 3.6 1.125 0.762 0.762 0 0.1524 N I 21 N "CONN_1"
+DS -0.575 -0.575 0.55 -0.575 0.15 21
+DS 0.55 -0.575 0.575 -0.575 0.15 21
+DS 0.575 -0.575 0.575 0.6 0.15 21
+DS 0.575 0.6 -0.575 0.6 0.15 21
+DS -0.575 0.6 -0.575 -0.575 0.15 21
+$PAD
+Sh "1" R 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 1 "DRAM_VCC"
+Po 0 0
+$EndPAD
+$SHAPE3D
+Na "pin_array\\pin_1.wrl"
+Sc 1 1 1
+Of 0 0 0
+Ro 0 0 0
+$EndSHAPE3D
+$EndMODULE PIN_ARRAY_1
+$MODULE PIN_ARRAY_2X1
+Po 73.475 107.1 0 15 5188A029 00000000 ~~
+Li PIN_ARRAY_2X1
+Cd Connecteurs 2 pins
+Kw CONN DEV
+Sc 0
+AR /515A7B4E
+Op 0 0 0
+T0 3.475 -0.025 0.762 0.762 0 0.1524 N V 21 N "P4"
+T1 0 -1.905 0.762 0.762 0 0.1524 N I 21 N "UBOOT"
+DS -2.54 1.27 -2.54 -1.27 0.1524 21
+DS -2.54 -1.27 2.54 -1.27 0.1524 21
+DS 2.54 -1.27 2.54 1.27 0.1524 21
+DS 2.54 1.27 -2.54 1.27 0.1524 21
+$PAD
+Sh "1" R 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 195 "N-00000139"
+Po -1.27 0
+$EndPAD
+$PAD
+Sh "2" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 187 "GND"
+Po 1.27 0
+$EndPAD
+$SHAPE3D
+Na "pin_array/pins_array_2x1.wrl"
+Sc 1 1 1
+Of 0 0 0
+Ro 0 0 0
+$EndSHAPE3D
+$EndMODULE PIN_ARRAY_2X1
+$MODULE PIN_ARRAY_5x2
+Po 83.87 83.31 0 15 51833FF7 00000000 ~~
+Li PIN_ARRAY_5x2
+Cd Double rangee de contacts 2 x 5 pins
+Kw CONN
+Sc 0
+AR /51518451
+Op 0 0 0
+T0 5.75 3.625 1.016 1.016 0 0.2032 N V 21 N "J1"
+T1 0 -3.81 1.016 1.016 0 0.2032 N I 21 N "CONN10"
+DS -6.35 -2.54 6.35 -2.54 0.3048 21
+DS 6.35 -2.54 6.35 2.54 0.3048 21
+DS 6.35 2.54 -6.35 2.54 0.3048 21
+DS -6.35 2.54 -6.35 -2.54 0.3048 21
+$PAD
+Sh "1" R 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 87 "/MICIN1"
+Po -5.08 1.27
+$EndPAD
+$PAD
+Sh "2" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 88 "/MICIN2"
+Po -5.08 -1.27
+$EndPAD
+$PAD
+Sh "3" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 84 "/LIN_L"
+Po -2.54 1.27
+$EndPAD
+$PAD
+Sh "4" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 85 "/LIN_R"
+Po -2.54 -1.27
+$EndPAD
+$PAD
+Sh "5" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 187 "GND"
+Po 0 1.27
+$EndPAD
+$PAD
+Sh "6" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 150 "/VMIC"
+Po 0 -1.27
+$EndPAD
+$PAD
+Sh "7" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 151 "/VRA1"
+Po 2.54 1.27
+$EndPAD
+$PAD
+Sh "8" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 152 "/VRA2"
+Po 2.54 -1.27
+$EndPAD
+$PAD
+Sh "9" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 153 "/VRP"
+Po 5.08 1.27
+$EndPAD
+$PAD
+Sh "10" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 187 "GND"
+Po 5.08 -1.27
+$EndPAD
+$SHAPE3D
+Na "pin_array/pins_array_5x2.wrl"
+Sc 1 1 1
+Of 0 0 0
+Ro 0 0 0
+$EndSHAPE3D
+$EndMODULE PIN_ARRAY_5x2
+$MODULE QFN48+1
+Po 99.2505 49.8348 0 15 515E7D5F 00000000 ~~
+Li QFN48+1
+Sc 0
+AR /514C3FF4
+Op 0 0 0
+At SMD
+T0 0.01016 2.45364 0.762 0.762 0 0.127 N V 21 N "U7"
+T1 0.381 -0.1524 0.762 0.635 0 0.1524 N I 21 N "AXP209"
+DS -2.921 -3.81 -3.175 -3.81 0.127 21
+DS -3.175 -3.81 -3.81 -3.302 0.127 21
+DS -3.81 -3.302 -3.81 -2.921 0.127 21
+DS -2.921 -3.81 3.81 -3.81 0.127 21
+DS 3.81 -3.81 3.81 3.81 0.127 21
+DS 3.81 3.81 -3.81 3.81 0.127 21
+DS -3.81 3.81 -3.81 -2.921 0.127 21
+DC -3.32994 -3.25882 -3.43154 -3.56108 0.127 21
+$PAD
+Sh "1" R 0.59944 0.24892 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 134 "/TWI0SDA"
+Po -3.39852 -2.74828
+$EndPAD
+$PAD
+Sh "2" R 0.59944 0.24892 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 133 "/TWI0SCK"
+Po -3.39852 -2.2479
+$EndPAD
+$PAD
+Sh "3" R 0.59944 0.24892 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -3.39852 -1.74752
+$EndPAD
+$PAD
+Sh "4" R 0.59944 0.24892 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -3.39852 -1.24714
+$EndPAD
+$PAD
+Sh "5" R 0.59944 0.24892 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -3.39852 -0.7493
+$EndPAD
+$PAD
+Sh "6" R 0.59944 0.24892 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -3.39852 -0.24892
+$EndPAD
+$PAD
+Sh "7" R 0.59944 0.24892 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 188 "IPSOUT"
+Po -3.39852 0.25146
+$EndPAD
+$PAD
+Sh "8" R 0.59944 0.24892 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 255 "N-0000053"
+Po -3.39852 0.75184
+$EndPAD
+$PAD
+Sh "9" R 0.59944 0.24892 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -3.39852 1.25222
+$EndPAD
+$PAD
+Sh "10" R 0.59944 0.24892 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 162 "1.25V"
+Po -3.39852 1.7526
+$EndPAD
+$PAD
+Sh "11" R 0.59944 0.24892 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 191 "LDO4"
+Po -3.39852 2.25298
+$EndPAD
+$PAD
+Sh "12" R 0.59944 0.24892 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 166 "AVCC"
+Po -3.39852 2.75082
+$EndPAD
+$PAD
+Sh "33" R 0.59944 0.24892 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 165 "5V_IN"
+Po 3.39852 -1.25222
+$EndPAD
+$PAD
+Sh "34" R 0.59944 0.24892 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 188 "IPSOUT"
+Po 3.39852 -1.75006
+$EndPAD
+$PAD
+Sh "35" R 0.59944 0.24892 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 188 "IPSOUT"
+Po 3.39852 -2.25044
+$EndPAD
+$PAD
+Sh "36" R 0.59944 0.24892 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 3.39852 -2.75082
+$EndPAD
+$PAD
+Sh "17" R 0.24892 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 163 "1.2V"
+Po -0.7493 3.40106
+$EndPAD
+$PAD
+Sh "18" R 0.24892 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -0.25146 3.40106
+$EndPAD
+$PAD
+Sh "19" R 0.24892 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 219 "N-00000276"
+Po 0.24892 3.40106
+$EndPAD
+$PAD
+Sh "20" R 0.24892 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 40 "/EXTEN"
+Po 0.7493 3.40106
+$EndPAD
+$PAD
+Sh "21" R 0.24892 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 188 "IPSOUT"
+Po 1.24968 3.40106
+$EndPAD
+$PAD
+Sh "22" R 0.24892 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 1.75006 3.40106
+$EndPAD
+$PAD
+Sh "23" R 0.24892 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 220 "N-00000277"
+Po 2.25044 3.40106
+$EndPAD
+$PAD
+Sh "24" R 0.24892 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 213 "N-00000263"
+Po 2.75082 3.40106
+$EndPAD
+$PAD
+Sh "49" R 5.10032 5.10032 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 0 0
+$EndPAD
+$PAD
+Sh "13" R 0.24892 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 188 "IPSOUT"
+Po -2.74828 3.40106
+$EndPAD
+$PAD
+Sh "14" R 0.24892 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 188 "IPSOUT"
+Po -2.2479 3.40106
+$EndPAD
+$PAD
+Sh "15" R 0.24892 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 256 "N-0000054"
+Po -1.74752 3.40106
+$EndPAD
+$PAD
+Sh "16" R 0.24892 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -1.24968 3.40106
+$EndPAD
+$PAD
+Sh "25" R 0.59944 0.24892 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 99 "/RESET#"
+Po 3.39852 2.74828
+$EndPAD
+$PAD
+Sh "26" R 0.59944 0.24892 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 208 "N-00000200"
+Po 3.40106 2.2479
+$EndPAD
+$PAD
+Sh "27" R 0.59944 0.24892 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 221 "N-00000294"
+Po 3.40106 1.75006
+$EndPAD
+$PAD
+Sh "28" R 0.59944 0.24892 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 189 "LDO1"
+Po 3.40106 1.24968
+$EndPAD
+$PAD
+Sh "29" R 0.59944 0.24892 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 3.40106 0.7493
+$EndPAD
+$PAD
+Sh "30" R 0.59944 0.24892 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 3.40106 0.24892
+$EndPAD
+$PAD
+Sh "31" R 0.59944 0.24892 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 3.40106 -0.25146
+$EndPAD
+$PAD
+Sh "32" R 0.59944 0.24892 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 165 "5V_IN"
+Po 3.40106 -0.75184
+$EndPAD
+$PAD
+Sh "37" R 0.24892 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 207 "N-00000199"
+Po 2.75082 -3.39852
+$EndPAD
+$PAD
+Sh "38" R 0.24892 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 2.25044 -3.39852
+$EndPAD
+$PAD
+Sh "39" R 0.24892 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 1.75006 -3.39852
+$EndPAD
+$PAD
+Sh "40" R 0.24892 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 188 "IPSOUT"
+Po 1.25222 -3.39852
+$EndPAD
+$PAD
+Sh "41" R 0.24892 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 190 "LDO3"
+Po 0.75184 -3.39852
+$EndPAD
+$PAD
+Sh "42" R 0.24892 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 0.25146 -3.39852
+$EndPAD
+$PAD
+Sh "43" R 0.24892 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -0.24892 -3.39852
+$EndPAD
+$PAD
+Sh "44" R 0.24892 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 188 "IPSOUT"
+Po -0.7493 -3.39852
+$EndPAD
+$PAD
+Sh "45" R 0.24892 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -1.24968 -3.39852
+$EndPAD
+$PAD
+Sh "46" R 0.24892 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -1.75006 -3.39852
+$EndPAD
+$PAD
+Sh "47" R 0.24892 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 217 "N-00000267"
+Po -2.2479 -3.39852
+$EndPAD
+$PAD
+Sh "48" R 0.24892 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 89 "/NMI#"
+Po -2.74828 -3.39852
+$EndPAD
+$EndMODULE QFN48+1
+$MODULE RCLAMP
+Po 82.5494 96.8924 0 15 5189C9EE 00000000 ~~
+Li RCLAMP
+Cd Module CMS SOJ 8 pins large
+Kw CMS SOJ
+Sc 0
+AR /514D0FDE
+Op 0 0 0
+At SMD
+T0 2.2994 1.7924 1.143 1.016 0 0.127 N V 21 N "U8"
+T1 1.3994 3.3424 1.016 1.016 0 0.127 N V 21 N "524P"
+DS -1.35 -1.05 1.4 -1.05 0.15 21
+DS 1.4 -1.05 1.4 1 0.15 21
+DS 1.4 1 -1.35 1 0.15 21
+DS -1.35 1 -1.35 -1.05 0.15 21
+$PAD
+Sh "7" R 0.2 0.675 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 199 "N-00000190"
+Po 0.5 -0.45
+$EndPAD
+$PAD
+Sh "8" R 0.4 0.675 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 0 -0.45
+$EndPAD
+$PAD
+Sh "3" R 0.4 0.675 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 0 0.45
+$EndPAD
+$PAD
+Sh "4" R 0.2 0.675 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 44 "/HSDA"
+Po 0.5 0.45
+$EndPAD
+$PAD
+Sh "6" R 0.2 0.675 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 198 "N-00000189"
+Po 1 -0.45
+$EndPAD
+$PAD
+Sh "5" R 0.2 0.675 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 42 "/HHPD"
+Po 1 0.45
+$EndPAD
+$PAD
+Sh "9" R 0.2 0.675 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 214 "N-00000264"
+Po -0.5 -0.45
+$EndPAD
+$PAD
+Sh "2" R 0.2 0.675 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 43 "/HSCL"
+Po -0.5 0.45
+$EndPAD
+$PAD
+Sh "10" R 0.2 0.675 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 197 "N-00000185"
+Po -1 -0.45
+$EndPAD
+$PAD
+Sh "1" R 0.2 0.675 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 41 "/HCEC"
+Po -1 0.45
+$EndPAD
+$SHAPE3D
+Na "smd/cms_so8.wrl"
+Sc 0.5 0.38 0.5
+Of 0 0 0
+Ro 0 0 0
+$EndSHAPE3D
+$EndMODULE RCLAMP
+$MODULE RJ45-HANRUN
+Po 0 0 0 15 51A4CE15 00000000 ~~
+Li RJ45-HANRUN
+Kw RJ45
+Sc 0
+AR /5159654C/515ADBB5
+Op 0 0 0
+T0 -3.81 15.24 1.524 1.524 0 0.3048 N V 21 N "J8"
+T1 0.51 12.27 1.00076 1.00076 0 0.2032 N V 21 N "RJ45-TRANSFO"
+DS -7.787 -11.226 -7.787 0.712 0.3048 21
+DS -7.787 3.887 -7.787 13.793 0.3048 21
+DS 8.215 -11.226 8.215 0.712 0.3048 21
+DS 8.215 3.887 8.215 13.793 0.3048 21
+DS -7.787 13.793 -6.771 13.793 0.3048 21
+DS 1.611 13.793 -1.691 13.793 0.3048 21
+DS 8.215 13.793 6.945 13.793 0.3048 21
+DS 8.215 -11.27172 -7.787 -11.27172 0.3048 21
+$PAD
+Sh "Hole" C 3.85064 3.85064 0 0 1800
+Dr 3.302 0 0
+At STD N 00F0FFFF
+Ne 0 ""
+Po -5.72 0
+$EndPAD
+$PAD
+Sh "Hole" C 3.85064 3.85064 0 0 1800
+Dr 3.302 0 0
+At STD N 00F0FFFF
+Ne 0 ""
+Po 5.71 0
+$EndPAD
+$PAD
+Sh "1" C 1.524 1.524 0 0 1800
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 0 ""
+Po 4.45 6.35
+$EndPAD
+$PAD
+Sh "2" C 1.524 1.524 0 0 1800
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 0 ""
+Po 3.18 8.89
+$EndPAD
+$PAD
+Sh "3" C 1.524 1.524 0 0 1800
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 0 ""
+Po 1.91 6.35
+$EndPAD
+$PAD
+Sh "4" C 1.524 1.524 0 0 1800
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 0 ""
+Po 0.64 8.89
+$EndPAD
+$PAD
+Sh "5" C 1.524 1.524 0 0 1800
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 0 ""
+Po -0.63 6.35
+$EndPAD
+$PAD
+Sh "6" C 1.524 1.524 0 0 1800
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 0 ""
+Po -1.9 8.89
+$EndPAD
+$PAD
+Sh "7" C 1.524 1.524 0 0 1800
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 2 "/ethernet/PFWBOUT"
+Po -3.17 6.35
+$EndPAD
+$PAD
+Sh "13" C 2.4 2.4 0 0 1800
+Dr 1.7 0 0
+At STD N 00F0FFFF
+Ne 3 "GND"
+Po -7.78 3.05
+$EndPAD
+$PAD
+Sh "13" C 2.4 2.4 0 0 1800
+Dr 1.66 0 0
+At STD N 00F0FFFF
+Ne 3 "GND"
+Po 7.75 3.05
+$EndPAD
+$PAD
+Sh "10" C 1.524 1.524 0 0 1800
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 4 "N-00000413"
+Po 4.08 -4.9
+$EndPAD
+$PAD
+Sh "11" C 1.524 1.524 0 0 1800
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 0 ""
+Po -4.08 -4.9
+$EndPAD
+$PAD
+Sh "9" C 1.524 1.524 0 0 1800
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 1 "/ethernet/LED4"
+Po 6.63 -4.9
+$EndPAD
+$PAD
+Sh "12" C 1.524 1.524 0 0 1800
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 0 ""
+Po -6.62 -4.9
+$EndPAD
+$PAD
+Sh "8" R 1.524 1.524 0 0 1800
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 0 ""
+Po -4.39 8.89
+$EndPAD
+$EndMODULE RJ45-HANRUN
+$MODULE RJ45_TRANSFO
+Po 31.175 45.425 0 15 517BEBB0 00000000 ~~
+Li RJ45_TRANSFO
+Kw RJ45
+Sc 0
+AR /5159654C/515ADBB5
+Op 0 0 0
+T0 -3.81 15.24 1.524 1.524 0 0.3048 N V 21 N "J8"
+T1 -3 12.25 1.00076 1.00076 0 0.2032 N V 21 N "RJ45-TRANSFO"
+DS 3.937 20.066 3.937 8.128 0.3048 21
+DS 3.937 4.953 3.937 -4.953 0.3048 21
+DS -12.065 20.066 -12.065 8.128 0.3048 21
+DS -12.065 4.953 -12.065 -4.953 0.3048 21
+DS 3.937 -4.953 2.921 -4.953 0.3048 21
+DS -5.461 -4.953 -2.159 -4.953 0.3048 21
+DS -12.065 -4.953 -10.795 -4.953 0.3048 21
+DS -12.065 20.11172 3.937 20.11172 0.3048 21
+$PAD
+Sh "Hole" C 3.85064 3.85064 0 0 0
+Dr 3.302 0 0
+At STD N 00F0FFFF
+Ne 0 ""
+Po 1.905 8.89
+$EndPAD
+$PAD
+Sh "Hole" C 3.85064 3.85064 0 0 0
+Dr 3.302 0 0
+At STD N 00F0FFFF
+Ne 0 ""
+Po -9.525 8.89
+$EndPAD
+$PAD
+Sh "8" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 187 "GND"
+Po -8.255 2.54
+$EndPAD
+$PAD
+Sh "7" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 0 ""
+Po -7.62 0
+$EndPAD
+$PAD
+Sh "6" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 159 "/ethernet/RX-"
+Po -5.715 2.54
+$EndPAD
+$PAD
+Sh "5" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 0 ""
+Po -5.08 0
+$EndPAD
+$PAD
+Sh "4" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 158 "/ethernet/RX+"
+Po -3.175 2.54
+$EndPAD
+$PAD
+Sh "3" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 161 "/ethernet/TX-"
+Po -2.54 0
+$EndPAD
+$PAD
+Sh "2" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 157 "/ethernet/PFWBOUT"
+Po -0.635 2.54
+$EndPAD
+$PAD
+Sh "13" C 2.54 2.54 0 0 0
+Dr 1.778 0 0
+At STD N 00F0FFFF
+Ne 187 "GND"
+Po 3.937 5.842
+$EndPAD
+$PAD
+Sh "13" C 2.54 2.54 0 0 0
+Dr 1.778 0 0
+At STD N 00F0FFFF
+Ne 187 "GND"
+Po -11.557 5.842
+$EndPAD
+$PAD
+Sh "YK" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 240 "N-00000413"
+Po -6.731 -4.826
+$EndPAD
+$PAD
+Sh "GA" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 164 "3.3V"
+Po -0.889 -4.826
+$EndPAD
+$PAD
+Sh "YA" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 156 "/ethernet/LED4"
+Po -9.271 -4.826
+$EndPAD
+$PAD
+Sh "GK" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 241 "N-00000415"
+Po 1.651 -4.826
+$EndPAD
+$PAD
+Sh "1" R 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 160 "/ethernet/TX+"
+Po 0 0
+$EndPAD
+$EndMODULE RJ45_TRANSFO
+$MODULE SIL-2
+Po 109.05 38.65 0 15 51920B20 00000000 ~~
+Li SIL-2
+Cd Connecteurs 2 pins
+Kw CONN DEV
+Sc 0
+AR /5152F670
+Op 0 0 0
+T0 2.4 2.875 1.72974 1.08712 0 0.3048 N V 21 N "SW1"
+T1 0 -2.54 1.524 1.016 0 0.254 N I 21 N " "
+DS -2.54 1.27 -2.54 -1.27 0.3048 21
+DS -2.54 -1.27 2.54 -1.27 0.3048 21
+DS 2.54 -1.27 2.54 1.27 0.3048 21
+DS 2.54 1.27 -2.54 1.27 0.3048 21
+$PAD
+Sh "1" R 1.397 1.397 0 0 0
+Dr 0.812799 0 0
+At STD N 00E0FFFF
+Ne 187 "GND"
+Po -1.27 0
+$EndPAD
+$PAD
+Sh "2" C 1.397 1.397 0 0 0
+Dr 0.812799 0 0
+At STD N 00E0FFFF
+Ne 209 "N-00000201"
+Po 1.27 0
+$EndPAD
+$EndMODULE SIL-2
+$MODULE SM0402
+Po 103.475 41.325 0 15 50A4E0BA 00000000 ~~
+Li SM0402
+Sc 0
+AR /5189E9C5
+Op 0 0 0
+At SMD
+T0 0 0 0.35052 0.3048 0 0.07112 N V 21 N "R50"
+T1 0.09906 0 0.35052 0.3048 0 0.07112 N I 21 N "4k7"
+DS -0.254 -0.381 -0.762 -0.381 0.07112 21
+DS -0.762 -0.381 -0.762 0.381 0.07112 21
+DS -0.762 0.381 -0.254 0.381 0.07112 21
+DS 0.254 -0.381 0.762 -0.381 0.07112 21
+DS 0.762 -0.381 0.762 0.381 0.07112 21
+DS 0.762 0.381 0.254 0.381 0.07112 21
+$PAD
+Sh "1" R 0.39878 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 99 "/RESET#"
+Po -0.44958 0
+$EndPAD
+$PAD
+Sh "2" R 0.39878 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 212 "N-00000228"
+Po 0.44958 0
+$EndPAD
+$SHAPE3D
+Na "smd\\chip_cms.wrl"
+Sc 0.05 0.05 0.05
+Of 0 0 0.002
+Ro 0 0 0
+$EndSHAPE3D
+$EndMODULE SM0402
+$MODULE SM0603
+Po 44.775 88.325 0 15 5191E750 00000000 ~~
+Li SM0603
+Sc 0
+AR /51552419
+Op 0 0 0
+At SMD
+T0 -1.95 0.225 0.508 0.4572 0 0.1143 N V 21 N "C20"
+T1 -1.95 -0.55 0.508 0.4572 0 0.1143 N I 21 N "4.7u"
+DS -1.143 -0.635 1.143 -0.635 0.127 21
+DS 1.143 -0.635 1.143 0.635 0.127 21
+DS 1.143 0.635 -1.143 0.635 0.127 21
+DS -1.143 0.635 -1.143 -0.635 0.127 21
+$PAD
+Sh "1" R 0.635 1.143 0 0 -0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -0.762 0
+$EndPAD
+$PAD
+Sh "2" R 0.635 1.143 0 0 -0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po 0.762 0
+$EndPAD
+$SHAPE3D
+Na "smd\\resistors\\R0603.wrl"
+Sc 0.5 0.5 0.5
+Of 0 0 0.001
+Ro 0 0 0
+$EndSHAPE3D
+$EndMODULE SM0603
+$MODULE SM0805
+Po 46.25 36.475 0 15 518882C6 00000000 ~~
+Li SM0805
+Sc 0
+AR /5159654C/515AE226
+Op 0 0 0
+At SMD
+T0 -0.575 -1.375 0.50038 0.50038 0 0.10922 N V 21 N "C138"
+T1 -0.65 -2.175 0.50038 0.50038 0 0.10922 N V 21 N "10u"
+DC -1.651 0.762 -1.651 0.635 0.09906 21
+DS -0.508 0.762 -1.524 0.762 0.09906 21
+DS -1.524 0.762 -1.524 -0.762 0.09906 21
+DS -1.524 -0.762 -0.508 -0.762 0.09906 21
+DS 0.508 -0.762 1.524 -0.762 0.09906 21
+DS 1.524 -0.762 1.524 0.762 0.09906 21
+DS 1.524 0.762 0.508 0.762 0.09906 21
+$PAD
+Sh "1" R 0.889 1.397 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -0.9525 0
+$EndPAD
+$PAD
+Sh "2" R 0.889 1.397 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 157 "/ethernet/PFWBOUT"
+Po 0.9525 0
+$EndPAD
+$SHAPE3D
+Na "smd/chip_cms.wrl"
+Sc 0.1 0.1 0.1
+Of 0 0 0
+Ro 0 0 0
+$EndSHAPE3D
+$EndMODULE SM0805
+$MODULE SM1210L
+Po 100.375 31.65 0 15 5188CB68 00000000 ~~
+Li SM1210L
+Kw CMS SM
+Sc 0
+AR /5150FD3C
+Op 0 0 0
+At SMD
+T0 -0.325 2.2 0.762 0.762 0 0.127 N V 21 N "L2"
+T1 0.025 -2.35 0.889 0.762 0 0.127 N V 21 N "4.7uH/3A"
+DC -2.921 1.651 -2.794 1.524 0.127 21
+DS 0.889 1.524 2.794 1.524 0.127 21
+DS 2.794 1.524 2.794 -1.524 0.127 21
+DS 2.794 -1.524 0.889 -1.524 0.127 21
+DS -0.762 -1.524 -2.794 -1.524 0.127 21
+DS -2.794 -1.524 -2.794 1.524 0.127 21
+DS -2.794 1.524 -0.762 1.524 0.127 21
+$PAD
+Sh "1" R 1.778 2.794 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 222 "N-00000295"
+Po -1.778 0
+$EndPAD
+$PAD
+Sh "2" R 1.778 2.794 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po 1.778 0
+$EndPAD
+$SHAPE3D
+Na "smd/chip_cms.wrl"
+Sc 0.2 0.2 0.2
+Of 0 0 0
+Ro 0 0 0
+$EndSHAPE3D
+$EndMODULE SM1210L
+$MODULE SO14E
+Po 39.225 92.15 0 15 518A1445 00000000 ~~
+Li SO14E
+Cd module CMS SOJ 14 pins etroit
+Kw CMS SOJ
+Sc 0
+AR /51593628
+Op 0 0 0
+At SMD
+T0 -3.6 3.375 1.016 1.143 0 0.127 N V 21 N "U10"
+T1 -0.075 0.05 1.016 1.016 0 0.127 N V 21 N "74LS08"
+DS -4.826 -1.778 4.826 -1.778 0.2032 21
+DS 4.826 -1.778 4.826 2.032 0.2032 21
+DS 4.826 2.032 -4.826 2.032 0.2032 21
+DS -4.826 2.032 -4.826 -1.778 0.2032 21
+DS -4.826 -0.508 -4.064 -0.508 0.2032 21
+DS -4.064 -0.508 -4.064 0.508 0.2032 21
+DS -4.064 0.508 -4.826 0.508 0.2032 21
+$PAD
+Sh "1" R 0.508 1.143 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 165 "5V_IN"
+Po -3.81 2.794
+$EndPAD
+$PAD
+Sh "2" R 0.508 1.143 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 86 "/LVSYN"
+Po -2.54 2.794
+$EndPAD
+$PAD
+Sh "3" R 0.508 1.143 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 206 "N-00000197"
+Po -1.27 2.794
+$EndPAD
+$PAD
+Sh "4" R 0.508 1.143 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 83 "/LHSYN"
+Po 0 2.794
+$EndPAD
+$PAD
+Sh "5" R 0.508 1.143 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 165 "5V_IN"
+Po 1.27 2.794
+$EndPAD
+$PAD
+Sh "6" R 0.508 1.143 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 210 "N-00000202"
+Po 2.54 2.794
+$EndPAD
+$PAD
+Sh "7" R 0.508 1.143 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 3.81 2.794
+$EndPAD
+$PAD
+Sh "8" R 0.508 1.143 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 3.81 -2.54
+$EndPAD
+$PAD
+Sh "9" R 0.508 1.143 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 2.54 -2.54
+$EndPAD
+$PAD
+Sh "10" R 0.508 1.143 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 1.27 -2.54
+$EndPAD
+$PAD
+Sh "11" R 0.508 1.143 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 0 -2.54
+$EndPAD
+$PAD
+Sh "12" R 0.508 1.143 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -1.27 -2.54
+$EndPAD
+$PAD
+Sh "13" R 0.508 1.143 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -2.54 -2.54
+$EndPAD
+$PAD
+Sh "14" R 0.508 1.143 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 165 "5V_IN"
+Po -3.81 -2.54
+$EndPAD
+$SHAPE3D
+Na "smd/cms_so14.wrl"
+Sc 0.5 0.3 0.5
+Of 0 0 0
+Ro 0 0 0
+$EndSHAPE3D
+$EndMODULE SO14E
+$MODULE SODIMM200-JAE
+Po 0 0 0 15 5112D1A3 00000000 ~~
+Li SODIMM200-JAE
+Cd JAE-CONNECTOR SO-DIMM 200 CONNECTOR
+Kw JAE-CONNECTOR SO-DIMM 200 CONNECTOR
+Sc 0
+AR /51124EB5
+Op 0 0 0
+At SMD
+T0 -32.35198 -0.59436 1.27 1.27 900 0.0889 N I 20 N "SO1"
+T1 -36.44646 0.635 1.27 1.27 900 0.0889 N I 20 N "SODIMM200_EDGE_D"
+T2 36.44646 0 1.27 1.27 900 0.0889 N I 20 N ">VALUE"
+T2 -32.02432 1.89738 0.8128 0.8128 900 0.0889 N V 20 N "1"
+T2 31.05658 1.09474 0.8128 0.8128 900 0.0889 N V 20 N "199"
+T2 -16.42364 1.6891 0.8128 0.8128 900 0.0889 N V 20 N "41"
+$PAD
+Sh "1" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 65 "N-000240"
+Po -31.64586 4.14782
+$EndPAD
+$PAD
+Sh "2" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 176 "N-000813"
+Po -31.33598 4.14782
+$EndPAD
+$PAD
+Sh "3" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 51 "N-000226"
+Po -31.04896 4.14782
+$EndPAD
+$PAD
+Sh "4" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 162 "N-000799"
+Po -30.73908 4.14782
+$EndPAD
+$PAD
+Sh "5" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 63 "N-000238"
+Po -30.44952 4.14782
+$EndPAD
+$PAD
+Sh "6" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 174 "N-000811"
+Po -30.13964 4.14782
+$EndPAD
+$PAD
+Sh "7" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 62 "N-000237"
+Po -29.84754 4.14782
+$EndPAD
+$PAD
+Sh "8" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 173 "N-000810"
+Po -29.53766 4.14782
+$EndPAD
+$PAD
+Sh "9" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 61 "N-000236"
+Po -29.2481 4.14782
+$EndPAD
+$PAD
+Sh "10" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 172 "N-000809"
+Po -28.93822 4.14782
+$EndPAD
+$PAD
+Sh "11" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 60 "N-000235"
+Po -28.64866 4.14782
+$EndPAD
+$PAD
+Sh "12" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 171 "N-000808"
+Po -28.33878 4.14782
+$EndPAD
+$PAD
+Sh "13" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 59 "N-000234"
+Po -28.04922 4.14782
+$EndPAD
+$PAD
+Sh "14" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 170 "N-000807"
+Po -27.73934 4.14782
+$EndPAD
+$PAD
+Sh "15" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 58 "N-000233"
+Po -27.44978 4.14782
+$EndPAD
+$PAD
+Sh "16" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 169 "N-000806"
+Po -27.1399 4.14782
+$EndPAD
+$PAD
+Sh "17" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 57 "N-000232"
+Po -26.8478 4.14782
+$EndPAD
+$PAD
+Sh "18" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 168 "N-000805"
+Po -26.53792 4.14782
+$EndPAD
+$PAD
+Sh "19" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 56 "N-000231"
+Po -26.24836 4.14782
+$EndPAD
+$PAD
+Sh "20" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 167 "N-000804"
+Po -25.93848 4.14782
+$EndPAD
+$PAD
+Sh "21" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 55 "N-000230"
+Po -25.64892 4.14782
+$EndPAD
+$PAD
+Sh "22" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 166 "N-000803"
+Po -25.33904 4.14782
+$EndPAD
+$PAD
+Sh "23" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 54 "N-000229"
+Po -25.04948 4.14782
+$EndPAD
+$PAD
+Sh "24" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 165 "N-000802"
+Po -24.7396 4.14782
+$EndPAD
+$PAD
+Sh "25" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 53 "N-000228"
+Po -24.4475 4.14782
+$EndPAD
+$PAD
+Sh "26" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 164 "N-000801"
+Po -24.13762 4.14782
+$EndPAD
+$PAD
+Sh "27" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 52 "N-000227"
+Po -23.84806 4.14782
+$EndPAD
+$PAD
+Sh "28" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 163 "N-000800"
+Po -23.53818 4.14782
+$EndPAD
+$PAD
+Sh "29" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 64 "N-000239"
+Po -23.24862 4.14782
+$EndPAD
+$PAD
+Sh "30" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 175 "N-000812"
+Po -22.93874 4.14782
+$EndPAD
+$PAD
+Sh "31" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 89 "N-000265"
+Po -22.64918 4.14782
+$EndPAD
+$PAD
+Sh "32" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 200 "N-000837"
+Po -22.3393 4.14782
+$EndPAD
+$PAD
+Sh "33" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 88 "N-000264"
+Po -22.04974 4.14782
+$EndPAD
+$PAD
+Sh "34" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 199 "N-000836"
+Po -21.73986 4.14782
+$EndPAD
+$PAD
+Sh "35" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 87 "N-000263"
+Po -21.44776 4.14782
+$EndPAD
+$PAD
+Sh "36" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 198 "N-000835"
+Po -21.13788 4.14782
+$EndPAD
+$PAD
+Sh "37" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 86 "N-000262"
+Po -20.84832 4.14782
+$EndPAD
+$PAD
+Sh "38" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 197 "N-000834"
+Po -20.53844 4.14782
+$EndPAD
+$PAD
+Sh "39" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 85 "N-000261"
+Po -20.24888 4.14782
+$EndPAD
+$PAD
+Sh "40" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 196 "N-000833"
+Po -19.939 4.14782
+$EndPAD
+$PAD
+Sh "41" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 84 "N-000260"
+Po -16.03756 4.14782
+$EndPAD
+$PAD
+Sh "42" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 195 "N-000832"
+Po -15.73784 4.14782
+$EndPAD
+$PAD
+Sh "43" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 83 "N-000259"
+Po -15.44828 4.14782
+$EndPAD
+$PAD
+Sh "44" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 194 "N-000831"
+Po -15.1384 4.14782
+$EndPAD
+$PAD
+Sh "45" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 82 "N-000258"
+Po -14.84884 4.14782
+$EndPAD
+$PAD
+Sh "46" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 193 "N-000830"
+Po -14.53896 4.14782
+$EndPAD
+$PAD
+Sh "47" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 81 "N-000257"
+Po -14.2494 4.14782
+$EndPAD
+$PAD
+Sh "48" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 192 "N-000829"
+Po -13.93952 4.14782
+$EndPAD
+$PAD
+Sh "49" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 80 "N-000256"
+Po -13.64996 4.14782
+$EndPAD
+$PAD
+Sh "50" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 191 "N-000828"
+Po -13.33754 4.14782
+$EndPAD
+$PAD
+Sh "51" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 79 "N-000255"
+Po -13.04798 4.14782
+$EndPAD
+$PAD
+Sh "52" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 190 "N-000827"
+Po -12.7381 4.14782
+$EndPAD
+$PAD
+Sh "53" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 66 "N-000241"
+Po -12.44854 4.14782
+$EndPAD
+$PAD
+Sh "54" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 177 "N-000814"
+Po -12.13866 4.14782
+$EndPAD
+$PAD
+Sh "55" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 78 "N-000253"
+Po -11.8491 4.14782
+$EndPAD
+$PAD
+Sh "56" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 189 "N-000826"
+Po -11.53922 4.14782
+$EndPAD
+$PAD
+Sh "57" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 77 "N-000252"
+Po -11.24966 4.14782
+$EndPAD
+$PAD
+Sh "58" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 188 "N-000825"
+Po -10.93978 4.14782
+$EndPAD
+$PAD
+Sh "59" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 76 "N-000251"
+Po -10.64768 4.14782
+$EndPAD
+$PAD
+Sh "60" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 187 "N-000824"
+Po -10.3378 4.14782
+$EndPAD
+$PAD
+Sh "61" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 75 "N-000250"
+Po -10.04824 4.14782
+$EndPAD
+$PAD
+Sh "62" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 186 "N-000823"
+Po -9.73836 4.14782
+$EndPAD
+$PAD
+Sh "63" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 74 "N-000249"
+Po -9.4488 4.14782
+$EndPAD
+$PAD
+Sh "64" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 185 "N-000822"
+Po -9.13892 4.14782
+$EndPAD
+$PAD
+Sh "65" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 73 "N-000248"
+Po -8.84936 4.14782
+$EndPAD
+$PAD
+Sh "66" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 184 "N-000821"
+Po -8.53948 4.14782
+$EndPAD
+$PAD
+Sh "67" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 72 "N-000247"
+Po -8.24992 4.14782
+$EndPAD
+$PAD
+Sh "68" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 183 "N-000820"
+Po -7.9375 4.14782
+$EndPAD
+$PAD
+Sh "69" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 71 "N-000246"
+Po -7.64794 4.14782
+$EndPAD
+$PAD
+Sh "70" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 182 "N-000819"
+Po -7.33806 4.14782
+$EndPAD
+$PAD
+Sh "71" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 70 "N-000245"
+Po -7.0485 4.14782
+$EndPAD
+$PAD
+Sh "72" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 181 "N-000818"
+Po -6.73862 4.14782
+$EndPAD
+$PAD
+Sh "73" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 69 "N-000244"
+Po -6.44906 4.14782
+$EndPAD
+$PAD
+Sh "74" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 180 "N-000817"
+Po -6.13918 4.14782
+$EndPAD
+$PAD
+Sh "75" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 68 "N-000243"
+Po -5.84962 4.14782
+$EndPAD
+$PAD
+Sh "76" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 179 "N-000816"
+Po -5.53974 4.14782
+$EndPAD
+$PAD
+Sh "77" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 67 "N-000242"
+Po -5.24764 4.14782
+$EndPAD
+$PAD
+Sh "78" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 178 "N-000815"
+Po -4.93776 4.14782
+$EndPAD
+$PAD
+Sh "79" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 39 "N-000214"
+Po -4.6482 4.14782
+$EndPAD
+$PAD
+Sh "80" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 155 "N-000792"
+Po -4.33832 4.14782
+$EndPAD
+$PAD
+Sh "81" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 25 "N-000200"
+Po -4.04876 4.14782
+$EndPAD
+$PAD
+Sh "82" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 154 "N-000791"
+Po -3.73888 4.14782
+$EndPAD
+$PAD
+Sh "83" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 24 "N-000199"
+Po -3.44932 4.14782
+$EndPAD
+$PAD
+Sh "84" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 153 "N-000790"
+Po -3.13944 4.14782
+$EndPAD
+$PAD
+Sh "85" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 23 "N-000198"
+Po -2.84988 4.14782
+$EndPAD
+$PAD
+Sh "86" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 152 "N-000789"
+Po -2.54 4.14782
+$EndPAD
+$PAD
+Sh "87" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 22 "N-000197"
+Po -2.2479 4.14782
+$EndPAD
+$PAD
+Sh "88" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 151 "N-000788"
+Po -1.93802 4.14782
+$EndPAD
+$PAD
+Sh "89" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 21 "N-000196"
+Po -1.64846 4.14782
+$EndPAD
+$PAD
+Sh "90" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 150 "N-000787"
+Po -1.33858 4.14782
+$EndPAD
+$PAD
+Sh "91" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 20 "N-000195"
+Po -1.04902 4.14782
+$EndPAD
+$PAD
+Sh "92" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 149 "N-000786"
+Po -0.73914 4.14782
+$EndPAD
+$PAD
+Sh "93" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 19 "N-000194"
+Po -0.44958 4.14782
+$EndPAD
+$PAD
+Sh "94" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 148 "N-000785"
+Po -0.1397 4.14782
+$EndPAD
+$PAD
+Sh "95" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 18 "N-000193"
+Po 0.14986 4.14782
+$EndPAD
+$PAD
+Sh "96" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 147 "N-000784"
+Po 0.45974 4.14782
+$EndPAD
+$PAD
+Sh "97" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 17 "N-000192"
+Po 0.7493 4.14782
+$EndPAD
+$PAD
+Sh "98" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 146 "N-000783"
+Po 1.05918 4.14782
+$EndPAD
+$PAD
+Sh "99" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 16 "N-000191"
+Po 1.34874 4.14782
+$EndPAD
+$PAD
+Sh "100" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 145 "N-000782"
+Po 1.65862 4.14782
+$EndPAD
+$PAD
+Sh "101" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 15 "N-000190"
+Po 1.94818 4.14782
+$EndPAD
+$PAD
+Sh "102" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 144 "N-000781"
+Po 2.25806 4.14782
+$EndPAD
+$PAD
+Sh "103" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 1 "N-000176"
+Po 2.54762 4.14782
+$EndPAD
+$PAD
+Sh "104" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 130 "N-000767"
+Po 2.8575 4.14782
+$EndPAD
+$PAD
+Sh "105" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 13 "N-000188"
+Po 3.1496 4.14782
+$EndPAD
+$PAD
+Sh "106" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 142 "N-000779"
+Po 3.45948 4.14782
+$EndPAD
+$PAD
+Sh "107" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 12 "N-000187"
+Po 3.74904 4.14782
+$EndPAD
+$PAD
+Sh "108" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 141 "N-000778"
+Po 4.05892 4.14782
+$EndPAD
+$PAD
+Sh "109" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 11 "N-000186"
+Po 4.34848 4.14782
+$EndPAD
+$PAD
+Sh "110" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 140 "N-000777"
+Po 4.65836 4.14782
+$EndPAD
+$PAD
+Sh "111" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 10 "N-000185"
+Po 4.94792 4.14782
+$EndPAD
+$PAD
+Sh "112" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 139 "N-000776"
+Po 5.2578 4.14782
+$EndPAD
+$PAD
+Sh "113" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 9 "N-000184"
+Po 5.5499 4.14782
+$EndPAD
+$PAD
+Sh "114" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 138 "N-000775"
+Po 5.85978 4.14782
+$EndPAD
+$PAD
+Sh "115" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 8 "N-000183"
+Po 6.14934 4.14782
+$EndPAD
+$PAD
+Sh "116" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 137 "N-000774"
+Po 6.45922 4.14782
+$EndPAD
+$PAD
+Sh "117" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 7 "N-000182"
+Po 6.74878 4.14782
+$EndPAD
+$PAD
+Sh "118" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 136 "N-000773"
+Po 7.05866 4.14782
+$EndPAD
+$PAD
+Sh "119" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 6 "N-000181"
+Po 7.34822 4.14782
+$EndPAD
+$PAD
+Sh "120" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 135 "N-000772"
+Po 7.6581 4.14782
+$EndPAD
+$PAD
+Sh "121" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 5 "N-000180"
+Po 7.94766 4.14782
+$EndPAD
+$PAD
+Sh "122" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 134 "N-000771"
+Po 8.25754 4.14782
+$EndPAD
+$PAD
+Sh "123" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 4 "N-000179"
+Po 8.54964 4.14782
+$EndPAD
+$PAD
+Sh "124" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 133 "N-000770"
+Po 8.85952 4.14782
+$EndPAD
+$PAD
+Sh "125" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 3 "N-000178"
+Po 9.14908 4.14782
+$EndPAD
+$PAD
+Sh "126" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 132 "N-000769"
+Po 9.45896 4.14782
+$EndPAD
+$PAD
+Sh "127" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 2 "N-000177"
+Po 9.74852 4.14782
+$EndPAD
+$PAD
+Sh "128" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 131 "N-000768"
+Po 10.0584 4.14782
+$EndPAD
+$PAD
+Sh "129" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 14 "N-000189"
+Po 10.34796 4.14782
+$EndPAD
+$PAD
+Sh "130" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 143 "N-000780"
+Po 10.65784 4.14782
+$EndPAD
+$PAD
+Sh "131" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 50 "N-000225"
+Po 10.94994 4.14782
+$EndPAD
+$PAD
+Sh "132" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 161 "N-000798"
+Po 11.25982 4.14782
+$EndPAD
+$PAD
+Sh "133" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 49 "N-000224"
+Po 11.54938 4.14782
+$EndPAD
+$PAD
+Sh "134" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 160 "N-000797"
+Po 11.85926 4.14782
+$EndPAD
+$PAD
+Sh "135" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 48 "N-000223"
+Po 12.14882 4.14782
+$EndPAD
+$PAD
+Sh "136" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 159 "N-000796"
+Po 12.4587 4.14782
+$EndPAD
+$PAD
+Sh "137" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 47 "N-000222"
+Po 12.74826 4.14782
+$EndPAD
+$PAD
+Sh "138" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 158 "N-000795"
+Po 13.05814 4.14782
+$EndPAD
+$PAD
+Sh "139" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 46 "N-000221"
+Po 13.3477 4.14782
+$EndPAD
+$PAD
+Sh "140" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 157 "N-000794"
+Po 13.65758 4.14782
+$EndPAD
+$PAD
+Sh "141" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 45 "N-000220"
+Po 13.94968 4.14782
+$EndPAD
+$PAD
+Sh "142" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 156 "N-000793"
+Po 14.25956 4.14782
+$EndPAD
+$PAD
+Sh "143" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 44 "N-000219"
+Po 14.54912 4.14782
+$EndPAD
+$PAD
+Sh "144" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 110 "N-000706"
+Po 14.859 4.14782
+$EndPAD
+$PAD
+Sh "145" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 43 "N-000218"
+Po 15.14856 4.14782
+$EndPAD
+$PAD
+Sh "146" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 111 "N-000707"
+Po 15.45844 4.14782
+$EndPAD
+$PAD
+Sh "147" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 42 "N-000217"
+Po 15.748 4.14782
+$EndPAD
+$PAD
+Sh "148" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 112 "N-000708"
+Po 16.05788 4.14782
+$EndPAD
+$PAD
+Sh "149" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 41 "N-000216"
+Po 16.34998 4.14782
+$EndPAD
+$PAD
+Sh "150" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 113 "N-000709"
+Po 16.65986 4.14782
+$EndPAD
+$PAD
+Sh "151" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 40 "N-000215"
+Po 16.94942 4.14782
+$EndPAD
+$PAD
+Sh "152" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 114 "N-000710"
+Po 17.2593 4.14782
+$EndPAD
+$PAD
+Sh "153" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 26 "N-000201"
+Po 17.54886 4.14782
+$EndPAD
+$PAD
+Sh "154" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 115 "N-000711"
+Po 17.85874 4.14782
+$EndPAD
+$PAD
+Sh "155" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 38 "N-000213"
+Po 18.1483 4.14782
+$EndPAD
+$PAD
+Sh "156" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 109 "N-000705"
+Po 18.45818 4.14782
+$EndPAD
+$PAD
+Sh "157" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 37 "N-000212"
+Po 18.74774 4.14782
+$EndPAD
+$PAD
+Sh "158" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 117 "N-000713"
+Po 19.05762 4.14782
+$EndPAD
+$PAD
+Sh "159" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 36 "N-000211"
+Po 19.34972 4.14782
+$EndPAD
+$PAD
+Sh "160" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 118 "N-000714"
+Po 19.6596 4.14782
+$EndPAD
+$PAD
+Sh "161" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 35 "N-000210"
+Po 19.94916 4.14782
+$EndPAD
+$PAD
+Sh "162" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 119 "N-000715"
+Po 20.25904 4.14782
+$EndPAD
+$PAD
+Sh "163" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 34 "N-000209"
+Po 20.5486 4.14782
+$EndPAD
+$PAD
+Sh "164" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 120 "N-000716"
+Po 20.85848 4.14782
+$EndPAD
+$PAD
+Sh "165" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 33 "N-000208"
+Po 21.14804 4.14782
+$EndPAD
+$PAD
+Sh "166" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 121 "N-000717"
+Po 21.45792 4.14782
+$EndPAD
+$PAD
+Sh "167" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 32 "N-000207"
+Po 21.74748 4.14782
+$EndPAD
+$PAD
+Sh "168" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 97 "N-000693"
+Po 22.0599 4.14782
+$EndPAD
+$PAD
+Sh "169" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 31 "N-000206"
+Po 22.34946 4.14782
+$EndPAD
+$PAD
+Sh "170" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 91 "N-000687"
+Po 22.65934 4.14782
+$EndPAD
+$PAD
+Sh "171" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 30 "N-000205"
+Po 22.9489 4.14782
+$EndPAD
+$PAD
+Sh "172" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 92 "N-000688"
+Po 23.25878 4.14782
+$EndPAD
+$PAD
+Sh "173" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 29 "N-000204"
+Po 23.54834 4.14782
+$EndPAD
+$PAD
+Sh "174" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 93 "N-000689"
+Po 23.85822 4.14782
+$EndPAD
+$PAD
+Sh "175" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 28 "N-000203"
+Po 24.14778 4.14782
+$EndPAD
+$PAD
+Sh "176" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 94 "N-000690"
+Po 24.45766 4.14782
+$EndPAD
+$PAD
+Sh "177" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 27 "N-000202"
+Po 24.74976 4.14782
+$EndPAD
+$PAD
+Sh "178" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 95 "N-000691"
+Po 25.05964 4.14782
+$EndPAD
+$PAD
+Sh "179" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 90 "N-000614"
+Po 25.3492 4.14782
+$EndPAD
+$PAD
+Sh "180" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 96 "N-000692"
+Po 25.65908 4.14782
+$EndPAD
+$PAD
+Sh "181" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 99 "N-000695"
+Po 25.94864 4.14782
+$EndPAD
+$PAD
+Sh "182" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 98 "N-000694"
+Po 26.25852 4.14782
+$EndPAD
+$PAD
+Sh "183" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 101 "N-000697"
+Po 26.54808 4.14782
+$EndPAD
+$PAD
+Sh "184" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 100 "N-000696"
+Po 26.85796 4.14782
+$EndPAD
+$PAD
+Sh "185" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 103 "N-000699"
+Po 27.14752 4.14782
+$EndPAD
+$PAD
+Sh "186" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 102 "N-000698"
+Po 27.45994 4.14782
+$EndPAD
+$PAD
+Sh "187" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 105 "N-000701"
+Po 27.7495 4.14782
+$EndPAD
+$PAD
+Sh "188" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 104 "N-000700"
+Po 28.05938 4.14782
+$EndPAD
+$PAD
+Sh "189" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 107 "N-000703"
+Po 28.34894 4.14782
+$EndPAD
+$PAD
+Sh "190" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 106 "N-000702"
+Po 28.65882 4.14782
+$EndPAD
+$PAD
+Sh "191" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 116 "N-000712"
+Po 28.94838 4.14782
+$EndPAD
+$PAD
+Sh "192" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 108 "N-000704"
+Po 29.25826 4.14782
+$EndPAD
+$PAD
+Sh "193" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 123 "N-000745"
+Po 29.54782 4.14782
+$EndPAD
+$PAD
+Sh "194" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 122 "N-000744"
+Po 29.8577 4.14782
+$EndPAD
+$PAD
+Sh "195" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 125 "N-000747"
+Po 30.1498 4.14782
+$EndPAD
+$PAD
+Sh "196" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 124 "N-000746"
+Po 30.45968 4.14782
+$EndPAD
+$PAD
+Sh "197" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 127 "N-000749"
+Po 30.74924 4.14782
+$EndPAD
+$PAD
+Sh "198" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 126 "N-000748"
+Po 31.05912 4.14782
+$EndPAD
+$PAD
+Sh "199" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 129 "N-000751"
+Po 31.34868 4.14782
+$EndPAD
+$PAD
+Sh "200" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 128 "N-000750"
+Po 31.65856 4.14782
+$EndPAD
+$EndMODULE SODIMM200-JAE
+$MODULE SOT23-5
+Po 85.65 38.175 0 15 5188CCAA 00000000 ~~
+Li SOT23-5
+Sc 0
+AR /514F0D71
+Op 0 0 0
+At SMD
+T0 2.05 -0.05 0.635 0.635 900 0.127 N V 21 N "U9"
+T1 -0.05 0.55 0.2 0.2 0 0.05 N V 21 N "SY8008C"
+DS 1.524 -0.889 1.524 0.889 0.127 21
+DS 1.524 0.889 -1.524 0.889 0.127 21
+DS -1.524 0.889 -1.524 -0.889 0.127 21
+DS -1.524 -0.889 1.524 -0.889 0.127 21
+$PAD
+Sh "1" R 0.508 0.762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 40 "/EXTEN"
+Po -0.9525 1.27
+$EndPAD
+$PAD
+Sh "3" R 0.508 0.762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 224 "N-00000297"
+Po 0.9525 1.27
+$EndPAD
+$PAD
+Sh "5" R 0.508 0.762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 218 "N-00000268"
+Po -0.9525 -1.27
+$EndPAD
+$PAD
+Sh "2" R 0.508 0.762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 0 1.27
+$EndPAD
+$PAD
+Sh "4" R 0.508 0.762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 165 "5V_IN"
+Po 0.9525 -1.27
+$EndPAD
+$SHAPE3D
+Na "smd/SOT23_5.wrl"
+Sc 0.1 0.1 0.1
+Of 0 0 0
+Ro 0 0 0
+$EndSHAPE3D
+$EndMODULE SOT23-5
+$MODULE TFBGA441-new
+Po 77 66 0 15 510A4318 00000000 ~~
+Li TFBGA441-new
+Cd 441 pin Thin Fine Pitch BGA
+Sc 0
+AR /514D47F5
+Op 0 0 0
+T0 0 0 0.0004 0.0004 0 0.00012 N V 21 N "U3"
+T1 0 0 0.0004 0.0004 0 0.00012 N V 21 N "A10-SOC"
+DS 9.6 9.6 9.6 -9.4 0.15 21
+DS 9.6 -9.4 9.4 -9.4 0.15 21
+DS -9.6 -9.4 -10 -9.8 0.15 21
+DS -9.6 -9.4 9.4 -9.4 0.15 21
+DS 9.6 9.6 -9.6 9.6 0.15 21
+DS -9.6 9.6 -9.6 -9.4 0.15 21
+$PAD
+Sh "A1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -8.8 -8.8
+$EndPAD
+$PAD
+Sh "A2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -8 -8.8
+$EndPAD
+$PAD
+Sh "A3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -7.2 -8.8
+$EndPAD
+$PAD
+Sh "A4" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 142 "/U5TX"
+Po -6.4 -8.8
+$EndPAD
+$PAD
+Sh "A5" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -5.6 -8.8
+$EndPAD
+$PAD
+Sh "A6" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 138 "/U3TX"
+Po -4.8 -8.8
+$EndPAD
+$PAD
+Sh "A7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 136 "/U0Tx"
+Po -4 -8.8
+$EndPAD
+$PAD
+Sh "A8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -3.2 -8.8
+$EndPAD
+$PAD
+Sh "A9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 55 "/J_DO0"
+Po -2.4 -8.8
+$EndPAD
+$PAD
+Sh "A10" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 56 "/J_MS0"
+Po -1.6 -8.8
+$EndPAD
+$PAD
+Sh "A11" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -0.8 -8.8
+$EndPAD
+$PAD
+Sh "A12" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 0 -8.8
+$EndPAD
+$PAD
+Sh "A13" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 0.8 -8.8
+$EndPAD
+$PAD
+Sh "A14" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 1.6 -8.8
+$EndPAD
+$PAD
+Sh "A15" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 133 "/TWI0SCK"
+Po 2.4 -8.8
+$EndPAD
+$PAD
+Sh "A16" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 3.2 -8.8
+$EndPAD
+$PAD
+Sh "A17" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 4 -8.8
+$EndPAD
+$PAD
+Sh "A18" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 4.8 -8.8
+$EndPAD
+$PAD
+Sh "A19" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 5.6 -8.8
+$EndPAD
+$PAD
+Sh "A20" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 6.4 -8.8
+$EndPAD
+$PAD
+Sh "A21" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 7.2 -8.8
+$EndPAD
+$PAD
+Sh "A22" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 8 -8.8
+$EndPAD
+$PAD
+Sh "A23" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 8.8 -8.8
+$EndPAD
+$PAD
+Sh "B1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -8.8 -8
+$EndPAD
+$PAD
+Sh "B2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -8 -8
+$EndPAD
+$PAD
+Sh "B3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -7.2 -8
+$EndPAD
+$PAD
+Sh "B4" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 141 "/U5RX"
+Po -6.4 -8
+$EndPAD
+$PAD
+Sh "B5" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 140 "/U4TX"
+Po -5.6 -8
+$EndPAD
+$PAD
+Sh "B6" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 137 "/U3RX"
+Po -4.8 -8
+$EndPAD
+$PAD
+Sh "B7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 135 "/U0Rx"
+Po -4 -8
+$EndPAD
+$PAD
+Sh "B8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -3.2 -8
+$EndPAD
+$PAD
+Sh "B9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 54 "/J_DI0"
+Po -2.4 -8
+$EndPAD
+$PAD
+Sh "B10" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 53 "/J_CK0"
+Po -1.6 -8
+$EndPAD
+$PAD
+Sh "B11" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -0.8 -8
+$EndPAD
+$PAD
+Sh "B12" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 0 -8
+$EndPAD
+$PAD
+Sh "B13" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 0.8 -8
+$EndPAD
+$PAD
+Sh "B14" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 1.6 -8
+$EndPAD
+$PAD
+Sh "B15" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 134 "/TWI0SDA"
+Po 2.4 -8
+$EndPAD
+$PAD
+Sh "B16" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 3.2 -8
+$EndPAD
+$PAD
+Sh "B17" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 4 -8
+$EndPAD
+$PAD
+Sh "B18" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 4.8 -8
+$EndPAD
+$PAD
+Sh "B19" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 5.6 -8
+$EndPAD
+$PAD
+Sh "B20" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 6.4 -8
+$EndPAD
+$PAD
+Sh "B21" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 7.2 -8
+$EndPAD
+$PAD
+Sh "B22" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 98 "/PE7"
+Po 8 -8
+$EndPAD
+$PAD
+Sh "B23" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 97 "/PE6"
+Po 8.8 -8
+$EndPAD
+$PAD
+Sh "C1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -8.8 -7.2
+$EndPAD
+$PAD
+Sh "C2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -8 -7.2
+$EndPAD
+$PAD
+Sh "C3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -7.2 -7.2
+$EndPAD
+$PAD
+Sh "C4" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -6.4 -7.2
+$EndPAD
+$PAD
+Sh "C5" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 139 "/U4RX"
+Po -5.6 -7.2
+$EndPAD
+$PAD
+Sh "C6" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -4.8 -7.2
+$EndPAD
+$PAD
+Sh "C7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -4 -7.2
+$EndPAD
+$PAD
+Sh "C8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -3.2 -7.2
+$EndPAD
+$PAD
+Sh "C9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -2.4 -7.2
+$EndPAD
+$PAD
+Sh "C10" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -1.6 -7.2
+$EndPAD
+$PAD
+Sh "C11" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -0.8 -7.2
+$EndPAD
+$PAD
+Sh "C12" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 0 -7.2
+$EndPAD
+$PAD
+Sh "C13" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 186 "ETXERR"
+Po 0.8 -7.2
+$EndPAD
+$PAD
+Sh "C14" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 99 "/RESET#"
+Po 1.6 -7.2
+$EndPAD
+$PAD
+Sh "C15" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 2.4 -7.2
+$EndPAD
+$PAD
+Sh "C16" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 3.2 -7.2
+$EndPAD
+$PAD
+Sh "C17" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 4 -7.2
+$EndPAD
+$PAD
+Sh "C18" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 4.8 -7.2
+$EndPAD
+$PAD
+Sh "C19" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 5.6 -7.2
+$EndPAD
+$PAD
+Sh "C20" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 6.4 -7.2
+$EndPAD
+$PAD
+Sh "C21" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 7.2 -7.2
+$EndPAD
+$PAD
+Sh "C22" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 96 "/PE5"
+Po 8 -7.2
+$EndPAD
+$PAD
+Sh "C23" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 95 "/PE4"
+Po 8.8 -7.2
+$EndPAD
+$PAD
+Sh "D1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -8.8 -6.4
+$EndPAD
+$PAD
+Sh "D2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -8 -6.4
+$EndPAD
+$PAD
+Sh "D3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -7.2 -6.4
+$EndPAD
+$PAD
+Sh "D4" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -6.4 -6.4
+$EndPAD
+$PAD
+Sh "D5" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 177 "ERXD3"
+Po -5.6 -6.4
+$EndPAD
+$PAD
+Sh "D6" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 175 "ERXD1"
+Po -4.8 -6.4
+$EndPAD
+$PAD
+Sh "D7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 184 "ETXD3"
+Po -4 -6.4
+$EndPAD
+$PAD
+Sh "D8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 182 "ETXD1"
+Po -3.2 -6.4
+$EndPAD
+$PAD
+Sh "D9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 173 "ERXCK"
+Po -2.4 -6.4
+$EndPAD
+$PAD
+Sh "D10" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 178 "ERXDV"
+Po -1.6 -6.4
+$EndPAD
+$PAD
+Sh "D11" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 172 "EMDIO"
+Po -0.8 -6.4
+$EndPAD
+$PAD
+Sh "D12" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 180 "ETXCK"
+Po 0 -6.4
+$EndPAD
+$PAD
+Sh "D13" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 169 "ECOL"
+Po 0.8 -6.4
+$EndPAD
+$PAD
+Sh "D14" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 1.6 -6.4
+$EndPAD
+$PAD
+Sh "D15" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 2.4 -6.4
+$EndPAD
+$PAD
+Sh "D16" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 3.2 -6.4
+$EndPAD
+$PAD
+Sh "D17" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 4 -6.4
+$EndPAD
+$PAD
+Sh "D18" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 4.8 -6.4
+$EndPAD
+$PAD
+Sh "D19" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 5.6 -6.4
+$EndPAD
+$PAD
+Sh "D20" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 6.4 -6.4
+$EndPAD
+$PAD
+Sh "D21" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 7.2 -6.4
+$EndPAD
+$PAD
+Sh "D22" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 94 "/PE3"
+Po 8 -6.4
+$EndPAD
+$PAD
+Sh "D23" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 93 "/PE2"
+Po 8.8 -6.4
+$EndPAD
+$PAD
+Sh "E1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -8.8 -5.6
+$EndPAD
+$PAD
+Sh "E2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -8 -5.6
+$EndPAD
+$PAD
+Sh "E3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -7.2 -5.6
+$EndPAD
+$PAD
+Sh "E4" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -6.4 -5.6
+$EndPAD
+$PAD
+Sh "E5" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 176 "ERXD2"
+Po -5.6 -5.6
+$EndPAD
+$PAD
+Sh "E6" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 174 "ERXD0"
+Po -4.8 -5.6
+$EndPAD
+$PAD
+Sh "E7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 183 "ETXD2"
+Po -4 -5.6
+$EndPAD
+$PAD
+Sh "E8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 181 "ETXD0"
+Po -3.2 -5.6
+$EndPAD
+$PAD
+Sh "E9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 179 "ERXERR"
+Po -2.4 -5.6
+$EndPAD
+$PAD
+Sh "E10" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 171 "EMDC"
+Po -1.6 -5.6
+$EndPAD
+$PAD
+Sh "E11" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 185 "ETXEN"
+Po -0.8 -5.6
+$EndPAD
+$PAD
+Sh "E12" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 170 "ECRS"
+Po 0 -5.6
+$EndPAD
+$PAD
+Sh "E13" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 0.8 -5.6
+$EndPAD
+$PAD
+Sh "E14" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 1.6 -5.6
+$EndPAD
+$PAD
+Sh "E15" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 2.4 -5.6
+$EndPAD
+$PAD
+Sh "E16" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 3.2 -5.6
+$EndPAD
+$PAD
+Sh "E17" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 4 -5.6
+$EndPAD
+$PAD
+Sh "E18" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po 4.8 -5.6
+$EndPAD
+$PAD
+Sh "E19" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 5.6 -5.6
+$EndPAD
+$PAD
+Sh "E20" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 6.4 -5.6
+$EndPAD
+$PAD
+Sh "E21" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 7.2 -5.6
+$EndPAD
+$PAD
+Sh "E22" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 92 "/PE1"
+Po 8 -5.6
+$EndPAD
+$PAD
+Sh "E23" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 91 "/PE0"
+Po 8.8 -5.6
+$EndPAD
+$PAD
+Sh "F1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 234 "N-00000364"
+Po -8.8 -4.8
+$EndPAD
+$PAD
+Sh "F2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 211 "N-00000203"
+Po -8 -4.8
+$EndPAD
+$PAD
+Sh "F3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -7.2 -4.8
+$EndPAD
+$PAD
+Sh "F4" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -6.4 -4.8
+$EndPAD
+$PAD
+Sh "F5" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 89 "/NMI#"
+Po -5.6 -4.8
+$EndPAD
+$PAD
+Sh "F19" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po 5.6 -4.8
+$EndPAD
+$PAD
+Sh "F20" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 6.4 -4.8
+$EndPAD
+$PAD
+Sh "F21" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 7.2 -4.8
+$EndPAD
+$PAD
+Sh "F22" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 8 -4.8
+$EndPAD
+$PAD
+Sh "F23" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 8.8 -4.8
+$EndPAD
+$PAD
+Sh "G1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 121 "/SDQM3"
+Po -8.8 -4
+$EndPAD
+$PAD
+Sh "G2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 32 "/DQ30"
+Po -8 -4
+$EndPAD
+$PAD
+Sh "G3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -7.2 -4
+$EndPAD
+$PAD
+Sh "G4" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -6.4 -4
+$EndPAD
+$PAD
+Sh "G5" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po -5.6 -4
+$EndPAD
+$PAD
+Sh "G19" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 5.6 -4
+$EndPAD
+$PAD
+Sh "G20" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 6.4 -4
+$EndPAD
+$PAD
+Sh "G21" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 7.2 -4
+$EndPAD
+$PAD
+Sh "G22" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 8 -4
+$EndPAD
+$PAD
+Sh "G23" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 8.8 -4
+$EndPAD
+$PAD
+Sh "H1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 26 "/DQ25"
+Po -8.8 -3.2
+$EndPAD
+$PAD
+Sh "H2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 28 "/DQ27"
+Po -8 -3.2
+$EndPAD
+$PAD
+Sh "H3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 167 "DRAM_REF"
+Po -7.2 -3.2
+$EndPAD
+$PAD
+Sh "H4" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 167 "DRAM_REF"
+Po -6.4 -3.2
+$EndPAD
+$PAD
+Sh "H5" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po -5.6 -3.2
+$EndPAD
+$PAD
+Sh "H8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po -3.2 -3.2
+$EndPAD
+$PAD
+Sh "H9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po -2.4 -3.2
+$EndPAD
+$PAD
+Sh "H10" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po -1.6 -3.2
+$EndPAD
+$PAD
+Sh "H11" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 162 "1.25V"
+Po -0.8 -3.2
+$EndPAD
+$PAD
+Sh "H12" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 162 "1.25V"
+Po 0 -3.2
+$EndPAD
+$PAD
+Sh "H13" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 162 "1.25V"
+Po 0.8 -3.2
+$EndPAD
+$PAD
+Sh "H14" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 162 "1.25V"
+Po 1.6 -3.2
+$EndPAD
+$PAD
+Sh "H15" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po 2.4 -3.2
+$EndPAD
+$PAD
+Sh "H16" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 3.2 -3.2
+$EndPAD
+$PAD
+Sh "H19" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po 5.6 -3.2
+$EndPAD
+$PAD
+Sh "H20" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 6.4 -3.2
+$EndPAD
+$PAD
+Sh "H21" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 7.2 -3.2
+$EndPAD
+$PAD
+Sh "H22" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 8 -3.2
+$EndPAD
+$PAD
+Sh "H23" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 8.8 -3.2
+$EndPAD
+$PAD
+Sh "J1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 29 "/DQ28"
+Po -8.8 -2.4
+$EndPAD
+$PAD
+Sh "J2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 129 "/SDQS3#"
+Po -8 -2.4
+$EndPAD
+$PAD
+Sh "J3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -7.2 -2.4
+$EndPAD
+$PAD
+Sh "J4" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -6.4 -2.4
+$EndPAD
+$PAD
+Sh "J5" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -5.6 -2.4
+$EndPAD
+$PAD
+Sh "J8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po -3.2 -2.4
+$EndPAD
+$PAD
+Sh "J9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po -2.4 -2.4
+$EndPAD
+$PAD
+Sh "J10" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po -1.6 -2.4
+$EndPAD
+$PAD
+Sh "J11" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -0.8 -2.4
+$EndPAD
+$PAD
+Sh "J12" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 162 "1.25V"
+Po 0 -2.4
+$EndPAD
+$PAD
+Sh "J13" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 162 "1.25V"
+Po 0.8 -2.4
+$EndPAD
+$PAD
+Sh "J14" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po 1.6 -2.4
+$EndPAD
+$PAD
+Sh "J15" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 163 "1.2V"
+Po 2.4 -2.4
+$EndPAD
+$PAD
+Sh "J16" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 163 "1.2V"
+Po 3.2 -2.4
+$EndPAD
+$PAD
+Sh "J19" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po 5.6 -2.4
+$EndPAD
+$PAD
+Sh "J20" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 6.4 -2.4
+$EndPAD
+$PAD
+Sh "J21" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 7.2 -2.4
+$EndPAD
+$PAD
+Sh "J22" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 8 -2.4
+$EndPAD
+$PAD
+Sh "J23" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 8.8 -2.4
+$EndPAD
+$PAD
+Sh "K1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 128 "/SDQS3"
+Po -8.8 -1.6
+$EndPAD
+$PAD
+Sh "K2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 33 "/DQ31"
+Po -8 -1.6
+$EndPAD
+$PAD
+Sh "K3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 1 "/BA0"
+Po -7.2 -1.6
+$EndPAD
+$PAD
+Sh "K4" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 3 "/BA2"
+Po -6.4 -1.6
+$EndPAD
+$PAD
+Sh "K5" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -5.6 -1.6
+$EndPAD
+$PAD
+Sh "K8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 189 "LDO1"
+Po -3.2 -1.6
+$EndPAD
+$PAD
+Sh "K9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 163 "1.2V"
+Po -2.4 -1.6
+$EndPAD
+$PAD
+Sh "K10" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 163 "1.2V"
+Po -1.6 -1.6
+$EndPAD
+$PAD
+Sh "K11" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -0.8 -1.6
+$EndPAD
+$PAD
+Sh "K12" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 0 -1.6
+$EndPAD
+$PAD
+Sh "K13" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 0.8 -1.6
+$EndPAD
+$PAD
+Sh "K14" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 1.6 -1.6
+$EndPAD
+$PAD
+Sh "K15" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 163 "1.2V"
+Po 2.4 -1.6
+$EndPAD
+$PAD
+Sh "K16" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 163 "1.2V"
+Po 3.2 -1.6
+$EndPAD
+$PAD
+Sh "K19" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 192 "N-00000132"
+Po 5.6 -1.6
+$EndPAD
+$PAD
+Sh "K20" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 258 "N-0000097"
+Po 6.4 -1.6
+$EndPAD
+$PAD
+Sh "K21" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 7.2 -1.6
+$EndPAD
+$PAD
+Sh "K22" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 8 -1.6
+$EndPAD
+$PAD
+Sh "K23" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 8.8 -1.6
+$EndPAD
+$PAD
+Sh "L1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 25 "/DQ24"
+Po -8.8 -0.8
+$EndPAD
+$PAD
+Sh "L2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 27 "/DQ26"
+Po -8 -0.8
+$EndPAD
+$PAD
+Sh "L3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 102 "/SA10"
+Po -7.2 -0.8
+$EndPAD
+$PAD
+Sh "L4" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 2 "/BA1"
+Po -6.4 -0.8
+$EndPAD
+$PAD
+Sh "L5" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po -5.6 -0.8
+$EndPAD
+$PAD
+Sh "L8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 163 "1.2V"
+Po -3.2 -0.8
+$EndPAD
+$PAD
+Sh "L9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 163 "1.2V"
+Po -2.4 -0.8
+$EndPAD
+$PAD
+Sh "L10" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -1.6 -0.8
+$EndPAD
+$PAD
+Sh "L11" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -0.8 -0.8
+$EndPAD
+$PAD
+Sh "L12" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 0 -0.8
+$EndPAD
+$PAD
+Sh "L13" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 0.8 -0.8
+$EndPAD
+$PAD
+Sh "L14" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 1.6 -0.8
+$EndPAD
+$PAD
+Sh "L15" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po 2.4 -0.8
+$EndPAD
+$PAD
+Sh "L16" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po 3.2 -0.8
+$EndPAD
+$PAD
+Sh "L19" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 193 "N-00000133"
+Po 5.6 -0.8
+$EndPAD
+$PAD
+Sh "L20" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 259 "N-0000098"
+Po 6.4 -0.8
+$EndPAD
+$PAD
+Sh "L21" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 7.2 -0.8
+$EndPAD
+$PAD
+Sh "L22" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 8 -0.8
+$EndPAD
+$PAD
+Sh "L23" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 8.8 -0.8
+$EndPAD
+$PAD
+Sh "M1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 30 "/DQ29"
+Po -8.8 0
+$EndPAD
+$PAD
+Sh "M2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 24 "/DQ23"
+Po -8 0
+$EndPAD
+$PAD
+Sh "M3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 112 "/SA7"
+Po -7.2 0
+$EndPAD
+$PAD
+Sh "M4" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 108 "/SA3"
+Po -6.4 0
+$EndPAD
+$PAD
+Sh "M5" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po -5.6 0
+$EndPAD
+$PAD
+Sh "M8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 163 "1.2V"
+Po -3.2 0
+$EndPAD
+$PAD
+Sh "M9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -2.4 0
+$EndPAD
+$PAD
+Sh "M10" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -1.6 0
+$EndPAD
+$PAD
+Sh "M11" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -0.8 0
+$EndPAD
+$PAD
+Sh "M12" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 0 0
+$EndPAD
+$PAD
+Sh "M13" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 0.8 0
+$EndPAD
+$PAD
+Sh "M14" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 1.6 0
+$EndPAD
+$PAD
+Sh "M15" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 2.4 0
+$EndPAD
+$PAD
+Sh "M16" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 3.2 0
+$EndPAD
+$PAD
+Sh "M19" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 194 "N-00000134"
+Po 5.6 0
+$EndPAD
+$PAD
+Sh "M20" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 225 "N-0000030"
+Po 6.4 0
+$EndPAD
+$PAD
+Sh "M21" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 7.2 0
+$EndPAD
+$PAD
+Sh "M22" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 8 0
+$EndPAD
+$PAD
+Sh "M23" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 8.8 0
+$EndPAD
+$PAD
+Sh "N1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 16 "/DQ16"
+Po -8.8 0.8
+$EndPAD
+$PAD
+Sh "N2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 18 "/DQ18"
+Po -8 0.8
+$EndPAD
+$PAD
+Sh "N3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 6 "/CKE"
+Po -7.2 0.8
+$EndPAD
+$PAD
+Sh "N4" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 110 "/SA5"
+Po -6.4 0.8
+$EndPAD
+$PAD
+Sh "N5" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -5.6 0.8
+$EndPAD
+$PAD
+Sh "N8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -3.2 0.8
+$EndPAD
+$PAD
+Sh "N9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 163 "1.2V"
+Po -2.4 0.8
+$EndPAD
+$PAD
+Sh "N10" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -1.6 0.8
+$EndPAD
+$PAD
+Sh "N11" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -0.8 0.8
+$EndPAD
+$PAD
+Sh "N12" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 0 0.8
+$EndPAD
+$PAD
+Sh "N13" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 0.8 0.8
+$EndPAD
+$PAD
+Sh "N14" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 1.6 0.8
+$EndPAD
+$PAD
+Sh "N15" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 2.4 0.8
+$EndPAD
+$PAD
+Sh "N16" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 3.2 0.8
+$EndPAD
+$PAD
+Sh "N19" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po 5.6 0.8
+$EndPAD
+$PAD
+Sh "N20" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 228 "N-0000031"
+Po 6.4 0.8
+$EndPAD
+$PAD
+Sh "N21" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 229 "N-0000032"
+Po 7.2 0.8
+$EndPAD
+$PAD
+Sh "N22" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 227 "N-00000301"
+Po 8 0.8
+$EndPAD
+$PAD
+Sh "N23" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 226 "N-00000300"
+Po 8.8 0.8
+$EndPAD
+$PAD
+Sh "P1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 22 "/DQ21"
+Po -8.8 1.6
+$EndPAD
+$PAD
+Sh "P2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 127 "/SDQS2#"
+Po -8 1.6
+$EndPAD
+$PAD
+Sh "P3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 104 "/SA12"
+Po -7.2 1.6
+$EndPAD
+$PAD
+Sh "P4" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 114 "/SA9"
+Po -6.4 1.6
+$EndPAD
+$PAD
+Sh "P5" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -5.6 1.6
+$EndPAD
+$PAD
+Sh "P8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -3.2 1.6
+$EndPAD
+$PAD
+Sh "P9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 163 "1.2V"
+Po -2.4 1.6
+$EndPAD
+$PAD
+Sh "P10" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -1.6 1.6
+$EndPAD
+$PAD
+Sh "P11" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -0.8 1.6
+$EndPAD
+$PAD
+Sh "P12" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 0 1.6
+$EndPAD
+$PAD
+Sh "P13" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 0.8 1.6
+$EndPAD
+$PAD
+Sh "P14" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 1.6 1.6
+$EndPAD
+$PAD
+Sh "P15" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 2.4 1.6
+$EndPAD
+$PAD
+Sh "P16" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po 3.2 1.6
+$EndPAD
+$PAD
+Sh "P19" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 5.6 1.6
+$EndPAD
+$PAD
+Sh "P20" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 143 "/USB1M"
+Po 6.4 1.6
+$EndPAD
+$PAD
+Sh "P21" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 144 "/USB1P"
+Po 7.2 1.6
+$EndPAD
+$PAD
+Sh "P22" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 42 "/HHPD"
+Po 8 1.6
+$EndPAD
+$PAD
+Sh "P23" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 41 "/HCEC"
+Po 8.8 1.6
+$EndPAD
+$PAD
+Sh "R1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 126 "/SDQS2"
+Po -8.8 2.4
+$EndPAD
+$PAD
+Sh "R2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 120 "/SDQM2"
+Po -8 2.4
+$EndPAD
+$PAD
+Sh "R3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 106 "/SA14"
+Po -7.2 2.4
+$EndPAD
+$PAD
+Sh "R4" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 101 "/SA1"
+Po -6.4 2.4
+$EndPAD
+$PAD
+Sh "R5" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po -5.6 2.4
+$EndPAD
+$PAD
+Sh "R8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -3.2 2.4
+$EndPAD
+$PAD
+Sh "R9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 163 "1.2V"
+Po -2.4 2.4
+$EndPAD
+$PAD
+Sh "R10" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 163 "1.2V"
+Po -1.6 2.4
+$EndPAD
+$PAD
+Sh "R11" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -0.8 2.4
+$EndPAD
+$PAD
+Sh "R12" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 0 2.4
+$EndPAD
+$PAD
+Sh "R13" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 0.8 2.4
+$EndPAD
+$PAD
+Sh "R14" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 1.6 2.4
+$EndPAD
+$PAD
+Sh "R15" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 2.4 2.4
+$EndPAD
+$PAD
+Sh "R16" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 3.2 2.4
+$EndPAD
+$PAD
+Sh "R19" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 5.6 2.4
+$EndPAD
+$PAD
+Sh "R20" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 145 "/USB2M"
+Po 6.4 2.4
+$EndPAD
+$PAD
+Sh "R21" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 146 "/USB2P"
+Po 7.2 2.4
+$EndPAD
+$PAD
+Sh "R22" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 44 "/HSDA"
+Po 8 2.4
+$EndPAD
+$PAD
+Sh "R23" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 43 "/HSCL"
+Po 8.8 2.4
+$EndPAD
+$PAD
+Sh "T1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 23 "/DQ22"
+Po -8.8 3.2
+$EndPAD
+$PAD
+Sh "T2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 17 "/DQ17"
+Po -8 3.2
+$EndPAD
+$PAD
+Sh "T3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 132 "/SWE"
+Po -7.2 3.2
+$EndPAD
+$PAD
+Sh "T4" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 130 "/SRAS"
+Po -6.4 3.2
+$EndPAD
+$PAD
+Sh "T5" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po -5.6 3.2
+$EndPAD
+$PAD
+Sh "T8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 163 "1.2V"
+Po -3.2 3.2
+$EndPAD
+$PAD
+Sh "T9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -2.4 3.2
+$EndPAD
+$PAD
+Sh "T10" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 257 "N-0000088"
+Po -1.6 3.2
+$EndPAD
+$PAD
+Sh "T11" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -0.8 3.2
+$EndPAD
+$PAD
+Sh "T12" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 0 3.2
+$EndPAD
+$PAD
+Sh "T13" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po 0.8 3.2
+$EndPAD
+$PAD
+Sh "T14" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 1.6 3.2
+$EndPAD
+$PAD
+Sh "T15" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 2.4 3.2
+$EndPAD
+$PAD
+Sh "T16" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 3.2 3.2
+$EndPAD
+$PAD
+Sh "T19" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 166 "AVCC"
+Po 5.6 3.2
+$EndPAD
+$PAD
+Sh "T20" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 6.4 3.2
+$EndPAD
+$PAD
+Sh "T21" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 7.2 3.2
+$EndPAD
+$PAD
+Sh "T22" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 49 "/HTX2N"
+Po 8 3.2
+$EndPAD
+$PAD
+Sh "T23" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 50 "/HTX2P"
+Po 8.8 3.2
+$EndPAD
+$PAD
+Sh "U1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 19 "/DQ19"
+Po -8.8 4
+$EndPAD
+$PAD
+Sh "U2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 21 "/DQ20"
+Po -8 4
+$EndPAD
+$PAD
+Sh "U3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 115 "/SCAS"
+Po -7.2 4
+$EndPAD
+$PAD
+Sh "U4" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 107 "/SA2"
+Po -6.4 4
+$EndPAD
+$PAD
+Sh "U5" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -5.6 4
+$EndPAD
+$PAD
+Sh "U19" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 5.6 4
+$EndPAD
+$PAD
+Sh "U20" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 6.4 4
+$EndPAD
+$PAD
+Sh "U21" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 7.2 4
+$EndPAD
+$PAD
+Sh "U22" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 47 "/HTX1N"
+Po 8 4
+$EndPAD
+$PAD
+Sh "U23" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 48 "/HTX1P"
+Po 8.8 4
+$EndPAD
+$PAD
+Sh "V1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 116 "/SCK"
+Po -8.8 4.8
+$EndPAD
+$PAD
+Sh "V2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 117 "/SCK#"
+Po -8 4.8
+$EndPAD
+$PAD
+Sh "V3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 7 "/CS#"
+Po -7.2 4.8
+$EndPAD
+$PAD
+Sh "V4" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 111 "/SA6"
+Po -6.4 4.8
+$EndPAD
+$PAD
+Sh "V5" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -5.6 4.8
+$EndPAD
+$PAD
+Sh "V19" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 5.6 4.8
+$EndPAD
+$PAD
+Sh "V20" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 152 "/VRA2"
+Po 6.4 4.8
+$EndPAD
+$PAD
+Sh "V21" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 7.2 4.8
+$EndPAD
+$PAD
+Sh "V22" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 45 "/HTX0N"
+Po 8 4.8
+$EndPAD
+$PAD
+Sh "V23" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 46 "/HTX0P"
+Po 8.8 4.8
+$EndPAD
+$PAD
+Sh "W1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 119 "/SDQM1"
+Po -8.8 5.6
+$EndPAD
+$PAD
+Sh "W2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 14 "/DQ14"
+Po -8 5.6
+$EndPAD
+$PAD
+Sh "W3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 103 "/SA11"
+Po -7.2 5.6
+$EndPAD
+$PAD
+Sh "W4" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 100 "/SA0"
+Po -6.4 5.6
+$EndPAD
+$PAD
+Sh "W5" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po -5.6 5.6
+$EndPAD
+$PAD
+Sh "W6" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po -4.8 5.6
+$EndPAD
+$PAD
+Sh "W7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po -4 5.6
+$EndPAD
+$PAD
+Sh "W8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 195 "N-00000139"
+Po -3.2 5.6
+$EndPAD
+$PAD
+Sh "W9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -2.4 5.6
+$EndPAD
+$PAD
+Sh "W10" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -1.6 5.6
+$EndPAD
+$PAD
+Sh "W11" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -0.8 5.6
+$EndPAD
+$PAD
+Sh "W12" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po 0 5.6
+$EndPAD
+$PAD
+Sh "W13" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po 0.8 5.6
+$EndPAD
+$PAD
+Sh "W14" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po 1.6 5.6
+$EndPAD
+$PAD
+Sh "W15" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po 2.4 5.6
+$EndPAD
+$PAD
+Sh "W16" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po 3.2 5.6
+$EndPAD
+$PAD
+Sh "W17" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 4 5.6
+$EndPAD
+$PAD
+Sh "W18" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 4.8 5.6
+$EndPAD
+$PAD
+Sh "W19" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 5.6 5.6
+$EndPAD
+$PAD
+Sh "W20" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 151 "/VRA1"
+Po 6.4 5.6
+$EndPAD
+$PAD
+Sh "W21" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 153 "/VRP"
+Po 7.2 5.6
+$EndPAD
+$PAD
+Sh "W22" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 51 "/HTXCN"
+Po 8 5.6
+$EndPAD
+$PAD
+Sh "W23" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 52 "/HTXCP"
+Po 8.8 5.6
+$EndPAD
+$PAD
+Sh "Y1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 39 "/DQ9"
+Po -8.8 6.4
+$EndPAD
+$PAD
+Sh "Y2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 11 "/DQ11"
+Po -8 6.4
+$EndPAD
+$PAD
+Sh "Y3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 105 "/SA13"
+Po -7.2 6.4
+$EndPAD
+$PAD
+Sh "Y4" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 109 "/SA4"
+Po -6.4 6.4
+$EndPAD
+$PAD
+Sh "Y5" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 167 "DRAM_REF"
+Po -5.6 6.4
+$EndPAD
+$PAD
+Sh "Y6" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po -4.8 6.4
+$EndPAD
+$PAD
+Sh "Y7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -4 6.4
+$EndPAD
+$PAD
+Sh "Y8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -3.2 6.4
+$EndPAD
+$PAD
+Sh "Y9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 57 "/LCLK"
+Po -2.4 6.4
+$EndPAD
+$PAD
+Sh "Y10" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 71 "/LD20"
+Po -1.6 6.4
+$EndPAD
+$PAD
+Sh "Y11" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 68 "/LD18"
+Po -0.8 6.4
+$EndPAD
+$PAD
+Sh "Y12" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 66 "/LD16"
+Po 0 6.4
+$EndPAD
+$PAD
+Sh "Y13" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 64 "/LD14"
+Po 0.8 6.4
+$EndPAD
+$PAD
+Sh "Y14" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 62 "/LD12"
+Po 1.6 6.4
+$EndPAD
+$PAD
+Sh "Y15" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 60 "/LD10"
+Po 2.4 6.4
+$EndPAD
+$PAD
+Sh "Y16" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po 3.2 6.4
+$EndPAD
+$PAD
+Sh "Y17" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 4 6.4
+$EndPAD
+$PAD
+Sh "Y18" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 4.8 6.4
+$EndPAD
+$PAD
+Sh "Y19" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 5.6 6.4
+$EndPAD
+$PAD
+Sh "Y20" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 6.4 6.4
+$EndPAD
+$PAD
+Sh "Y21" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 7.2 6.4
+$EndPAD
+$PAD
+Sh "Y22" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 8 6.4
+$EndPAD
+$PAD
+Sh "Y23" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 8.8 6.4
+$EndPAD
+$PAD
+Sh "AA1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 12 "/DQ12"
+Po -8.8 7.2
+$EndPAD
+$PAD
+Sh "AA2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 125 "/SDQS1#"
+Po -8 7.2
+$EndPAD
+$PAD
+Sh "AA3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 113 "/SA8"
+Po -7.2 7.2
+$EndPAD
+$PAD
+Sh "AA4" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -6.4 7.2
+$EndPAD
+$PAD
+Sh "AA5" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 90 "/ODT"
+Po -5.6 7.2
+$EndPAD
+$PAD
+Sh "AA6" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 131 "/SRST"
+Po -4.8 7.2
+$EndPAD
+$PAD
+Sh "AA7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 196 "N-00000171"
+Po -4 7.2
+$EndPAD
+$PAD
+Sh "AA8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 167 "DRAM_REF"
+Po -3.2 7.2
+$EndPAD
+$PAD
+Sh "AA9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 82 "/LDE"
+Po -2.4 7.2
+$EndPAD
+$PAD
+Sh "AA10" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 72 "/LD21"
+Po -1.6 7.2
+$EndPAD
+$PAD
+Sh "AA11" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 69 "/LD19"
+Po -0.8 7.2
+$EndPAD
+$PAD
+Sh "AA12" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 67 "/LD17"
+Po 0 7.2
+$EndPAD
+$PAD
+Sh "AA13" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 65 "/LD15"
+Po 0.8 7.2
+$EndPAD
+$PAD
+Sh "AA14" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 63 "/LD13"
+Po 1.6 7.2
+$EndPAD
+$PAD
+Sh "AA15" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 61 "/LD11"
+Po 2.4 7.2
+$EndPAD
+$PAD
+Sh "AA16" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 3.2 7.2
+$EndPAD
+$PAD
+Sh "AA17" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 4 7.2
+$EndPAD
+$PAD
+Sh "AA18" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 4.8 7.2
+$EndPAD
+$PAD
+Sh "AA19" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 5.6 7.2
+$EndPAD
+$PAD
+Sh "AA20" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 6.4 7.2
+$EndPAD
+$PAD
+Sh "AA21" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 150 "/VMIC"
+Po 7.2 7.2
+$EndPAD
+$PAD
+Sh "AA22" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 8 7.2
+$EndPAD
+$PAD
+Sh "AA23" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 8.8 7.2
+$EndPAD
+$PAD
+Sh "AB1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 124 "/SDQS1"
+Po -8.8 8
+$EndPAD
+$PAD
+Sh "AB2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 38 "/DQ8"
+Po -8 8
+$EndPAD
+$PAD
+Sh "AB3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 13 "/DQ13"
+Po -7.2 8
+$EndPAD
+$PAD
+Sh "AB4" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 8 "/DQ0"
+Po -6.4 8
+$EndPAD
+$PAD
+Sh "AB5" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 35 "/DQ5"
+Po -5.6 8
+$EndPAD
+$PAD
+Sh "AB6" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 122 "/SDQS0"
+Po -4.8 8
+$EndPAD
+$PAD
+Sh "AB7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 36 "/DQ6"
+Po -4 8
+$EndPAD
+$PAD
+Sh "AB8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 31 "/DQ3"
+Po -3.2 8
+$EndPAD
+$PAD
+Sh "AB9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 83 "/LHSYN"
+Po -2.4 8
+$EndPAD
+$PAD
+Sh "AB10" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 73 "/LD22"
+Po -1.6 8
+$EndPAD
+$PAD
+Sh "AB11" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 80 "/LD8"
+Po -0.8 8
+$EndPAD
+$PAD
+Sh "AB12" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 78 "/LD6"
+Po 0 8
+$EndPAD
+$PAD
+Sh "AB13" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 76 "/LD4"
+Po 0.8 8
+$EndPAD
+$PAD
+Sh "AB14" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 70 "/LD2"
+Po 1.6 8
+$EndPAD
+$PAD
+Sh "AB15" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 58 "/LD0"
+Po 2.4 8
+$EndPAD
+$PAD
+Sh "AB16" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 147 "/VGA_B"
+Po 3.2 8
+$EndPAD
+$PAD
+Sh "AB17" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 4 8
+$EndPAD
+$PAD
+Sh "AB18" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 4.8 8
+$EndPAD
+$PAD
+Sh "AB19" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 5.6 8
+$EndPAD
+$PAD
+Sh "AB20" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 84 "/LIN_L"
+Po 6.4 8
+$EndPAD
+$PAD
+Sh "AB21" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 85 "/LIN_R"
+Po 7.2 8
+$EndPAD
+$PAD
+Sh "AB22" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 8 8
+$EndPAD
+$PAD
+Sh "AB23" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 8.8 8
+$EndPAD
+$PAD
+Sh "AC1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 15 "/DQ15"
+Po -8.8 8.8
+$EndPAD
+$PAD
+Sh "AC2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 10 "/DQ10"
+Po -8 8.8
+$EndPAD
+$PAD
+Sh "AC3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 37 "/DQ7"
+Po -7.2 8.8
+$EndPAD
+$PAD
+Sh "AC4" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 20 "/DQ2"
+Po -6.4 8.8
+$EndPAD
+$PAD
+Sh "AC5" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 123 "/SDQS0#"
+Po -5.6 8.8
+$EndPAD
+$PAD
+Sh "AC6" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 118 "/SDQM0"
+Po -4.8 8.8
+$EndPAD
+$PAD
+Sh "AC7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 9 "/DQ1"
+Po -4 8.8
+$EndPAD
+$PAD
+Sh "AC8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 34 "/DQ4"
+Po -3.2 8.8
+$EndPAD
+$PAD
+Sh "AC9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 86 "/LVSYN"
+Po -2.4 8.8
+$EndPAD
+$PAD
+Sh "AC10" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 74 "/LD23"
+Po -1.6 8.8
+$EndPAD
+$PAD
+Sh "AC11" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 81 "/LD9"
+Po -0.8 8.8
+$EndPAD
+$PAD
+Sh "AC12" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 79 "/LD7"
+Po 0 8.8
+$EndPAD
+$PAD
+Sh "AC13" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 77 "/LD5"
+Po 0.8 8.8
+$EndPAD
+$PAD
+Sh "AC14" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 75 "/LD3"
+Po 1.6 8.8
+$EndPAD
+$PAD
+Sh "AC15" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 59 "/LD1"
+Po 2.4 8.8
+$EndPAD
+$PAD
+Sh "AC16" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 148 "/VGA_G"
+Po 3.2 8.8
+$EndPAD
+$PAD
+Sh "AC17" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 149 "/VGA_R"
+Po 4 8.8
+$EndPAD
+$PAD
+Sh "AC18" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 4.8 8.8
+$EndPAD
+$PAD
+Sh "AC19" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po 5.6 8.8
+$EndPAD
+$PAD
+Sh "AC20" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 87 "/MICIN1"
+Po 6.4 8.8
+$EndPAD
+$PAD
+Sh "AC21" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 88 "/MICIN2"
+Po 7.2 8.8
+$EndPAD
+$PAD
+Sh "AC22" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 8 8.8
+$EndPAD
+$PAD
+Sh "AC23" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 8.8 8.8
+$EndPAD
+$SHAPE3D
+Na "walter/smd_bga/tfbga180.wrl"
+Sc 1 1 1
+Of 0 0 0
+Ro 0 0 0
+$EndSHAPE3D
+$EndMODULE TFBGA441-new
+$MODULE TPT
+Po 0 0 0 15 51AD6CE2 00000000 ~~
+Li TPT
+Sc 0
+AR 
+Op 0 0 0
+T0 -0.05 -0.975 0.5 0.5 0 0.05 N V 21 N "TPT"
+T1 0.4 1.125 0.5 0.5 0 0.05 N V 21 N "VAL**"
+$PAD
+Sh "1" C 1.1 1.1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 0 ""
+Po -0.025 0.025
+$EndPAD
+$EndMODULE TPT
+$MODULE USB_DA
+Po 99.7 99.925 0 15 5189CA3A 00000000 ~~
+Li USB_DA
+Sc 0
+AR /5151A44D
+Op 0 0 0
+T0 -2.425 2.225 1.524 1.524 900 0.3048 N V 21 N "J3"
+T1 -3.6 -4.875 1.524 1.524 900 0.3048 N V 21 N "DUSB"
+DS -1.143 -7.493 12.954 -7.493 0.127 21
+DS 12.954 7.493 -1.143 7.493 0.127 21
+DS -1.143 -7.493 -1.143 7.493 0.127 21
+DS 12.954 -7.493 12.954 7.493 0.127 21
+$PAD
+Sh "7" C 1.50114 1.50114 0 0 0
+Dr 1.00076 0 0
+At STD N 00E0FFFF
+Ne 187 "GND"
+Po 0 -3.556
+$EndPAD
+$PAD
+Sh "5" C 1.50114 1.50114 0 0 0
+Dr 1.00076 0 0
+At STD N 00E0FFFF
+Ne 144 "/USB1P"
+Po 0 -1.025
+$EndPAD
+$PAD
+Sh "3" C 1.50114 1.50114 0 0 0
+Dr 1.00076 0 0
+At STD N 00E0FFFF
+Ne 143 "/USB1M"
+Po 0.025 1.025
+$EndPAD
+$PAD
+Sh "1" C 1.50114 1.50114 0 0 0
+Dr 1.00076 0 0
+At STD N 00E0FFFF
+Ne 165 "5V_IN"
+Po 0 3.556
+$EndPAD
+$PAD
+Sh "sh3" C 2.99974 2.99974 0 0 0
+Dr 2.301238 0 0
+At STD N 00E0FFFF
+Ne 187 "GND"
+Po -0.675 -6.6
+$EndPAD
+$PAD
+Sh "sh1" C 2.99974 2.99974 0 0 0
+Dr 2.301238 0 0
+At STD N 00E0FFFF
+Ne 187 "GND"
+Po -0.625 6.675
+$EndPAD
+$PAD
+Sh "sh4" C 2.99974 2.99974 0 0 0
+Dr 2.301238 0 0
+At STD N 00E0FFFF
+Ne 187 "GND"
+Po 5.675 -6.6
+$EndPAD
+$PAD
+Sh "sh2" C 2.99974 2.99974 0 0 0
+Dr 2.301238 0 0
+At STD N 00E0FFFF
+Ne 187 "GND"
+Po 5.65 6.35
+$EndPAD
+$PAD
+Sh "8" C 1.50114 1.50114 0 0 0
+Dr 1.00076 0 0
+At STD N 00E0FFFF
+Ne 187 "GND"
+Po 2.7 -3.55
+$EndPAD
+$PAD
+Sh "4" C 1.50114 1.50114 0 0 0
+Dr 1.00076 0 0
+At STD N 00E0FFFF
+Ne 145 "/USB2M"
+Po 2.7 1
+$EndPAD
+$PAD
+Sh "6" C 1.50114 1.50114 0 0 0
+Dr 1.00076 0 0
+At STD N 00E0FFFF
+Ne 146 "/USB2P"
+Po 2.71 -1.03
+$EndPAD
+$PAD
+Sh "2" C 1.50114 1.50114 0 0 0
+Dr 1.00076 0 0
+At STD N 00E0FFFF
+Ne 165 "5V_IN"
+Po 2.7 3.55
+$EndPAD
+$SHAPE3D
+Na "connectors/usb_a_through_hole.wrl"
+Sc 1 1 1
+Of 0 0 0
+Ro 0 0 0
+$EndSHAPE3D
+$EndMODULE USB_DA
+$MODULE USB_Double-from-FCI
+Po 0 0 0 15 519E1574 00000000 ~~
+Li USB_Double-from-FCI
+Sc 0
+AR /5151A44D
+Op 0 0 0
+T0 -2.425 2.225 1.524 1.524 900 0.3048 N V 21 N "J3"
+T1 -3.6 -4.875 1.524 1.524 900 0.3048 N V 21 N "DUSB"
+DS -1.143 -7.493 12.954 -7.493 0.127 21
+DS 12.954 7.493 -1.143 7.493 0.127 21
+DS -1.143 -7.493 -1.143 7.493 0.127 21
+DS 12.954 -7.493 12.954 7.493 0.127 21
+$PAD
+Sh "7" C 1.50114 1.50114 0 0 0
+Dr 1.00076 0 0
+At STD N 00E0FFFF
+Ne 6 "GND"
+Po 0 -3.556
+$EndPAD
+$PAD
+Sh "5" C 1.50114 1.50114 0 0 0
+Dr 1.00076 0 0
+At STD N 00E0FFFF
+Ne 2 "/USB1P"
+Po 0 -1.025
+$EndPAD
+$PAD
+Sh "3" C 1.50114 1.50114 0 0 0
+Dr 1.00076 0 0
+At STD N 00E0FFFF
+Ne 1 "/USB1M"
+Po 0.025 1.025
+$EndPAD
+$PAD
+Sh "1" C 1.50114 1.50114 0 0 0
+Dr 1.00076 0 0
+At STD N 00E0FFFF
+Ne 5 "5V_IN"
+Po 0 3.556
+$EndPAD
+$PAD
+Sh "sh3" C 2.99974 2.99974 0 0 0
+Dr 2.301238 0 0
+At STD N 00E0FFFF
+Ne 6 "GND"
+Po -0.675 -6.6
+$EndPAD
+$PAD
+Sh "sh1" C 2.99974 2.99974 0 0 0
+Dr 2.301238 0 0
+At STD N 00E0FFFF
+Ne 6 "GND"
+Po -0.625 6.675
+$EndPAD
+$PAD
+Sh "sh4" C 2.99974 2.99974 0 0 0
+Dr 2.301238 0 0
+At STD N 00E0FFFF
+Ne 6 "GND"
+Po 5 -6.6
+$EndPAD
+$PAD
+Sh "sh2" C 2.99974 2.99974 0 0 0
+Dr 2.301238 0 0
+At STD N 00E0FFFF
+Ne 6 "GND"
+Po 5.05 6.55
+$EndPAD
+$PAD
+Sh "8" C 1.50114 1.50114 0 0 0
+Dr 1.00076 0 0
+At STD N 00E0FFFF
+Ne 6 "GND"
+Po 2.6 -3.55
+$EndPAD
+$PAD
+Sh "4" C 1.50114 1.50114 0 0 0
+Dr 1.00076 0 0
+At STD N 00E0FFFF
+Ne 3 "/USB2M"
+Po 2.55 1
+$EndPAD
+$PAD
+Sh "6" C 1.50114 1.50114 0 0 0
+Dr 1.00076 0 0
+At STD N 00E0FFFF
+Ne 4 "/USB2P"
+Po 2.6 -1.05
+$EndPAD
+$PAD
+Sh "2" C 1.50114 1.50114 0 0 0
+Dr 1.00076 0 0
+At STD N 00E0FFFF
+Ne 5 "5V_IN"
+Po 2.55 3.6
+$EndPAD
+$SHAPE3D
+Na "connectors/usb_a_through_hole.wrl"
+Sc 1 1 1
+Of 0 0 0
+Ro 0 0 0
+$EndSHAPE3D
+$EndMODULE USB_Double-from-FCI
+$MODULE conn_usb_A-vert
+Po 104.425 86.525 0 15 5189CA31 00000000 ~~
+Li conn_usb_A-vert
+Cd USB A-type vertical receptacle, Tyco P/N 440260-2
+Sc 0
+AR /5151A43E
+Op 0 0 0
+T0 -3 -11.45 1.524 1.524 0 0.3048 N V 21 N "J4"
+T1 1.175 -13.25 1.524 1.524 0 0.3048 N V 21 N "USB1"
+DS 3.937 9.652 -3.937 9.652 0.381 21
+DS -3.937 9.398 -3.937 9.906 0.381 21
+DS -3.937 9.906 3.937 9.906 0.381 21
+DS 3.937 9.906 3.937 9.398 0.381 21
+DS 3.937 9.398 -3.937 9.398 0.381 21
+DS 2.921 -9.652 -2.921 -9.652 0.381 21
+DS -2.921 -9.652 -2.921 9.652 0.381 21
+DS 2.921 9.652 2.921 -9.652 0.381 21
+$PAD
+Sh "6" C 2.19964 2.19964 0 0 0
+Dr 1.30048 0 0
+At STD N 0000FFFF
+Ne 187 "GND"
+Po -2.72034 -9.29894
+$EndPAD
+$PAD
+Sh "5" C 2.19964 2.19964 0 0 0
+Dr 1.30048 0 0
+At STD N 0000FFFF
+Ne 187 "GND"
+Po 2.72034 -9.29894
+$EndPAD
+$PAD
+Sh "1" C 1.50114 1.50114 0 0 0
+Dr 0.899159 0 0
+At STD N 0000FFFF
+Ne 165 "5V_IN"
+Po 0 -3.03022
+$EndPAD
+$PAD
+Sh "2" C 1.50114 1.50114 0 0 0
+Dr 0.899159 0 0
+At STD N 0000FFFF
+Ne 228 "N-0000031"
+Po 0 -5.0292
+$EndPAD
+$PAD
+Sh "3" C 1.50114 1.50114 0 0 0
+Dr 0.899159 0 0
+At STD N 0000FFFF
+Ne 229 "N-0000032"
+Po 0 -7.03072
+$EndPAD
+$PAD
+Sh "4" C 1.50114 1.50114 0 0 0
+Dr 0.899159 0 0
+At STD N 0000FFFF
+Ne 187 "GND"
+Po 0 -9.0297
+$EndPAD
+$PAD
+Sh "" C 2.19964 2.19964 0 0 0
+Dr 1.30048 0 0
+At STD N 0000FFFF
+Ne 0 ""
+Po 2.72034 -2.30124
+$EndPAD
+$PAD
+Sh "" C 2.19964 2.19964 0 0 0
+Dr 1.30048 0 0
+At STD N 0000FFFF
+Ne 0 ""
+Po -2.72034 -2.30124
+$EndPAD
+$SHAPE3D
+Na "walter/conn_pc/usb_A_vert.wrl"
+Sc 1 1 1
+Of 0 0 0
+Ro 0 0 0
+$EndSHAPE3D
+$EndMODULE conn_usb_A-vert
+$MODULE conn_usb_B_micro_smd
+Po 77.343 29.7561 0 15 50432F3D 00000000 ~~
+Li conn_usb_B_micro_smd
+Cd USB B micro SMD connector, Molex P/N 47346-0001
+Sc 0
+AR /51526492
+Op 0 0 0
+T0 0 2.60096 0.50038 0.50038 0 0.09906 N V 21 N "P2"
+T1 0 -4.0005 0.50038 0.50038 0 0.09906 N V 21 N "CONN_5"
+DS -4.20116 1.99898 4.20116 1.99898 0.20066 21
+DS -4.20116 2.10058 4.20116 2.10058 0.20066 21
+DS 4.20116 2.10058 4.20116 1.89992 0.20066 21
+DS 4.20116 1.89992 -4.20116 1.89992 0.20066 21
+DS -4.20116 1.89992 -4.20116 2.10058 0.20066 21
+DS -3.8989 2.10058 -3.8989 -2.90068 0.20066 21
+DS -3.8989 -2.90068 3.8989 -2.90068 0.20066 21
+DS 3.8989 -2.90068 3.8989 2.10058 0.20066 21
+$PAD
+Sh "" R 1.17348 1.89738 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -0.8382 0
+$EndPAD
+$PAD
+Sh "" R 1.17348 1.89738 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 0.8382 0
+$EndPAD
+$PAD
+Sh "" R 2.3749 1.89738 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 2.91338 0
+$EndPAD
+$PAD
+Sh "" R 2.3749 1.89738 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -2.91338 0
+$EndPAD
+$PAD
+Sh "" R 1.4732 2.10058 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 2.46126 -2.2987
+$EndPAD
+$PAD
+Sh "" R 1.4732 2.10058 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -2.46126 -2.2987
+$EndPAD
+$PAD
+Sh "1" R 0.44958 1.37922 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 165 "5V_IN"
+Po -1.30048 -2.65938
+$EndPAD
+$PAD
+Sh "2" R 0.44958 1.37922 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -0.65024 -2.65938
+$EndPAD
+$PAD
+Sh "3" R 0.44958 1.37922 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 0 -2.65938
+$EndPAD
+$PAD
+Sh "4" R 0.44958 1.37922 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 0.65024 -2.65938
+$EndPAD
+$PAD
+Sh "5" R 0.44958 1.37922 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 1.30048 -2.65938
+$EndPAD
+$SHAPE3D
+Na "walter/conn_pc/usb_B_micro_smd.wrl"
+Sc 1 1 1
+Of 0 0 0
+Ro 0 0 0
+$EndSHAPE3D
+$EndMODULE conn_usb_B_micro_smd
+$MODULE crystal-32k-4.5x1.9mm
+Po 0 0 0 15 519E01CA 00000000 ~~
+Li crystal-32k-4.5x1.9mm
+Sc 0
+AR /51552586
+Op 0 0 0
+At SMD
+T0 -0.125 1.025 0.508 0.4572 0 0.1143 N V 21 N "~"
+T1 -0.1 1.825 0.508 0.4572 0 0.1143 N I 21 N "32k"
+DS -2.65 -1.4 2.7 -1.4 0.15 21
+DS 2.7 -1.4 2.7 1.4 0.15 21
+DS 2.7 1.4 -2.65 1.4 0.15 21
+DS -2.65 1.4 -2.65 -1.4 0.15 21
+$PAD
+Sh "1" R 1.1 1.9 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 1 "GND"
+Po -1.7 0
+$EndPAD
+$PAD
+Sh "2" R 1.1 1.9 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 2 "LDO3"
+Po 1.7 0.05
+$EndPAD
+$SHAPE3D
+Na "smd\\resistors\\R0603.wrl"
+Sc 0.5 0.5 0.5
+Of 0 0 0.001
+Ro 0 0 0
+$EndSHAPE3D
+$EndMODULE crystal-32k-4.5x1.9mm
+$MODULE lqfp48
+Po 52.225 41.075 0 15 518A3D42 00000000 ~~
+Li lqfp48
+Cd LQFP-48
+Sc 0
+AR /5159654C/51597EA2
+Op 0 0 0
+T0 6.375 -4.025 0.7493 0.7493 900 0.14986 N V 21 N "U11"
+T1 7.7 -2.85 0.7493 0.7493 900 0.14986 N V 21 N "RTL8201CP"
+DS -4.09956 3.8989 -3.8989 4.09956 0.14986 21
+DS -3.70078 4.09956 -4.09956 3.70078 0.14986 21
+DS -4.09956 3.50012 -3.50012 4.09956 0.14986 21
+DS -3.29946 4.09956 -4.09956 3.29946 0.14986 21
+DS -3.0988 4.09956 -4.09956 4.09956 0.14986 21
+DS -4.09956 4.09956 -4.09956 3.0988 0.14986 21
+DS -4.09956 3.0988 -3.0988 4.09956 0.14986 21
+DS 4.09956 3.0988 4.09956 4.09956 0.14986 21
+DS 4.09956 4.09956 3.0988 4.09956 0.14986 21
+DS 3.0988 -4.09956 4.09956 -4.09956 0.14986 21
+DS 4.09956 -4.09956 4.09956 -3.0988 0.14986 21
+DS -4.09956 -3.0988 -4.09956 -4.09956 0.14986 21
+DS -4.09956 -4.09956 -3.0988 -4.09956 0.14986 21
+DC -2.413 2.413 -2.667 2.54 0.127 21
+DS 3.556 3.175 3.175 3.556 0.127 21
+DS 3.175 3.556 -3.175 3.556 0.127 21
+DS -3.175 3.556 -3.556 3.175 0.127 21
+DS -3.556 3.175 -3.556 -3.175 0.127 21
+DS -3.556 -3.175 -3.175 -3.556 0.127 21
+DS -3.175 -3.556 3.175 -3.556 0.127 21
+DS 3.175 -3.556 3.556 -3.175 0.127 21
+DS 3.556 -3.175 3.556 3.175 0.127 21
+$PAD
+Sh "4" R 0.29972 1.30048 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 183 "ETXD2"
+Po -1.24968 4.09956
+$EndPAD
+$PAD
+Sh "5" R 0.29972 1.30048 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 182 "ETXD1"
+Po -0.7493 4.09956
+$EndPAD
+$PAD
+Sh "6" R 0.29972 1.30048 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 181 "ETXD0"
+Po -0.24892 4.09956
+$EndPAD
+$PAD
+Sh "7" R 0.29972 1.30048 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 180 "ETXCK"
+Po 0.24892 4.09956
+$EndPAD
+$PAD
+Sh "8" R 0.29972 1.30048 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 239 "N-00000408"
+Po 0.7493 4.09956
+$EndPAD
+$PAD
+Sh "1" R 0.29972 1.30048 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 169 "ECOL"
+Po -2.75082 4.09956
+$EndPAD
+$PAD
+Sh "2" R 0.29972 1.30048 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 185 "ETXEN"
+Po -2.25044 4.09956
+$EndPAD
+$PAD
+Sh "3" R 0.29972 1.30048 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 184 "ETXD3"
+Po -1.75006 4.09956
+$EndPAD
+$PAD
+Sh "13" R 1.30048 0.29972 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 242 "N-00000422"
+Po 4.09956 2.75082
+$EndPAD
+$PAD
+Sh "14" R 1.30048 0.29972 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po 4.09956 2.25044
+$EndPAD
+$PAD
+Sh "15" R 1.30048 0.29972 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 156 "/ethernet/LED4"
+Po 4.09956 1.75006
+$EndPAD
+$PAD
+Sh "16" R 1.30048 0.29972 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 173 "ERXCK"
+Po 4.09956 1.24968
+$EndPAD
+$PAD
+Sh "17" R 1.30048 0.29972 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 4.09956 0.7493
+$EndPAD
+$PAD
+Sh "18" R 1.30048 0.29972 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 177 "ERXD3"
+Po 4.09956 0.24892
+$EndPAD
+$PAD
+Sh "19" R 1.30048 0.29972 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 176 "ERXD2"
+Po 4.09956 -0.24892
+$EndPAD
+$PAD
+Sh "20" R 1.30048 0.29972 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 175 "ERXD1"
+Po 4.09956 -0.7493
+$EndPAD
+$PAD
+Sh "25" R 0.29972 1.30048 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 171 "EMDC"
+Po 2.75082 -4.09956
+$EndPAD
+$PAD
+Sh "26" R 0.29972 1.30048 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 172 "EMDIO"
+Po 2.25044 -4.09956
+$EndPAD
+$PAD
+Sh "27" R 0.29972 1.30048 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 1.75006 -4.09956
+$EndPAD
+$PAD
+Sh "28" R 0.29972 1.30048 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 249 "N-00000429"
+Po 1.24968 -4.09956
+$EndPAD
+$PAD
+Sh "29" R 0.29972 1.30048 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 0.7493 -4.09956
+$EndPAD
+$PAD
+Sh "30" R 0.29972 1.30048 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 159 "/ethernet/RX-"
+Po 0.24892 -4.09956
+$EndPAD
+$PAD
+Sh "31" R 0.29972 1.30048 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 158 "/ethernet/RX+"
+Po -0.24892 -4.09956
+$EndPAD
+$PAD
+Sh "32" R 0.29972 1.30048 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 157 "/ethernet/PFWBOUT"
+Po -0.7493 -4.09956
+$EndPAD
+$PAD
+Sh "37" R 1.30048 0.29972 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 248 "N-00000428"
+Po -4.09956 -2.75082
+$EndPAD
+$PAD
+Sh "38" R 1.30048 0.29972 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 250 "N-00000430"
+Po -4.09956 -2.25044
+$EndPAD
+$PAD
+Sh "39" R 1.30048 0.29972 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 252 "N-00000432"
+Po -4.09956 -1.75006
+$EndPAD
+$PAD
+Sh "40" R 1.30048 0.29972 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 247 "N-00000427"
+Po -4.09956 -1.24968
+$EndPAD
+$PAD
+Sh "41" R 1.30048 0.29972 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 245 "N-00000425"
+Po -4.09956 -0.7493
+$EndPAD
+$PAD
+Sh "42" R 1.30048 0.29972 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 186 "ETXERR"
+Po -4.09956 -0.24892
+$EndPAD
+$PAD
+Sh "43" R 1.30048 0.29972 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 246 "N-00000426"
+Po -4.09956 0.24892
+$EndPAD
+$PAD
+Sh "44" R 1.30048 0.29972 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 251 "N-00000431"
+Po -4.09956 0.7493
+$EndPAD
+$PAD
+Sh "9" R 0.29972 1.30048 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 155 "/ethernet/LED0"
+Po 1.24968 4.09956
+$EndPAD
+$PAD
+Sh "10" R 0.29972 1.30048 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 244 "N-00000424"
+Po 1.75006 4.09956
+$EndPAD
+$PAD
+Sh "11" R 0.29972 1.30048 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 2.25044 4.09956
+$EndPAD
+$PAD
+Sh "12" R 0.29972 1.30048 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 243 "N-00000423"
+Po 2.75082 4.09956
+$EndPAD
+$PAD
+Sh "21" R 1.30048 0.29972 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 174 "ERXD0"
+Po 4.09956 -1.24968
+$EndPAD
+$PAD
+Sh "22" R 1.30048 0.29972 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 178 "ERXDV"
+Po 4.09956 -1.75006
+$EndPAD
+$PAD
+Sh "23" R 1.30048 0.29972 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 170 "ECRS"
+Po 4.09956 -2.25044
+$EndPAD
+$PAD
+Sh "24" R 1.30048 0.29972 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 179 "ERXERR"
+Po 4.09956 -2.75082
+$EndPAD
+$PAD
+Sh "33" R 0.29972 1.30048 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 161 "/ethernet/TX-"
+Po -1.24968 -4.09956
+$EndPAD
+$PAD
+Sh "34" R 0.29972 1.30048 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 160 "/ethernet/TX+"
+Po -1.75006 -4.09956
+$EndPAD
+$PAD
+Sh "35" R 0.29972 1.30048 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -2.25044 -4.09956
+$EndPAD
+$PAD
+Sh "36" R 0.29972 1.30048 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 154 "/ethernet/ETHAVDD"
+Po -2.75082 -4.09956
+$EndPAD
+$PAD
+Sh "45" R 1.30048 0.29972 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -4.09956 1.24968
+$EndPAD
+$PAD
+Sh "46" R 1.30048 0.29972 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 254 "N-00000434"
+Po -4.09956 1.75006
+$EndPAD
+$PAD
+Sh "47" R 1.30048 0.29972 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 253 "N-00000433"
+Po -4.09956 2.25044
+$EndPAD
+$PAD
+Sh "48" R 1.30048 0.29972 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po -4.09956 2.75082
+$EndPAD
+$SHAPE3D
+Na "walter/smd_lqfp/lqfp-48.wrl"
+Sc 1 1 1
+Of 0 0 0
+Ro 0 0 0
+$EndSHAPE3D
+$EndMODULE lqfp48
+$MODULE microsd_socket
+Po 103.4796 74.4855 0 15 5189CA22 00000000 ~~
+Li microsd_socket
+Cd MicroSD Card socket, Molex P/N 502774-0811
+Sc 0
+AR /5151A880
+Op 0 0 0
+T0 -5.9395 -9.6796 1.524 1.524 0 0.3048 N V 21 N "J5"
+T1 -5.0395 9.5954 1.524 1.524 0 0.3048 N V 21 N "USD"
+DS 2.90068 7.29996 4.39928 7.59968 0.381 21
+DS -2.19964 7.59968 -1.39954 7.39902 0.381 21
+DS -1.39954 7.39902 0.50038 7.2009 0.381 21
+DS 0.50038 7.2009 1.80086 7.2009 0.381 21
+DS 1.80086 7.2009 2.90068 7.29996 0.381 21
+DS -2.19964 7.59968 -4.39928 7.59968 0.381 21
+DS -4.40182 7.59968 -4.70154 8.001 0.381 21
+DS 4.699 8.001 7.2009 8.001 0.381 21
+DS -4.699 8.001 -7.2009 8.001 0.381 21
+DS 4.699 8.001 4.39928 7.59968 0.381 21
+DS -3.70078 -7.00024 -3.70078 -8.001 0.381 21
+DS -3.70078 -8.001 -5.19938 -8.001 0.381 21
+DS -5.19938 -8.001 -5.19938 -7.00024 0.381 21
+DS -5.90042 -8.001 -7.00024 -8.001 0.381 21
+DS -5.90042 -8.001 -5.90042 -7.00024 0.381 21
+DS -7.00024 -7.00024 -7.00024 -8.001 0.381 21
+DS 5.69976 -7.8994 6.70052 -7.8994 0.381 21
+DS 5.69976 -7.00024 5.69976 -7.8994 0.381 21
+DS 6.70052 -7.8994 6.70052 -7.00024 0.381 21
+DS 4.8006 -7.8994 4.8006 -7.00024 0.381 21
+DS 3.70078 -7.8994 3.70078 -7.00024 0.381 21
+DS 2.60096 -7.8994 2.60096 -7.00024 0.381 21
+DS 1.50114 -7.8994 1.50114 -7.00024 0.381 21
+DS 0.39878 -7.8994 0.39878 -7.00024 0.381 21
+DS -0.70104 -7.8994 -0.70104 -7.0993 0.381 21
+DS -1.80086 -7.8994 -1.80086 -7.00024 0.381 21
+DS -2.90068 -7.00024 -2.90068 -7.8994 0.381 21
+DS 7.2009 8.001 7.2009 -7.00024 0.381 21
+DS 7.2009 -7.00024 -7.2009 -7.00024 0.381 21
+DS -7.2009 -7.00024 -7.2009 8.001 0.381 21
+$PAD
+Sh "1" R 0.8001 1.67894 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 200 "N-00000191"
+Po 4.8006 -7.38378
+.LocalClearance 0.20066
+$EndPAD
+$PAD
+Sh "2" R 0.8001 1.67894 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 205 "N-00000196"
+Po 3.70078 -7.38378
+.LocalClearance 0.20066
+$EndPAD
+$PAD
+Sh "3" R 0.8001 1.67894 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 204 "N-00000195"
+Po 2.60096 -7.38378
+.LocalClearance 0.20066
+$EndPAD
+$PAD
+Sh "4" R 0.8001 1.67894 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po 1.50114 -7.38378
+.LocalClearance 0.20066
+$EndPAD
+$PAD
+Sh "5" R 0.8001 1.67894 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 202 "N-00000193"
+Po 0.39878 -7.38378
+.LocalClearance 0.20066
+$EndPAD
+$PAD
+Sh "6" R 0.8001 1.67894 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -0.70104 -7.38378
+.LocalClearance 0.20066
+$EndPAD
+$PAD
+Sh "7" R 0.8001 1.67894 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 201 "N-00000192"
+Po -1.80086 -7.38378
+.LocalClearance 0.20066
+$EndPAD
+$PAD
+Sh "8" R 0.8001 1.67894 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 203 "N-00000194"
+Po -2.90068 -7.38378
+.LocalClearance 0.20066
+$EndPAD
+$PAD
+Sh "sh1" R 1.29032 1.30048 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 6.85546 -5.82422
+.LocalClearance 0.20066
+$EndPAD
+$PAD
+Sh "" R 1.50114 1.69926 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 6.74878 7.37616
+$EndPAD
+$PAD
+Sh "" R 1.69926 1.50114 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -6.44906 -7.47522
+$EndPAD
+$PAD
+Sh "sh2" R 1.29032 1.39954 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 6.85546 1.5748
+$EndPAD
+$PAD
+Sh "" R 1.50114 1.69926 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -6.74878 7.37616
+$EndPAD
+$PAD
+Sh "" R 1.6002 1.50114 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 6.2103 -7.47522
+.LocalClearance 0.20066
+$EndPAD
+$SHAPE3D
+Na "walter/conn_misc/microsd_socket.wrl"
+Sc 1 1 1
+Of 0 0 0
+Ro 0 0 0
+$EndSHAPE3D
+$EndMODULE microsd_socket
+$MODULE pin2mm-30
+Po 63.7 88.8 0 15 5189C9DC 00000000 ~~
+Li pin2mm-30
+Sc 0
+AR /5150C360
+Op 0 0 0
+T0 -12 -4.05 1 1 0 0.15 N V 21 N "P1"
+T1 1.1 -3.95 1 1 0 0.15 N V 21 N "CONN30 - LCD"
+DS -13 -3 17 -3 0.15 21
+DS 17 -3 17 1 0.15 21
+DS 17 1 -13 1 0.15 21
+DS -13 1 -13 -3 0.15 21
+$PAD
+Sh "1" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 58 "/LD0"
+Po -12 -2
+$EndPAD
+$PAD
+Sh "2" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 59 "/LD1"
+Po -12 0
+$EndPAD
+$PAD
+Sh "3" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 70 "/LD2"
+Po -10 -2
+$EndPAD
+$PAD
+Sh "4" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 75 "/LD3"
+Po -10 0
+$EndPAD
+$PAD
+Sh "5" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 76 "/LD4"
+Po -8 -2
+$EndPAD
+$PAD
+Sh "6" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 77 "/LD5"
+Po -8 0
+$EndPAD
+$PAD
+Sh "7" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 78 "/LD6"
+Po -6 -2
+$EndPAD
+$PAD
+Sh "8" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 79 "/LD7"
+Po -6 0
+$EndPAD
+$PAD
+Sh "9" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 80 "/LD8"
+Po -4 -2
+$EndPAD
+$PAD
+Sh "10" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 81 "/LD9"
+Po -4 0
+$EndPAD
+$PAD
+Sh "11" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 60 "/LD10"
+Po -2 -2
+$EndPAD
+$PAD
+Sh "12" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 61 "/LD11"
+Po -2 0
+$EndPAD
+$PAD
+Sh "13" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 62 "/LD12"
+Po 0 -2
+$EndPAD
+$PAD
+Sh "14" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 63 "/LD13"
+Po 0 0
+$EndPAD
+$PAD
+Sh "15" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 64 "/LD14"
+Po 2 -2
+$EndPAD
+$PAD
+Sh "16" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 65 "/LD15"
+Po 2 0
+$EndPAD
+$PAD
+Sh "17" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 66 "/LD16"
+Po 4 -2
+$EndPAD
+$PAD
+Sh "18" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 67 "/LD17"
+Po 4 0
+$EndPAD
+$PAD
+Sh "19" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 68 "/LD18"
+Po 6 -2
+$EndPAD
+$PAD
+Sh "20" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 69 "/LD19"
+Po 6 0
+$EndPAD
+$PAD
+Sh "21" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 71 "/LD20"
+Po 8 -2
+$EndPAD
+$PAD
+Sh "22" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 72 "/LD21"
+Po 8 0
+$EndPAD
+$PAD
+Sh "23" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 73 "/LD22"
+Po 10 -2
+$EndPAD
+$PAD
+Sh "24" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 74 "/LD23"
+Po 10 0
+$EndPAD
+$PAD
+Sh "25" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 57 "/LCLK"
+Po 12 -2
+$EndPAD
+$PAD
+Sh "26" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 82 "/LDE"
+Po 12 0
+$EndPAD
+$PAD
+Sh "27" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 83 "/LHSYN"
+Po 14 -2
+$EndPAD
+$PAD
+Sh "28" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 86 "/LVSYN"
+Po 14 0
+$EndPAD
+$PAD
+Sh "29" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 165 "5V_IN"
+Po 16 -2
+$EndPAD
+$PAD
+Sh "30" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 187 "GND"
+Po 16 0
+$EndPAD
+$EndMODULE pin2mm-30
+$MODULE pin_array_4x2
+Po 82.96 50.7 0 15 5189CA94 00000000 ~~
+Li pin_array_4x2
+Cd Double rangee de contacts 2 x 4 pins
+Kw CONN
+Sc 0
+AR /5189E5B2
+Op 0 0 0
+T0 -6.16 0.325 1.016 1.016 900 0.2032 N V 21 N "P14"
+T1 -0.01 -3.425 1.016 1.016 0 0.2032 N V 21 N "CONN_8"
+DS -5.08 -2.54 5.08 -2.54 0.3048 21
+DS 5.08 -2.54 5.08 2.54 0.3048 21
+DS 5.08 2.54 -5.08 2.54 0.3048 21
+DS -5.08 2.54 -5.08 -2.54 0.3048 21
+$PAD
+Sh "1" R 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 91 "/PE0"
+Po -3.81 1.27
+$EndPAD
+$PAD
+Sh "2" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 92 "/PE1"
+Po -3.81 -1.27
+$EndPAD
+$PAD
+Sh "3" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 93 "/PE2"
+Po -1.27 1.27
+$EndPAD
+$PAD
+Sh "4" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 94 "/PE3"
+Po -1.27 -1.27
+$EndPAD
+$PAD
+Sh "5" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 95 "/PE4"
+Po 1.27 1.27
+$EndPAD
+$PAD
+Sh "6" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 96 "/PE5"
+Po 1.27 -1.27
+$EndPAD
+$PAD
+Sh "7" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 97 "/PE6"
+Po 3.81 1.27
+$EndPAD
+$PAD
+Sh "8" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 98 "/PE7"
+Po 3.81 -1.27
+$EndPAD
+$SHAPE3D
+Na "pin_array/pins_array_4x2.wrl"
+Sc 1 1 1
+Of 0 0 0
+Ro 0 0 0
+$EndSHAPE3D
+$EndMODULE pin_array_4x2
+$EndLIBRARY
diff --git a/SBC/netholi/ethernet.sch b/SBC/netholi/ethernet.sch
new file mode 100644
index 0000000..cd95f12
--- /dev/null
+++ b/SBC/netholi/ethernet.sch
@@ -0,0 +1,632 @@
+EESchema Schematic File Version 2  date Thu 06 Jun 2013 04:32:23 PM IST
+LIBS:power
+LIBS:device
+LIBS:transistors
+LIBS:conn
+LIBS:linear
+LIBS:regul
+LIBS:cmos4000
+LIBS:adc-dac
+LIBS:memory
+LIBS:xilinx
+LIBS:special
+LIBS:microcontrollers
+LIBS:dsp
+LIBS:microchip
+LIBS:analog_switches
+LIBS:motorola
+LIBS:texas
+LIBS:intel
+LIBS:audio
+LIBS:interface
+LIBS:digital-audio
+LIBS:philips
+LIBS:display
+LIBS:cypress
+LIBS:siliconi
+LIBS:opto
+LIBS:atmel
+LIBS:contrib
+LIBS:valves
+LIBS:A10-plus
+LIBS:myconns
+LIBS:a10-sbc-cache
+EELAYER 27 0
+EELAYER END
+$Descr A4 11693 8268
+encoding utf-8
+Sheet 3 3
+Title ""
+Date "4 jun 2013"
+Rev ""
+Comp ""
+Comment1 ""
+Comment2 ""
+Comment3 ""
+Comment4 ""
+$EndDescr
+Text GLabel 1900 2300 0    40   Input ~ 0
+EMDC
+Text GLabel 1900 2400 0    40   Input ~ 0
+EMDIO
+Text GLabel 1900 2500 0    40   Input ~ 0
+ETXEN
+Text GLabel 1900 2600 0    40   Input ~ 0
+ETXCK
+Text GLabel 1900 2700 0    40   Input ~ 0
+ECRS
+Text GLabel 1900 2800 0    40   Input ~ 0
+ECOL
+Text GLabel 1100 2900 0    40   Input ~ 0
+ETXERR
+Text GLabel 1900 1200 0    40   Input ~ 0
+ERXD3
+Text GLabel 1900 1300 0    40   Input ~ 0
+ERXD2
+Text GLabel 1900 1400 0    40   Input ~ 0
+ERXD1
+Text GLabel 1900 1500 0    40   Input ~ 0
+ERXD0
+Text GLabel 1900 1600 0    40   Input ~ 0
+ETXD3
+Text GLabel 1900 1700 0    40   Input ~ 0
+ETXD2
+Text GLabel 1900 1900 0    40   Input ~ 0
+ETXD0
+Text GLabel 1900 2000 0    40   Input ~ 0
+ERXCK
+Text GLabel 1900 2100 0    40   Input ~ 0
+ERXERR
+Text GLabel 1900 2200 0    40   Input ~ 0
+ERXDV
+Text GLabel 1900 1800 0    40   Input ~ 0
+ETXD1
+$Comp
+L RTL8201CP U11
+U 1 1 51597EA2
+P 2650 2450
+F 0 "U11" H 3000 3850 50  0000 C CNN
+F 1 "RTL8201CP" H 2450 3850 50  0000 C CNN
+F 2 "MODULE" H 2650 2450 50  0001 C CNN
+F 3 "~" H 2650 2450 50  0001 C CNN
+	1    2650 2450
+	1    0    0    -1  
+$EndComp
+Wire Wire Line
+	3400 1200 3450 1200
+Wire Wire Line
+	3450 1200 3450 700 
+Wire Wire Line
+	3450 700  3850 700 
+$Comp
+L C C136
+U 1 1 51599A05
+P 4050 1300
+F 0 "C136" V 3950 1400 50  0000 L CNN
+F 1 "18pF" V 3950 1050 50  0000 L CNN
+	1    4050 1300
+	0    -1   -1   0   
+$EndComp
+Connection ~ 3750 1300
+Wire Wire Line
+	4250 700  4250 1300
+Wire Wire Line
+	4250 1000 4350 1000
+Connection ~ 4250 1000
+$Comp
+L GND #PWR069
+U 1 1 51599A3B
+P 4350 1000
+F 0 "#PWR069" H 4350 1000 30  0001 C CNN
+F 1 "GND" H 4350 930 30  0001 C CNN
+	1    4350 1000
+	0    -1   -1   0   
+$EndComp
+$Comp
+L C C135
+U 1 1 51599A5C
+P 4050 700
+F 0 "C135" V 4100 800 50  0000 L CNN
+F 1 "18pF" V 4100 450 50  0000 L CNN
+	1    4050 700 
+	0    -1   -1   0   
+$EndComp
+$Comp
+L R R39
+U 1 1 5159A0EF
+P 3800 2650
+F 0 "R39" V 3850 2400 50  0000 C CNN
+F 1 "2k2" V 3800 2650 50  0000 C CNN
+	1    3800 2650
+	0    -1   -1   0   
+$EndComp
+$Comp
+L R R40
+U 1 1 5159A133
+P 3800 2750
+F 0 "R40" V 3850 2500 50  0000 C CNN
+F 1 "5k1" V 3800 2750 50  0000 C CNN
+	1    3800 2750
+	0    -1   -1   0   
+$EndComp
+$Comp
+L R R41
+U 1 1 5159A139
+P 3800 2850
+F 0 "R41" V 3850 2600 50  0000 C CNN
+F 1 "5k1" V 3800 2850 50  0000 C CNN
+	1    3800 2850
+	0    -1   -1   0   
+$EndComp
+$Comp
+L R R35
+U 1 1 5159A227
+P 3800 1750
+F 0 "R35" V 3850 1500 50  0000 C CNN
+F 1 "5k1" V 3800 1750 50  0000 C CNN
+	1    3800 1750
+	0    -1   -1   0   
+$EndComp
+$Comp
+L R R36
+U 1 1 5159A22D
+P 3800 1850
+F 0 "R36" V 3850 1600 50  0000 C CNN
+F 1 "5k1" V 3800 1850 50  0000 C CNN
+	1    3800 1850
+	0    -1   -1   0   
+$EndComp
+$Comp
+L R R37
+U 1 1 5159A233
+P 3800 1950
+F 0 "R37" V 3850 1700 50  0000 C CNN
+F 1 "5k1" V 3800 1950 50  0000 C CNN
+	1    3800 1950
+	0    -1   -1   0   
+$EndComp
+$Comp
+L R R38
+U 1 1 5159A239
+P 3800 2050
+F 0 "R38" V 3850 1800 50  0000 C CNN
+F 1 "5k1" V 3800 2050 50  0000 C CNN
+	1    3800 2050
+	0    -1   -1   0   
+$EndComp
+Wire Wire Line
+	3400 1650 3550 1650
+Wire Wire Line
+	3550 1750 3400 1750
+Wire Wire Line
+	3400 1850 3550 1850
+Wire Wire Line
+	3550 1950 3400 1950
+Wire Wire Line
+	3400 2050 3550 2050
+Wire Wire Line
+	3400 2650 3550 2650
+Wire Wire Line
+	3550 2750 3400 2750
+Wire Wire Line
+	3400 2850 3550 2850
+$Comp
+L R R34
+U 1 1 5159ABE0
+P 3800 1650
+F 0 "R34" V 3850 1400 50  0000 C CNN
+F 1 "5k1" V 3800 1650 50  0000 C CNN
+	1    3800 1650
+	0    -1   -1   0   
+$EndComp
+$Comp
+L INDUCTOR L1
+U 1 1 515ADE75
+P 1450 4700
+F 0 "L1" V 1400 4700 40  0000 C CNN
+F 1 "Bead / SM0603" V 1550 4700 40  0000 C CNN
+	1    1450 4700
+	0    -1   -1   0   
+$EndComp
+Text GLabel 1150 4700 0    60   Input ~ 0
+3.3V
+Text Label 1950 4700 0    60   ~ 0
+ETHAVDD
+Wire Wire Line
+	1750 4700 2250 4700
+$Comp
+L C C140
+U 1 1 515ADE9A
+P 1800 4900
+F 0 "C140" H 1550 5000 50  0000 L CNN
+F 1 "10u" H 1600 4800 50  0000 L CNN
+	1    1800 4900
+	-1   0    0    1   
+$EndComp
+Connection ~ 1800 4700
+Wire Wire Line
+	1900 3200 1900 3300
+Wire Wire Line
+	1900 3300 1450 3300
+Text Label 1450 3300 0    60   ~ 0
+3.3V
+Text Label 1450 3100 0    60   ~ 0
+ETHAVDD
+Wire Wire Line
+	1900 3100 1450 3100
+Wire Wire Line
+	4050 1650 4250 1650
+Wire Wire Line
+	4250 1650 4250 2050
+Wire Wire Line
+	4250 2050 4050 2050
+Wire Wire Line
+	4050 1950 4250 1950
+Connection ~ 4250 1950
+Wire Wire Line
+	4050 1850 4600 1850
+Connection ~ 4250 1850
+Wire Wire Line
+	4050 1750 4250 1750
+Connection ~ 4250 1750
+Text Label 4350 1850 0    60   ~ 0
+3.3V
+Wire Wire Line
+	4050 2650 4250 2650
+Wire Wire Line
+	4250 2650 4250 2850
+Wire Wire Line
+	4250 2850 4050 2850
+Wire Wire Line
+	4050 2750 4350 2750
+Connection ~ 4250 2750
+$Comp
+L GND #PWR070
+U 1 1 515AE043
+P 4350 2750
+F 0 "#PWR070" H 4350 2750 30  0001 C CNN
+F 1 "GND" H 4350 2680 30  0001 C CNN
+	1    4350 2750
+	0    -1   -1   0   
+$EndComp
+Wire Wire Line
+	3400 2300 3400 2500
+Wire Wire Line
+	3400 2400 3500 2400
+Connection ~ 3400 2400
+$Comp
+L GND #PWR071
+U 1 1 515AE09C
+P 3500 2400
+F 0 "#PWR071" H 3500 2400 30  0001 C CNN
+F 1 "GND" H 3500 2330 30  0001 C CNN
+	1    3500 2400
+	0    -1   -1   0   
+$EndComp
+Wire Wire Line
+	4300 3600 3400 3600
+Wire Wire Line
+	3400 3700 3650 3700
+Wire Wire Line
+	3650 3700 3650 3800
+Wire Wire Line
+	3650 3800 4300 3800
+Wire Wire Line
+	3400 3800 3550 3800
+Wire Wire Line
+	3550 3800 3550 3900
+Wire Wire Line
+	3550 3900 4300 3900
+Wire Wire Line
+	3400 3900 3400 4100
+Wire Wire Line
+	3400 4100 4300 4100
+Wire Wire Line
+	4300 3700 3800 3700
+Text Label 3800 3700 0    60   ~ 0
+PFWBOUT
+Text Label 1500 3600 0    60   ~ 0
+PFWBOUT
+Wire Wire Line
+	1150 3600 1900 3600
+$Comp
+L INDUCTOR L5
+U 1 1 515AE1D6
+P 1600 3450
+F 0 "L5" V 1550 3650 40  0000 C CNN
+F 1 "bead /SM0603" V 1700 3450 40  0000 C CNN
+	1    1600 3450
+	0    -1   -1   0   
+$EndComp
+Wire Wire Line
+	1300 3450 1150 3450
+Wire Wire Line
+	1150 3450 1150 3600
+$Comp
+L C C138
+U 1 1 515AE226
+P 1150 3800
+F 0 "C138" H 950 3900 50  0000 L CNN
+F 1 "10u" H 1000 3700 50  0000 L CNN
+	1    1150 3800
+	-1   0    0    1   
+$EndComp
+$Comp
+L C C139
+U 1 1 515AE245
+P 1500 3800
+F 0 "C139" H 1300 3900 50  0000 L CNN
+F 1 ".22u" H 1350 3700 50  0000 L CNN
+	1    1500 3800
+	-1   0    0    1   
+$EndComp
+Connection ~ 1500 3600
+Connection ~ 1150 3600
+Wire Wire Line
+	1900 4000 1150 4000
+$Comp
+L GND #PWR072
+U 1 1 515AE282
+P 1300 4100
+F 0 "#PWR072" H 1300 4100 30  0001 C CNN
+F 1 "GND" H 1300 4030 30  0001 C CNN
+	1    1300 4100
+	1    0    0    -1  
+$EndComp
+Wire Wire Line
+	1300 4100 1300 4000
+Connection ~ 1300 4000
+$Comp
+L GND #PWR073
+U 1 1 515AE2C0
+P 1800 5200
+F 0 "#PWR073" H 1800 5200 30  0001 C CNN
+F 1 "GND" H 1800 5130 30  0001 C CNN
+	1    1800 5200
+	1    0    0    -1  
+$EndComp
+Wire Wire Line
+	1800 5200 1800 5100
+Wire Wire Line
+	1100 2900 1900 2900
+$Comp
+L C C137
+U 1 1 515AE348
+P 1200 3100
+F 0 "C137" H 1250 3250 50  0000 L CNN
+F 1 ".22u" H 1300 3000 50  0000 L CNN
+	1    1200 3100
+	-1   0    0    1   
+$EndComp
+$Comp
+L R R42
+U 1 1 515AE399
+P 1200 2650
+F 0 "R42" V 1300 2700 50  0000 C CNN
+F 1 "5k1" V 1200 2650 50  0000 C CNN
+	1    1200 2650
+	1    0    0    -1  
+$EndComp
+Connection ~ 1200 2900
+Wire Wire Line
+	1200 2400 1200 2300
+Wire Wire Line
+	1200 2300 1050 2300
+Text Label 1050 2300 0    60   ~ 0
+3.3V
+$Comp
+L GND #PWR074
+U 1 1 515AE3E5
+P 1200 3300
+F 0 "#PWR074" H 1200 3300 30  0001 C CNN
+F 1 "GND" H 1200 3230 30  0001 C CNN
+	1    1200 3300
+	1    0    0    -1  
+$EndComp
+Wire Wire Line
+	1900 3800 1900 4000
+Connection ~ 1900 3900
+Connection ~ 1500 4000
+$Comp
+L GND #PWR075
+U 1 1 515AE490
+P 4300 4200
+F 0 "#PWR075" H 4300 4200 30  0001 C CNN
+F 1 "GND" H 4300 4130 30  0001 C CNN
+	1    4300 4200
+	0    1    1    0   
+$EndComp
+$Comp
+L R R43
+U 1 1 515AE4AA
+P 4200 3050
+F 0 "R43" V 4250 2800 50  0000 C CNN
+F 1 "5k1" V 4200 3050 50  0000 C CNN
+	1    4200 3050
+	0    -1   -1   0   
+$EndComp
+$Comp
+L R R44
+U 1 1 515AE4B0
+P 4200 3150
+F 0 "R44" V 4250 2900 50  0000 C CNN
+F 1 "5k1" V 4200 3150 50  0000 C CNN
+	1    4200 3150
+	0    -1   -1   0   
+$EndComp
+$Comp
+L R R45
+U 1 1 515AE4B6
+P 4200 3250
+F 0 "R45" V 4250 3000 50  0000 C CNN
+F 1 "5k1" V 4200 3250 50  0000 C CNN
+	1    4200 3250
+	0    -1   -1   0   
+$EndComp
+$Comp
+L R R46
+U 1 1 515AE4BC
+P 4200 3350
+F 0 "R46" V 4250 3100 50  0000 C CNN
+F 1 "5k1" V 4200 3350 50  0000 C CNN
+	1    4200 3350
+	0    -1   -1   0   
+$EndComp
+$Comp
+L R R47
+U 1 1 515AE4C2
+P 4200 3450
+F 0 "R47" V 4250 3200 50  0000 C CNN
+F 1 "5k1" V 4200 3450 50  0000 C CNN
+	1    4200 3450
+	0    -1   -1   0   
+$EndComp
+Wire Wire Line
+	3400 3450 3950 3450
+Wire Wire Line
+	3950 3350 3400 3350
+Wire Wire Line
+	3400 3250 3950 3250
+Wire Wire Line
+	3950 3150 3400 3150
+Wire Wire Line
+	3400 3050 3950 3050
+Wire Wire Line
+	4450 3150 4650 3150
+Wire Wire Line
+	4650 3450 4450 3450
+Wire Wire Line
+	4650 3350 4450 3350
+Wire Wire Line
+	4450 3250 4750 3250
+Connection ~ 4650 3250
+$Comp
+L GND #PWR076
+U 1 1 515AE755
+P 4750 3250
+F 0 "#PWR076" H 4750 3250 30  0001 C CNN
+F 1 "GND" H 4750 3180 30  0001 C CNN
+	1    4750 3250
+	0    -1   -1   0   
+$EndComp
+Text Label 4500 3450 0    60   ~ 0
+3.3V
+Wire Wire Line
+	4450 3050 4650 3050
+Wire Wire Line
+	4650 3050 4650 3350
+Connection ~ 4650 3150
+$Comp
+L R R49
+U 1 1 515AE7FB
+P 3900 4600
+F 0 "R49" V 3950 4350 50  0000 C CNN
+F 1 "1k" V 3900 4600 50  0000 C CNN
+	1    3900 4600
+	0    -1   -1   0   
+$EndComp
+$Comp
+L R R48
+U 1 1 515AE801
+P 3900 4400
+F 0 "R48" V 3950 4150 50  0000 C CNN
+F 1 "1k" V 3900 4400 50  0000 C CNN
+	1    3900 4400
+	0    -1   -1   0   
+$EndComp
+Wire Wire Line
+	4150 4400 4300 4400
+Wire Wire Line
+	4300 4600 4150 4600
+Wire Wire Line
+	4300 4500 3300 4500
+Wire Wire Line
+	3650 4400 3300 4400
+Wire Wire Line
+	3300 4600 3650 4600
+Text Label 3450 3050 0    60   ~ 0
+LED4
+Text Label 3300 4400 0    60   ~ 0
+LED0
+Text Label 3300 4600 0    60   ~ 0
+3.3V
+Text Label 3450 3450 0    60   ~ 0
+LED0
+$Comp
+L GND #PWR077
+U 1 1 515C00E8
+P 6000 4500
+F 0 "#PWR077" H 6000 4500 30  0001 C CNN
+F 1 "GND" H 6000 4430 30  0001 C CNN
+	1    6000 4500
+	0    -1   -1   0   
+$EndComp
+Text Label 3850 4300 0    60   ~ 0
+3.3V
+Wire Wire Line
+	3850 4300 4300 4300
+Text Label 3300 4500 0    60   ~ 0
+LED4
+NoConn ~ 3400 1400
+Text Label 3500 3600 0    60   ~ 0
+TX+
+Text Label 3500 3700 0    60   ~ 0
+TX-
+Text Label 3600 3900 0    60   ~ 0
+RX+
+Text Label 3550 4100 0    60   ~ 0
+RX-
+$Comp
+L RJ45-HANRUN J8
+U 1 1 51A4CD0A
+P 5400 4100
+F 0 "J8" H 5600 4700 60  0000 L CNN
+F 1 "RJ45-HANRUN" H 5350 4700 60  0000 R CNN
+	1    5400 4100
+	1    0    0    -1  
+$EndComp
+Wire Wire Line
+	4300 4000 4150 4000
+Wire Wire Line
+	4150 4000 4150 3700
+Connection ~ 4150 3700
+NoConn ~ 6000 4600
+$Comp
+L XTL-SMD X3
+U 1 1 51A6DE19
+P 3650 1050
+F 0 "X3" H 3700 1150 60  0000 C CNN
+F 1 "25M" H 3700 850 30  0000 C CNN
+	1    3650 1050
+	0    -1   -1   0   
+$EndComp
+Wire Wire Line
+	3750 1250 3750 1350
+Wire Wire Line
+	3650 800  3650 700 
+Connection ~ 3650 700 
+$Comp
+L GND #PWR078
+U 1 1 51A6DEEB
+P 3750 800
+F 0 "#PWR078" H 3750 800 30  0001 C CNN
+F 1 "GND" H 3750 730 30  0001 C CNN
+	1    3750 800 
+	-1   0    0    1   
+$EndComp
+$Comp
+L GND #PWR079
+U 1 1 51A6DEF1
+P 3650 1250
+F 0 "#PWR079" H 3650 1250 30  0001 C CNN
+F 1 "GND" H 3650 1180 30  0001 C CNN
+	1    3650 1250
+	1    0    0    -1  
+$EndComp
+Wire Wire Line
+	3400 1300 3400 1350
+Wire Wire Line
+	3400 1350 3750 1350
+Wire Wire Line
+	3850 1300 3750 1300
+$EndSCHEMATC
diff --git a/SBC/netholi/netholi-logo.svg b/SBC/netholi/netholi-logo.svg
new file mode 100644
index 0000000..46f07bc
--- /dev/null
+++ b/SBC/netholi/netholi-logo.svg
@@ -0,0 +1,352 @@
+<?xml version="1.0" encoding="UTF-8" standalone="no"?>
+<!-- Created with Inkscape (http://www.inkscape.org/) -->
+
+<svg
+   xmlns:dc="http://purl.org/dc/elements/1.1/"
+   xmlns:cc="http://creativecommons.org/ns#"
+   xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#"
+   xmlns:svg="http://www.w3.org/2000/svg"
+   xmlns="http://www.w3.org/2000/svg"
+   xmlns:xlink="http://www.w3.org/1999/xlink"
+   xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
+   xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
+   id="svg2"
+   version="1.1"
+   inkscape:version="0.48.3.1 r9886"
+   width="376.71616"
+   height="373.28107"
+   sodipodi:docname="netholi-logo.svg">
+  <metadata
+     id="metadata8">
+    <rdf:RDF>
+      <cc:Work
+         rdf:about="">
+        <dc:format>image/svg+xml</dc:format>
+        <dc:type
+           rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
+        <dc:title></dc:title>
+      </cc:Work>
+    </rdf:RDF>
+  </metadata>
+  <defs
+     id="defs6">
+    <filter
+       height="1.475024"
+       y="-0.23751201"
+       width="1.1869113"
+       x="-0.093455695"
+       id="filter3909"
+       inkscape:collect="always"
+       color-interpolation-filters="sRGB">
+      <feGaussianBlur
+         id="feGaussianBlur3911"
+         stdDeviation="24.221841"
+         inkscape:collect="always" />
+    </filter>
+    <filter
+       height="1.9868201"
+       y="-0.49341002"
+       width="1.5120986"
+       x="-0.25604931"
+       id="filter3905"
+       inkscape:collect="always"
+       color-interpolation-filters="sRGB">
+      <feGaussianBlur
+         id="feGaussianBlur3907"
+         stdDeviation="24.221841"
+         inkscape:collect="always" />
+    </filter>
+    <filter
+       height="1.6076039"
+       y="-0.30380195"
+       width="1.2651284"
+       x="-0.13256419"
+       id="filter3901"
+       inkscape:collect="always"
+       color-interpolation-filters="sRGB">
+      <feGaussianBlur
+         id="feGaussianBlur3903"
+         stdDeviation="24.221841"
+         inkscape:collect="always" />
+    </filter>
+    <filter
+       height="1.430613"
+       y="-0.21530652"
+       width="1.1653303"
+       x="-0.082665168"
+       id="filter3897"
+       inkscape:collect="always"
+       color-interpolation-filters="sRGB">
+      <feGaussianBlur
+         id="feGaussianBlur3899"
+         stdDeviation="24.221841"
+         inkscape:collect="always" />
+    </filter>
+    <inkscape:perspective
+       sodipodi:type="inkscape:persp3d"
+       inkscape:vp_x="0 : 0.5 : 1"
+       inkscape:vp_y="0 : 1000 : 0"
+       inkscape:vp_z="1 : 0.5 : 1"
+       inkscape:persp3d-origin="0.5 : 0.33333333 : 1"
+       id="perspective3070" />
+    <inkscape:perspective
+       sodipodi:type="inkscape:persp3d"
+       inkscape:vp_x="0 : 0.5 : 1"
+       inkscape:vp_y="0 : 1000 : 0"
+       inkscape:vp_z="1 : 0.5 : 1"
+       inkscape:persp3d-origin="0.5 : 0.33333333 : 1"
+       id="perspective3048" />
+    <inkscape:perspective
+       sodipodi:type="inkscape:persp3d"
+       inkscape:vp_x="0 : 0.5 : 1"
+       inkscape:vp_y="0 : 1000 : 0"
+       inkscape:vp_z="1 : 0.5 : 1"
+       inkscape:persp3d-origin="0.5 : 0.33333333 : 1"
+       id="perspective3025" />
+    <inkscape:perspective
+       sodipodi:type="inkscape:persp3d"
+       inkscape:vp_x="0 : 0.5 : 1"
+       inkscape:vp_y="0 : 1000 : 0"
+       inkscape:vp_z="1 : 0.5 : 1"
+       inkscape:persp3d-origin="0.5 : 0.33333333 : 1"
+       id="perspective3000" />
+    <inkscape:perspective
+       sodipodi:type="inkscape:persp3d"
+       inkscape:vp_x="0 : 0.5 : 1"
+       inkscape:vp_y="0 : 1000 : 0"
+       inkscape:vp_z="1 : 0.5 : 1"
+       inkscape:persp3d-origin="0.5 : 0.33333333 : 1"
+       id="perspective2944" />
+    <inkscape:perspective
+       id="perspective10"
+       inkscape:persp3d-origin="372.04724 : 350.78739 : 1"
+       inkscape:vp_z="744.09448 : 526.18109 : 1"
+       inkscape:vp_y="0 : 1000 : 0"
+       inkscape:vp_x="0 : 526.18109 : 1"
+       sodipodi:type="inkscape:persp3d" />
+    <linearGradient
+       id="linearGradient3919">
+      <stop
+         id="stop3921"
+         offset="0"
+         style="stop-color:#96cbe1;stop-opacity:1;" />
+      <stop
+         style="stop-color:#61a4c0;stop-opacity:1;"
+         offset="0.93442625"
+         id="stop3929" />
+      <stop
+         id="stop3923"
+         offset="1"
+         style="stop-color:#2d7e9f;stop-opacity:1;" />
+    </linearGradient>
+    <filter
+       inkscape:collect="always"
+       id="filter4260"
+       x="-0.096174479"
+       width="1.192349"
+       y="-0.40853688"
+       height="1.8170738"
+       color-interpolation-filters="sRGB">
+      <feGaussianBlur
+         inkscape:collect="always"
+         stdDeviation="27.618796"
+         id="feGaussianBlur4262" />
+    </filter>
+    <linearGradient
+       inkscape:collect="always"
+       xlink:href="#linearGradient3919-4"
+       id="linearGradient4275-7"
+       x1="168.85474"
+       y1="397.5654"
+       x2="929.85742"
+       y2="397.5654"
+       gradientUnits="userSpaceOnUse" />
+    <linearGradient
+       id="linearGradient3919-4">
+      <stop
+         id="stop3921-9"
+         offset="0"
+         style="stop-color:#96cbe1;stop-opacity:1;" />
+      <stop
+         style="stop-color:#61a4c0;stop-opacity:1;"
+         offset="0.93442625"
+         id="stop3929-9" />
+      <stop
+         id="stop3923-1"
+         offset="1"
+         style="stop-color:#2d7e9f;stop-opacity:1;" />
+    </linearGradient>
+    <linearGradient
+       y2="397.5654"
+       x2="929.85742"
+       y1="397.5654"
+       x1="168.85474"
+       gradientUnits="userSpaceOnUse"
+       id="linearGradient4293"
+       xlink:href="#linearGradient3919-4"
+       inkscape:collect="always" />
+    <filter
+       id="filter4440"
+       inkscape:label="Melted jelly"
+       inkscape:menu="Bevels"
+       inkscape:menu-tooltip="Glossy bevel with blurred edges"
+       height="1.3"
+       y="-0.15000001"
+       width="1.3"
+       x="-0.15000001"
+       color-interpolation-filters="sRGB">
+      <feComposite
+         id="feComposite4442"
+         in2="SourceGraphic"
+         operator="arithmetic"
+         result="fbSourceGraphic"
+         in="SourceGraphic"
+         k2="0.9"
+         k1="0"
+         k3="0"
+         k4="0" />
+      <feGaussianBlur
+         id="feGaussianBlur4444"
+         result="result0"
+         in="fbSourceGraphic"
+         stdDeviation="6" />
+      <feSpecularLighting
+         id="feSpecularLighting4446"
+         specularExponent="25"
+         specularConstant="1"
+         surfaceScale="6"
+         lighting-color="#ffffff"
+         result="result1"
+         in="result0">
+        <feDistantLight
+           id="feDistantLight4448"
+           azimuth="235"
+           elevation="55" />
+      </feSpecularLighting>
+      <feComposite
+         id="feComposite4450"
+         in2="result1"
+         k3="1.5"
+         k2="1"
+         operator="arithmetic"
+         result="result4"
+         in="result0"
+         k1="0"
+         k4="0" />
+      <feComposite
+         id="feComposite4452"
+         in2="result0"
+         operator="atop"
+         result="result2"
+         in="result4" />
+    </filter>
+    <filter
+       inkscape:collect="always"
+       id="filter4515"
+       x="-0.27049971"
+       width="1.5409994"
+       y="-0.28427258"
+       height="1.5685452"
+       color-interpolation-filters="sRGB">
+      <feGaussianBlur
+         inkscape:collect="always"
+         stdDeviation="8.1783898"
+         id="feGaussianBlur4517" />
+    </filter>
+    <radialGradient
+       inkscape:collect="always"
+       xlink:href="#linearGradient3919"
+       id="radialGradient4530"
+       gradientUnits="userSpaceOnUse"
+       gradientTransform="matrix(0.29172235,0,0,0.29176611,579.59447,-352.87789)"
+       cx="377.04282"
+       cy="541.70502"
+       fx="377.04282"
+       fy="541.70502"
+       r="509.38751" />
+  </defs>
+  <sodipodi:namedview
+     pagecolor="#ffffff"
+     bordercolor="#666666"
+     borderopacity="1"
+     objecttolerance="10"
+     gridtolerance="10"
+     guidetolerance="10"
+     inkscape:pageopacity="0"
+     inkscape:pageshadow="2"
+     inkscape:window-width="1570"
+     inkscape:window-height="954"
+     id="namedview4"
+     showgrid="false"
+     inkscape:zoom="0.43232435"
+     inkscape:cx="-183.53227"
+     inkscape:cy="398.94368"
+     inkscape:window-x="98"
+     inkscape:window-y="63"
+     inkscape:window-maximized="0"
+     inkscape:current-layer="svg2"
+     fit-margin-top="0"
+     fit-margin-left="0"
+     fit-margin-right="0"
+     fit-margin-bottom="0" />
+  <g
+     id="g4554"
+     transform="matrix(0.43758253,0,0,0.43758253,-47.475809,31.903951)">
+    <path
+       transform="translate(-10.408844,-43.948491)"
+       d="m 929.85742,397.5654 c 0,208.22888 -170.35625,377.03174 -380.50134,377.03174 -210.14509,0 -380.50134,-168.80286 -380.50134,-377.03174 0,-208.22888 170.35625,-377.031739 380.50134,-377.031739 210.14509,0 380.50134,168.802859 380.50134,377.031739 z"
+       sodipodi:ry="377.03174"
+       sodipodi:rx="380.50134"
+       sodipodi:cy="397.5654"
+       sodipodi:cx="549.35608"
+       id="path4264-7"
+       style="fill:url(#linearGradient4293);fill-opacity:1;stroke:none;filter:url(#filter4440)"
+       sodipodi:type="arc" />
+    <path
+       inkscape:connector-curvature="0"
+       id="path3019-0"
+       d="m 537.59904,216.5482 c -4.91289,9.37054 -9.71485,17.3942 -18.28125,24.125 4.10876,1.57669 8.39098,2.6176 11.9375,6.21875 l -2.5625,1.0625 c 41.06094,17.0698 76.01313,43.20963 104.125,74.875 0.99356,-1.16309 2.06094,-2.35273 3.25,-3.6875 0,0 6.37912,-1.25185 13.625,-1.34375 48.49638,-2.14823 114.79996,-11.02603 147.96875,-19.53125 -51.16055,-40.09932 -117.6516,-64.34375 -190.34375,-64.34375 -1.9246,-0.92002 -3.84175,-1.80268 -5.75,-2.65625 -2.19657,0.27023 -6.3125,0.78125 -6.312 [...]
+       style="opacity:0.625;fill:#000000;fill-opacity:1;stroke:none;filter:url(#filter4260)" />
+    <g
+       transform="matrix(2.7873219,0,0,2.7873219,3788.0347,1923.039)"
+       id="text4481-8"
+       style="font-size:144px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;text-align:start;line-height:125%;letter-spacing:0px;word-spacing:0px;writing-mode:lr-tb;text-anchor:start;opacity:0.57371796;fill:#000000;fill-opacity:1;stroke:none;filter:url(#filter4515);font-family:OpenSymbol;-inkscape-font-specification:OpenSymbol">
+      <path
+         inkscape:connector-curvature="0"
+         id="path4488-4"
+         d="m -1151.943,-523.55765 c -10e-5,0.28131 -0.024,0.82037 -0.07,1.61719 -0.047,0.75005 -0.07,1.35943 -0.07,1.82812 -0.2813,8.81255 -0.422,16.00785 -0.4219,21.58594 l 0,5.76563 c -1e-4,7.96876 0.4218,13.05469 1.2656,15.25781 0.8437,2.15626 2.414,3.23438 4.711,3.23437 2.8124,1e-5 4.8983,-1.3828 6.2578,-4.14843 1.3593,-2.81249 2.1796,-7.07811 2.4609,-12.79688 l 2.8828,0 0,0.35156 c 0,7.59377 -1.2188,13.17189 -3.6562,16.73438 -2.3907,3.5625 -6.1407,5.34375 -11.25,5.34375 -5.3438,0 - [...]
+    </g>
+    <path
+       sodipodi:nodetypes="scssscccccs"
+       inkscape:connector-curvature="0"
+       id="path3019"
+       d="m 416.75237,281.44961 c -86.88869,0 -164.79441,34.88225 -217.58036,90.05064 4.12156,-0.57327 19.87675,-2.85254 29.29536,-5.72268 10.82017,-3.29726 51.98687,-25.71036 64.28376,-32.37909 12.29688,-6.66871 40.8131,-7.5635 55.03259,-8.83605 14.2195,-1.27256 45.57386,-0.68198 45.57386,-0.68198 l -9.51802,7.08663 c 24.93502,1.79072 49.74242,5.05151 74.24655,12.69071 l 27.21978,-15.65582 92.15587,-2.13489 C 531.56077,297.81849 476.27367,281.44961 416.75237,281.44961 z"
+       style="fill:#6b8c45;fill-opacity:1;stroke:none" />
+    <path
+       inkscape:connector-curvature="0"
+       id="path3019-8-9-0"
+       d="m 517.77559,242.00095 c -10.73222,1.93344 -67.38303,12.25 -89.11028,18.5052 -23.93779,6.89161 -110.87302,29.76809 -135.58262,31.19913 -24.70959,1.43104 -103.99216,-11.12671 -106.24038,-11.04644 22.70302,20.6521 39.14699,27.39817 54.90279,37.01104 -16.16698,13.87038 -32.21714,27.80839 -42.77687,44.34165 0,0 0.98348,-0.11246 1.37013,-0.15886 54.84938,-53.93363 134.82804,-87.37783 223.46538,-86.14919 60.4317,0.83767 116.38364,17.66042 162.78481,45.85722 l 9.45485,-0.0764 c 2.50935 [...]
+       style="fill:#000000;fill-opacity:1;stroke:none" />
+    <path
+       inkscape:connector-curvature="0"
+       id="path3019-8-9-7-9-2"
+       d="m 700.18167,211.20816 c -19.94128,0.31699 -77.70157,6.55228 -94.5,8.40625 1.98188,0.91329 3.97086,1.85855 5.96875,2.84375 72.97114,0.88995 139.41532,26.02673 190.28125,66.90625 13.07298,-2.07832 21.63167,-3.55366 22.40625,-3.75 3.07501,-0.7795 39.78715,-12.13357 42.46875,-16.28125 1.62078,-2.50712 -0.87893,-2.64286 -2.3125,-2.125 -1.43341,0.51785 -5.25355,2.88843 -13.34375,4.21875 -8.40338,1.38175 -20.15625,1.3125 -20.15625,1.3125 0,0 24.01967,-7.80321 33.4375,-10.28125 9.41811 [...]
+       style="fill:#7e29fd;fill-opacity:1;stroke:none" />
+    <path
+       sodipodi:nodetypes="cccccccccccc"
+       inkscape:connector-curvature="0"
+       id="path3019-8-9-7-96-4"
+       d="m 536.67229,209.2553 c -4.91289,9.37054 -9.71411,17.37784 -18.28051,24.10865 4.10876,1.57669 8.36566,2.62502 11.91218,6.22617 l -2.54713,1.05673 c 41.06094,17.0698 76.01569,43.21446 104.12756,74.87983 0.99356,-1.16309 2.05197,-2.36113 3.24103,-3.6959 0,0 6.37209,-1.24431 13.61797,-1.33621 48.49638,-2.14823 114.80942,-11.02619 147.97821,-19.53142 -51.16055,-40.09931 -117.64677,-64.33711 -190.33892,-64.33711 -1.9246,-0.92002 -3.83462,-1.79042 -5.74287,-2.64399 -2.19657,0.27023 -6 [...]
+       style="fill:#f39e37;fill-opacity:1;stroke:none" />
+    <g
+       transform="matrix(2.7873219,0,0,2.7873219,3815.6436,1911.9523)"
+       id="text4481"
+       style="font-size:144px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;text-align:start;line-height:125%;letter-spacing:0px;word-spacing:0px;writing-mode:lr-tb;text-anchor:start;fill:#eadd45;fill-opacity:1;stroke:none;font-family:OpenSymbol;-inkscape-font-specification:OpenSymbol">
+      <path
+         style="fill:#eadd45;fill-opacity:1"
+         inkscape:connector-curvature="0"
+         id="path4488"
+         d="m -1151.943,-523.55765 c -10e-5,0.28131 -0.024,0.82037 -0.07,1.61719 -0.047,0.75005 -0.07,1.35943 -0.07,1.82812 -0.2813,8.81255 -0.422,16.00785 -0.4219,21.58594 l 0,5.76563 c -1e-4,7.96876 0.4218,13.05469 1.2656,15.25781 0.8437,2.15626 2.414,3.23438 4.711,3.23437 2.8124,1e-5 4.8983,-1.3828 6.2578,-4.14843 1.3593,-2.81249 2.1796,-7.07811 2.4609,-12.79688 l 2.8828,0 0,0.35156 c 0,7.59377 -1.2188,13.17189 -3.6562,16.73438 -2.3907,3.5625 -6.1407,5.34375 -11.25,5.34375 -5.3438,0 - [...]
+    </g>
+  </g>
+</svg>
diff --git a/SBC/netholi/netholi.cmp b/SBC/netholi/netholi.cmp
new file mode 100644
index 0000000..e6a849e
--- /dev/null
+++ b/SBC/netholi/netholi.cmp
@@ -0,0 +1,1438 @@
+Cmp-Mod V01 Created by CvPcb (2012-nov-02)-testing date = Sun 09 Jun 2013 07:18:20 AM IST
+
+BeginCmp
+TimeStamp = /51543761;
+Reference = C1;
+ValeurCmp = 18pF;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /51543767;
+Reference = C2;
+ValeurCmp = 18pF;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /51523965;
+Reference = C3;
+ValeurCmp = 18pF;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /51523944;
+Reference = C4;
+ValeurCmp = 18pF;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5155B764;
+Reference = C5;
+ValeurCmp = 22pF;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5155B76A;
+Reference = C6;
+ValeurCmp = 22pF;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /51558874;
+Reference = C7;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5154EB96;
+Reference = C8;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5154EECF;
+Reference = C9;
+ValeurCmp = 10u;
+IdModule  = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /5154D3CE;
+Reference = C10;
+ValeurCmp = 10u;
+IdModule  = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /5154DEB6;
+Reference = C11;
+ValeurCmp = 10u;
+IdModule  = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /5154DEC1;
+Reference = C12;
+ValeurCmp = 10u;
+IdModule  = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /51551007;
+Reference = C13;
+ValeurCmp = 10u;
+IdModule  = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /5155100D;
+Reference = C14;
+ValeurCmp = 10u;
+IdModule  = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /515517D9;
+Reference = C15;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5151C2DB;
+Reference = C16;
+ValeurCmp = 1uF;
+IdModule  = SM0603;
+EndCmp
+
+BeginCmp
+TimeStamp = /5155A734;
+Reference = C17;
+ValeurCmp = 10u;
+IdModule  = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /51501971;
+Reference = C18;
+ValeurCmp = 1uF;
+IdModule  = SM0603;
+EndCmp
+
+BeginCmp
+TimeStamp = /51552413;
+Reference = C19;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /51552419;
+Reference = C20;
+ValeurCmp = 4.7u;
+IdModule  = SM0603;
+EndCmp
+
+BeginCmp
+TimeStamp = /5153CE6B;
+Reference = C21;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5153CE77;
+Reference = C22;
+ValeurCmp = 10u;
+IdModule  = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51A88020;
+Reference = C23;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515105DA;
+Reference = C24;
+ValeurCmp = 22pF;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5151D4E3;
+Reference = C25;
+ValeurCmp = .22;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /51552580;
+Reference = C26;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /51552586;
+Reference = C27;
+ValeurCmp = 4.7u;
+IdModule  = SM0603;
+EndCmp
+
+BeginCmp
+TimeStamp = /51554668;
+Reference = C28;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /51541706;
+Reference = C29;
+ValeurCmp = 4.7u;
+IdModule  = SM0603;
+EndCmp
+
+BeginCmp
+TimeStamp = /5155A749;
+Reference = C30;
+ValeurCmp = 10u;
+IdModule  = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /51554E46;
+Reference = C31;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /51554E4C;
+Reference = C32;
+ValeurCmp = 1u;
+IdModule  = SM0603;
+EndCmp
+
+BeginCmp
+TimeStamp = /515489AE;
+Reference = C33;
+ValeurCmp = 220u;
+IdModule  = C2V8;
+EndCmp
+
+BeginCmp
+TimeStamp = /515489B4;
+Reference = C34;
+ValeurCmp = 10u;
+IdModule  = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /5154170C;
+Reference = C35;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5153E245;
+Reference = C36;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5153E251;
+Reference = C37;
+ValeurCmp = 10u;
+IdModule  = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /5153E24B;
+Reference = C38;
+ValeurCmp = 10u;
+IdModule  = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /51558187;
+Reference = C39;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /51513983;
+Reference = C40;
+ValeurCmp = 22pF;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5152F82C;
+Reference = C41;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5152E923;
+Reference = C42;
+ValeurCmp = 1uF;
+IdModule  = SM0603;
+EndCmp
+
+BeginCmp
+TimeStamp = /5155CB6D;
+Reference = C43;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5155CB79;
+Reference = C44;
+ValeurCmp = 10u;
+IdModule  = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /5155CB7F;
+Reference = C45;
+ValeurCmp = 10u;
+IdModule  = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /5154AF6B;
+Reference = C46;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5154AF77;
+Reference = C47;
+ValeurCmp = 10u;
+IdModule  = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /5154AF7D;
+Reference = C48;
+ValeurCmp = 10u;
+IdModule  = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/515197E6;
+Reference = C49;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/5151947E;
+Reference = C50;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51519490;
+Reference = C51;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/5151948A;
+Reference = C52;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51519484;
+Reference = C53;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51519496;
+Reference = C54;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/5151949C;
+Reference = C55;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/515194A2;
+Reference = C56;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/515194A8;
+Reference = C57;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/515194AE;
+Reference = C58;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/515194B4;
+Reference = C59;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/515194BA;
+Reference = C60;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/515194C0;
+Reference = C61;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/515194C6;
+Reference = C62;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/515194D6;
+Reference = C63;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/515194DC;
+Reference = C64;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/515194E2;
+Reference = C65;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/515194E8;
+Reference = C66;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/515194EE;
+Reference = C67;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/515194F4;
+Reference = C68;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51519600;
+Reference = C69;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51519606;
+Reference = C70;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51519612;
+Reference = C71;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/5151960C;
+Reference = C72;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51519618;
+Reference = C73;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/5151961E;
+Reference = C74;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51519624;
+Reference = C75;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/5151962A;
+Reference = C76;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51514BD9;
+Reference = C77;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51514BD3;
+Reference = C78;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51514BCD;
+Reference = C79;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51514B03;
+Reference = C80;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51514BDF;
+Reference = C81;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51523C00;
+Reference = C82;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51518E22;
+Reference = C83;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51518E28;
+Reference = C84;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51518E2E;
+Reference = C85;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51518E34;
+Reference = C86;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51518E3A;
+Reference = C87;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51518E40;
+Reference = C88;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51518E46;
+Reference = C89;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51518E4C;
+Reference = C90;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51518E52;
+Reference = C91;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51518E58;
+Reference = C92;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51518E5E;
+Reference = C93;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51518E64;
+Reference = C94;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51518E6A;
+Reference = C95;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51518E70;
+Reference = C96;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51518E76;
+Reference = C97;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51518E7C;
+Reference = C98;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51518E82;
+Reference = C99;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51518E88;
+Reference = C100;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51518E8E;
+Reference = C101;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51518E94;
+Reference = C102;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51518E9A;
+Reference = C103;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51518EA0;
+Reference = C104;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51518EA6;
+Reference = C105;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51518EAC;
+Reference = C106;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51518EB2;
+Reference = C107;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51513AB2;
+Reference = C108;
+ValeurCmp = 22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/515198E6;
+Reference = C109;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51519944;
+Reference = C110;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/5151994A;
+Reference = C111;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51519950;
+Reference = C112;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5159654C/51599A5C;
+Reference = C135;
+ValeurCmp = 18pF;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5159654C/51599A05;
+Reference = C136;
+ValeurCmp = 18pF;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5159654C/515AE348;
+Reference = C137;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5159654C/515AE226;
+Reference = C138;
+ValeurCmp = 10u;
+IdModule  = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /5159654C/515AE245;
+Reference = C139;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5159654C/515ADE9A;
+Reference = C140;
+ValeurCmp = 10u;
+IdModule  = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /5189E9D2;
+Reference = D1;
+ValeurCmp = LED;
+IdModule  = LED-3MM;
+EndCmp
+
+BeginCmp
+TimeStamp = /5157D108;
+Reference = J2;
+ValeurCmp = DB15;
+IdModule  = DB_15F-VGA;
+EndCmp
+
+BeginCmp
+TimeStamp = /5151A44D;
+Reference = J3;
+ValeurCmp = DUSB;
+IdModule  = USB_DA;
+EndCmp
+
+BeginCmp
+TimeStamp = /51729C76;
+Reference = J6;
+ValeurCmp = CONN_8;
+IdModule  = pin_array_4x2;
+EndCmp
+
+BeginCmp
+TimeStamp = /5159654C/51A4CD0A;
+Reference = J8;
+ValeurCmp = RJ45-HANRUN;
+IdModule  = RJ45-HANRUN;
+EndCmp
+
+BeginCmp
+TimeStamp = /5159654C/515ADE75;
+Reference = L1;
+ValeurCmp = Bead / SM0603;
+IdModule  = SM0603_Capa;
+EndCmp
+
+BeginCmp
+TimeStamp = /5150FD3C;
+Reference = L2;
+ValeurCmp = 4.7uH/3A;
+IdModule  = SM1210L;
+EndCmp
+
+BeginCmp
+TimeStamp = /514EC5DA;
+Reference = L3;
+ValeurCmp = 4.7uH at 1.5A;
+IdModule  = SM1210L;
+EndCmp
+
+BeginCmp
+TimeStamp = /51513975;
+Reference = L4;
+ValeurCmp = 4.7uH/2A;
+IdModule  = SM1210L;
+EndCmp
+
+BeginCmp
+TimeStamp = /5159654C/515AE1D6;
+Reference = L5;
+ValeurCmp = bead /SM0603;
+IdModule  = SM0603_Capa;
+EndCmp
+
+BeginCmp
+TimeStamp = /514ECA56;
+Reference = L6;
+ValeurCmp = 4.7uH at 1.5A;
+IdModule  = SM1210L;
+EndCmp
+
+BeginCmp
+TimeStamp = /5150C360;
+Reference = P1;
+ValeurCmp = CONN30 - LCD;
+IdModule  = pin2mm-30;
+EndCmp
+
+BeginCmp
+TimeStamp = /51526492;
+Reference = P2;
+ValeurCmp = CONN_5;
+IdModule  = conn_usb_B_micro_smd;
+EndCmp
+
+BeginCmp
+TimeStamp = /51590E78;
+Reference = P3;
+ValeurCmp = CONN_8;
+IdModule  = pin_array_4x2;
+EndCmp
+
+BeginCmp
+TimeStamp = /515A7B4E;
+Reference = P4;
+ValeurCmp = UBOOT;
+IdModule  = PIN_ARRAY_2X1;
+EndCmp
+
+BeginCmp
+TimeStamp = /5189B2BF;
+Reference = P5;
+ValeurCmp = CONN_1;
+IdModule  = TPT;
+EndCmp
+
+BeginCmp
+TimeStamp = /5189B2CC;
+Reference = P6;
+ValeurCmp = CONN_1;
+IdModule  = TPT;
+EndCmp
+
+BeginCmp
+TimeStamp = /5189B2D2;
+Reference = P7;
+ValeurCmp = CONN_1;
+IdModule  = TPT;
+EndCmp
+
+BeginCmp
+TimeStamp = /5189B2D8;
+Reference = P8;
+ValeurCmp = CONN_1;
+IdModule  = TPT;
+EndCmp
+
+BeginCmp
+TimeStamp = /5189BF82;
+Reference = P11;
+ValeurCmp = CONN_1;
+IdModule  = TPT;
+EndCmp
+
+BeginCmp
+TimeStamp = /5189E5B2;
+Reference = P14;
+ValeurCmp = CONN_8;
+IdModule  = pin_array_4x2;
+EndCmp
+
+BeginCmp
+TimeStamp = /5154376F;
+Reference = R1;
+ValeurCmp = 10M;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5152B195;
+Reference = R2;
+ValeurCmp = 5k1;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5154C786;
+Reference = R3;
+ValeurCmp = 33;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /514C6175;
+Reference = R4;
+ValeurCmp = 240;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5154E138;
+Reference = R5;
+ValeurCmp = 33;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5154E7E5;
+Reference = R6;
+ValeurCmp = 33;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5154F007;
+Reference = R7;
+ValeurCmp = 33;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5154F826;
+Reference = R8;
+ValeurCmp = 33;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /51550049;
+Reference = R9;
+ValeurCmp = 33;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /514C617B;
+Reference = R10;
+ValeurCmp = 240;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /514FBC8D;
+Reference = R11;
+ValeurCmp = 240;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5154C74A;
+Reference = R12;
+ValeurCmp = 47K;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /51559D15;
+Reference = R13;
+ValeurCmp = 47K;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /51559D1B;
+Reference = R14;
+ValeurCmp = 47K;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /51559D21;
+Reference = R15;
+ValeurCmp = 47K;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /51559D27;
+Reference = R16;
+ValeurCmp = 47K;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /51559D2D;
+Reference = R17;
+ValeurCmp = 47K;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5154676A;
+Reference = R18;
+ValeurCmp = 22;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /51546777;
+Reference = R19;
+ValeurCmp = 22;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5152D179;
+Reference = R20;
+ValeurCmp = 2k2;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5152D186;
+Reference = R21;
+ValeurCmp = 2k2;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5150FD34;
+Reference = R22;
+ValeurCmp = 15k;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /51501E95;
+Reference = R23;
+ValeurCmp = 200k;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5150FD4C;
+Reference = R24;
+ValeurCmp = 10k;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5151396F;
+Reference = R25;
+ValeurCmp = 15k;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /514F4E43;
+Reference = R26;
+ValeurCmp = 2k2;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /514C634B;
+Reference = R27;
+ValeurCmp = 2k2;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5152F676;
+Reference = R28;
+ValeurCmp = 33;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5151397B;
+Reference = R29;
+ValeurCmp = 3.3k;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5152CC57;
+Reference = R30;
+ValeurCmp = 240;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /51531275;
+Reference = R31;
+ValeurCmp = 2k2;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/515147DD;
+Reference = R32;
+ValeurCmp = 2k2;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/515147D0;
+Reference = R33;
+ValeurCmp = 2k2;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5159654C/5159ABE0;
+Reference = R34;
+ValeurCmp = 5k1;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5159654C/5159A227;
+Reference = R35;
+ValeurCmp = 5k1;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5159654C/5159A22D;
+Reference = R36;
+ValeurCmp = 5k1;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5159654C/5159A233;
+Reference = R37;
+ValeurCmp = 5k1;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5159654C/5159A239;
+Reference = R38;
+ValeurCmp = 5k1;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5159654C/5159A0EF;
+Reference = R39;
+ValeurCmp = 2k2;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5159654C/5159A133;
+Reference = R40;
+ValeurCmp = 5k1;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5159654C/5159A139;
+Reference = R41;
+ValeurCmp = 5k1;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5159654C/515AE399;
+Reference = R42;
+ValeurCmp = 5k1;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5159654C/515AE4AA;
+Reference = R43;
+ValeurCmp = 5k1;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5159654C/515AE4B0;
+Reference = R44;
+ValeurCmp = 5k1;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5159654C/515AE4B6;
+Reference = R45;
+ValeurCmp = 5k1;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5159654C/515AE4BC;
+Reference = R46;
+ValeurCmp = 5k1;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5159654C/515AE4C2;
+Reference = R47;
+ValeurCmp = 5k1;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5159654C/515AE801;
+Reference = R48;
+ValeurCmp = 1k;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5159654C/515AE7FB;
+Reference = R49;
+ValeurCmp = 1k;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5189E9C5;
+Reference = R50;
+ValeurCmp = 4k7;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /51ADEA26;
+Reference = R51;
+ValeurCmp = 47k;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5152F670;
+Reference = SW1;
+ValeurCmp = SW_PUSH;
+IdModule  = SIL-2;
+EndCmp
+
+BeginCmp
+TimeStamp = /514FBC93;
+Reference = U1;
+ValeurCmp = 4GBDDR3;
+IdModule  = FBGA96-new;
+EndCmp
+
+BeginCmp
+TimeStamp = /514D42E8;
+Reference = U2;
+ValeurCmp = 4GBDDR3;
+IdModule  = FBGA96-new;
+EndCmp
+
+BeginCmp
+TimeStamp = /514D47F5;
+Reference = U3;
+ValeurCmp = A10-SOC;
+IdModule  = TFBGA441-new;
+EndCmp
+
+BeginCmp
+TimeStamp = /514D120E;
+Reference = U4;
+ValeurCmp = 524P;
+IdModule  = RCLAMP;
+EndCmp
+
+BeginCmp
+TimeStamp = /514F0596;
+Reference = U5;
+ValeurCmp = SY8008C;
+IdModule  = SOT23-5;
+EndCmp
+
+BeginCmp
+TimeStamp = /514D11F4;
+Reference = U6;
+ValeurCmp = 524P;
+IdModule  = RCLAMP;
+EndCmp
+
+BeginCmp
+TimeStamp = /514C3FF4;
+Reference = U7;
+ValeurCmp = AXP209;
+IdModule  = QFN48+1;
+EndCmp
+
+BeginCmp
+TimeStamp = /514D0FDE;
+Reference = U8;
+ValeurCmp = 524P;
+IdModule  = RCLAMP;
+EndCmp
+
+BeginCmp
+TimeStamp = /514F0D71;
+Reference = U9;
+ValeurCmp = SY8008C;
+IdModule  = SOT23-5;
+EndCmp
+
+BeginCmp
+TimeStamp = /51593628;
+Reference = U10;
+ValeurCmp = 74LS08;
+IdModule  = SO14E;
+EndCmp
+
+BeginCmp
+TimeStamp = /5159654C/51597EA2;
+Reference = U11;
+ValeurCmp = RTL8201CP;
+IdModule  = lqfp48;
+EndCmp
+
+BeginCmp
+TimeStamp = /5154375B;
+Reference = X1;
+ValeurCmp = 32.768k;
+IdModule  = crystal-32k-4.5x1.9mm;
+EndCmp
+
+BeginCmp
+TimeStamp = /51523481;
+Reference = X2;
+ValeurCmp = 24MHz;
+IdModule  = Crystal_SMD_7x5mm;
+EndCmp
+
+BeginCmp
+TimeStamp = /5159654C/51A6DE19;
+Reference = X3;
+ValeurCmp = 25M;
+IdModule  = Crystal-TXC-SMD3.2x2.5;
+EndCmp
+
+EndListe
diff --git a/SBC/netholi/netholi.kicad_pcb b/SBC/netholi/netholi.kicad_pcb
new file mode 100644
index 0000000..775fda5
--- /dev/null
+++ b/SBC/netholi/netholi.kicad_pcb
@@ -0,0 +1,21460 @@
+(kicad_pcb (version 3) (host pcbnew "(2012-nov-02)-testing")
+
+  (general
+    (links 863)
+    (no_connects 0)
+    (area 26.904175 14.174973 120.965481 97.621481)
+    (thickness 1.6)
+    (drawings 9)
+    (tracks 6266)
+    (zones 0)
+    (modules 212)
+    (nets 260)
+  )
+
+  (page User 431.8 279.4)
+  (layers
+    (15 TOP-L1 signal)
+    (4 GND-L2 signal hide)
+    (3 Signal-L3 signal)
+    (2 GND-L4 signal hide)
+    (1 Signal-L5 signal)
+    (0 BOT-L6 signal)
+    (16 B.Adhes user)
+    (17 F.Adhes user)
+    (18 B.Paste user)
+    (19 F.Paste user)
+    (20 B.SilkS user)
+    (21 F.SilkS user)
+    (22 B.Mask user)
+    (23 F.Mask user)
+    (24 Dwgs.User user)
+    (25 Cmts.User user)
+    (26 Eco1.User user)
+    (27 Eco2.User user)
+    (28 Edge.Cuts user)
+  )
+
+  (setup
+    (last_trace_width 0.1524)
+    (user_trace_width 0.2)
+    (user_trace_width 0.3)
+    (user_trace_width 0.5)
+    (user_trace_width 0.8)
+    (user_trace_width 1.5)
+    (user_trace_width 2)
+    (trace_clearance 0.09398)
+    (zone_clearance 0.2032)
+    (zone_45_only no)
+    (trace_min 0.1524)
+    (segment_width 0.2)
+    (edge_width 0.15)
+    (via_size 0.4572)
+    (via_drill 0.2032)
+    (via_min_size 0.4572)
+    (via_min_drill 0.2032)
+    (user_via 0.8128 0.6096)
+    (user_via 0.8128 0.6096)
+    (user_via 1.016 0.8128)
+    (uvia_size 0.508)
+    (uvia_drill 0.127)
+    (uvias_allowed no)
+    (uvia_min_size 0.508)
+    (uvia_min_drill 0.127)
+    (pcb_text_width 0.3)
+    (pcb_text_size 1 1)
+    (mod_edge_width 0.15)
+    (mod_text_size 1 1)
+    (mod_text_width 0.15)
+    (pad_size 1.1 1.1)
+    (pad_drill 0.8)
+    (pad_to_mask_clearance 0)
+    (aux_axis_origin 43.3658 115.4814)
+    (visible_elements 7FFFFFFF)
+    (pcbplotparams
+      (layerselection 284983327)
+      (usegerberextensions true)
+      (excludeedgelayer true)
+      (linewidth 152400)
+      (plotframeref false)
+      (viasonmask false)
+      (mode 1)
+      (useauxorigin false)
+      (hpglpennumber 1)
+      (hpglpenspeed 20)
+      (hpglpendiameter 15)
+      (hpglpenoverlay 2)
+      (psnegative false)
+      (psa4output false)
+      (plotreference true)
+      (plotvalue true)
+      (plotothertext true)
+      (plotinvisibletext false)
+      (padsonsilk false)
+      (subtractmaskfromsilk false)
+      (outputformat 1)
+      (mirror false)
+      (drillshape 0)
+      (scaleselection 1)
+      (outputdirectory Gerber/))
+  )
+
+  (net 0 "")
+  (net 1 /BA0)
+  (net 2 /BA1)
+  (net 3 /BA2)
+  (net 4 /CK)
+  (net 5 /CK#)
+  (net 6 /CKE)
+  (net 7 /CS#)
+  (net 8 /DQ0)
+  (net 9 /DQ1)
+  (net 10 /DQ10)
+  (net 11 /DQ11)
+  (net 12 /DQ12)
+  (net 13 /DQ13)
+  (net 14 /DQ14)
+  (net 15 /DQ15)
+  (net 16 /DQ16)
+  (net 17 /DQ17)
+  (net 18 /DQ18)
+  (net 19 /DQ19)
+  (net 20 /DQ2)
+  (net 21 /DQ20)
+  (net 22 /DQ21)
+  (net 23 /DQ22)
+  (net 24 /DQ23)
+  (net 25 /DQ24)
+  (net 26 /DQ25)
+  (net 27 /DQ26)
+  (net 28 /DQ27)
+  (net 29 /DQ28)
+  (net 30 /DQ29)
+  (net 31 /DQ3)
+  (net 32 /DQ30)
+  (net 33 /DQ31)
+  (net 34 /DQ4)
+  (net 35 /DQ5)
+  (net 36 /DQ6)
+  (net 37 /DQ7)
+  (net 38 /DQ8)
+  (net 39 /DQ9)
+  (net 40 /EXTEN)
+  (net 41 /HCEC)
+  (net 42 /HHPD)
+  (net 43 /HSCL)
+  (net 44 /HSDA)
+  (net 45 /HTX0N)
+  (net 46 /HTX0P)
+  (net 47 /HTX1N)
+  (net 48 /HTX1P)
+  (net 49 /HTX2N)
+  (net 50 /HTX2P)
+  (net 51 /HTXCN)
+  (net 52 /HTXCP)
+  (net 53 /J_CK0)
+  (net 54 /J_DI0)
+  (net 55 /J_DO0)
+  (net 56 /J_MS0)
+  (net 57 /LCLK)
+  (net 58 /LD0)
+  (net 59 /LD1)
+  (net 60 /LD10)
+  (net 61 /LD11)
+  (net 62 /LD12)
+  (net 63 /LD13)
+  (net 64 /LD14)
+  (net 65 /LD15)
+  (net 66 /LD16)
+  (net 67 /LD17)
+  (net 68 /LD18)
+  (net 69 /LD19)
+  (net 70 /LD2)
+  (net 71 /LD20)
+  (net 72 /LD21)
+  (net 73 /LD22)
+  (net 74 /LD23)
+  (net 75 /LD3)
+  (net 76 /LD4)
+  (net 77 /LD5)
+  (net 78 /LD6)
+  (net 79 /LD7)
+  (net 80 /LD8)
+  (net 81 /LD9)
+  (net 82 /LDE)
+  (net 83 /LHSYN)
+  (net 84 /LIN_L)
+  (net 85 /LIN_R)
+  (net 86 /LVSYN)
+  (net 87 /MICIN1)
+  (net 88 /MICIN2)
+  (net 89 /NMI#)
+  (net 90 /ODT)
+  (net 91 /PE0)
+  (net 92 /PE1)
+  (net 93 /PE2)
+  (net 94 /PE3)
+  (net 95 /PE4)
+  (net 96 /PE5)
+  (net 97 /PE6)
+  (net 98 /PE7)
+  (net 99 /RESET#)
+  (net 100 /SA0)
+  (net 101 /SA1)
+  (net 102 /SA10)
+  (net 103 /SA11)
+  (net 104 /SA12)
+  (net 105 /SA13)
+  (net 106 /SA14)
+  (net 107 /SA2)
+  (net 108 /SA3)
+  (net 109 /SA4)
+  (net 110 /SA5)
+  (net 111 /SA6)
+  (net 112 /SA7)
+  (net 113 /SA8)
+  (net 114 /SA9)
+  (net 115 /SCAS)
+  (net 116 /SCK)
+  (net 117 /SCK#)
+  (net 118 /SDQM0)
+  (net 119 /SDQM1)
+  (net 120 /SDQM2)
+  (net 121 /SDQM3)
+  (net 122 /SDQS0)
+  (net 123 /SDQS0#)
+  (net 124 /SDQS1)
+  (net 125 /SDQS1#)
+  (net 126 /SDQS2)
+  (net 127 /SDQS2#)
+  (net 128 /SDQS3)
+  (net 129 /SDQS3#)
+  (net 130 /SRAS)
+  (net 131 /SRST)
+  (net 132 /SWE)
+  (net 133 /TWI0SCK)
+  (net 134 /TWI0SDA)
+  (net 135 /U0Rx)
+  (net 136 /U0Tx)
+  (net 137 /U3RX)
+  (net 138 /U3TX)
+  (net 139 /U4RX)
+  (net 140 /U4TX)
+  (net 141 /U5RX)
+  (net 142 /U5TX)
+  (net 143 /USB1M)
+  (net 144 /USB1P)
+  (net 145 /USB2M)
+  (net 146 /USB2P)
+  (net 147 /VGA_B)
+  (net 148 /VGA_G)
+  (net 149 /VGA_R)
+  (net 150 /VMIC)
+  (net 151 /VRA1)
+  (net 152 /VRA2)
+  (net 153 /VRP)
+  (net 154 /ethernet/ETHAVDD)
+  (net 155 /ethernet/LED0)
+  (net 156 /ethernet/LED4)
+  (net 157 /ethernet/PFWBOUT)
+  (net 158 /ethernet/RX+)
+  (net 159 /ethernet/RX-)
+  (net 160 /ethernet/TX+)
+  (net 161 /ethernet/TX-)
+  (net 162 1.25V)
+  (net 163 1.2V)
+  (net 164 3.3V)
+  (net 165 5V_IN)
+  (net 166 AVCC)
+  (net 167 DRAM_REF)
+  (net 168 DRAM_VCC)
+  (net 169 ECOL)
+  (net 170 ECRS)
+  (net 171 EMDC)
+  (net 172 EMDIO)
+  (net 173 ERXCK)
+  (net 174 ERXD0)
+  (net 175 ERXD1)
+  (net 176 ERXD2)
+  (net 177 ERXD3)
+  (net 178 ERXDV)
+  (net 179 ERXERR)
+  (net 180 ETXCK)
+  (net 181 ETXD0)
+  (net 182 ETXD1)
+  (net 183 ETXD2)
+  (net 184 ETXD3)
+  (net 185 ETXEN)
+  (net 186 ETXERR)
+  (net 187 GND)
+  (net 188 IPSOUT)
+  (net 189 LDO1)
+  (net 190 LDO3)
+  (net 191 LDO4)
+  (net 192 N-00000101)
+  (net 193 N-00000119)
+  (net 194 N-00000131)
+  (net 195 N-00000137)
+  (net 196 N-00000138)
+  (net 197 N-00000143)
+  (net 198 N-0000015)
+  (net 199 N-0000016)
+  (net 200 N-0000017)
+  (net 201 N-00000177)
+  (net 202 N-00000187)
+  (net 203 N-00000192)
+  (net 204 N-00000193)
+  (net 205 N-00000194)
+  (net 206 N-00000195)
+  (net 207 N-00000196)
+  (net 208 N-00000197)
+  (net 209 N-00000198)
+  (net 210 N-00000199)
+  (net 211 N-00000200)
+  (net 212 N-00000201)
+  (net 213 N-00000203)
+  (net 214 N-00000204)
+  (net 215 N-00000205)
+  (net 216 N-00000230)
+  (net 217 N-00000264)
+  (net 218 N-00000265)
+  (net 219 N-00000266)
+  (net 220 N-00000267)
+  (net 221 N-00000268)
+  (net 222 N-00000269)
+  (net 223 N-00000270)
+  (net 224 N-00000274)
+  (net 225 N-00000276)
+  (net 226 N-00000277)
+  (net 227 N-00000296)
+  (net 228 N-00000297)
+  (net 229 N-00000298)
+  (net 230 N-00000299)
+  (net 231 N-00000302)
+  (net 232 N-00000303)
+  (net 233 N-0000036)
+  (net 234 N-00000363)
+  (net 235 N-0000037)
+  (net 236 N-0000038)
+  (net 237 N-0000039)
+  (net 238 N-0000040)
+  (net 239 N-0000041)
+  (net 240 N-00000410)
+  (net 241 N-00000411)
+  (net 242 N-00000412)
+  (net 243 N-00000413)
+  (net 244 N-00000414)
+  (net 245 N-0000042)
+  (net 246 N-00000420)
+  (net 247 N-00000422)
+  (net 248 N-00000426)
+  (net 249 N-00000428)
+  (net 250 N-00000429)
+  (net 251 N-00000430)
+  (net 252 N-00000431)
+  (net 253 N-00000432)
+  (net 254 N-00000433)
+  (net 255 N-00000434)
+  (net 256 N-00000435)
+  (net 257 N-0000044)
+  (net 258 N-0000053)
+  (net 259 N-0000086)
+
+  (net_class Default "This is the default net class."
+    (clearance 0.09398)
+    (trace_width 0.1524)
+    (via_dia 0.4572)
+    (via_drill 0.2032)
+    (uvia_dia 0.508)
+    (uvia_drill 0.127)
+    (add_net "")
+    (add_net /BA0)
+    (add_net /BA1)
+    (add_net /BA2)
+    (add_net /CK)
+    (add_net /CK#)
+    (add_net /CKE)
+    (add_net /CS#)
+    (add_net /DQ0)
+    (add_net /DQ1)
+    (add_net /DQ10)
+    (add_net /DQ11)
+    (add_net /DQ12)
+    (add_net /DQ13)
+    (add_net /DQ14)
+    (add_net /DQ15)
+    (add_net /DQ16)
+    (add_net /DQ17)
+    (add_net /DQ18)
+    (add_net /DQ19)
+    (add_net /DQ2)
+    (add_net /DQ20)
+    (add_net /DQ21)
+    (add_net /DQ22)
+    (add_net /DQ23)
+    (add_net /DQ24)
+    (add_net /DQ25)
+    (add_net /DQ26)
+    (add_net /DQ27)
+    (add_net /DQ28)
+    (add_net /DQ29)
+    (add_net /DQ3)
+    (add_net /DQ30)
+    (add_net /DQ31)
+    (add_net /DQ4)
+    (add_net /DQ5)
+    (add_net /DQ6)
+    (add_net /DQ7)
+    (add_net /DQ8)
+    (add_net /DQ9)
+    (add_net /EXTEN)
+    (add_net /HCEC)
+    (add_net /HHPD)
+    (add_net /HSCL)
+    (add_net /HSDA)
+    (add_net /HTX0N)
+    (add_net /HTX0P)
+    (add_net /HTX1N)
+    (add_net /HTX1P)
+    (add_net /HTX2N)
+    (add_net /HTX2P)
+    (add_net /HTXCN)
+    (add_net /HTXCP)
+    (add_net /J_CK0)
+    (add_net /J_DI0)
+    (add_net /J_DO0)
+    (add_net /J_MS0)
+    (add_net /LCLK)
+    (add_net /LD0)
+    (add_net /LD1)
+    (add_net /LD10)
+    (add_net /LD11)
+    (add_net /LD12)
+    (add_net /LD13)
+    (add_net /LD14)
+    (add_net /LD15)
+    (add_net /LD16)
+    (add_net /LD17)
+    (add_net /LD18)
+    (add_net /LD19)
+    (add_net /LD2)
+    (add_net /LD20)
+    (add_net /LD21)
+    (add_net /LD22)
+    (add_net /LD23)
+    (add_net /LD3)
+    (add_net /LD4)
+    (add_net /LD5)
+    (add_net /LD6)
+    (add_net /LD7)
+    (add_net /LD8)
+    (add_net /LD9)
+    (add_net /LDE)
+    (add_net /LHSYN)
+    (add_net /LIN_L)
+    (add_net /LIN_R)
+    (add_net /LVSYN)
+    (add_net /MICIN1)
+    (add_net /MICIN2)
+    (add_net /NMI#)
+    (add_net /ODT)
+    (add_net /PE0)
+    (add_net /PE1)
+    (add_net /PE2)
+    (add_net /PE3)
+    (add_net /PE4)
+    (add_net /PE5)
+    (add_net /PE6)
+    (add_net /PE7)
+    (add_net /RESET#)
+    (add_net /SA0)
+    (add_net /SA1)
+    (add_net /SA10)
+    (add_net /SA11)
+    (add_net /SA12)
+    (add_net /SA13)
+    (add_net /SA14)
+    (add_net /SA2)
+    (add_net /SA3)
+    (add_net /SA4)
+    (add_net /SA5)
+    (add_net /SA6)
+    (add_net /SA7)
+    (add_net /SA8)
+    (add_net /SA9)
+    (add_net /SCAS)
+    (add_net /SCK)
+    (add_net /SCK#)
+    (add_net /SDQM0)
+    (add_net /SDQM1)
+    (add_net /SDQM2)
+    (add_net /SDQM3)
+    (add_net /SDQS0)
+    (add_net /SDQS0#)
+    (add_net /SDQS1)
+    (add_net /SDQS1#)
+    (add_net /SDQS2)
+    (add_net /SDQS2#)
+    (add_net /SDQS3)
+    (add_net /SDQS3#)
+    (add_net /SRAS)
+    (add_net /SRST)
+    (add_net /SWE)
+    (add_net /TWI0SCK)
+    (add_net /TWI0SDA)
+    (add_net /U0Rx)
+    (add_net /U0Tx)
+    (add_net /U3RX)
+    (add_net /U3TX)
+    (add_net /U4RX)
+    (add_net /U4TX)
+    (add_net /U5RX)
+    (add_net /U5TX)
+    (add_net /USB1M)
+    (add_net /USB1P)
+    (add_net /USB2M)
+    (add_net /USB2P)
+    (add_net /VGA_B)
+    (add_net /VGA_G)
+    (add_net /VGA_R)
+    (add_net /VMIC)
+    (add_net /VRA1)
+    (add_net /VRA2)
+    (add_net /VRP)
+    (add_net /ethernet/ETHAVDD)
+    (add_net /ethernet/LED0)
+    (add_net /ethernet/LED4)
+    (add_net /ethernet/PFWBOUT)
+    (add_net /ethernet/RX+)
+    (add_net /ethernet/RX-)
+    (add_net /ethernet/TX+)
+    (add_net /ethernet/TX-)
+    (add_net ECOL)
+    (add_net ECRS)
+    (add_net EMDC)
+    (add_net EMDIO)
+    (add_net ERXCK)
+    (add_net ERXD0)
+    (add_net ERXD1)
+    (add_net ERXD2)
+    (add_net ERXD3)
+    (add_net ERXDV)
+    (add_net ERXERR)
+    (add_net ETXCK)
+    (add_net ETXD0)
+    (add_net ETXD1)
+    (add_net ETXD2)
+    (add_net ETXD3)
+    (add_net ETXEN)
+    (add_net ETXERR)
+    (add_net N-00000101)
+    (add_net N-00000119)
+    (add_net N-00000131)
+    (add_net N-00000137)
+    (add_net N-00000138)
+    (add_net N-00000143)
+    (add_net N-0000015)
+    (add_net N-0000016)
+    (add_net N-0000017)
+    (add_net N-00000177)
+    (add_net N-00000187)
+    (add_net N-00000192)
+    (add_net N-00000193)
+    (add_net N-00000194)
+    (add_net N-00000195)
+    (add_net N-00000196)
+    (add_net N-00000197)
+    (add_net N-00000198)
+    (add_net N-00000199)
+    (add_net N-00000200)
+    (add_net N-00000201)
+    (add_net N-00000203)
+    (add_net N-00000204)
+    (add_net N-00000205)
+    (add_net N-00000230)
+    (add_net N-00000264)
+    (add_net N-00000265)
+    (add_net N-00000266)
+    (add_net N-00000267)
+    (add_net N-00000268)
+    (add_net N-00000269)
+    (add_net N-00000270)
+    (add_net N-00000274)
+    (add_net N-00000276)
+    (add_net N-00000277)
+    (add_net N-00000296)
+    (add_net N-00000297)
+    (add_net N-00000298)
+    (add_net N-00000299)
+    (add_net N-00000302)
+    (add_net N-00000303)
+    (add_net N-0000036)
+    (add_net N-00000363)
+    (add_net N-0000037)
+    (add_net N-0000038)
+    (add_net N-0000039)
+    (add_net N-0000040)
+    (add_net N-0000041)
+    (add_net N-00000410)
+    (add_net N-00000411)
+    (add_net N-00000412)
+    (add_net N-00000413)
+    (add_net N-00000414)
+    (add_net N-0000042)
+    (add_net N-00000420)
+    (add_net N-00000422)
+    (add_net N-00000426)
+    (add_net N-00000428)
+    (add_net N-00000429)
+    (add_net N-00000430)
+    (add_net N-00000431)
+    (add_net N-00000432)
+    (add_net N-00000433)
+    (add_net N-00000434)
+    (add_net N-00000435)
+    (add_net N-0000044)
+    (add_net N-0000053)
+    (add_net N-0000086)
+  )
+
+  (net_class power ""
+    (clearance 0.09398)
+    (trace_width 0.1524)
+    (via_dia 0.4572)
+    (via_drill 0.2032)
+    (uvia_dia 0.508)
+    (uvia_drill 0.127)
+    (add_net 1.25V)
+    (add_net 1.2V)
+    (add_net 3.3V)
+    (add_net 5V_IN)
+    (add_net AVCC)
+    (add_net DRAM_REF)
+    (add_net DRAM_VCC)
+    (add_net GND)
+    (add_net IPSOUT)
+    (add_net LDO1)
+    (add_net LDO3)
+    (add_net LDO4)
+  )
+
+  (module USB_DA (layer TOP-L1) (tedit 51AD76C9) (tstamp 51544367)
+    (at 101.025 53.15)
+    (path /5151A44D)
+    (fp_text reference J3 (at -2.3 0.225 90) (layer F.SilkS)
+      (effects (font (size 1.524 1.524) (thickness 0.3048)))
+    )
+    (fp_text value DUSB (at 11.4716 -1.9182 90) (layer F.SilkS)
+      (effects (font (size 1.524 1.524) (thickness 0.3048)))
+    )
+    (fp_line (start -1.143 -7.493) (end 12.954 -7.493) (layer F.SilkS) (width 0.127))
+    (fp_line (start 12.954 7.493) (end -1.143 7.493) (layer F.SilkS) (width 0.127))
+    (fp_line (start -1.143 -7.493) (end -1.143 7.493) (layer F.SilkS) (width 0.127))
+    (fp_line (start 12.954 -7.493) (end 12.954 7.493) (layer F.SilkS) (width 0.127))
+    (pad 7 thru_hole circle (at 0 -3.556) (size 1.50114 1.50114) (drill 1.00076)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 187 GND)
+    )
+    (pad 5 thru_hole circle (at 0 -1.025) (size 1.50114 1.50114) (drill 1.00076)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 144 /USB1P)
+    )
+    (pad 3 thru_hole circle (at 0.025 1.025) (size 1.50114 1.50114) (drill 1.00076)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 143 /USB1M)
+    )
+    (pad 1 thru_hole circle (at 0 3.556) (size 1.50114 1.50114) (drill 1.00076)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 165 5V_IN)
+    )
+    (pad sh3 thru_hole circle (at -0.675 -6.6) (size 2.99974 2.99974) (drill 2.301238)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 187 GND)
+    )
+    (pad sh1 thru_hole circle (at -0.625 6.675) (size 2.99974 2.99974) (drill 2.301238)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 187 GND)
+    )
+    (pad sh4 thru_hole circle (at 5.675 -6.6) (size 2.99974 2.99974) (drill 2.301238)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 187 GND)
+    )
+    (pad sh2 thru_hole circle (at 5.65 6.35) (size 2.99974 2.99974) (drill 2.301238)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 187 GND)
+    )
+    (pad 8 thru_hole circle (at 2.7 -3.55) (size 1.50114 1.50114) (drill 1.00076)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 187 GND)
+    )
+    (pad 4 thru_hole circle (at 2.7 1) (size 1.50114 1.50114) (drill 1.00076)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 145 /USB2M)
+    )
+    (pad 6 thru_hole circle (at 2.71 -1.03) (size 1.50114 1.50114) (drill 1.00076)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 146 /USB2P)
+    )
+    (pad 2 thru_hole circle (at 2.7 3.55) (size 1.50114 1.50114) (drill 1.00076)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 165 5V_IN)
+    )
+    (model connectors/usb_a_through_hole.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SOT23-5 (layer TOP-L1) (tedit 51AD80F2) (tstamp 5162DC46)
+    (at 43.57966 86.4145 90)
+    (path /514F0596)
+    (attr smd)
+    (fp_text reference U5 (at 2.3645 -0.20466 90) (layer F.SilkS)
+      (effects (font (size 0.635 0.635) (thickness 0.127)))
+    )
+    (fp_text value SY8008C (at 0.46736 -0.17272 90) (layer F.SilkS) hide
+      (effects (font (size 0.635 0.635) (thickness 0.127)))
+    )
+    (fp_line (start 1.524 -0.889) (end 1.524 0.889) (layer F.SilkS) (width 0.127))
+    (fp_line (start 1.524 0.889) (end -1.524 0.889) (layer F.SilkS) (width 0.127))
+    (fp_line (start -1.524 0.889) (end -1.524 -0.889) (layer F.SilkS) (width 0.127))
+    (fp_line (start -1.524 -0.889) (end 1.524 -0.889) (layer F.SilkS) (width 0.127))
+    (pad 1 smd rect (at -0.9525 1.27 90) (size 0.508 0.762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 166 AVCC)
+    )
+    (pad 3 smd rect (at 0.9525 1.27 90) (size 0.508 0.762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 228 N-00000297)
+    )
+    (pad 5 smd rect (at -0.9525 -1.27 90) (size 0.508 0.762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 224 N-00000274)
+    )
+    (pad 2 smd rect (at 0 1.27 90) (size 0.508 0.762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 4 smd rect (at 0.9525 -1.27 90) (size 0.508 0.762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 165 5V_IN)
+    )
+    (model smd/SOT23_5.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.1 0.1 0.1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SOT23-5 (layer TOP-L1) (tedit 51A729EC) (tstamp 51544573)
+    (at 63.15 25.1 90)
+    (path /514F0D71)
+    (attr smd)
+    (fp_text reference U9 (at 2.05 -0.05 180) (layer F.SilkS)
+      (effects (font (size 0.635 0.635) (thickness 0.127)))
+    )
+    (fp_text value SY8008C (at 2.725 -0.025 180) (layer F.SilkS) hide
+      (effects (font (size 0.2 0.2) (thickness 0.05)))
+    )
+    (fp_line (start 1.524 -0.889) (end 1.524 0.889) (layer F.SilkS) (width 0.127))
+    (fp_line (start 1.524 0.889) (end -1.524 0.889) (layer F.SilkS) (width 0.127))
+    (fp_line (start -1.524 0.889) (end -1.524 -0.889) (layer F.SilkS) (width 0.127))
+    (fp_line (start -1.524 -0.889) (end 1.524 -0.889) (layer F.SilkS) (width 0.127))
+    (pad 1 smd rect (at -0.9525 1.27 90) (size 0.508 0.762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 40 /EXTEN)
+    )
+    (pad 3 smd rect (at 0.9525 1.27 90) (size 0.508 0.762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 229 N-00000298)
+    )
+    (pad 5 smd rect (at -0.9525 -1.27 90) (size 0.508 0.762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 223 N-00000270)
+    )
+    (pad 2 smd rect (at 0 1.27 90) (size 0.508 0.762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 4 smd rect (at 0.9525 -1.27 90) (size 0.508 0.762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 165 5V_IN)
+    )
+    (model smd/SOT23_5.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.1 0.1 0.1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0805 (layer TOP-L1) (tedit 51A6FFD9) (tstamp 515445E4)
+    (at 64 28.775 90)
+    (path /5153E251)
+    (attr smd)
+    (fp_text reference C37 (at -2.125 -0.025 180) (layer F.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_text value 10u (at -2.8 0.05 180) (layer F.SilkS) hide
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_circle (center -1.651 0.762) (end -1.651 0.635) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -0.508 0.762) (end -1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 0.762) (end -1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 -0.762) (end -0.508 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 0.508 -0.762) (end 1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 -0.762) (end 1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 0.762) (end 0.508 0.762) (layer F.SilkS) (width 0.09906))
+    (pad 1 smd rect (at -0.9525 0 90) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 2 smd rect (at 0.9525 0 90) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (model smd/chip_cms.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.1 0.1 0.1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0805 (layer BOT-L6) (tedit 51A6FFDF) (tstamp 515445F1)
+    (at 105.2 25.075 270)
+    (path /5153E24B)
+    (attr smd)
+    (fp_text reference C38 (at 2 0.025 540) (layer B.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)) (justify mirror))
+    )
+    (fp_text value 10u (at 2.675 0.025 540) (layer B.SilkS) hide
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)) (justify mirror))
+    )
+    (fp_circle (center -1.651 -0.762) (end -1.651 -0.635) (layer B.SilkS) (width 0.09906))
+    (fp_line (start -0.508 -0.762) (end -1.524 -0.762) (layer B.SilkS) (width 0.09906))
+    (fp_line (start -1.524 -0.762) (end -1.524 0.762) (layer B.SilkS) (width 0.09906))
+    (fp_line (start -1.524 0.762) (end -0.508 0.762) (layer B.SilkS) (width 0.09906))
+    (fp_line (start 0.508 0.762) (end 1.524 0.762) (layer B.SilkS) (width 0.09906))
+    (fp_line (start 1.524 0.762) (end 1.524 -0.762) (layer B.SilkS) (width 0.09906))
+    (fp_line (start 1.524 -0.762) (end 0.508 -0.762) (layer B.SilkS) (width 0.09906))
+    (pad 1 smd rect (at -0.9525 0 270) (size 0.889 1.397)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (pad 2 smd rect (at 0.9525 0 270) (size 0.889 1.397)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 164 3.3V)
+    )
+    (model smd/chip_cms.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.1 0.1 0.1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0805 (layer TOP-L1) (tedit 51AD766B) (tstamp 515445FE)
+    (at 48.325 89.025)
+    (path /5153CE77)
+    (attr smd)
+    (fp_text reference C22 (at 0 1.325) (layer F.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_text value 10u (at 0.1 2) (layer F.SilkS) hide
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_circle (center -1.651 0.762) (end -1.651 0.635) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -0.508 0.762) (end -1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 0.762) (end -1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 -0.762) (end -0.508 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 0.508 -0.762) (end 1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 -0.762) (end 1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 0.762) (end 0.508 0.762) (layer F.SilkS) (width 0.09906))
+    (pad 1 smd rect (at -0.9525 0) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 2 smd rect (at 0.9525 0) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (model smd/chip_cms.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.1 0.1 0.1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0805 (layer TOP-L1) (tedit 51A9DC35) (tstamp 5154460B)
+    (at 82.525 34.575 180)
+    (path /515489B4)
+    (attr smd)
+    (fp_text reference C34 (at 2.3 -0.525 180) (layer F.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_text value 10u (at 2.3 0.375 180) (layer F.SilkS) hide
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_circle (center -1.651 0.762) (end -1.651 0.635) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -0.508 0.762) (end -1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 0.762) (end -1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 -0.762) (end -0.508 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 0.508 -0.762) (end 1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 -0.762) (end 1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 0.762) (end 0.508 0.762) (layer F.SilkS) (width 0.09906))
+    (pad 1 smd rect (at -0.9525 0 180) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 165 5V_IN)
+    )
+    (pad 2 smd rect (at 0.9525 0 180) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (model smd/chip_cms.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.1 0.1 0.1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0805 (layer TOP-L1) (tedit 51A9D6DD) (tstamp 51544618)
+    (at 93.79 42.84 270)
+    (path /5155CB79)
+    (attr smd)
+    (fp_text reference C44 (at 0.085 -3.11 270) (layer F.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_text value 10u (at -1.39 -3.56 270) (layer F.SilkS) hide
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_circle (center -1.651 0.762) (end -1.651 0.635) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -0.508 0.762) (end -1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 0.762) (end -1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 -0.762) (end -0.508 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 0.508 -0.762) (end 1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 -0.762) (end 1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 0.762) (end 0.508 0.762) (layer F.SilkS) (width 0.09906))
+    (pad 1 smd rect (at -0.9525 0 270) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 2 smd rect (at 0.9525 0 270) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 163 1.2V)
+    )
+    (model smd/chip_cms.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.1 0.1 0.1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0805 (layer TOP-L1) (tedit 51A9D6CD) (tstamp 51544625)
+    (at 95.62 42.84 270)
+    (path /5155CB7F)
+    (attr smd)
+    (fp_text reference C45 (at -1.04 3.095 270) (layer F.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_text value 10u (at -1.115 3.82 270) (layer F.SilkS) hide
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_circle (center -1.651 0.762) (end -1.651 0.635) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -0.508 0.762) (end -1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 0.762) (end -1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 -0.762) (end -0.508 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 0.508 -0.762) (end 1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 -0.762) (end 1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 0.762) (end 0.508 0.762) (layer F.SilkS) (width 0.09906))
+    (pad 1 smd rect (at -0.9525 0 270) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 2 smd rect (at 0.9525 0 270) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 163 1.2V)
+    )
+    (model smd/chip_cms.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.1 0.1 0.1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0805 (layer TOP-L1) (tedit 51A6FE82) (tstamp 51544632)
+    (at 90.45 53.95 180)
+    (path /5154AF77)
+    (attr smd)
+    (fp_text reference C47 (at -2.3 0.175 180) (layer F.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_text value 10u (at -2.35 -0.525 180) (layer F.SilkS) hide
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_circle (center -1.651 0.762) (end -1.651 0.635) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -0.508 0.762) (end -1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 0.762) (end -1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 -0.762) (end -0.508 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 0.508 -0.762) (end 1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 -0.762) (end 1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 0.762) (end 0.508 0.762) (layer F.SilkS) (width 0.09906))
+    (pad 1 smd rect (at -0.9525 0 180) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 2 smd rect (at 0.9525 0 180) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 162 1.25V)
+    )
+    (model smd/chip_cms.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.1 0.1 0.1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0805 (layer TOP-L1) (tedit 51AD767B) (tstamp 5162DC38)
+    (at 38.5 84.475)
+    (path /5155A734)
+    (attr smd)
+    (fp_text reference C17 (at 2.4 0.25) (layer F.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_text value 10u (at 2.175 0.925) (layer F.SilkS) hide
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_circle (center -1.651 0.762) (end -1.651 0.635) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -0.508 0.762) (end -1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 0.762) (end -1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 -0.762) (end -0.508 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 0.508 -0.762) (end 1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 -0.762) (end 1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 0.762) (end 0.508 0.762) (layer F.SilkS) (width 0.09906))
+    (pad 1 smd rect (at -0.9525 0) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 165 5V_IN)
+    )
+    (pad 2 smd rect (at 0.9525 0) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (model smd/chip_cms.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.1 0.1 0.1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0805 (layer TOP-L1) (tedit 51A729DB) (tstamp 51544666)
+    (at 59 24.475 180)
+    (path /5155A749)
+    (attr smd)
+    (fp_text reference C30 (at 0.15 1.15 180) (layer F.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_text value 10u (at 0.275 1.875 180) (layer F.SilkS) hide
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_circle (center -1.651 0.762) (end -1.651 0.635) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -0.508 0.762) (end -1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 0.762) (end -1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 -0.762) (end -0.508 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 0.508 -0.762) (end 1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 -0.762) (end 1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 0.762) (end 0.508 0.762) (layer F.SilkS) (width 0.09906))
+    (pad 1 smd rect (at -0.9525 0 180) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 165 5V_IN)
+    )
+    (pad 2 smd rect (at 0.9525 0 180) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (model smd/chip_cms.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.1 0.1 0.1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0805 (layer TOP-L1) (tedit 51AD766E) (tstamp 51544673)
+    (at 51.65 89 180)
+    (path /5155100D)
+    (attr smd)
+    (fp_text reference C14 (at -1.15 -1.3 180) (layer F.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_text value 10u (at 0.15 1.875 180) (layer F.SilkS) hide
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_circle (center -1.651 0.762) (end -1.651 0.635) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -0.508 0.762) (end -1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 0.762) (end -1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 -0.762) (end -0.508 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 0.508 -0.762) (end 1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 -0.762) (end 1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 0.762) (end 0.508 0.762) (layer F.SilkS) (width 0.09906))
+    (pad 1 smd rect (at -0.9525 0 180) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 2 smd rect (at 0.9525 0 180) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (model smd/chip_cms.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.1 0.1 0.1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0805 (layer TOP-L1) (tedit 51A823A5) (tstamp 51544680)
+    (at 77.975 40.375 90)
+    (path /51551007)
+    (attr smd)
+    (fp_text reference C13 (at 0.125 -1.15 90) (layer F.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_text value 10u (at 0.1 -1.925 90) (layer F.SilkS) hide
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_circle (center -1.651 0.762) (end -1.651 0.635) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -0.508 0.762) (end -1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 0.762) (end -1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 -0.762) (end -0.508 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 0.508 -0.762) (end 1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 -0.762) (end 1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 0.762) (end 0.508 0.762) (layer F.SilkS) (width 0.09906))
+    (pad 1 smd rect (at -0.9525 0 90) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 188 IPSOUT)
+    )
+    (pad 2 smd rect (at 0.9525 0 90) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (model smd/chip_cms.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.1 0.1 0.1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0805 (layer TOP-L1) (tedit 51A9D659) (tstamp 5154469A)
+    (at 81.025 37.675 90)
+    (path /5154EECF)
+    (attr smd)
+    (fp_text reference C9 (at -0.425 -1.325 90) (layer F.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_text value 10u (at -0.475 -2.075 90) (layer F.SilkS) hide
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_circle (center -1.651 0.762) (end -1.651 0.635) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -0.508 0.762) (end -1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 0.762) (end -1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 -0.762) (end -0.508 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 0.508 -0.762) (end 1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 -0.762) (end 1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 0.762) (end 0.508 0.762) (layer F.SilkS) (width 0.09906))
+    (pad 1 smd rect (at -0.9525 0 90) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 188 IPSOUT)
+    )
+    (pad 2 smd rect (at 0.9525 0 90) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (model smd/chip_cms.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.1 0.1 0.1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0805 (layer TOP-L1) (tedit 51A9D689) (tstamp 515446B4)
+    (at 92.475 36.875 90)
+    (path /5154DEC1)
+    (attr smd)
+    (fp_text reference C12 (at -2.3 -0.225 90) (layer F.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_text value 10u (at -1.15 1.3 90) (layer F.SilkS) hide
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_circle (center -1.651 0.762) (end -1.651 0.635) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -0.508 0.762) (end -1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 0.762) (end -1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 -0.762) (end -0.508 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 0.508 -0.762) (end 1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 -0.762) (end 1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 0.762) (end 0.508 0.762) (layer F.SilkS) (width 0.09906))
+    (pad 1 smd rect (at -0.9525 0 90) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 188 IPSOUT)
+    )
+    (pad 2 smd rect (at 0.9525 0 90) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (model smd/chip_cms.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.1 0.1 0.1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0805 (layer TOP-L1) (tedit 51A9DC1F) (tstamp 515446C1)
+    (at 83.175 49.675 180)
+    (path /5154DEB6)
+    (attr smd)
+    (fp_text reference C11 (at 2.325 -0.125 180) (layer F.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_text value 10u (at 2.325 -0.8 180) (layer F.SilkS) hide
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_circle (center -1.651 0.762) (end -1.651 0.635) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -0.508 0.762) (end -1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 0.762) (end -1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 -0.762) (end -0.508 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 0.508 -0.762) (end 1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 -0.762) (end 1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 0.762) (end 0.508 0.762) (layer F.SilkS) (width 0.09906))
+    (pad 1 smd rect (at -0.9525 0 180) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 188 IPSOUT)
+    )
+    (pad 2 smd rect (at 0.9525 0 180) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (model smd/chip_cms.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.1 0.1 0.1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0805 (layer TOP-L1) (tedit 51A5D382) (tstamp 515446CE)
+    (at 91.08 46.93)
+    (path /5154D3CE)
+    (attr smd)
+    (fp_text reference C10 (at -0.1 -1.1) (layer F.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_text value 10u (at -0.15 -1.84) (layer F.SilkS) hide
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_circle (center -1.651 0.762) (end -1.651 0.635) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -0.508 0.762) (end -1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 0.762) (end -1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 -0.762) (end -0.508 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 0.508 -0.762) (end 1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 -0.762) (end 1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 0.762) (end 0.508 0.762) (layer F.SilkS) (width 0.09906))
+    (pad 1 smd rect (at -0.9525 0) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 188 IPSOUT)
+    )
+    (pad 2 smd rect (at 0.9525 0) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (model smd/chip_cms.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.1 0.1 0.1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0805 (layer TOP-L1) (tedit 51A83182) (tstamp 515446DB)
+    (at 90.425 52.225 180)
+    (path /5154AF7D)
+    (attr smd)
+    (fp_text reference C48 (at -2.35 -0.45 180) (layer F.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_text value 10u (at -2.475 0.375 180) (layer F.SilkS) hide
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_circle (center -1.651 0.762) (end -1.651 0.635) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -0.508 0.762) (end -1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 0.762) (end -1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 -0.762) (end -0.508 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 0.508 -0.762) (end 1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 -0.762) (end 1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 0.762) (end 0.508 0.762) (layer F.SilkS) (width 0.09906))
+    (pad 1 smd rect (at -0.9525 0 180) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 2 smd rect (at 0.9525 0 180) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 162 1.25V)
+    )
+    (model smd/chip_cms.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.1 0.1 0.1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0603 (layer TOP-L1) (tedit 51A9D694) (tstamp 5188D2AD)
+    (at 89.8 36.825 270)
+    (path /51501971)
+    (attr smd)
+    (fp_text reference C18 (at 1.9 -0.125 270) (layer F.SilkS)
+      (effects (font (size 0.508 0.4572) (thickness 0.1143)))
+    )
+    (fp_text value 1uF (at -1.975 -0.975 270) (layer F.SilkS) hide
+      (effects (font (size 0.508 0.4572) (thickness 0.1143)))
+    )
+    (fp_line (start -1.143 -0.635) (end 1.143 -0.635) (layer F.SilkS) (width 0.127))
+    (fp_line (start 1.143 -0.635) (end 1.143 0.635) (layer F.SilkS) (width 0.127))
+    (fp_line (start 1.143 0.635) (end -1.143 0.635) (layer F.SilkS) (width 0.127))
+    (fp_line (start -1.143 0.635) (end -1.143 -0.635) (layer F.SilkS) (width 0.127))
+    (pad 1 smd rect (at -0.762 0 270) (size 0.635 1.143)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 2 smd rect (at 0.762 0 270) (size 0.635 1.143)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 217 N-00000264)
+    )
+    (model smd\resistors\R0603.wrl
+      (at (xyz 0 0 0.001))
+      (scale (xyz 0.5 0.5 0.5))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0603 (layer TOP-L1) (tedit 51A5D5BE) (tstamp 51A5D550)
+    (at 90.7 49.72 180)
+    (path /51541706)
+    (attr smd)
+    (fp_text reference C29 (at -1.62 0.03 270) (layer F.SilkS)
+      (effects (font (size 0.508 0.4572) (thickness 0.1143)))
+    )
+    (fp_text value 4.7u (at -2.32 0.98 270) (layer F.SilkS) hide
+      (effects (font (size 0.508 0.4572) (thickness 0.1143)))
+    )
+    (fp_line (start -1.143 -0.635) (end 1.143 -0.635) (layer F.SilkS) (width 0.127))
+    (fp_line (start 1.143 -0.635) (end 1.143 0.635) (layer F.SilkS) (width 0.127))
+    (fp_line (start 1.143 0.635) (end -1.143 0.635) (layer F.SilkS) (width 0.127))
+    (fp_line (start -1.143 0.635) (end -1.143 -0.635) (layer F.SilkS) (width 0.127))
+    (pad 1 smd rect (at -0.762 0 180) (size 0.635 1.143)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 2 smd rect (at 0.762 0 180) (size 0.635 1.143)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 166 AVCC)
+    )
+    (model smd\resistors\R0603.wrl
+      (at (xyz 0 0 0.001))
+      (scale (xyz 0.5 0.5 0.5))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0603 (layer TOP-L1) (tedit 5188D379) (tstamp 51544703)
+    (at 94.175 39.95 180)
+    (path /5151C2DB)
+    (attr smd)
+    (fp_text reference C16 (at -1.975 -0.4 180) (layer F.SilkS)
+      (effects (font (size 0.508 0.4572) (thickness 0.1143)))
+    )
+    (fp_text value 1uF (at -1.925 0.275 180) (layer F.SilkS) hide
+      (effects (font (size 0.508 0.4572) (thickness 0.1143)))
+    )
+    (fp_line (start -1.143 -0.635) (end 1.143 -0.635) (layer F.SilkS) (width 0.127))
+    (fp_line (start 1.143 -0.635) (end 1.143 0.635) (layer F.SilkS) (width 0.127))
+    (fp_line (start 1.143 0.635) (end -1.143 0.635) (layer F.SilkS) (width 0.127))
+    (fp_line (start -1.143 0.635) (end -1.143 -0.635) (layer F.SilkS) (width 0.127))
+    (pad 1 smd rect (at -0.762 0 180) (size 0.635 1.143)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 2 smd rect (at 0.762 0 180) (size 0.635 1.143)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 225 N-00000276)
+    )
+    (model smd\resistors\R0603.wrl
+      (at (xyz 0 0 0.001))
+      (scale (xyz 0.5 0.5 0.5))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0603 (layer TOP-L1) (tedit 51A9D66F) (tstamp 5154470D)
+    (at 85.925 36.85 270)
+    (path /51554E4C)
+    (attr smd)
+    (fp_text reference C32 (at -0.125 0.95 270) (layer F.SilkS)
+      (effects (font (size 0.508 0.4572) (thickness 0.1143)))
+    )
+    (fp_text value 1u (at 0.05 -1.825 270) (layer F.SilkS) hide
+      (effects (font (size 0.508 0.4572) (thickness 0.1143)))
+    )
+    (fp_line (start -1.143 -0.635) (end 1.143 -0.635) (layer F.SilkS) (width 0.127))
+    (fp_line (start 1.143 -0.635) (end 1.143 0.635) (layer F.SilkS) (width 0.127))
+    (fp_line (start 1.143 0.635) (end -1.143 0.635) (layer F.SilkS) (width 0.127))
+    (fp_line (start -1.143 0.635) (end -1.143 -0.635) (layer F.SilkS) (width 0.127))
+    (pad 1 smd rect (at -0.762 0 270) (size 0.635 1.143)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 2 smd rect (at 0.762 0 270) (size 0.635 1.143)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 189 LDO1)
+    )
+    (model smd\resistors\R0603.wrl
+      (at (xyz 0 0 0.001))
+      (scale (xyz 0.5 0.5 0.5))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0603 (layer TOP-L1) (tedit 51A9DC3A) (tstamp 51544717)
+    (at 88.3 36.825 270)
+    (path /5152E923)
+    (attr smd)
+    (fp_text reference C42 (at 1.925 -0.1 270) (layer F.SilkS)
+      (effects (font (size 0.508 0.4572) (thickness 0.1143)))
+    )
+    (fp_text value 1uF (at -2 0 270) (layer F.SilkS) hide
+      (effects (font (size 0.508 0.4572) (thickness 0.1143)))
+    )
+    (fp_line (start -1.143 -0.635) (end 1.143 -0.635) (layer F.SilkS) (width 0.127))
+    (fp_line (start 1.143 -0.635) (end 1.143 0.635) (layer F.SilkS) (width 0.127))
+    (fp_line (start 1.143 0.635) (end -1.143 0.635) (layer F.SilkS) (width 0.127))
+    (fp_line (start -1.143 0.635) (end -1.143 -0.635) (layer F.SilkS) (width 0.127))
+    (pad 1 smd rect (at -0.762 0 270) (size 0.635 1.143)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 2 smd rect (at 0.762 0 270) (size 0.635 1.143)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 205 N-00000194)
+    )
+    (model smd\resistors\R0603.wrl
+      (at (xyz 0 0 0.001))
+      (scale (xyz 0.5 0.5 0.5))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A9D8AC) (tstamp 51544723)
+    (at 79.35 67.225 180)
+    (path /515197D1/515194E8)
+    (attr smd)
+    (fp_text reference C66 (at -1.1 -0.05 270) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -1.6 0.025 270) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 164 3.3V)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51AD8096) (tstamp 5154472F)
+    (at 82.125 74.15 90)
+    (path /515197D1/515194EE)
+    (attr smd)
+    (fp_text reference C67 (at 0.125 0.75 90) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0.825 0.875 90) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 164 3.3V)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A9D8B8) (tstamp 5154473B)
+    (at 81.925 65.9 90)
+    (path /515197D1/515194E2)
+    (attr smd)
+    (fp_text reference C65 (at -0.05 -0.65 90) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -0.45 0.75 90) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 164 3.3V)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A5ED99) (tstamp 51544747)
+    (at 82.55 60.1)
+    (path /515197D1/515194BA)
+    (attr smd)
+    (fp_text reference C60 (at 0.025 -0.6) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0 -1.025) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 164 3.3V)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51AD80A3) (tstamp 51544753)
+    (at 77.75 70.975 90)
+    (path /515197D1/515194F4)
+    (attr smd)
+    (fp_text reference C68 (at -1.275 0.15 90) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0.05 -1.125 90) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 164 3.3V)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51920F82) (tstamp 5154475F)
+    (at 50.225 84.425 180)
+    (path /515197D1/51518EB2)
+    (attr smd)
+    (fp_text reference C107 (at 0.04 -0.755 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -0.01 -1.28 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51A5F26E) (tstamp 5154476B)
+    (at 97.475 28.625 180)
+    (path /5154F007)
+    (attr smd)
+    (fp_text reference R7 (at 1.05 0.025 270) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 33 (at 1.55 0.025 270) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 209 N-00000198)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 193 N-00000119)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A48EF3) (tstamp 51544777)
+    (at 50.85 64.4)
+    (path /515197D1/51518E5E)
+    (attr smd)
+    (fp_text reference C93 (at -0.025 0.725) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0.05 1.15) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A48F44) (tstamp 51544783)
+    (at 52.425 60.925 90)
+    (path /515197D1/51518E64)
+    (attr smd)
+    (fp_text reference C94 (at 0.1 -0.6 90) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0.025 -1.125 90) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A9D7FE) (tstamp 5154478F)
+    (at 50.3 75.675 180)
+    (path /515197D1/51518E6A)
+    (attr smd)
+    (fp_text reference C95 (at 0.05 0.675 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0.05 1.2 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A9D810) (tstamp 5154479B)
+    (at 52.025 75.675 180)
+    (path /515197D1/51518E70)
+    (attr smd)
+    (fp_text reference C96 (at -0.05 0.675 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -0.1 1.15 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A9D7D8) (tstamp 515447A7)
+    (at 58.775 77.1)
+    (path /515197D1/51518E76)
+    (attr smd)
+    (fp_text reference C97 (at 0.3 0.775) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0.4 1.275) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51920F33) (tstamp 515447B3)
+    (at 59.95 75.65 180)
+    (path /515197D1/51518E7C)
+    (attr smd)
+    (fp_text reference C98 (at 0 0.65 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -0.05 1.2 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A49071) (tstamp 515447BF)
+    (at 61.65 75.625 180)
+    (path /515197D1/51518E82)
+    (attr smd)
+    (fp_text reference C99 (at -0.025 0.625 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -0.075 1.125 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A9D7CD) (tstamp 515447CB)
+    (at 60.85 77.5 90)
+    (path /515197D1/51518E88)
+    (attr smd)
+    (fp_text reference C100 (at 0.3 0.775 90) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0.35 1.275 90) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A9D7F4) (tstamp 515447D7)
+    (at 55.325 77.725 90)
+    (path /515197D1/51518E8E)
+    (attr smd)
+    (fp_text reference C101 (at 0.05 -0.675 90) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0.125 -1.175 90) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A9D7C2) (tstamp 515447E3)
+    (at 63.2 82.85 270)
+    (path /515197D1/51518E94)
+    (attr smd)
+    (fp_text reference C102 (at -0.05 -0.75 270) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -0.075 -1.225 270) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A9D7A4) (tstamp 515447EF)
+    (at 58.7 84.225 180)
+    (path /515197D1/51518E9A)
+    (attr smd)
+    (fp_text reference C103 (at 0 -0.75 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0.025 -1.2 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A9D7BB) (tstamp 515447FB)
+    (at 61.125 83.6)
+    (path /515197D1/51518EA0)
+    (attr smd)
+    (fp_text reference C104 (at 0 0.725) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0.025 1.175) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A9DEBB) (tstamp 51544807)
+    (at 54.7 83.875 180)
+    (path /515197D1/51518EA6)
+    (attr smd)
+    (fp_text reference C105 (at -0.05 0.6 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0.2 1.075 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A9DEC1) (tstamp 5188E8FE)
+    (at 52.875 84.4)
+    (path /515197D1/51518EAC)
+    (attr smd)
+    (fp_text reference C106 (at -0.05 0.675) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0.025 1.175) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A9D8A7) (tstamp 5154481F)
+    (at 79.375 66.3 180)
+    (path /515197D1/515194DC)
+    (attr smd)
+    (fp_text reference C64 (at -1.1 0.05 270) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -1.525 0.05 270) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 164 3.3V)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51AD802F) (tstamp 51A5E225)
+    (at 73.15 65.6 180)
+    (path /515197D1/5151947E)
+    (attr smd)
+    (fp_text reference C50 (at -0.25 -0.725 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 1.5 -0.075 270) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 163 1.2V)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51AD804D) (tstamp 51544837)
+    (at 73.1774 69.4182 180)
+    (path /515197D1/51519484)
+    (attr smd)
+    (fp_text reference C53 (at 0.9774 -0.0818 270) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 1.4524 0.0682 270) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 163 1.2V)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A5E2BA) (tstamp 51544843)
+    (at 73.1266 68.0212 180)
+    (path /515197D1/5151948A)
+    (attr smd)
+    (fp_text reference C52 (at 0.9906 0.0254 270) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 1.4224 0.0508 270) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 163 1.2V)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A5E220) (tstamp 5154484F)
+    (at 73.1266 67.1576 180)
+    (path /515197D1/51519490)
+    (attr smd)
+    (fp_text reference C51 (at 1.016 0.2794 270) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 1.4224 0.3302 270) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 163 1.2V)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A5E5AE) (tstamp 5154485B)
+    (at 75 67.725 90)
+    (path /515197D1/51519496)
+    (attr smd)
+    (fp_text reference C54 (at 1 -0.05 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 1.475 0.025 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 163 1.2V)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A5F6B6) (tstamp 51544867)
+    (at 81.225 63.3)
+    (path /515197D1/5151949C)
+    (attr smd)
+    (fp_text reference C55 (at 0.025 -0.625) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0.05 -1.1) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 163 1.2V)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A5EF12) (tstamp 51544873)
+    (at 79.825 64.7 180)
+    (path /515197D1/515194A2)
+    (attr smd)
+    (fp_text reference C56 (at -1.075 0 270) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -1.475 0.1 270) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 163 1.2V)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A9D8DC) (tstamp 5154487F)
+    (at 78.5 64.325 270)
+    (path /515197D1/515194A8)
+    (attr smd)
+    (fp_text reference C57 (at -1.55 0 360) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -2.425 -0.175 360) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 162 1.25V)
+    )
+    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A9D8E9) (tstamp 5191FB4F)
+    (at 76.6 64.325 270)
+    (path /515197D1/515194AE)
+    (attr smd)
+    (fp_text reference C58 (at -1.575 0.05 360) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -2.425 -0.025 360) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 162 1.25V)
+    )
+    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A9D8E2) (tstamp 51544897)
+    (at 77.55 64.325 270)
+    (path /515197D1/515194B4)
+    (attr smd)
+    (fp_text reference C59 (at -1.55 0.05 360) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -2.4 -0.125 360) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 162 1.25V)
+    )
+    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A48EC8) (tstamp 515448A3)
+    (at 48.35 64.375 180)
+    (path /515197D1/51518E58)
+    (attr smd)
+    (fp_text reference C92 (at -0.025 -0.7 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -0.125 -1.15 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A9D8C6) (tstamp 515448AF)
+    (at 84.05 63.15)
+    (path /515197D1/515194C0)
+    (attr smd)
+    (fp_text reference C61 (at 1.275 -0.025) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 1.375 0.45) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 164 3.3V)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A5EBF2) (tstamp 515448BB)
+    (at 74.925 62.025 90)
+    (path /515197D1/515194C6)
+    (attr smd)
+    (fp_text reference C62 (at 1 0.025 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 1.5 0.025 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 164 3.3V)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A5EBF7) (tstamp 515448C7)
+    (at 74.025 62.025 90)
+    (path /515197D1/515194D6)
+    (attr smd)
+    (fp_text reference C63 (at 1 0.025 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 1.5 0.05 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 164 3.3V)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A4945B) (tstamp 5154496F)
+    (at 72.05 62.4 180)
+    (path /515197D1/51513AB2)
+    (attr smd)
+    (fp_text reference C108 (at -0.05 -0.725 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value 22u (at -0.075 -1.15 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A47A8F) (tstamp 5154497B)
+    (at 39.55 81.225)
+    (path /515197D1/515147D0)
+    (attr smd)
+    (fp_text reference R33 (at -1.3 0.075) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value 2k2 (at -2.35 0.1) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 167 DRAM_REF)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A47F70) (tstamp 51544987)
+    (at 39.575 82.125)
+    (path /515197D1/515147DD)
+    (attr smd)
+    (fp_text reference R32 (at -1.25 0) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value 2k2 (at -2.25 0) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 167 DRAM_REF)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A47F77) (tstamp 51876690)
+    (at 39.575 83)
+    (path /515197D1/51514B03)
+    (attr smd)
+    (fp_text reference C80 (at -1.3 0.025) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -2.3 0) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 167 DRAM_REF)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A9D7EB) (tstamp 5154499F)
+    (at 54.65 76.1 180)
+    (path /515197D1/51514BCD)
+    (attr smd)
+    (fp_text reference C79 (at 0.025 0.625 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -0.025 1.125 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 167 DRAM_REF)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A48074) (tstamp 515449AB)
+    (at 52.3 82.775 180)
+    (path /515197D1/51514BD3)
+    (attr smd)
+    (fp_text reference C78 (at 1.275 -0.025 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 1.2 0.525 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 167 DRAM_REF)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A480D1) (tstamp 515449B7)
+    (at 49.75 62.375 270)
+    (path /515197D1/51514BD9)
+    (attr smd)
+    (fp_text reference C77 (at -0.05 0.65 270) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0.05 1.175 270) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 167 DRAM_REF)
+    )
+    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A47A97) (tstamp 515449C3)
+    (at 39.55 80.325)
+    (path /515197D1/51514BDF)
+    (attr smd)
+    (fp_text reference C81 (at -1.25 0) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -2.275 -0.025) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 167 DRAM_REF)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51AD8074) (tstamp 515449CF)
+    (at 73.05 71.325)
+    (path /515197D1/51519950)
+    (attr smd)
+    (fp_text reference C112 (at 0.05 -0.625) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0.55 -1.175) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51AD8092) (tstamp 515449DB)
+    (at 79.625 70.95 90)
+    (path /515197D1/51519606)
+    (attr smd)
+    (fp_text reference C70 (at -1.3 0.125 90) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 1.025 1.125 90) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 164 3.3V)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51A9DF35) (tstamp 515449E7)
+    (at 97.475 27.5 180)
+    (path /515197D1/5151960C)
+    (attr smd)
+    (fp_text reference C72 (at 1.075 -0.025 270) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value .22u (at 1.8 0 270) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51A6F516) (tstamp 515449F3)
+    (at 70.525 47.1 270)
+    (path /515197D1/51519612)
+    (attr smd)
+    (fp_text reference C71 (at -0.125 -0.75 270) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value .22u (at -0.1 -1.3 270) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51AD8066) (tstamp 51A5DD78)
+    (at 73.4 74.675 270)
+    (path /515197D1/51519618)
+    (attr smd)
+    (fp_text reference C73 (at -0.075 -0.75 270) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0.075 1.2 270) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 167 DRAM_REF)
+    )
+    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51AD805D) (tstamp 51A5DD6B)
+    (at 73.375 73.05 90)
+    (path /515197D1/5151961E)
+    (attr smd)
+    (fp_text reference C74 (at -0.4 0.775 90) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 2 -0.075 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 167 DRAM_REF)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A4821E) (tstamp 51544A17)
+    (at 71.1 61.425)
+    (path /515197D1/51519624)
+    (attr smd)
+    (fp_text reference C75 (at 0 -0.65) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -0.025 -1.2) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 167 DRAM_REF)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A9D83C) (tstamp 51544A23)
+    (at 53.1 56.15 180)
+    (path /515197D1/5151962A)
+    (attr smd)
+    (fp_text reference C76 (at 0 0.6 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -0.075 1.1 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 167 DRAM_REF)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51AD8034) (tstamp 51544A2F)
+    (at 71.85 65.1 90)
+    (path /515197D1/515198E6)
+    (attr smd)
+    (fp_text reference C109 (at 0.275 -0.725 90) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0.45 -1.2 90) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A5E2A9) (tstamp 51544A3B)
+    (at 71 67.725 90)
+    (path /515197D1/51519944)
+    (attr smd)
+    (fp_text reference C110 (at 0.034 -0.5912 90) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0.1102 -1.2008 90) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51AD804A) (tstamp 51544A47)
+    (at 70.975 69.25 270)
+    (path /515197D1/5151994A)
+    (attr smd)
+    (fp_text reference C111 (at 0.225 -0.675 270) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -0.35 -1.15 270) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51AD80A9) (tstamp 51544A53)
+    (at 78.675 70.95 90)
+    (path /515197D1/51519600)
+    (attr smd)
+    (fp_text reference C69 (at -1.25 -0.125 90) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 1.7 -0.025 90) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 164 3.3V)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51A9D754) (tstamp 515E31A6)
+    (at 42.075 89.625)
+    (path /5150FD34)
+    (attr smd)
+    (fp_text reference R22 (at 1.3 0.15) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 15k (at 2.15 0.125) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 224 N-00000274)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51887E18) (tstamp 51544AD7)
+    (at 112.8 46.575)
+    (path /5155B764)
+    (attr smd)
+    (fp_text reference C5 (at -0.025 0.775) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value 22pF (at 0.025 -0.8) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 165 5V_IN)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51A5CFFE) (tstamp 51544AE3)
+    (at 85.775 39.025 270)
+    (path /51554E46)
+    (attr smd)
+    (fp_text reference C31 (at -0.3 0.65 270) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value .22u (at 0.675 0.7 270) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 189 LDO1)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51A5D589) (tstamp 51544AEF)
+    (at 90.27 48.49 180)
+    (path /51554668)
+    (attr smd)
+    (fp_text reference C28 (at 1.25 0.16 180) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value .22u (at 1.28 -0.3 180) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 166 AVCC)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51A9D64D) (tstamp 51544AFB)
+    (at 79.425 43.375)
+    (path /51552580)
+    (attr smd)
+    (fp_text reference C26 (at -1.275 0) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value .22u (at -0.05 -1.175) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 190 LDO3)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51A5D5CE) (tstamp 51544B07)
+    (at 87.58 49.18)
+    (path /51552413)
+    (attr smd)
+    (fp_text reference C19 (at 0.04 -0.63) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value .22u (at 0.09 -1.11) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 191 LDO4)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A9D829) (tstamp 51544B13)
+    (at 56.275 61.125)
+    (path /515197D1/51518E52)
+    (attr smd)
+    (fp_text reference C91 (at 0.075 -0.675) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0.175 -1.15) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 5188D969) (tstamp 51544B1F)
+    (at 87.59 50.19)
+    (path /5154AF6B)
+    (attr smd)
+    (fp_text reference C46 (at -1.325 0.25) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value .22u (at -1.375 -0.325) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 162 1.25V)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51A9D665) (tstamp 51544B2B)
+    (at 84.35 39.05 270)
+    (path /5154170C)
+    (attr smd)
+    (fp_text reference C35 (at -0.05 0.675 270) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value .22u (at -1.9 0.075 270) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 165 5V_IN)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51A6FFD5) (tstamp 51544B37)
+    (at 65.4 28.9 90)
+    (path /5153E245)
+    (attr smd)
+    (fp_text reference C36 (at -1.1 0.125 180) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value .22u (at -1.575 0.175 180) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51A9D75F) (tstamp 51544B43)
+    (at 42.075 90.525)
+    (path /5153CE6B)
+    (attr smd)
+    (fp_text reference C21 (at 1.275 0.05) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value .22u (at -0.0291 -0.70616) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51A6FFE6) (tstamp 51544B4F)
+    (at 60.7 27.5 270)
+    (path /51513983)
+    (attr smd)
+    (fp_text reference C40 (at 1.3 -0.275 270) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 22pF (at 1.35 0.225 270) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 223 N-00000270)
+    )
+    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 5188CE36) (tstamp 51544B5B)
+    (at 60.2 26.075)
+    (path /5151397B)
+    (attr smd)
+    (fp_text reference R29 (at -1.325 -0.25) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 3.3k (at -1.325 0.225) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 223 N-00000270)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 5188CD0A) (tstamp 51544B67)
+    (at 59.675 27.5 270)
+    (path /5151396F)
+    (attr smd)
+    (fp_text reference R25 (at 1.275 -0.275 270) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 15k (at 1.25 0.2 270) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 223 N-00000270)
+    )
+    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51A9D75B) (tstamp 51544B73)
+    (at 42.075 88.725)
+    (path /515105DA)
+    (attr smd)
+    (fp_text reference C24 (at 1.3 0.1) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 22pF (at 2.2 0.25) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 224 N-00000274)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51A9D763) (tstamp 51544B7F)
+    (at 40.9 87.35)
+    (path /5150FD4C)
+    (attr smd)
+    (fp_text reference R24 (at -0.03048 -0.66548) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 10k (at -0.05 -1.175) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 224 N-00000274)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51A73F14) (tstamp 5188D33B)
+    (at 89.9 42.35 90)
+    (path /515517D9)
+    (attr smd)
+    (fp_text reference C15 (at 0.025 0.725 90) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value .22u (at 1.42 -0.73 90) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 188 IPSOUT)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51A9D690) (tstamp 51544B97)
+    (at 91 36.45 270)
+    (path /51501E95)
+    (attr smd)
+    (fp_text reference R23 (at 1.475 -0.05 270) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 200k (at -1.4 -0.75 270) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 226 N-00000277)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A48517) (tstamp 51544BA3)
+    (at 51.25 62.8 180)
+    (path /514FBC8D)
+    (attr smd)
+    (fp_text reference R11 (at -0.325 0.675 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value 240 (at -0.325 1.175 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 230 N-00000299)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51A9DC43) (tstamp 51544BBB)
+    (at 87.1 36.45 90)
+    (path /51531275)
+    (attr smd)
+    (fp_text reference R31 (at 1.325 0 90) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 2k2 (at 2.4 -0.05 90) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 227 N-00000296)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 5188E80D) (tstamp 51544BC7)
+    (at 91.075 56.45 180)
+    (path /5152F82C)
+    (attr smd)
+    (fp_text reference C41 (at -1.525 0.225 180) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value .22u (at -1.35 -0.225 180) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 211 N-00000200)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51A9D70A) (tstamp 51544BD3)
+    (at 89.3 56.45 180)
+    (path /5152F676)
+    (attr smd)
+    (fp_text reference R28 (at 0.05 0.7 180) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 33 (at 0.075 1.2 180) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 211 N-00000200)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 222 N-00000269)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 5188E2C7) (tstamp 51544BDF)
+    (at 78.15 48.9)
+    (path /5152D186)
+    (attr smd)
+    (fp_text reference R21 (at -1.25 -0.225) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value 2k2 (at -1.225 0.225) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 164 3.3V)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 134 /TWI0SDA)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 5188E2C1) (tstamp 51544BEB)
+    (at 78.15 47.875)
+    (path /5152D179)
+    (attr smd)
+    (fp_text reference R20 (at -1.275 -0.125) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value 2k2 (at -1.25 0.3) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 164 3.3V)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 133 /TWI0SCK)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 5188E5E5) (tstamp 51544BF7)
+    (at 80.05 40.8 90)
+    (path /5152CC57)
+    (attr smd)
+    (fp_text reference R30 (at -0.3 -0.7 90) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 240 (at -0.4 -1.225 90) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 204 N-00000193)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51AD8079) (tstamp 51544C03)
+    (at 75.075 70.35 180)
+    (path /5152B195)
+    (attr smd)
+    (fp_text reference R2 (at -1.1 -0.025 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value 5k1 (at 1.225 0.275 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 259 N-0000086)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 518881A7) (tstamp 51544C0F)
+    (at 97.5 63.5)
+    (path /51523965)
+    (attr smd)
+    (fp_text reference C3 (at 1.1 -0.2) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 18pF (at 1.35 0.475) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 231 N-00000302)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51AD7712) (tstamp 51640911)
+    (at 88.76 67.75 270)
+    (path /51523944)
+    (attr smd)
+    (fp_text reference C4 (at 1.225 -0.04 270) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 18pF (at 1.55 0.46 270) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 232 N-00000303)
+    )
+    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51921006) (tstamp 5191EA34)
+    (at 69.625 81.975 180)
+    (path /514C617B)
+    (attr smd)
+    (fp_text reference R10 (at -0.05 -0.725 180) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 240 (at 0 -1.225 180) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 201 N-00000177)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A4875E) (tstamp 51544C33)
+    (at 55.55 82 180)
+    (path /514C6175)
+    (attr smd)
+    (fp_text reference R4 (at -0.25 0.675 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value 240 (at -0.15 1.2 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 221 N-00000268)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A48A70) (tstamp 51544C4B)
+    (at 48.35 55.475 180)
+    (path /515197D1/51523C00)
+    (attr smd)
+    (fp_text reference C82 (at 0.05 0.675 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0.025 1.2 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51A9DF4B) (tstamp 51544C57)
+    (at 97.475 31.9 180)
+    (path /51550049)
+    (attr smd)
+    (fp_text reference R9 (at 1.15 0.025 270) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 33 (at 1.6 0.05 270) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 207 N-00000196)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 200 N-0000017)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51887E16) (tstamp 51544C63)
+    (at 104.35 38.325)
+    (path /51558187)
+    (attr smd)
+    (fp_text reference C39 (at 0.05 0.675) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0.125 -0.725) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 165 5V_IN)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A738DE) (tstamp 51544C6F)
+    (at 108.85 58.375 90)
+    (path /5155B76A)
+    (attr smd)
+    (fp_text reference C6 (at 0.025 0.825 90) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value 22pF (at 0.025 1.575 90) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 165 5V_IN)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A9DF53) (tstamp 51544C7B)
+    (at 102.25 30.825 180)
+    (path /51559D15)
+    (attr smd)
+    (fp_text reference R13 (at -1.47 -0.05 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value 47K (at -2.625 -0.05 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 164 3.3V)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 208 N-00000197)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51889211) (tstamp 51544C87)
+    (at 102.25 28.625 180)
+    (path /51559D1B)
+    (attr smd)
+    (fp_text reference R14 (at -1.35 0.25 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value 47K (at -1.35 -0.325 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 164 3.3V)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 209 N-00000198)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 5188920B) (tstamp 51544C93)
+    (at 102.175 26.425 180)
+    (path /51559D21)
+    (attr smd)
+    (fp_text reference R15 (at -1.375 0.2 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value 47K (at -1.375 -0.3 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 164 3.3V)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 210 N-00000199)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51889202) (tstamp 518891B1)
+    (at 102.15 25.3 180)
+    (path /51559D27)
+    (attr smd)
+    (fp_text reference R16 (at -1.375 0.175 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value 47K (at -1.425 -0.3 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 164 3.3V)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 206 N-00000195)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 518891FC) (tstamp 51544CAB)
+    (at 102.15 24.2 180)
+    (path /51559D2D)
+    (attr smd)
+    (fp_text reference R17 (at -1.425 0.2 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value 47K (at -1.425 -0.325 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 164 3.3V)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 212 N-00000201)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51AD8028) (tstamp 51A5E232)
+    (at 73.15 64.75 180)
+    (path /515197D1/515197E6)
+    (attr smd)
+    (fp_text reference C49 (at -0.3 0.65 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 1.475 0.025 270) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 163 1.2V)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51A9D912) (tstamp 51544CC3)
+    (at 58.15 34.125 90)
+    (path /51558874)
+    (attr smd)
+    (fp_text reference C7 (at 0.025 -0.675 90) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value .22u (at 1.325 -0.325 90) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A48AA2) (tstamp 51544CCF)
+    (at 50.125 55.575 180)
+    (path /515197D1/51518E22)
+    (attr smd)
+    (fp_text reference C83 (at -0.025 0.6 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -0.05 1.075 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A48B47) (tstamp 51866B21)
+    (at 53.3 57.575 90)
+    (path /515197D1/51518E28)
+    (attr smd)
+    (fp_text reference C84 (at -1.25 0.2 90) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -1.325 -0.35 90) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A48C11) (tstamp 51544CE7)
+    (at 56.575 55.675)
+    (path /515197D1/51518E2E)
+    (attr smd)
+    (fp_text reference C85 (at -0.025 -0.675) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0.05 -1.125) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A48CE3) (tstamp 51544CF3)
+    (at 60.3 56.825 90)
+    (path /515197D1/51518E34)
+    (attr smd)
+    (fp_text reference C86 (at 0 0.725 90) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0.075 1.175 90) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A48CEE) (tstamp 51544CFF)
+    (at 58.3 57.275)
+    (path /515197D1/51518E3A)
+    (attr smd)
+    (fp_text reference C87 (at -0.125 0.7) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -0.075 1.15) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A48D31) (tstamp 5185C9A4)
+    (at 61.125 62.8 270)
+    (path /515197D1/51518E40)
+    (attr smd)
+    (fp_text reference C88 (at -0.025 -0.75 270) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -0.1 -1.3 270) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A48D5A) (tstamp 51544D17)
+    (at 58 63.175 90)
+    (path /515197D1/51518E46)
+    (attr smd)
+    (fp_text reference C89 (at 0.375 0.75 90) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0.475 1.225 90) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A48DD9) (tstamp 51544D23)
+    (at 54.25 62.9 180)
+    (path /515197D1/51518E4C)
+    (attr smd)
+    (fp_text reference C90 (at 0.2 0.65 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0.175 1.125 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A9DF57) (tstamp 51544D2F)
+    (at 102.25 31.9 180)
+    (path /5154C74A)
+    (attr smd)
+    (fp_text reference R12 (at -1.38 0.11 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value 47K (at -2.575 0.15 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 164 3.3V)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 207 N-00000196)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51A5D1C8) (tstamp 51544D3B)
+    (at 92.4 43.6 90)
+    (path /5155CB6D)
+    (attr smd)
+    (fp_text reference C43 (at -0.085 -0.87 90) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value .22u (at -0.055 -1.44 90) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 163 1.2V)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 518883BC) (tstamp 51544D53)
+    (at 67.3 53.05 270)
+    (path /51543767)
+    (attr smd)
+    (fp_text reference C2 (at -1.15 -0.25 270) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 18pF (at -1.375 0.325 270) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 215 N-00000205)
+    )
+    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51A834BB) (tstamp 51544D5F)
+    (at 60.8 52.4 90)
+    (path /5154376F)
+    (attr smd)
+    (fp_text reference R1 (at 0 -0.72 90) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 10M (at -0.07 -1.19 90) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 234 N-00000363)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 215 N-00000205)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 519DBFE9) (tstamp 51544D6B)
+    (at 57.425 72.475 90)
+    (path /5154676A)
+    (attr smd)
+    (fp_text reference R18 (at 0.05 -0.65 90) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 22 (at 0.025 -1.125 90) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 4 /CK)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 116 /SCK)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 519DBFF0) (tstamp 51544D77)
+    (at 58.275 72.475 90)
+    (path /51546777)
+    (attr smd)
+    (fp_text reference R19 (at 0.05 0.725 90) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 22 (at -0.125 1.225 90) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 5 /CK#)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 117 /SCK#)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51A9DF4E) (tstamp 51544D83)
+    (at 97.475 30.8 180)
+    (path /5154F826)
+    (attr smd)
+    (fp_text reference R8 (at 1.475 -0.075 270) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 33 (at 1.95 -0.075 270) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 208 N-00000197)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 196 N-00000138)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51A9DF2F) (tstamp 51544D8F)
+    (at 97.475 24.2 180)
+    (path /5154C786)
+    (attr smd)
+    (fp_text reference R3 (at 1.65 -0.025 270) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 33 (at 2.175 -0.05 270) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 212 N-00000201)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 195 N-00000137)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51A5F285) (tstamp 51544D9B)
+    (at 97.475 25.3 180)
+    (path /5154E138)
+    (attr smd)
+    (fp_text reference R5 (at 1.65 0.025 270) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 33 (at 2.1 0 270) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 206 N-00000195)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 192 N-00000101)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51A5F27A) (tstamp 51544DA7)
+    (at 97.475 26.4 180)
+    (path /5154E7E5)
+    (attr smd)
+    (fp_text reference R6 (at 1.45 -0.025 270) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 33 (at 1.925 -0.05 270) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 210 N-00000199)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 194 N-00000131)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module RCLAMP (layer TOP-L1) (tedit 51A9E289) (tstamp 51544DB9)
+    (at 105.925 63.575 270)
+    (descr "Module CMS SOJ 8 pins large")
+    (tags "CMS SOJ")
+    (path /514D120E)
+    (attr smd)
+    (fp_text reference U4 (at 0.125 2.525 270) (layer F.SilkS)
+      (effects (font (size 1.143 1.016) (thickness 0.127)))
+    )
+    (fp_text value 524P (at -0.25 3.5 270) (layer F.SilkS) hide
+      (effects (font (size 1.016 1.016) (thickness 0.127)))
+    )
+    (fp_line (start -1.35 -1.05) (end 1.4 -1.05) (layer F.SilkS) (width 0.15))
+    (fp_line (start 1.4 -1.05) (end 1.4 1) (layer F.SilkS) (width 0.15))
+    (fp_line (start 1.4 1) (end -1.35 1) (layer F.SilkS) (width 0.15))
+    (fp_line (start -1.35 1) (end -1.35 -1.05) (layer F.SilkS) (width 0.15))
+    (pad 7 smd rect (at 0.5 -0.45 270) (size 0.2 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 236 N-0000038)
+    )
+    (pad 8 smd rect (at 0 -0.45 270) (size 0.4 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 3 smd rect (at 0 0.45 270) (size 0.4 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 4 smd rect (at 0.5 0.45 270) (size 0.2 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 48 /HTX1P)
+    )
+    (pad 6 smd rect (at 1 -0.45 270) (size 0.2 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 237 N-0000039)
+    )
+    (pad 5 smd rect (at 1 0.45 270) (size 0.2 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 47 /HTX1N)
+    )
+    (pad 9 smd rect (at -0.5 -0.45 270) (size 0.2 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 235 N-0000037)
+    )
+    (pad 2 smd rect (at -0.5 0.45 270) (size 0.2 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 49 /HTX2N)
+    )
+    (pad 10 smd rect (at -1 -0.45 270) (size 0.2 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 233 N-0000036)
+    )
+    (pad 1 smd rect (at -1 0.45 270) (size 0.2 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 50 /HTX2P)
+    )
+    (model smd/cms_so8.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.5 0.38 0.5))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module RCLAMP (layer TOP-L1) (tedit 51A9E287) (tstamp 51544DCB)
+    (at 105.975 66.825 270)
+    (descr "Module CMS SOJ 8 pins large")
+    (tags "CMS SOJ")
+    (path /514D11F4)
+    (attr smd)
+    (fp_text reference U6 (at -0.05 2.55 270) (layer F.SilkS)
+      (effects (font (size 1.143 1.016) (thickness 0.127)))
+    )
+    (fp_text value 524P (at 0.6634 3.2793 270) (layer F.SilkS) hide
+      (effects (font (size 1.016 1.016) (thickness 0.127)))
+    )
+    (fp_line (start -1.35 -1.05) (end 1.4 -1.05) (layer F.SilkS) (width 0.15))
+    (fp_line (start 1.4 -1.05) (end 1.4 1) (layer F.SilkS) (width 0.15))
+    (fp_line (start 1.4 1) (end -1.35 1) (layer F.SilkS) (width 0.15))
+    (fp_line (start -1.35 1) (end -1.35 -1.05) (layer F.SilkS) (width 0.15))
+    (pad 7 smd rect (at 0.5 -0.45 270) (size 0.2 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 245 N-0000042)
+    )
+    (pad 8 smd rect (at 0 -0.45 270) (size 0.4 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 3 smd rect (at 0 0.45 270) (size 0.4 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 4 smd rect (at 0.5 0.45 270) (size 0.2 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 52 /HTXCP)
+    )
+    (pad 6 smd rect (at 1 -0.45 270) (size 0.2 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 202 N-00000187)
+    )
+    (pad 5 smd rect (at 1 0.45 270) (size 0.2 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 51 /HTXCN)
+    )
+    (pad 9 smd rect (at -0.5 -0.45 270) (size 0.2 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 239 N-0000041)
+    )
+    (pad 2 smd rect (at -0.5 0.45 270) (size 0.2 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 45 /HTX0N)
+    )
+    (pad 10 smd rect (at -1 -0.45 270) (size 0.2 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 238 N-0000040)
+    )
+    (pad 1 smd rect (at -1 0.45 270) (size 0.2 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 46 /HTX0P)
+    )
+    (model smd/cms_so8.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.5 0.38 0.5))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module RCLAMP (layer TOP-L1) (tedit 51A9E271) (tstamp 51544DDD)
+    (at 105.925 70.175 270)
+    (descr "Module CMS SOJ 8 pins large")
+    (tags "CMS SOJ")
+    (path /514D0FDE)
+    (attr smd)
+    (fp_text reference U8 (at 0.05 2.9 270) (layer F.SilkS)
+      (effects (font (size 1.143 1.016) (thickness 0.127)))
+    )
+    (fp_text value 524P (at 0.925 4.275 270) (layer F.SilkS) hide
+      (effects (font (size 1.016 1.016) (thickness 0.127)))
+    )
+    (fp_line (start -1.35 -1.05) (end 1.4 -1.05) (layer F.SilkS) (width 0.15))
+    (fp_line (start 1.4 -1.05) (end 1.4 1) (layer F.SilkS) (width 0.15))
+    (fp_line (start 1.4 1) (end -1.35 1) (layer F.SilkS) (width 0.15))
+    (fp_line (start -1.35 1) (end -1.35 -1.05) (layer F.SilkS) (width 0.15))
+    (pad 7 smd rect (at 0.5 -0.45 270) (size 0.2 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 220 N-00000267)
+    )
+    (pad 8 smd rect (at 0 -0.45 270) (size 0.4 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 3 smd rect (at 0 0.45 270) (size 0.4 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 4 smd rect (at 0.5 0.45 270) (size 0.2 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 44 /HSDA)
+    )
+    (pad 6 smd rect (at 1 -0.45 270) (size 0.2 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 203 N-00000192)
+    )
+    (pad 5 smd rect (at 1 0.45 270) (size 0.2 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 42 /HHPD)
+    )
+    (pad 9 smd rect (at -0.5 -0.45 270) (size 0.2 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 219 N-00000266)
+    )
+    (pad 2 smd rect (at -0.5 0.45 270) (size 0.2 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 43 /HSCL)
+    )
+    (pad 10 smd rect (at -1 -0.45 270) (size 0.2 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 218 N-00000265)
+    )
+    (pad 1 smd rect (at -1 0.45 270) (size 0.2 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 41 /HCEC)
+    )
+    (model smd/cms_so8.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.5 0.38 0.5))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module QFN48+1 (layer TOP-L1) (tedit 515E7D5F) (tstamp 51544E1A)
+    (at 84.5255 44.1348 90)
+    (path /514C3FF4)
+    (attr smd)
+    (fp_text reference U7 (at 0.01016 2.45364 90) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.127)))
+    )
+    (fp_text value AXP209 (at 0.381 -0.1524 90) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.635) (thickness 0.1524)))
+    )
+    (fp_line (start -2.921 -3.81) (end -3.175 -3.81) (layer F.SilkS) (width 0.127))
+    (fp_line (start -3.175 -3.81) (end -3.81 -3.302) (layer F.SilkS) (width 0.127))
+    (fp_line (start -3.81 -3.302) (end -3.81 -2.921) (layer F.SilkS) (width 0.127))
+    (fp_line (start -2.921 -3.81) (end 3.81 -3.81) (layer F.SilkS) (width 0.127))
+    (fp_line (start 3.81 -3.81) (end 3.81 3.81) (layer F.SilkS) (width 0.127))
+    (fp_line (start 3.81 3.81) (end -3.81 3.81) (layer F.SilkS) (width 0.127))
+    (fp_line (start -3.81 3.81) (end -3.81 -2.921) (layer F.SilkS) (width 0.127))
+    (fp_circle (center -3.32994 -3.25882) (end -3.43154 -3.56108) (layer F.SilkS) (width 0.127))
+    (pad 1 smd rect (at -3.39852 -2.74828 90) (size 0.59944 0.24892)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 134 /TWI0SDA)
+    )
+    (pad 2 smd rect (at -3.39852 -2.2479 90) (size 0.59944 0.24892)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 133 /TWI0SCK)
+    )
+    (pad 3 smd rect (at -3.39852 -1.74752 90) (size 0.59944 0.24892)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 4 smd rect (at -3.39852 -1.24714 90) (size 0.59944 0.24892)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 5 smd rect (at -3.39852 -0.7493 90) (size 0.59944 0.24892)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 6 smd rect (at -3.39852 -0.24892 90) (size 0.59944 0.24892)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 7 smd rect (at -3.39852 0.25146 90) (size 0.59944 0.24892)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 188 IPSOUT)
+    )
+    (pad 8 smd rect (at -3.39852 0.75184 90) (size 0.59944 0.24892)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 258 N-0000053)
+    )
+    (pad 9 smd rect (at -3.39852 1.25222 90) (size 0.59944 0.24892)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 10 smd rect (at -3.39852 1.7526 90) (size 0.59944 0.24892)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 162 1.25V)
+    )
+    (pad 11 smd rect (at -3.39852 2.25298 90) (size 0.59944 0.24892)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 191 LDO4)
+    )
+    (pad 12 smd rect (at -3.39852 2.75082 90) (size 0.59944 0.24892)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 166 AVCC)
+    )
+    (pad 33 smd rect (at 3.39852 -1.25222 90) (size 0.59944 0.24892)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 165 5V_IN)
+    )
+    (pad 34 smd rect (at 3.39852 -1.75006 90) (size 0.59944 0.24892)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 188 IPSOUT)
+    )
+    (pad 35 smd rect (at 3.39852 -2.25044 90) (size 0.59944 0.24892)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 188 IPSOUT)
+    )
+    (pad 36 smd rect (at 3.39852 -2.75082 90) (size 0.59944 0.24892)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 17 smd rect (at -0.7493 3.40106 90) (size 0.24892 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 163 1.2V)
+    )
+    (pad 18 smd rect (at -0.25146 3.40106 90) (size 0.24892 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 19 smd rect (at 0.24892 3.40106 90) (size 0.24892 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 225 N-00000276)
+    )
+    (pad 20 smd rect (at 0.7493 3.40106 90) (size 0.24892 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 40 /EXTEN)
+    )
+    (pad 21 smd rect (at 1.24968 3.40106 90) (size 0.24892 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 188 IPSOUT)
+    )
+    (pad 22 smd rect (at 1.75006 3.40106 90) (size 0.24892 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 23 smd rect (at 2.25044 3.40106 90) (size 0.24892 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 226 N-00000277)
+    )
+    (pad 24 smd rect (at 2.75082 3.40106 90) (size 0.24892 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 217 N-00000264)
+    )
+    (pad 49 smd rect (at 0 0 90) (size 5.10032 5.10032)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 13 smd rect (at -2.74828 3.40106 90) (size 0.24892 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 188 IPSOUT)
+    )
+    (pad 14 smd rect (at -2.2479 3.40106 90) (size 0.24892 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 188 IPSOUT)
+    )
+    (pad 15 smd rect (at -1.74752 3.40106 90) (size 0.24892 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 257 N-0000044)
+    )
+    (pad 16 smd rect (at -1.24968 3.40106 90) (size 0.24892 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 25 smd rect (at 3.39852 2.74828 90) (size 0.59944 0.24892)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 99 /RESET#)
+    )
+    (pad 26 smd rect (at 3.40106 2.2479 90) (size 0.59944 0.24892)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 205 N-00000194)
+    )
+    (pad 27 smd rect (at 3.40106 1.75006 90) (size 0.59944 0.24892)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 227 N-00000296)
+    )
+    (pad 28 smd rect (at 3.40106 1.24968 90) (size 0.59944 0.24892)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 189 LDO1)
+    )
+    (pad 29 smd rect (at 3.40106 0.7493 90) (size 0.59944 0.24892)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 30 smd rect (at 3.40106 0.24892 90) (size 0.59944 0.24892)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 31 smd rect (at 3.40106 -0.25146 90) (size 0.59944 0.24892)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 32 smd rect (at 3.40106 -0.75184 90) (size 0.59944 0.24892)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 165 5V_IN)
+    )
+    (pad 37 smd rect (at 2.75082 -3.39852 90) (size 0.24892 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 204 N-00000193)
+    )
+    (pad 38 smd rect (at 2.25044 -3.39852 90) (size 0.24892 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 39 smd rect (at 1.75006 -3.39852 90) (size 0.24892 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 40 smd rect (at 1.25222 -3.39852 90) (size 0.24892 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 188 IPSOUT)
+    )
+    (pad 41 smd rect (at 0.75184 -3.39852 90) (size 0.24892 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 190 LDO3)
+    )
+    (pad 42 smd rect (at 0.25146 -3.39852 90) (size 0.24892 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 43 smd rect (at -0.24892 -3.39852 90) (size 0.24892 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 44 smd rect (at -0.7493 -3.39852 90) (size 0.24892 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 188 IPSOUT)
+    )
+    (pad 45 smd rect (at -1.24968 -3.39852 90) (size 0.24892 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 46 smd rect (at -1.75006 -3.39852 90) (size 0.24892 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 47 smd rect (at -2.2479 -3.39852 90) (size 0.24892 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 222 N-00000269)
+    )
+    (pad 48 smd rect (at -2.74828 -3.39852 90) (size 0.24892 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 89 /NMI#)
+    )
+  )
+
+  (module PIN_ARRAY_5x2 (layer TOP-L1) (tedit 51A83E5F) (tstamp 51544E2C)
+    (at 108.65 76.325 180)
+    (descr "Double rangee de contacts 2 x 5 pins")
+    (tags CONN)
+    (path /51518451)
+    (fp_text reference J1 (at -6.019 -3.7192 180) (layer F.SilkS)
+      (effects (font (size 1.016 1.016) (thickness 0.2032)))
+    )
+    (fp_text value CONN10 (at 2.875 3.45 180) (layer F.SilkS) hide
+      (effects (font (size 1.016 1.016) (thickness 0.2032)))
+    )
+    (fp_line (start -6.35 -2.54) (end 6.35 -2.54) (layer F.SilkS) (width 0.3048))
+    (fp_line (start 6.35 -2.54) (end 6.35 2.54) (layer F.SilkS) (width 0.3048))
+    (fp_line (start 6.35 2.54) (end -6.35 2.54) (layer F.SilkS) (width 0.3048))
+    (fp_line (start -6.35 2.54) (end -6.35 -2.54) (layer F.SilkS) (width 0.3048))
+    (pad 1 thru_hole rect (at -5.08 1.27 180) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 87 /MICIN1)
+    )
+    (pad 2 thru_hole circle (at -5.08 -1.27 180) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 88 /MICIN2)
+    )
+    (pad 3 thru_hole circle (at -2.54 1.27 180) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 84 /LIN_L)
+    )
+    (pad 4 thru_hole circle (at -2.54 -1.27 180) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 85 /LIN_R)
+    )
+    (pad 5 thru_hole circle (at 0 1.27 180) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 187 GND)
+    )
+    (pad 6 thru_hole circle (at 0 -1.27 180) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 150 /VMIC)
+    )
+    (pad 7 thru_hole circle (at 2.54 1.27 180) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 151 /VRA1)
+    )
+    (pad 8 thru_hole circle (at 2.54 -1.27 180) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 152 /VRA2)
+    )
+    (pad 9 thru_hole circle (at 5.08 1.27 180) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 153 /VRP)
+    )
+    (pad 10 thru_hole circle (at 5.08 -1.27 180) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 187 GND)
+    )
+    (model pin_array/pins_array_5x2.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module microsd_socket (layer TOP-L1) (tedit 51A9DF82) (tstamp 51A2E745)
+    (at 107.0046 29.0105 90)
+    (descr "MicroSD Card socket, Molex P/N 502774-0811")
+    (path /5151A880)
+    (fp_text reference J5 (at -5.9395 -9.6796 90) (layer F.SilkS)
+      (effects (font (size 1.524 1.524) (thickness 0.1524)))
+    )
+    (fp_text value USD (at -4.2895 5.9254 90) (layer F.SilkS)
+      (effects (font (size 1.524 1.524) (thickness 0.3048)))
+    )
+    (fp_line (start 2.90068 7.29996) (end 4.39928 7.59968) (layer F.SilkS) (width 0.381))
+    (fp_line (start -2.19964 7.59968) (end -1.39954 7.39902) (layer F.SilkS) (width 0.381))
+    (fp_line (start -1.39954 7.39902) (end 0.50038 7.2009) (layer F.SilkS) (width 0.381))
+    (fp_line (start 0.50038 7.2009) (end 1.80086 7.2009) (layer F.SilkS) (width 0.381))
+    (fp_line (start 1.80086 7.2009) (end 2.90068 7.29996) (layer F.SilkS) (width 0.381))
+    (fp_line (start -2.19964 7.59968) (end -4.39928 7.59968) (layer F.SilkS) (width 0.381))
+    (fp_line (start -4.40182 7.59968) (end -4.70154 8.001) (layer F.SilkS) (width 0.381))
+    (fp_line (start 4.699 8.001) (end 7.2009 8.001) (layer F.SilkS) (width 0.381))
+    (fp_line (start -4.699 8.001) (end -7.2009 8.001) (layer F.SilkS) (width 0.381))
+    (fp_line (start 4.699 8.001) (end 4.39928 7.59968) (layer F.SilkS) (width 0.381))
+    (fp_line (start -3.70078 -7.00024) (end -3.70078 -8.001) (layer F.SilkS) (width 0.381))
+    (fp_line (start -3.70078 -8.001) (end -5.19938 -8.001) (layer F.SilkS) (width 0.381))
+    (fp_line (start -5.19938 -8.001) (end -5.19938 -7.00024) (layer F.SilkS) (width 0.381))
+    (fp_line (start -5.90042 -8.001) (end -7.00024 -8.001) (layer F.SilkS) (width 0.381))
+    (fp_line (start -5.90042 -8.001) (end -5.90042 -7.00024) (layer F.SilkS) (width 0.381))
+    (fp_line (start -7.00024 -7.00024) (end -7.00024 -8.001) (layer F.SilkS) (width 0.381))
+    (fp_line (start 5.69976 -7.8994) (end 6.70052 -7.8994) (layer F.SilkS) (width 0.381))
+    (fp_line (start 5.69976 -7.00024) (end 5.69976 -7.8994) (layer F.SilkS) (width 0.381))
+    (fp_line (start 6.70052 -7.8994) (end 6.70052 -7.00024) (layer F.SilkS) (width 0.381))
+    (fp_line (start 4.8006 -7.8994) (end 4.8006 -7.00024) (layer F.SilkS) (width 0.381))
+    (fp_line (start 3.70078 -7.8994) (end 3.70078 -7.00024) (layer F.SilkS) (width 0.381))
+    (fp_line (start 2.60096 -7.8994) (end 2.60096 -7.00024) (layer F.SilkS) (width 0.381))
+    (fp_line (start 1.50114 -7.8994) (end 1.50114 -7.00024) (layer F.SilkS) (width 0.381))
+    (fp_line (start 0.39878 -7.8994) (end 0.39878 -7.00024) (layer F.SilkS) (width 0.381))
+    (fp_line (start -0.70104 -7.8994) (end -0.70104 -7.0993) (layer F.SilkS) (width 0.381))
+    (fp_line (start -1.80086 -7.8994) (end -1.80086 -7.00024) (layer F.SilkS) (width 0.381))
+    (fp_line (start -2.90068 -7.00024) (end -2.90068 -7.8994) (layer F.SilkS) (width 0.381))
+    (fp_line (start 7.2009 8.001) (end 7.2009 -7.00024) (layer F.SilkS) (width 0.381))
+    (fp_line (start 7.2009 -7.00024) (end -7.2009 -7.00024) (layer F.SilkS) (width 0.381))
+    (fp_line (start -7.2009 -7.00024) (end -7.2009 8.001) (layer F.SilkS) (width 0.381))
+    (pad 1 smd rect (at 4.8006 -7.38378 90) (size 0.8001 1.67894)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 212 N-00000201)
+      (clearance 0.20066)
+    )
+    (pad 2 smd rect (at 3.70078 -7.38378 90) (size 0.8001 1.67894)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 206 N-00000195)
+      (clearance 0.20066)
+    )
+    (pad 3 smd rect (at 2.60096 -7.38378 90) (size 0.8001 1.67894)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 210 N-00000199)
+      (clearance 0.20066)
+    )
+    (pad 4 smd rect (at 1.50114 -7.38378 90) (size 0.8001 1.67894)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+      (clearance 0.20066)
+    )
+    (pad 5 smd rect (at 0.39878 -7.38378 90) (size 0.8001 1.67894)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 209 N-00000198)
+      (clearance 0.20066)
+    )
+    (pad 6 smd rect (at -0.70104 -7.38378 90) (size 0.8001 1.67894)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+      (clearance 0.20066)
+    )
+    (pad 7 smd rect (at -1.80086 -7.38378 90) (size 0.8001 1.67894)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 208 N-00000197)
+      (clearance 0.20066)
+    )
+    (pad 8 smd rect (at -2.90068 -7.38378 90) (size 0.8001 1.67894)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 207 N-00000196)
+      (clearance 0.20066)
+    )
+    (pad sh1 smd rect (at 6.85546 -5.82422 90) (size 1.29032 1.30048)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+      (clearance 0.20066)
+    )
+    (pad "" smd rect (at 6.74878 7.37616 90) (size 1.50114 1.69926)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad "" smd rect (at -6.44906 -7.47522 90) (size 1.69926 1.50114)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad sh2 smd rect (at 6.85546 1.5748 90) (size 1.29032 1.39954)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad "" smd rect (at -6.74878 7.37616 90) (size 1.50114 1.69926)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad "" smd rect (at 6.2103 -7.47522 90) (size 1.6002 1.50114)
+      (layers TOP-L1 F.Paste F.Mask)
+      (clearance 0.20066)
+    )
+    (model walter/conn_misc/microsd_socket.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module conn_usb_B_micro_smd (layer TOP-L1) (tedit 51A73E4A) (tstamp 51545FD2)
+    (at 91.15 23.925 180)
+    (descr "USB B micro SMD connector, Molex P/N 47346-0001")
+    (path /51526492)
+    (fp_text reference P2 (at 0 2.60096 180) (layer F.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.09906)))
+    )
+    (fp_text value CONN_5 (at 0 -4.0005 180) (layer F.SilkS) hide
+      (effects (font (size 0.50038 0.50038) (thickness 0.09906)))
+    )
+    (fp_line (start -4.20116 1.99898) (end 4.20116 1.99898) (layer F.SilkS) (width 0.20066))
+    (fp_line (start -4.20116 2.10058) (end 4.20116 2.10058) (layer F.SilkS) (width 0.20066))
+    (fp_line (start 4.20116 2.10058) (end 4.20116 1.89992) (layer F.SilkS) (width 0.20066))
+    (fp_line (start 4.20116 1.89992) (end -4.20116 1.89992) (layer F.SilkS) (width 0.20066))
+    (fp_line (start -4.20116 1.89992) (end -4.20116 2.10058) (layer F.SilkS) (width 0.20066))
+    (fp_line (start -3.8989 2.10058) (end -3.8989 -2.90068) (layer F.SilkS) (width 0.20066))
+    (fp_line (start -3.8989 -2.90068) (end 3.8989 -2.90068) (layer F.SilkS) (width 0.20066))
+    (fp_line (start 3.8989 -2.90068) (end 3.8989 2.10058) (layer F.SilkS) (width 0.20066))
+    (pad "" smd rect (at -0.8382 0 180) (size 1.17348 1.89738)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad "" smd rect (at 0.8382 0 180) (size 1.17348 1.89738)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad "" smd rect (at 2.91338 0 180) (size 2.3749 1.89738)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad "" smd rect (at -2.91338 0 180) (size 2.3749 1.89738)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad "" smd rect (at 2.46126 -2.2987 180) (size 1.4732 2.10058)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad "" smd rect (at -2.46126 -2.2987 180) (size 1.4732 2.10058)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 1 smd rect (at -1.30048 -2.65938 180) (size 0.44958 1.37922)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 165 5V_IN)
+    )
+    (pad 2 smd rect (at -0.65024 -2.65938 180) (size 0.44958 1.37922)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 3 smd rect (at 0 -2.65938 180) (size 0.44958 1.37922)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 4 smd rect (at 0.65024 -2.65938 180) (size 0.44958 1.37922)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 5 smd rect (at 1.30048 -2.65938 180) (size 0.44958 1.37922)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (model walter/conn_pc/usb_B_micro_smd.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SO14E (layer TOP-L1) (tedit 51A2C019) (tstamp 5157C06C)
+    (at 90.1192 78.9686)
+    (descr "module CMS SOJ 14 pins etroit")
+    (tags "CMS SOJ")
+    (path /51593628)
+    (attr smd)
+    (fp_text reference U10 (at -3.6 3.375) (layer F.SilkS)
+      (effects (font (size 1.016 1.143) (thickness 0.127)))
+    )
+    (fp_text value 74LS08 (at 1.5 -0.325) (layer F.SilkS)
+      (effects (font (size 1.016 1.016) (thickness 0.127)))
+    )
+    (fp_line (start -4.826 -1.778) (end 4.826 -1.778) (layer F.SilkS) (width 0.2032))
+    (fp_line (start 4.826 -1.778) (end 4.826 2.032) (layer F.SilkS) (width 0.2032))
+    (fp_line (start 4.826 2.032) (end -4.826 2.032) (layer F.SilkS) (width 0.2032))
+    (fp_line (start -4.826 2.032) (end -4.826 -1.778) (layer F.SilkS) (width 0.2032))
+    (fp_line (start -4.826 -0.508) (end -4.064 -0.508) (layer F.SilkS) (width 0.2032))
+    (fp_line (start -4.064 -0.508) (end -4.064 0.508) (layer F.SilkS) (width 0.2032))
+    (fp_line (start -4.064 0.508) (end -4.826 0.508) (layer F.SilkS) (width 0.2032))
+    (pad 1 smd rect (at -3.81 2.794) (size 0.508 1.143)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 165 5V_IN)
+    )
+    (pad 2 smd rect (at -2.54 2.794) (size 0.508 1.143)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 86 /LVSYN)
+    )
+    (pad 3 smd rect (at -1.27 2.794) (size 0.508 1.143)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 214 N-00000204)
+    )
+    (pad 4 smd rect (at 0 2.794) (size 0.508 1.143)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 83 /LHSYN)
+    )
+    (pad 5 smd rect (at 1.27 2.794) (size 0.508 1.143)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 165 5V_IN)
+    )
+    (pad 6 smd rect (at 2.54 2.794) (size 0.508 1.143)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 213 N-00000203)
+    )
+    (pad 7 smd rect (at 3.81 2.794) (size 0.508 1.143)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 8 smd rect (at 3.81 -2.54) (size 0.508 1.143)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 9 smd rect (at 2.54 -2.54) (size 0.508 1.143)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 10 smd rect (at 1.27 -2.54) (size 0.508 1.143)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 11 smd rect (at 0 -2.54) (size 0.508 1.143)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 12 smd rect (at -1.27 -2.54) (size 0.508 1.143)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 13 smd rect (at -2.54 -2.54) (size 0.508 1.143)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 14 smd rect (at -3.81 -2.54) (size 0.508 1.143)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 165 5V_IN)
+    )
+    (model smd/cms_so14.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.5 0.3 0.5))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module DB_15F-VGA (layer TOP-L1) (tedit 51A737D0) (tstamp 51834A32)
+    (at 99.75 90.225)
+    (descr "D-SUB 15 pin VGA socket, Tyco P/N 440467-1")
+    (path /5157D108)
+    (fp_text reference J2 (at -17.15 -5.275) (layer F.SilkS)
+      (effects (font (size 1.524 1.524) (thickness 0.3048)))
+    )
+    (fp_text value DB15 (at 5.0165 4.826) (layer F.SilkS) hide
+      (effects (font (size 1.524 1.524) (thickness 0.3048)))
+    )
+    (fp_line (start -10.033 1.27) (end -10.033 7.112) (layer F.SilkS) (width 0.381))
+    (fp_line (start -10.922 -2.413) (end -10.16 -1.016) (layer F.SilkS) (width 0.381))
+    (fp_line (start -14.097 -2.413) (end -14.986 -1.016) (layer F.SilkS) (width 0.381))
+    (fp_line (start -9.017 -7.112) (end -9.017 -0.635) (layer F.SilkS) (width 0.381))
+    (fp_line (start -15.494 1.27) (end -15.494 -7.112) (layer F.SilkS) (width 0.381))
+    (fp_line (start 15.494 -7.112) (end 15.494 1.27) (layer F.SilkS) (width 0.381))
+    (fp_line (start 15.494 -7.112) (end -15.494 -7.112) (layer F.SilkS) (width 0.381))
+    (fp_line (start 9.017 -0.635) (end 9.017 -7.112) (layer F.SilkS) (width 0.381))
+    (fp_line (start 14.097 -2.413) (end 14.859 -1.016) (layer F.SilkS) (width 0.381))
+    (fp_line (start 10.922 -2.413) (end 10.287 -1.016) (layer F.SilkS) (width 0.381))
+    (fp_line (start 10.922 -2.413) (end 14.097 -2.413) (layer F.SilkS) (width 0.381))
+    (fp_line (start -14.097 -2.413) (end -10.922 -2.413) (layer F.SilkS) (width 0.381))
+    (fp_line (start 14.859 -1.016) (end 10.287 -1.016) (layer F.SilkS) (width 0.381))
+    (fp_line (start 10.287 -1.016) (end 10.287 -0.762) (layer F.SilkS) (width 0.381))
+    (fp_line (start 10.287 -0.762) (end 14.859 -0.762) (layer F.SilkS) (width 0.381))
+    (fp_line (start 14.859 -0.762) (end 14.859 -1.016) (layer F.SilkS) (width 0.381))
+    (fp_line (start -10.16 -1.016) (end -14.986 -1.016) (layer F.SilkS) (width 0.381))
+    (fp_line (start -14.986 -1.016) (end -14.986 -0.762) (layer F.SilkS) (width 0.381))
+    (fp_line (start -14.986 -0.762) (end -10.16 -0.762) (layer F.SilkS) (width 0.381))
+    (fp_line (start -10.16 -0.762) (end -10.16 -1.016) (layer F.SilkS) (width 0.381))
+    (fp_line (start 11.43 -0.635) (end 11.43 -3.175) (layer F.SilkS) (width 0.381))
+    (fp_line (start 11.43 -3.175) (end 13.716 -3.175) (layer F.SilkS) (width 0.381))
+    (fp_line (start 13.716 -3.175) (end 13.716 -0.635) (layer F.SilkS) (width 0.381))
+    (fp_line (start -13.716 -0.635) (end -13.716 -3.175) (layer F.SilkS) (width 0.381))
+    (fp_line (start -13.716 -3.175) (end -11.43 -3.175) (layer F.SilkS) (width 0.381))
+    (fp_line (start -11.43 -3.175) (end -11.43 -0.635) (layer F.SilkS) (width 0.381))
+    (fp_line (start -15.494 -0.635) (end 15.494 -0.635) (layer F.SilkS) (width 0.381))
+    (fp_line (start -11.049 1.27) (end -11.049 7.112) (layer F.SilkS) (width 0.381))
+    (fp_line (start -14.097 1.27) (end -14.097 7.112) (layer F.SilkS) (width 0.381))
+    (fp_line (start 14.097 1.27) (end 14.097 7.112) (layer F.SilkS) (width 0.381))
+    (fp_line (start 11.049 1.27) (end 11.049 7.112) (layer F.SilkS) (width 0.381))
+    (fp_line (start 10.033 1.27) (end 10.033 7.112) (layer F.SilkS) (width 0.381))
+    (fp_line (start 10.033 7.112) (end 15.113 7.112) (layer F.SilkS) (width 0.381))
+    (fp_line (start 15.113 7.112) (end 15.113 1.397) (layer F.SilkS) (width 0.381))
+    (fp_line (start -15.113 1.27) (end -15.113 7.112) (layer F.SilkS) (width 0.381))
+    (fp_line (start -15.113 7.112) (end -10.033 7.112) (layer F.SilkS) (width 0.381))
+    (fp_line (start -8.128 1.27) (end -8.128 7.112) (layer F.SilkS) (width 0.381))
+    (fp_line (start -8.128 7.112) (end 8.128 7.112) (layer F.SilkS) (width 0.381))
+    (fp_line (start 8.128 7.112) (end 8.128 1.27) (layer F.SilkS) (width 0.381))
+    (fp_line (start -15.494 1.27) (end 15.494 1.27) (layer F.SilkS) (width 0.381))
+    (pad "" thru_hole circle (at 12.49426 -2.47142) (size 5.00126 5.00126) (drill 3.2004)
+      (layers *.Cu)
+    )
+    (pad 3 thru_hole circle (at -0.2667 -4.4704) (size 1.19888 1.19888) (drill 0.65024)
+      (layers *.Cu)
+      (net 147 /VGA_B)
+    )
+    (pad 2 thru_hole circle (at 2.0193 -4.4704) (size 1.19888 1.19888) (drill 0.65024)
+      (layers *.Cu)
+      (net 148 /VGA_G)
+    )
+    (pad 1 thru_hole circle (at 4.31038 -4.4704) (size 1.19888 1.19888) (drill 0.65024)
+      (layers *.Cu)
+      (net 149 /VGA_R)
+    )
+    (pad 4 thru_hole circle (at -2.56032 -4.4704) (size 1.19888 1.19888) (drill 0.65024)
+      (layers *.Cu)
+    )
+    (pad 5 thru_hole circle (at -4.84886 -4.4704) (size 1.19888 1.19888) (drill 0.65024)
+      (layers *.Cu)
+      (net 187 GND)
+    )
+    (pad 9 thru_hole circle (at -1.41478 -2.9718) (size 1.19888 1.19888) (drill 0.65024)
+      (layers *.Cu)
+    )
+    (pad 8 thru_hole circle (at 0.87376 -2.9718) (size 1.19888 1.19888) (drill 0.65024)
+      (layers *.Cu)
+      (net 187 GND)
+    )
+    (pad 7 thru_hole circle (at 3.16484 -2.9718) (size 1.19888 1.19888) (drill 0.65024)
+      (layers *.Cu)
+      (net 187 GND)
+    )
+    (pad 6 thru_hole circle (at 5.45592 -2.9718) (size 1.19888 1.19888) (drill 0.65024)
+      (layers *.Cu)
+      (net 187 GND)
+    )
+    (pad "" thru_hole circle (at -12.49426 -2.47142) (size 5.00126 5.00126) (drill 3.2004)
+      (layers *.Cu)
+    )
+    (pad 10 thru_hole circle (at -3.70586 -2.9718) (size 1.19888 1.19888) (drill 0.65024)
+      (layers *.Cu)
+      (net 187 GND)
+    )
+    (pad 11 thru_hole circle (at 4.31038 -1.47066) (size 1.19888 1.19888) (drill 0.65024)
+      (layers *.Cu)
+      (net 187 GND)
+    )
+    (pad 12 thru_hole circle (at 2.0193 -1.47066) (size 1.19888 1.19888) (drill 0.65024)
+      (layers *.Cu)
+    )
+    (pad 13 thru_hole circle (at -0.26924 -1.4732) (size 1.19888 1.19888) (drill 0.65024)
+      (layers *.Cu)
+      (net 213 N-00000203)
+    )
+    (pad 14 thru_hole circle (at -2.56032 -1.47066) (size 1.19888 1.19888) (drill 0.65024)
+      (layers *.Cu)
+      (net 214 N-00000204)
+    )
+    (pad 15 thru_hole circle (at -4.84886 -1.47066) (size 1.19888 1.19888) (drill 0.65024)
+      (layers *.Cu)
+    )
+    (model walter/conn_pc/db_15-vga.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module pin_array_4x2 (layer TOP-L1) (tedit 51A95237) (tstamp 5158FFFD)
+    (at 75.05 25.65)
+    (descr "Double rangee de contacts 2 x 4 pins")
+    (tags CONN)
+    (path /51590E78)
+    (fp_text reference P3 (at -3.925 -3.475) (layer F.SilkS)
+      (effects (font (size 1.016 1.016) (thickness 0.2032)))
+    )
+    (fp_text value CONN_8 (at 0 3.81) (layer F.SilkS) hide
+      (effects (font (size 1.016 1.016) (thickness 0.2032)))
+    )
+    (fp_line (start -5.08 -2.54) (end 5.08 -2.54) (layer F.SilkS) (width 0.3048))
+    (fp_line (start 5.08 -2.54) (end 5.08 2.54) (layer F.SilkS) (width 0.3048))
+    (fp_line (start 5.08 2.54) (end -5.08 2.54) (layer F.SilkS) (width 0.3048))
+    (fp_line (start -5.08 2.54) (end -5.08 -2.54) (layer F.SilkS) (width 0.3048))
+    (pad 1 thru_hole rect (at -3.81 1.27) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 135 /U0Rx)
+    )
+    (pad 2 thru_hole circle (at -3.81 -1.27) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 136 /U0Tx)
+    )
+    (pad 3 thru_hole circle (at -1.27 1.27) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 54 /J_DI0)
+    )
+    (pad 4 thru_hole circle (at -1.27 -1.27) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 55 /J_DO0)
+    )
+    (pad 5 thru_hole circle (at 1.27 1.27) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 53 /J_CK0)
+    )
+    (pad 6 thru_hole circle (at 1.27 -1.27) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 56 /J_MS0)
+    )
+    (pad 7 thru_hole circle (at 3.81 1.27) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 187 GND)
+    )
+    (pad 8 thru_hole circle (at 3.81 -1.27) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 165 5V_IN)
+    )
+    (model pin_array/pins_array_4x2.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51A835F2) (tstamp 515A7BFA)
+    (at 66.17 38.85 180)
+    (path /5159654C/5159A0EF)
+    (attr smd)
+    (fp_text reference R39 (at -0.13 0.7 180) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 2k2 (at -1.15 0.83 180) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 251 N-00000430)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51A6F1E3) (tstamp 515A7C06)
+    (at 57.45 45.375 180)
+    (path /5159654C/5159A133)
+    (attr smd)
+    (fp_text reference R40 (at -1.275 0 180) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 5k1 (at 1.25 0.025 180) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 248 N-00000426)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51A9D900) (tstamp 515A7C12)
+    (at 57.45 47.175 180)
+    (path /5159654C/5159A139)
+    (attr smd)
+    (fp_text reference R41 (at -1.3 -0.2 180) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 5k1 (at 1.2 0.075 180) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 249 N-00000428)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51888336) (tstamp 515A7C1E)
+    (at 57.4 40.9 180)
+    (path /5159654C/5159A227)
+    (attr smd)
+    (fp_text reference R35 (at -1.225 -0.025 180) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 5k1 (at 1.2 0.075 180) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 253 N-00000432)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51888330) (tstamp 515A7C2A)
+    (at 57.4 40 180)
+    (path /5159654C/5159A22D)
+    (attr smd)
+    (fp_text reference R36 (at -1.225 -0.025 180) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 5k1 (at 1.2 -0.025 180) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 254 N-00000433)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51888340) (tstamp 515A7C36)
+    (at 57.425 42.725 180)
+    (path /5159654C/5159A233)
+    (attr smd)
+    (fp_text reference R37 (at -1.225 -0.05 180) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 5k1 (at 1.175 0 180) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 255 N-00000434)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51A6F1EC) (tstamp 515A7C42)
+    (at 57.45 46.25 180)
+    (path /5159654C/5159A239)
+    (attr smd)
+    (fp_text reference R38 (at -1.3 0 180) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 5k1 (at 1.275 0.025 180) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 256 N-00000435)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 5188833C) (tstamp 515A7C4E)
+    (at 57.425 41.825 180)
+    (path /5159654C/5159ABE0)
+    (attr smd)
+    (fp_text reference R34 (at -1.2 -0.025 180) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 5k1 (at 1.15 -0.025 180) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 250 N-00000429)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module PIN_ARRAY_2X1 (layer TOP-L1) (tedit 51A5E735) (tstamp 515A7C58)
+    (at 82.65 79.175 270)
+    (descr "Connecteurs 2 pins")
+    (tags "CONN DEV")
+    (path /515A7B4E)
+    (fp_text reference P4 (at 1.875 1.8 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value UBOOT (at 0.7 2.85 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start -2.54 1.27) (end -2.54 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -2.54 -1.27) (end 2.54 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 2.54 -1.27) (end 2.54 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 2.54 1.27) (end -2.54 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at -1.27 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 197 N-00000143)
+    )
+    (pad 2 thru_hole circle (at 1.27 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 187 GND)
+    )
+    (model pin_array/pins_array_2x1.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module lqfp48 (layer TOP-L1) (tedit 51A9DAF6) (tstamp 515A7E31)
+    (at 64.85 44.4)
+    (descr LQFP-48)
+    (path /5159654C/51597EA2)
+    (fp_text reference U11 (at 5.425 -3.65) (layer F.SilkS)
+      (effects (font (size 0.7493 0.7493) (thickness 0.14986)))
+    )
+    (fp_text value RTL8201CP (at 0.15 -1.025) (layer F.SilkS) hide
+      (effects (font (size 0.7493 0.7493) (thickness 0.14986)))
+    )
+    (fp_line (start -4.09956 3.8989) (end -3.8989 4.09956) (layer F.SilkS) (width 0.14986))
+    (fp_line (start -3.70078 4.09956) (end -4.09956 3.70078) (layer F.SilkS) (width 0.14986))
+    (fp_line (start -4.09956 3.50012) (end -3.50012 4.09956) (layer F.SilkS) (width 0.14986))
+    (fp_line (start -3.29946 4.09956) (end -4.09956 3.29946) (layer F.SilkS) (width 0.14986))
+    (fp_line (start -3.0988 4.09956) (end -4.09956 4.09956) (layer F.SilkS) (width 0.14986))
+    (fp_line (start -4.09956 4.09956) (end -4.09956 3.0988) (layer F.SilkS) (width 0.14986))
+    (fp_line (start -4.09956 3.0988) (end -3.0988 4.09956) (layer F.SilkS) (width 0.14986))
+    (fp_line (start 4.09956 3.0988) (end 4.09956 4.09956) (layer F.SilkS) (width 0.14986))
+    (fp_line (start 4.09956 4.09956) (end 3.0988 4.09956) (layer F.SilkS) (width 0.14986))
+    (fp_line (start 3.0988 -4.09956) (end 4.09956 -4.09956) (layer F.SilkS) (width 0.14986))
+    (fp_line (start 4.09956 -4.09956) (end 4.09956 -3.0988) (layer F.SilkS) (width 0.14986))
+    (fp_line (start -4.09956 -3.0988) (end -4.09956 -4.09956) (layer F.SilkS) (width 0.14986))
+    (fp_line (start -4.09956 -4.09956) (end -3.0988 -4.09956) (layer F.SilkS) (width 0.14986))
+    (fp_circle (center -2.413 2.413) (end -2.667 2.54) (layer F.SilkS) (width 0.127))
+    (fp_line (start 3.556 3.175) (end 3.175 3.556) (layer F.SilkS) (width 0.127))
+    (fp_line (start 3.175 3.556) (end -3.175 3.556) (layer F.SilkS) (width 0.127))
+    (fp_line (start -3.175 3.556) (end -3.556 3.175) (layer F.SilkS) (width 0.127))
+    (fp_line (start -3.556 3.175) (end -3.556 -3.175) (layer F.SilkS) (width 0.127))
+    (fp_line (start -3.556 -3.175) (end -3.175 -3.556) (layer F.SilkS) (width 0.127))
+    (fp_line (start -3.175 -3.556) (end 3.175 -3.556) (layer F.SilkS) (width 0.127))
+    (fp_line (start 3.175 -3.556) (end 3.556 -3.175) (layer F.SilkS) (width 0.127))
+    (fp_line (start 3.556 -3.175) (end 3.556 3.175) (layer F.SilkS) (width 0.127))
+    (pad 4 smd rect (at -1.24968 4.09956) (size 0.29972 1.30048)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 183 ETXD2)
+    )
+    (pad 5 smd rect (at -0.7493 4.09956) (size 0.29972 1.30048)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 182 ETXD1)
+    )
+    (pad 6 smd rect (at -0.24892 4.09956) (size 0.29972 1.30048)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 181 ETXD0)
+    )
+    (pad 7 smd rect (at 0.24892 4.09956) (size 0.29972 1.30048)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 180 ETXCK)
+    )
+    (pad 8 smd rect (at 0.7493 4.09956) (size 0.29972 1.30048)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 244 N-00000414)
+    )
+    (pad 1 smd rect (at -2.75082 4.09956) (size 0.29972 1.30048)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 169 ECOL)
+    )
+    (pad 2 smd rect (at -2.25044 4.09956) (size 0.29972 1.30048)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 185 ETXEN)
+    )
+    (pad 3 smd rect (at -1.75006 4.09956) (size 0.29972 1.30048)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 184 ETXD3)
+    )
+    (pad 13 smd rect (at 4.09956 2.75082) (size 1.30048 0.29972)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 241 N-00000411)
+    )
+    (pad 14 smd rect (at 4.09956 2.25044) (size 1.30048 0.29972)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (pad 15 smd rect (at 4.09956 1.75006) (size 1.30048 0.29972)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 156 /ethernet/LED4)
+    )
+    (pad 16 smd rect (at 4.09956 1.24968) (size 1.30048 0.29972)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 173 ERXCK)
+    )
+    (pad 17 smd rect (at 4.09956 0.7493) (size 1.30048 0.29972)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 18 smd rect (at 4.09956 0.24892) (size 1.30048 0.29972)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 177 ERXD3)
+    )
+    (pad 19 smd rect (at 4.09956 -0.24892) (size 1.30048 0.29972)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 176 ERXD2)
+    )
+    (pad 20 smd rect (at 4.09956 -0.7493) (size 1.30048 0.29972)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 175 ERXD1)
+    )
+    (pad 25 smd rect (at 2.75082 -4.09956) (size 0.29972 1.30048)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 171 EMDC)
+    )
+    (pad 26 smd rect (at 2.25044 -4.09956) (size 0.29972 1.30048)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 172 EMDIO)
+    )
+    (pad 27 smd rect (at 1.75006 -4.09956) (size 0.29972 1.30048)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 28 smd rect (at 1.24968 -4.09956) (size 0.29972 1.30048)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 251 N-00000430)
+    )
+    (pad 29 smd rect (at 0.7493 -4.09956) (size 0.29972 1.30048)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 30 smd rect (at 0.24892 -4.09956) (size 0.29972 1.30048)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 159 /ethernet/RX-)
+    )
+    (pad 31 smd rect (at -0.24892 -4.09956) (size 0.29972 1.30048)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 158 /ethernet/RX+)
+    )
+    (pad 32 smd rect (at -0.7493 -4.09956) (size 0.29972 1.30048)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 157 /ethernet/PFWBOUT)
+    )
+    (pad 37 smd rect (at -4.09956 -2.75082) (size 1.30048 0.29972)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 254 N-00000433)
+    )
+    (pad 38 smd rect (at -4.09956 -2.25044) (size 1.30048 0.29972)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 253 N-00000432)
+    )
+    (pad 39 smd rect (at -4.09956 -1.75006) (size 1.30048 0.29972)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 250 N-00000429)
+    )
+    (pad 40 smd rect (at -4.09956 -1.24968) (size 1.30048 0.29972)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 249 N-00000428)
+    )
+    (pad 41 smd rect (at -4.09956 -0.7493) (size 1.30048 0.29972)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 255 N-00000434)
+    )
+    (pad 42 smd rect (at -4.09956 -0.24892) (size 1.30048 0.29972)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 186 ETXERR)
+    )
+    (pad 43 smd rect (at -4.09956 0.24892) (size 1.30048 0.29972)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 248 N-00000426)
+    )
+    (pad 44 smd rect (at -4.09956 0.7493) (size 1.30048 0.29972)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 256 N-00000435)
+    )
+    (pad 9 smd rect (at 1.24968 4.09956) (size 0.29972 1.30048)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 155 /ethernet/LED0)
+    )
+    (pad 10 smd rect (at 1.75006 4.09956) (size 0.29972 1.30048)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 242 N-00000412)
+    )
+    (pad 11 smd rect (at 2.25044 4.09956) (size 0.29972 1.30048)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 12 smd rect (at 2.75082 4.09956) (size 0.29972 1.30048)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 240 N-00000410)
+    )
+    (pad 21 smd rect (at 4.09956 -1.24968) (size 1.30048 0.29972)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 174 ERXD0)
+    )
+    (pad 22 smd rect (at 4.09956 -1.75006) (size 1.30048 0.29972)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 178 ERXDV)
+    )
+    (pad 23 smd rect (at 4.09956 -2.25044) (size 1.30048 0.29972)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 170 ECRS)
+    )
+    (pad 24 smd rect (at 4.09956 -2.75082) (size 1.30048 0.29972)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 179 ERXERR)
+    )
+    (pad 33 smd rect (at -1.24968 -4.09956) (size 0.29972 1.30048)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 161 /ethernet/TX-)
+    )
+    (pad 34 smd rect (at -1.75006 -4.09956) (size 0.29972 1.30048)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 160 /ethernet/TX+)
+    )
+    (pad 35 smd rect (at -2.25044 -4.09956) (size 0.29972 1.30048)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 36 smd rect (at -2.75082 -4.09956) (size 0.29972 1.30048)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 154 /ethernet/ETHAVDD)
+    )
+    (pad 45 smd rect (at -4.09956 1.24968) (size 1.30048 0.29972)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 46 smd rect (at -4.09956 1.75006) (size 1.30048 0.29972)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 252 N-00000431)
+    )
+    (pad 47 smd rect (at -4.09956 2.25044) (size 1.30048 0.29972)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 243 N-00000413)
+    )
+    (pad 48 smd rect (at -4.09956 2.75082) (size 1.30048 0.29972)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (model walter/smd_lqfp/lqfp-48.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0805 (layer TOP-L1) (tedit 51A9D905) (tstamp 515C0473)
+    (at 59 35.975)
+    (path /5159654C/515ADE9A)
+    (attr smd)
+    (fp_text reference C140 (at 0.075 1.375) (layer F.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_text value 10u (at -0.175 -3.625 90) (layer F.SilkS) hide
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_circle (center -1.651 0.762) (end -1.651 0.635) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -0.508 0.762) (end -1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 0.762) (end -1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 -0.762) (end -0.508 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 0.508 -0.762) (end 1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 -0.762) (end 1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 0.762) (end 0.508 0.762) (layer F.SilkS) (width 0.09906))
+    (pad 1 smd rect (at -0.9525 0) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 2 smd rect (at 0.9525 0) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 154 /ethernet/ETHAVDD)
+    )
+    (model smd/chip_cms.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.1 0.1 0.1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0805 (layer TOP-L1) (tedit 51A83686) (tstamp 515C0480)
+    (at 62.72 35.22 270)
+    (path /5159654C/515AE226)
+    (attr smd)
+    (fp_text reference C138 (at -2.6 0.29 270) (layer F.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_text value 10u (at -2.32 -0.63 270) (layer F.SilkS) hide
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_circle (center -1.651 0.762) (end -1.651 0.635) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -0.508 0.762) (end -1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 0.762) (end -1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 -0.762) (end -0.508 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 0.508 -0.762) (end 1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 -0.762) (end 1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 0.762) (end 0.508 0.762) (layer F.SilkS) (width 0.09906))
+    (pad 1 smd rect (at -0.9525 0 270) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 2 smd rect (at 0.9525 0 270) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 157 /ethernet/PFWBOUT)
+    )
+    (model smd/chip_cms.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.1 0.1 0.1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51A8348F) (tstamp 515C048C)
+    (at 57.85 52.95 180)
+    (path /5159654C/51599A05)
+    (attr smd)
+    (fp_text reference C136 (at -0.12 -0.75 180) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 18pF (at -0.225 -1.275 180) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 243 N-00000413)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 5188831E) (tstamp 515C0498)
+    (at 55.05 49.65 270)
+    (path /5159654C/51599A5C)
+    (attr smd)
+    (fp_text reference C135 (at 0 0.6604 270) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 18pF (at -1.375 0.05 270) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 252 N-00000431)
+    )
+    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51A8367F) (tstamp 515C04A4)
+    (at 64.16 35.75 270)
+    (path /5159654C/515AE245)
+    (attr smd)
+    (fp_text reference C139 (at -1.38 0.15 270) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value .22u (at 0.075 -0.075 270) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 157 /ethernet/PFWBOUT)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51A6F1E6) (tstamp 515C04B0)
+    (at 57.45 44.5)
+    (path /5159654C/515AE348)
+    (attr smd)
+    (fp_text reference C137 (at 1.4 0.025) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value .22u (at -1.325 -0.025) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 186 ETXERR)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51A6F1D9) (tstamp 515C04BC)
+    (at 57.425 43.6)
+    (path /5159654C/515AE399)
+    (attr smd)
+    (fp_text reference R42 (at 1.275 0.05) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 5k1 (at -1.2 -0.025) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 186 ETXERR)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 5188830D) (tstamp 515C04C8)
+    (at 39.1 28.125 270)
+    (path /5159654C/515AE4AA)
+    (attr smd)
+    (fp_text reference R43 (at -0.025 0.675 270) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value 5k1 (at -1.2 0.025 270) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 156 /ethernet/LED4)
+    )
+    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51888314) (tstamp 515C04D4)
+    (at 69.95 48.725)
+    (path /5159654C/515AE4B0)
+    (attr smd)
+    (fp_text reference R44 (at 0.175 0.775) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 5k1 (at -1.225 -0.15) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 241 N-00000411)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 5192FD44) (tstamp 515C04E0)
+    (at 68.725 49.65)
+    (path /5159654C/515AE4B6)
+    (attr smd)
+    (fp_text reference R45 (at -1.25 0.05) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 5k1 (at 1.25 0.3) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 240 N-00000410)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 5192FD42) (tstamp 515C04EC)
+    (at 68.75 50.55)
+    (path /5159654C/515AE4BC)
+    (attr smd)
+    (fp_text reference R46 (at -1.325 0) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 5k1 (at 1.25 0.225) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 242 N-00000412)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A6F6AE) (tstamp 515C04F8)
+    (at 53.875 25.75)
+    (path /5159654C/515AE4C2)
+    (attr smd)
+    (fp_text reference R47 (at 1.3 -0.75) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value 5k1 (at 1.325 -0.225) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 155 /ethernet/LED0)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 164 3.3V)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A6F6B2) (tstamp 515C0504)
+    (at 53.85 24.8 180)
+    (path /5159654C/515AE7FB)
+    (attr smd)
+    (fp_text reference R49 (at -1.325 -1.225 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value 1k (at -1.425 0.325 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 164 3.3V)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 247 N-00000422)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A6F6C0) (tstamp 515C0510)
+    (at 52.125 25.775 180)
+    (path /5159654C/515AE801)
+    (attr smd)
+    (fp_text reference R48 (at 1.325 -0.225 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value 1k (at 1.2 0.225 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 155 /ethernet/LED0)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 246 N-00000420)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM1210L (layer TOP-L1) (tedit 51A9DF15) (tstamp 515445A6)
+    (at 67.625 25.95 270)
+    (tags "CMS SM")
+    (path /51513975)
+    (attr smd)
+    (fp_text reference L4 (at -3.65 -0.6 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.127)))
+    )
+    (fp_text value 4.7uH/2A (at -0.05 -2.525 270) (layer F.SilkS) hide
+      (effects (font (size 0.889 0.762) (thickness 0.127)))
+    )
+    (fp_circle (center -2.921 1.651) (end -2.794 1.524) (layer F.SilkS) (width 0.127))
+    (fp_line (start 0.889 1.524) (end 2.794 1.524) (layer F.SilkS) (width 0.127))
+    (fp_line (start 2.794 1.524) (end 2.794 -1.524) (layer F.SilkS) (width 0.127))
+    (fp_line (start 2.794 -1.524) (end 0.889 -1.524) (layer F.SilkS) (width 0.127))
+    (fp_line (start -0.762 -1.524) (end -2.794 -1.524) (layer F.SilkS) (width 0.127))
+    (fp_line (start -2.794 -1.524) (end -2.794 1.524) (layer F.SilkS) (width 0.127))
+    (fp_line (start -2.794 1.524) (end -0.762 1.524) (layer F.SilkS) (width 0.127))
+    (pad 1 smd rect (at -1.778 0 270) (size 1.778 2.794)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 229 N-00000298)
+    )
+    (pad 2 smd rect (at 1.778 0 270) (size 1.778 2.794)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (model smd/chip_cms.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.2 0.2 0.2))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM1210L (layer TOP-L1) (tedit 51AD7691) (tstamp 515445B2)
+    (at 83.275 53.4)
+    (tags "CMS SM")
+    (path /514EC5DA)
+    (attr smd)
+    (fp_text reference L3 (at -0.025 2.15) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.127)))
+    )
+    (fp_text value 4.7uH at 1.5A (at -0.175 2.75) (layer F.SilkS) hide
+      (effects (font (size 0.3 0.3) (thickness 0.000001)))
+    )
+    (fp_circle (center -2.921 1.651) (end -2.794 1.524) (layer F.SilkS) (width 0.127))
+    (fp_line (start 0.889 1.524) (end 2.794 1.524) (layer F.SilkS) (width 0.127))
+    (fp_line (start 2.794 1.524) (end 2.794 -1.524) (layer F.SilkS) (width 0.127))
+    (fp_line (start 2.794 -1.524) (end 0.889 -1.524) (layer F.SilkS) (width 0.127))
+    (fp_line (start -0.762 -1.524) (end -2.794 -1.524) (layer F.SilkS) (width 0.127))
+    (fp_line (start -2.794 -1.524) (end -2.794 1.524) (layer F.SilkS) (width 0.127))
+    (fp_line (start -2.794 1.524) (end -0.762 1.524) (layer F.SilkS) (width 0.127))
+    (pad 1 smd rect (at -1.778 0) (size 1.778 2.794)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 258 N-0000053)
+    )
+    (pad 2 smd rect (at 1.778 0) (size 1.778 2.794)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 162 1.25V)
+    )
+    (model smd/chip_cms.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.2 0.2 0.2))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM1210L (layer TOP-L1) (tedit 51A9DFAC) (tstamp 515445BE)
+    (at 94.78 48.54 270)
+    (tags "CMS SM")
+    (path /514ECA56)
+    (attr smd)
+    (fp_text reference L6 (at 3.76 -0.045 360) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.127)))
+    )
+    (fp_text value 4.7uH at 1.5A (at 0.26 -2.02 270) (layer F.SilkS) hide
+      (effects (font (size 0.3 0.3) (thickness 0.000001)))
+    )
+    (fp_circle (center -2.921 1.651) (end -2.794 1.524) (layer F.SilkS) (width 0.127))
+    (fp_line (start 0.889 1.524) (end 2.794 1.524) (layer F.SilkS) (width 0.127))
+    (fp_line (start 2.794 1.524) (end 2.794 -1.524) (layer F.SilkS) (width 0.127))
+    (fp_line (start 2.794 -1.524) (end 0.889 -1.524) (layer F.SilkS) (width 0.127))
+    (fp_line (start -0.762 -1.524) (end -2.794 -1.524) (layer F.SilkS) (width 0.127))
+    (fp_line (start -2.794 -1.524) (end -2.794 1.524) (layer F.SilkS) (width 0.127))
+    (fp_line (start -2.794 1.524) (end -0.762 1.524) (layer F.SilkS) (width 0.127))
+    (pad 1 smd rect (at -1.778 0 270) (size 1.778 2.794)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 257 N-0000044)
+    )
+    (pad 2 smd rect (at 1.778 0 270) (size 1.778 2.794)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 163 1.2V)
+    )
+    (model smd/chip_cms.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.2 0.2 0.2))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM1210L (layer TOP-L1) (tedit 51AD80F7) (tstamp 515445CA)
+    (at 48.8 86.125)
+    (tags "CMS SM")
+    (path /5150FD3C)
+    (attr smd)
+    (fp_text reference L2 (at -1.65 -2.225) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.127)))
+    )
+    (fp_text value 4.7uH/3A (at 0.05 -0.825) (layer F.SilkS) hide
+      (effects (font (size 0.889 0.762) (thickness 0.127)))
+    )
+    (fp_circle (center -2.921 1.651) (end -2.794 1.524) (layer F.SilkS) (width 0.127))
+    (fp_line (start 0.889 1.524) (end 2.794 1.524) (layer F.SilkS) (width 0.127))
+    (fp_line (start 2.794 1.524) (end 2.794 -1.524) (layer F.SilkS) (width 0.127))
+    (fp_line (start 2.794 -1.524) (end 0.889 -1.524) (layer F.SilkS) (width 0.127))
+    (fp_line (start -0.762 -1.524) (end -2.794 -1.524) (layer F.SilkS) (width 0.127))
+    (fp_line (start -2.794 -1.524) (end -2.794 1.524) (layer F.SilkS) (width 0.127))
+    (fp_line (start -2.794 1.524) (end -0.762 1.524) (layer F.SilkS) (width 0.127))
+    (pad 1 smd rect (at -1.778 0) (size 1.778 2.794)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 228 N-00000297)
+    )
+    (pad 2 smd rect (at 1.778 0) (size 1.778 2.794)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (model smd/chip_cms.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.2 0.2 0.2))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51A9D661) (tstamp 515446A7)
+    (at 82.5 38.75 90)
+    (path /5154EB96)
+    (attr smd)
+    (fp_text reference C8 (at 1.15 -0.025 90) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value .22u (at 1.275 0.5 90) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 188 IPSOUT)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module C2V8 (layer TOP-L1) (tedit 51A82FB9) (tstamp 51544582)
+    (at 41.59 51.42)
+    (descr "Condensateur polarise")
+    (tags CP)
+    (path /515489AE)
+    (fp_text reference C33 (at -3.9 -4.125) (layer F.SilkS)
+      (effects (font (size 1.016 1.016) (thickness 0.2032)))
+    )
+    (fp_text value 220u (at 0 -2.54) (layer F.SilkS) hide
+      (effects (font (size 1.016 1.016) (thickness 0.2032)))
+    )
+    (fp_circle (center 0 0) (end -4.445 0) (layer F.SilkS) (width 0.3048))
+    (pad 1 thru_hole rect (at -2.54 0) (size 1.778 1.778) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 165 5V_IN)
+    )
+    (pad 2 thru_hole circle (at 2.54 0) (size 1.778 1.778) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 187 GND)
+    )
+    (model discret/c_vert_c2v10.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0603 (layer TOP-L1) (tedit 51A9DB37) (tstamp 5154463F)
+    (at 78.975 45.175 90)
+    (path /51552586)
+    (attr smd)
+    (fp_text reference C27 (at 0.075 -1.075 90) (layer F.SilkS)
+      (effects (font (size 0.508 0.4572) (thickness 0.1143)))
+    )
+    (fp_text value 4.7u (at 0.1 1.2 90) (layer F.SilkS) hide
+      (effects (font (size 0.508 0.4572) (thickness 0.1143)))
+    )
+    (fp_line (start -1.143 -0.635) (end 1.143 -0.635) (layer F.SilkS) (width 0.127))
+    (fp_line (start 1.143 -0.635) (end 1.143 0.635) (layer F.SilkS) (width 0.127))
+    (fp_line (start 1.143 0.635) (end -1.143 0.635) (layer F.SilkS) (width 0.127))
+    (fp_line (start -1.143 0.635) (end -1.143 -0.635) (layer F.SilkS) (width 0.127))
+    (pad 1 smd rect (at -0.762 0 90) (size 0.635 1.143)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 2 smd rect (at 0.762 0 90) (size 0.635 1.143)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 190 LDO3)
+    )
+    (model smd\resistors\R0603.wrl
+      (at (xyz 0 0 0.001))
+      (scale (xyz 0.5 0.5 0.5))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0603 (layer BOT-L6) (tedit 5191E750) (tstamp 515F7978)
+    (at 73.15 80.075 180)
+    (path /51552419)
+    (attr smd)
+    (fp_text reference C20 (at -1.95 -0.225 180) (layer B.SilkS)
+      (effects (font (size 0.508 0.4572) (thickness 0.1143)) (justify mirror))
+    )
+    (fp_text value 4.7u (at -1.95 0.55 180) (layer B.SilkS) hide
+      (effects (font (size 0.508 0.4572) (thickness 0.1143)) (justify mirror))
+    )
+    (fp_line (start -1.143 0.635) (end 1.143 0.635) (layer B.SilkS) (width 0.127))
+    (fp_line (start 1.143 0.635) (end 1.143 -0.635) (layer B.SilkS) (width 0.127))
+    (fp_line (start 1.143 -0.635) (end -1.143 -0.635) (layer B.SilkS) (width 0.127))
+    (fp_line (start -1.143 -0.635) (end -1.143 0.635) (layer B.SilkS) (width 0.127))
+    (pad 1 smd rect (at -0.762 0 180) (size 0.635 1.143)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (pad 2 smd rect (at 0.762 0 180) (size 0.635 1.143)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (model smd\resistors\R0603.wrl
+      (at (xyz 0 0 0.001))
+      (scale (xyz 0.5 0.5 0.5))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module TFBGA441-new (layer TOP-L1) (tedit 510A4318) (tstamp 5172549E)
+    (at 77 66)
+    (descr "441 pin Thin Fine Pitch BGA")
+    (path /514D47F5)
+    (fp_text reference U3 (at 0 0) (layer F.SilkS)
+      (effects (font (size 0.0004 0.0004) (thickness 0.00012)))
+    )
+    (fp_text value A10-SOC (at 0 0) (layer F.SilkS)
+      (effects (font (size 0.0004 0.0004) (thickness 0.00012)))
+    )
+    (fp_line (start 9.6 9.6) (end 9.6 -9.4) (layer F.SilkS) (width 0.15))
+    (fp_line (start 9.6 -9.4) (end 9.4 -9.4) (layer F.SilkS) (width 0.15))
+    (fp_line (start -9.6 -9.4) (end -10 -9.8) (layer F.SilkS) (width 0.15))
+    (fp_line (start -9.6 -9.4) (end 9.4 -9.4) (layer F.SilkS) (width 0.15))
+    (fp_line (start 9.6 9.6) (end -9.6 9.6) (layer F.SilkS) (width 0.15))
+    (fp_line (start -9.6 9.6) (end -9.6 -9.4) (layer F.SilkS) (width 0.15))
+    (pad A1 smd circle (at -8.8 -8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad A2 smd circle (at -8 -8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad A3 smd circle (at -7.2 -8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad A4 smd circle (at -6.4 -8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 142 /U5TX)
+    )
+    (pad A5 smd circle (at -5.6 -8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad A6 smd circle (at -4.8 -8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 138 /U3TX)
+    )
+    (pad A7 smd circle (at -4 -8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 136 /U0Tx)
+    )
+    (pad A8 smd circle (at -3.2 -8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad A9 smd circle (at -2.4 -8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 55 /J_DO0)
+    )
+    (pad A10 smd circle (at -1.6 -8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 56 /J_MS0)
+    )
+    (pad A11 smd circle (at -0.8 -8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad A12 smd circle (at 0 -8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad A13 smd circle (at 0.8 -8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad A14 smd circle (at 1.6 -8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad A15 smd circle (at 2.4 -8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 133 /TWI0SCK)
+    )
+    (pad A16 smd circle (at 3.2 -8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad A17 smd circle (at 4 -8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad A18 smd circle (at 4.8 -8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad A19 smd circle (at 5.6 -8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad A20 smd circle (at 6.4 -8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad A21 smd circle (at 7.2 -8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad A22 smd circle (at 8 -8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad A23 smd circle (at 8.8 -8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad B1 smd circle (at -8.8 -8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad B2 smd circle (at -8 -8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad B3 smd circle (at -7.2 -8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad B4 smd circle (at -6.4 -8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 141 /U5RX)
+    )
+    (pad B5 smd circle (at -5.6 -8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 140 /U4TX)
+    )
+    (pad B6 smd circle (at -4.8 -8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 137 /U3RX)
+    )
+    (pad B7 smd circle (at -4 -8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 135 /U0Rx)
+    )
+    (pad B8 smd circle (at -3.2 -8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad B9 smd circle (at -2.4 -8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 54 /J_DI0)
+    )
+    (pad B10 smd circle (at -1.6 -8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 53 /J_CK0)
+    )
+    (pad B11 smd circle (at -0.8 -8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad B12 smd circle (at 0 -8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad B13 smd circle (at 0.8 -8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad B14 smd circle (at 1.6 -8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad B15 smd circle (at 2.4 -8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 134 /TWI0SDA)
+    )
+    (pad B16 smd circle (at 3.2 -8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad B17 smd circle (at 4 -8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad B18 smd circle (at 4.8 -8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad B19 smd circle (at 5.6 -8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad B20 smd circle (at 6.4 -8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad B21 smd circle (at 7.2 -8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad B22 smd circle (at 8 -8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 98 /PE7)
+    )
+    (pad B23 smd circle (at 8.8 -8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 97 /PE6)
+    )
+    (pad C1 smd circle (at -8.8 -7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad C2 smd circle (at -8 -7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad C3 smd circle (at -7.2 -7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad C4 smd circle (at -6.4 -7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad C5 smd circle (at -5.6 -7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 139 /U4RX)
+    )
+    (pad C6 smd circle (at -4.8 -7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad C7 smd circle (at -4 -7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad C8 smd circle (at -3.2 -7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad C9 smd circle (at -2.4 -7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad C10 smd circle (at -1.6 -7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad C11 smd circle (at -0.8 -7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad C12 smd circle (at 0 -7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad C13 smd circle (at 0.8 -7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 186 ETXERR)
+    )
+    (pad C14 smd circle (at 1.6 -7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 99 /RESET#)
+    )
+    (pad C15 smd circle (at 2.4 -7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad C16 smd circle (at 3.2 -7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad C17 smd circle (at 4 -7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad C18 smd circle (at 4.8 -7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad C19 smd circle (at 5.6 -7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad C20 smd circle (at 6.4 -7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad C21 smd circle (at 7.2 -7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad C22 smd circle (at 8 -7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 96 /PE5)
+    )
+    (pad C23 smd circle (at 8.8 -7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 95 /PE4)
+    )
+    (pad D1 smd circle (at -8.8 -6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad D2 smd circle (at -8 -6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad D3 smd circle (at -7.2 -6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad D4 smd circle (at -6.4 -6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad D5 smd circle (at -5.6 -6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 177 ERXD3)
+    )
+    (pad D6 smd circle (at -4.8 -6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 175 ERXD1)
+    )
+    (pad D7 smd circle (at -4 -6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 184 ETXD3)
+    )
+    (pad D8 smd circle (at -3.2 -6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 182 ETXD1)
+    )
+    (pad D9 smd circle (at -2.4 -6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 173 ERXCK)
+    )
+    (pad D10 smd circle (at -1.6 -6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 178 ERXDV)
+    )
+    (pad D11 smd circle (at -0.8 -6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 172 EMDIO)
+    )
+    (pad D12 smd circle (at 0 -6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 180 ETXCK)
+    )
+    (pad D13 smd circle (at 0.8 -6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 169 ECOL)
+    )
+    (pad D14 smd circle (at 1.6 -6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad D15 smd circle (at 2.4 -6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad D16 smd circle (at 3.2 -6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad D17 smd circle (at 4 -6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad D18 smd circle (at 4.8 -6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad D19 smd circle (at 5.6 -6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad D20 smd circle (at 6.4 -6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad D21 smd circle (at 7.2 -6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad D22 smd circle (at 8 -6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 94 /PE3)
+    )
+    (pad D23 smd circle (at 8.8 -6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 93 /PE2)
+    )
+    (pad E1 smd circle (at -8.8 -5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad E2 smd circle (at -8 -5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad E3 smd circle (at -7.2 -5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad E4 smd circle (at -6.4 -5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad E5 smd circle (at -5.6 -5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 176 ERXD2)
+    )
+    (pad E6 smd circle (at -4.8 -5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 174 ERXD0)
+    )
+    (pad E7 smd circle (at -4 -5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 183 ETXD2)
+    )
+    (pad E8 smd circle (at -3.2 -5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 181 ETXD0)
+    )
+    (pad E9 smd circle (at -2.4 -5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 179 ERXERR)
+    )
+    (pad E10 smd circle (at -1.6 -5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 171 EMDC)
+    )
+    (pad E11 smd circle (at -0.8 -5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 185 ETXEN)
+    )
+    (pad E12 smd circle (at 0 -5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 170 ECRS)
+    )
+    (pad E13 smd circle (at 0.8 -5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad E14 smd circle (at 1.6 -5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad E15 smd circle (at 2.4 -5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad E16 smd circle (at 3.2 -5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad E17 smd circle (at 4 -5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad E18 smd circle (at 4.8 -5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (pad E19 smd circle (at 5.6 -5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad E20 smd circle (at 6.4 -5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad E21 smd circle (at 7.2 -5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad E22 smd circle (at 8 -5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 92 /PE1)
+    )
+    (pad E23 smd circle (at 8.8 -5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 91 /PE0)
+    )
+    (pad F1 smd circle (at -8.8 -4.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 234 N-00000363)
+    )
+    (pad F2 smd circle (at -8 -4.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 215 N-00000205)
+    )
+    (pad F3 smd circle (at -7.2 -4.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad F4 smd circle (at -6.4 -4.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad F5 smd circle (at -5.6 -4.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 89 /NMI#)
+    )
+    (pad F19 smd circle (at 5.6 -4.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (pad F20 smd circle (at 6.4 -4.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad F21 smd circle (at 7.2 -4.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad F22 smd circle (at 8 -4.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad F23 smd circle (at 8.8 -4.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad G1 smd circle (at -8.8 -4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 121 /SDQM3)
+    )
+    (pad G2 smd circle (at -8 -4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 32 /DQ30)
+    )
+    (pad G3 smd circle (at -7.2 -4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad G4 smd circle (at -6.4 -4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad G5 smd circle (at -5.6 -4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad G19 smd circle (at 5.6 -4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad G20 smd circle (at 6.4 -4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad G21 smd circle (at 7.2 -4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad G22 smd circle (at 8 -4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad G23 smd circle (at 8.8 -4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad H1 smd circle (at -8.8 -3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 26 /DQ25)
+    )
+    (pad H2 smd circle (at -8 -3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 28 /DQ27)
+    )
+    (pad H3 smd circle (at -7.2 -3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 167 DRAM_REF)
+    )
+    (pad H4 smd circle (at -6.4 -3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 167 DRAM_REF)
+    )
+    (pad H5 smd circle (at -5.6 -3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad H8 smd circle (at -3.2 -3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (pad H9 smd circle (at -2.4 -3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (pad H10 smd circle (at -1.6 -3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (pad H11 smd circle (at -0.8 -3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 162 1.25V)
+    )
+    (pad H12 smd circle (at 0 -3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 162 1.25V)
+    )
+    (pad H13 smd circle (at 0.8 -3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 162 1.25V)
+    )
+    (pad H14 smd circle (at 1.6 -3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 162 1.25V)
+    )
+    (pad H15 smd circle (at 2.4 -3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (pad H16 smd circle (at 3.2 -3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad H19 smd circle (at 5.6 -3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (pad H20 smd circle (at 6.4 -3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad H21 smd circle (at 7.2 -3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad H22 smd circle (at 8 -3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad H23 smd circle (at 8.8 -3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad J1 smd circle (at -8.8 -2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 29 /DQ28)
+    )
+    (pad J2 smd circle (at -8 -2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 129 /SDQS3#)
+    )
+    (pad J3 smd circle (at -7.2 -2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad J4 smd circle (at -6.4 -2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad J5 smd circle (at -5.6 -2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad J8 smd circle (at -3.2 -2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (pad J9 smd circle (at -2.4 -2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (pad J10 smd circle (at -1.6 -2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (pad J11 smd circle (at -0.8 -2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad J12 smd circle (at 0 -2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 162 1.25V)
+    )
+    (pad J13 smd circle (at 0.8 -2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 162 1.25V)
+    )
+    (pad J14 smd circle (at 1.6 -2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (pad J15 smd circle (at 2.4 -2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 163 1.2V)
+    )
+    (pad J16 smd circle (at 3.2 -2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 163 1.2V)
+    )
+    (pad J19 smd circle (at 5.6 -2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (pad J20 smd circle (at 6.4 -2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad J21 smd circle (at 7.2 -2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad J22 smd circle (at 8 -2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad J23 smd circle (at 8.8 -2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad K1 smd circle (at -8.8 -1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 128 /SDQS3)
+    )
+    (pad K2 smd circle (at -8 -1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 33 /DQ31)
+    )
+    (pad K3 smd circle (at -7.2 -1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 1 /BA0)
+    )
+    (pad K4 smd circle (at -6.4 -1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 3 /BA2)
+    )
+    (pad K5 smd circle (at -5.6 -1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad K8 smd circle (at -3.2 -1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 189 LDO1)
+    )
+    (pad K9 smd circle (at -2.4 -1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 163 1.2V)
+    )
+    (pad K10 smd circle (at -1.6 -1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 163 1.2V)
+    )
+    (pad K11 smd circle (at -0.8 -1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad K12 smd circle (at 0 -1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad K13 smd circle (at 0.8 -1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad K14 smd circle (at 1.6 -1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad K15 smd circle (at 2.4 -1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 163 1.2V)
+    )
+    (pad K16 smd circle (at 3.2 -1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 163 1.2V)
+    )
+    (pad K19 smd circle (at 5.6 -1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 195 N-00000137)
+    )
+    (pad K20 smd circle (at 6.4 -1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 192 N-00000101)
+    )
+    (pad K21 smd circle (at 7.2 -1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad K22 smd circle (at 8 -1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad K23 smd circle (at 8.8 -1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad L1 smd circle (at -8.8 -0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 25 /DQ24)
+    )
+    (pad L2 smd circle (at -8 -0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 27 /DQ26)
+    )
+    (pad L3 smd circle (at -7.2 -0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 102 /SA10)
+    )
+    (pad L4 smd circle (at -6.4 -0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 2 /BA1)
+    )
+    (pad L5 smd circle (at -5.6 -0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad L8 smd circle (at -3.2 -0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 163 1.2V)
+    )
+    (pad L9 smd circle (at -2.4 -0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 163 1.2V)
+    )
+    (pad L10 smd circle (at -1.6 -0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad L11 smd circle (at -0.8 -0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad L12 smd circle (at 0 -0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad L13 smd circle (at 0.8 -0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad L14 smd circle (at 1.6 -0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad L15 smd circle (at 2.4 -0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (pad L16 smd circle (at 3.2 -0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (pad L19 smd circle (at 5.6 -0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 194 N-00000131)
+    )
+    (pad L20 smd circle (at 6.4 -0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 193 N-00000119)
+    )
+    (pad L21 smd circle (at 7.2 -0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad L22 smd circle (at 8 -0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad L23 smd circle (at 8.8 -0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad M1 smd circle (at -8.8 0) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 30 /DQ29)
+    )
+    (pad M2 smd circle (at -8 0) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 24 /DQ23)
+    )
+    (pad M3 smd circle (at -7.2 0) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 112 /SA7)
+    )
+    (pad M4 smd circle (at -6.4 0) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 108 /SA3)
+    )
+    (pad M5 smd circle (at -5.6 0) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad M8 smd circle (at -3.2 0) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 163 1.2V)
+    )
+    (pad M9 smd circle (at -2.4 0) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad M10 smd circle (at -1.6 0) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad M11 smd circle (at -0.8 0) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad M12 smd circle (at 0 0) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad M13 smd circle (at 0.8 0) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad M14 smd circle (at 1.6 0) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad M15 smd circle (at 2.4 0) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad M16 smd circle (at 3.2 0) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad M19 smd circle (at 5.6 0) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 196 N-00000138)
+    )
+    (pad M20 smd circle (at 6.4 0) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 200 N-0000017)
+    )
+    (pad M21 smd circle (at 7.2 0) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad M22 smd circle (at 8 0) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad M23 smd circle (at 8.8 0) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad N1 smd circle (at -8.8 0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 16 /DQ16)
+    )
+    (pad N2 smd circle (at -8 0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 18 /DQ18)
+    )
+    (pad N3 smd circle (at -7.2 0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 6 /CKE)
+    )
+    (pad N4 smd circle (at -6.4 0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 110 /SA5)
+    )
+    (pad N5 smd circle (at -5.6 0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad N8 smd circle (at -3.2 0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad N9 smd circle (at -2.4 0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 163 1.2V)
+    )
+    (pad N10 smd circle (at -1.6 0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad N11 smd circle (at -0.8 0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad N12 smd circle (at 0 0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad N13 smd circle (at 0.8 0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad N14 smd circle (at 1.6 0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad N15 smd circle (at 2.4 0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad N16 smd circle (at 3.2 0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad N19 smd circle (at 5.6 0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (pad N20 smd circle (at 6.4 0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 198 N-0000015)
+    )
+    (pad N21 smd circle (at 7.2 0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 199 N-0000016)
+    )
+    (pad N22 smd circle (at 8 0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 232 N-00000303)
+    )
+    (pad N23 smd circle (at 8.8 0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 231 N-00000302)
+    )
+    (pad P1 smd circle (at -8.8 1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 22 /DQ21)
+    )
+    (pad P2 smd circle (at -8 1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 127 /SDQS2#)
+    )
+    (pad P3 smd circle (at -7.2 1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 104 /SA12)
+    )
+    (pad P4 smd circle (at -6.4 1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 114 /SA9)
+    )
+    (pad P5 smd circle (at -5.6 1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad P8 smd circle (at -3.2 1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad P9 smd circle (at -2.4 1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 163 1.2V)
+    )
+    (pad P10 smd circle (at -1.6 1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad P11 smd circle (at -0.8 1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad P12 smd circle (at 0 1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad P13 smd circle (at 0.8 1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad P14 smd circle (at 1.6 1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad P15 smd circle (at 2.4 1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad P16 smd circle (at 3.2 1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (pad P19 smd circle (at 5.6 1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad P20 smd circle (at 6.4 1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 143 /USB1M)
+    )
+    (pad P21 smd circle (at 7.2 1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 144 /USB1P)
+    )
+    (pad P22 smd circle (at 8 1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 42 /HHPD)
+    )
+    (pad P23 smd circle (at 8.8 1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 41 /HCEC)
+    )
+    (pad R1 smd circle (at -8.8 2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 126 /SDQS2)
+    )
+    (pad R2 smd circle (at -8 2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 120 /SDQM2)
+    )
+    (pad R3 smd circle (at -7.2 2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 106 /SA14)
+    )
+    (pad R4 smd circle (at -6.4 2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 101 /SA1)
+    )
+    (pad R5 smd circle (at -5.6 2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad R8 smd circle (at -3.2 2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad R9 smd circle (at -2.4 2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 163 1.2V)
+    )
+    (pad R10 smd circle (at -1.6 2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 163 1.2V)
+    )
+    (pad R11 smd circle (at -0.8 2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad R12 smd circle (at 0 2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad R13 smd circle (at 0.8 2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad R14 smd circle (at 1.6 2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad R15 smd circle (at 2.4 2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad R16 smd circle (at 3.2 2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad R19 smd circle (at 5.6 2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad R20 smd circle (at 6.4 2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 145 /USB2M)
+    )
+    (pad R21 smd circle (at 7.2 2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 146 /USB2P)
+    )
+    (pad R22 smd circle (at 8 2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 44 /HSDA)
+    )
+    (pad R23 smd circle (at 8.8 2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 43 /HSCL)
+    )
+    (pad T1 smd circle (at -8.8 3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 23 /DQ22)
+    )
+    (pad T2 smd circle (at -8 3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 17 /DQ17)
+    )
+    (pad T3 smd circle (at -7.2 3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 132 /SWE)
+    )
+    (pad T4 smd circle (at -6.4 3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 130 /SRAS)
+    )
+    (pad T5 smd circle (at -5.6 3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad T8 smd circle (at -3.2 3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 163 1.2V)
+    )
+    (pad T9 smd circle (at -2.4 3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad T10 smd circle (at -1.6 3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 259 N-0000086)
+    )
+    (pad T11 smd circle (at -0.8 3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad T12 smd circle (at 0 3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad T13 smd circle (at 0.8 3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (pad T14 smd circle (at 1.6 3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad T15 smd circle (at 2.4 3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad T16 smd circle (at 3.2 3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad T19 smd circle (at 5.6 3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 166 AVCC)
+    )
+    (pad T20 smd circle (at 6.4 3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad T21 smd circle (at 7.2 3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad T22 smd circle (at 8 3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 49 /HTX2N)
+    )
+    (pad T23 smd circle (at 8.8 3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 50 /HTX2P)
+    )
+    (pad U1 smd circle (at -8.8 4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 19 /DQ19)
+    )
+    (pad U2 smd circle (at -8 4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 21 /DQ20)
+    )
+    (pad U3 smd circle (at -7.2 4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 115 /SCAS)
+    )
+    (pad U4 smd circle (at -6.4 4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 107 /SA2)
+    )
+    (pad U5 smd circle (at -5.6 4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad U19 smd circle (at 5.6 4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad U20 smd circle (at 6.4 4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad U21 smd circle (at 7.2 4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad U22 smd circle (at 8 4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 47 /HTX1N)
+    )
+    (pad U23 smd circle (at 8.8 4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 48 /HTX1P)
+    )
+    (pad V1 smd circle (at -8.8 4.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 116 /SCK)
+    )
+    (pad V2 smd circle (at -8 4.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 117 /SCK#)
+    )
+    (pad V3 smd circle (at -7.2 4.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 7 /CS#)
+    )
+    (pad V4 smd circle (at -6.4 4.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 111 /SA6)
+    )
+    (pad V5 smd circle (at -5.6 4.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad V19 smd circle (at 5.6 4.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad V20 smd circle (at 6.4 4.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 152 /VRA2)
+    )
+    (pad V21 smd circle (at 7.2 4.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad V22 smd circle (at 8 4.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 45 /HTX0N)
+    )
+    (pad V23 smd circle (at 8.8 4.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 46 /HTX0P)
+    )
+    (pad W1 smd circle (at -8.8 5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 119 /SDQM1)
+    )
+    (pad W2 smd circle (at -8 5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 14 /DQ14)
+    )
+    (pad W3 smd circle (at -7.2 5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 103 /SA11)
+    )
+    (pad W4 smd circle (at -6.4 5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 100 /SA0)
+    )
+    (pad W5 smd circle (at -5.6 5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad W6 smd circle (at -4.8 5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad W7 smd circle (at -4 5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad W8 smd circle (at -3.2 5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 197 N-00000143)
+    )
+    (pad W9 smd circle (at -2.4 5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad W10 smd circle (at -1.6 5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad W11 smd circle (at -0.8 5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad W12 smd circle (at 0 5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (pad W13 smd circle (at 0.8 5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (pad W14 smd circle (at 1.6 5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (pad W15 smd circle (at 2.4 5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (pad W16 smd circle (at 3.2 5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (pad W17 smd circle (at 4 5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad W18 smd circle (at 4.8 5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad W19 smd circle (at 5.6 5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad W20 smd circle (at 6.4 5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 151 /VRA1)
+    )
+    (pad W21 smd circle (at 7.2 5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 153 /VRP)
+    )
+    (pad W22 smd circle (at 8 5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 51 /HTXCN)
+    )
+    (pad W23 smd circle (at 8.8 5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 52 /HTXCP)
+    )
+    (pad Y1 smd circle (at -8.8 6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 39 /DQ9)
+    )
+    (pad Y2 smd circle (at -8 6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 11 /DQ11)
+    )
+    (pad Y3 smd circle (at -7.2 6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 105 /SA13)
+    )
+    (pad Y4 smd circle (at -6.4 6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 109 /SA4)
+    )
+    (pad Y5 smd circle (at -5.6 6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 167 DRAM_REF)
+    )
+    (pad Y6 smd circle (at -4.8 6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad Y7 smd circle (at -4 6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad Y8 smd circle (at -3.2 6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad Y9 smd circle (at -2.4 6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 57 /LCLK)
+    )
+    (pad Y10 smd circle (at -1.6 6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 71 /LD20)
+    )
+    (pad Y11 smd circle (at -0.8 6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 68 /LD18)
+    )
+    (pad Y12 smd circle (at 0 6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 66 /LD16)
+    )
+    (pad Y13 smd circle (at 0.8 6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 64 /LD14)
+    )
+    (pad Y14 smd circle (at 1.6 6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 62 /LD12)
+    )
+    (pad Y15 smd circle (at 2.4 6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 60 /LD10)
+    )
+    (pad Y16 smd circle (at 3.2 6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (pad Y17 smd circle (at 4 6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad Y18 smd circle (at 4.8 6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad Y19 smd circle (at 5.6 6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad Y20 smd circle (at 6.4 6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad Y21 smd circle (at 7.2 6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad Y22 smd circle (at 8 6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad Y23 smd circle (at 8.8 6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad AA1 smd circle (at -8.8 7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 12 /DQ12)
+    )
+    (pad AA2 smd circle (at -8 7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 125 /SDQS1#)
+    )
+    (pad AA3 smd circle (at -7.2 7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 113 /SA8)
+    )
+    (pad AA4 smd circle (at -6.4 7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad AA5 smd circle (at -5.6 7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 90 /ODT)
+    )
+    (pad AA6 smd circle (at -4.8 7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 131 /SRST)
+    )
+    (pad AA7 smd circle (at -4 7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 201 N-00000177)
+    )
+    (pad AA8 smd circle (at -3.2 7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 167 DRAM_REF)
+    )
+    (pad AA9 smd circle (at -2.4 7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 82 /LDE)
+    )
+    (pad AA10 smd circle (at -1.6 7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 72 /LD21)
+    )
+    (pad AA11 smd circle (at -0.8 7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 69 /LD19)
+    )
+    (pad AA12 smd circle (at 0 7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 67 /LD17)
+    )
+    (pad AA13 smd circle (at 0.8 7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 65 /LD15)
+    )
+    (pad AA14 smd circle (at 1.6 7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 63 /LD13)
+    )
+    (pad AA15 smd circle (at 2.4 7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 61 /LD11)
+    )
+    (pad AA16 smd circle (at 3.2 7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad AA17 smd circle (at 4 7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad AA18 smd circle (at 4.8 7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad AA19 smd circle (at 5.6 7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad AA20 smd circle (at 6.4 7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad AA21 smd circle (at 7.2 7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 150 /VMIC)
+    )
+    (pad AA22 smd circle (at 8 7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad AA23 smd circle (at 8.8 7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad AB1 smd circle (at -8.8 8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 124 /SDQS1)
+    )
+    (pad AB2 smd circle (at -8 8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 38 /DQ8)
+    )
+    (pad AB3 smd circle (at -7.2 8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 13 /DQ13)
+    )
+    (pad AB4 smd circle (at -6.4 8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 8 /DQ0)
+    )
+    (pad AB5 smd circle (at -5.6 8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 35 /DQ5)
+    )
+    (pad AB6 smd circle (at -4.8 8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 122 /SDQS0)
+    )
+    (pad AB7 smd circle (at -4 8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 36 /DQ6)
+    )
+    (pad AB8 smd circle (at -3.2 8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 31 /DQ3)
+    )
+    (pad AB9 smd circle (at -2.4 8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 83 /LHSYN)
+    )
+    (pad AB10 smd circle (at -1.6 8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 73 /LD22)
+    )
+    (pad AB11 smd circle (at -0.8 8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 80 /LD8)
+    )
+    (pad AB12 smd circle (at 0 8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 78 /LD6)
+    )
+    (pad AB13 smd circle (at 0.8 8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 76 /LD4)
+    )
+    (pad AB14 smd circle (at 1.6 8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 70 /LD2)
+    )
+    (pad AB15 smd circle (at 2.4 8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 58 /LD0)
+    )
+    (pad AB16 smd circle (at 3.2 8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 147 /VGA_B)
+    )
+    (pad AB17 smd circle (at 4 8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad AB18 smd circle (at 4.8 8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad AB19 smd circle (at 5.6 8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad AB20 smd circle (at 6.4 8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 84 /LIN_L)
+    )
+    (pad AB21 smd circle (at 7.2 8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 85 /LIN_R)
+    )
+    (pad AB22 smd circle (at 8 8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad AB23 smd circle (at 8.8 8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad AC1 smd circle (at -8.8 8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 15 /DQ15)
+    )
+    (pad AC2 smd circle (at -8 8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 10 /DQ10)
+    )
+    (pad AC3 smd circle (at -7.2 8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 37 /DQ7)
+    )
+    (pad AC4 smd circle (at -6.4 8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 20 /DQ2)
+    )
+    (pad AC5 smd circle (at -5.6 8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 123 /SDQS0#)
+    )
+    (pad AC6 smd circle (at -4.8 8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 118 /SDQM0)
+    )
+    (pad AC7 smd circle (at -4 8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 34 /DQ4)
+    )
+    (pad AC8 smd circle (at -3.2 8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 9 /DQ1)
+    )
+    (pad AC9 smd circle (at -2.4 8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 86 /LVSYN)
+    )
+    (pad AC10 smd circle (at -1.6 8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 74 /LD23)
+    )
+    (pad AC11 smd circle (at -0.8 8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 81 /LD9)
+    )
+    (pad AC12 smd circle (at 0 8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 79 /LD7)
+    )
+    (pad AC13 smd circle (at 0.8 8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 77 /LD5)
+    )
+    (pad AC14 smd circle (at 1.6 8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 75 /LD3)
+    )
+    (pad AC15 smd circle (at 2.4 8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 59 /LD1)
+    )
+    (pad AC16 smd circle (at 3.2 8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 148 /VGA_G)
+    )
+    (pad AC17 smd circle (at 4 8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 149 /VGA_R)
+    )
+    (pad AC18 smd circle (at 4.8 8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad AC19 smd circle (at 5.6 8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (pad AC20 smd circle (at 6.4 8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 87 /MICIN1)
+    )
+    (pad AC21 smd circle (at 7.2 8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 88 /MICIN2)
+    )
+    (pad AC22 smd circle (at 8 8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad AC23 smd circle (at 8.8 8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (model walter/smd_bga/tfbga180.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module FBGA96-new (layer TOP-L1) (tedit 51A48F2E) (tstamp 51725BF2)
+    (at 54 60 270)
+    (path /514FBC93)
+    (attr smd)
+    (fp_text reference U1 (at -4.925 -6.125 360) (layer F.SilkS)
+      (effects (font (size 0.508 0.508) (thickness 0.1016)))
+    )
+    (fp_text value 4GBDDR3 (at -0.575 3.85 360) (layer F.SilkS) hide
+      (effects (font (size 0.508 0.508) (thickness 0.1016)))
+    )
+    (fp_line (start -3.5 -7) (end -4 -6.5) (layer F.SilkS) (width 0.15))
+    (fp_line (start -4 -7) (end 4 -7) (layer F.SilkS) (width 0.15))
+    (fp_line (start 4 -7) (end 4 7) (layer F.SilkS) (width 0.15))
+    (fp_line (start 4 7) (end -4 7) (layer F.SilkS) (width 0.15))
+    (fp_line (start -4 7) (end -4 -7) (layer F.SilkS) (width 0.15))
+    (pad A1 smd circle (at -3.2 -6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad A2 smd circle (at -2.4 -6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 26 /DQ25)
+    )
+    (pad A3 smd circle (at -1.6 -6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 28 /DQ27)
+    )
+    (pad A7 smd circle (at 1.6 -6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 33 /DQ31)
+    )
+    (pad A8 smd circle (at 2.4 -6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad A9 smd circle (at 3.2 -6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad B1 smd circle (at -3.2 -5.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad B2 smd circle (at -2.4 -5.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad B3 smd circle (at -1.6 -5.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad B7 smd circle (at 1.6 -5.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 129 /SDQS3#)
+    )
+    (pad B8 smd circle (at 2.4 -5.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 25 /DQ24)
+    )
+    (pad B9 smd circle (at 3.2 -5.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad C1 smd circle (at -3.2 -4.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad C2 smd circle (at -2.4 -4.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 32 /DQ30)
+    )
+    (pad C3 smd circle (at -1.6 -4.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 29 /DQ28)
+    )
+    (pad C7 smd circle (at 1.6 -4.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 128 /SDQS3)
+    )
+    (pad C8 smd circle (at 2.4 -4.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 27 /DQ26)
+    )
+    (pad C9 smd circle (at 3.2 -4.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad D1 smd circle (at -3.2 -3.6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad D2 smd circle (at -2.4 -3.6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad D3 smd circle (at -1.6 -3.6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 121 /SDQM3)
+    )
+    (pad D7 smd circle (at 1.6 -3.6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 30 /DQ29)
+    )
+    (pad D8 smd circle (at 2.4 -3.6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad D9 smd circle (at 3.2 -3.6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad E1 smd circle (at -3.2 -2.8 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad E2 smd circle (at -2.4 -2.8 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad E3 smd circle (at -1.6 -2.8 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 22 /DQ21)
+    )
+    (pad E7 smd circle (at 1.6 -2.8 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 120 /SDQM2)
+    )
+    (pad E8 smd circle (at 2.4 -2.8 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad E9 smd circle (at 3.2 -2.8 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad F1 smd circle (at -3.2 -2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad F2 smd circle (at -2.4 -2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 16 /DQ16)
+    )
+    (pad F3 smd circle (at -1.6 -2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 126 /SDQS2)
+    )
+    (pad F7 smd circle (at 1.6 -2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 17 /DQ17)
+    )
+    (pad F8 smd circle (at 2.4 -2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 23 /DQ22)
+    )
+    (pad F9 smd circle (at 3.2 -2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad G1 smd circle (at -3.2 -1.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad G2 smd circle (at -2.4 -1.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 24 /DQ23)
+    )
+    (pad G3 smd circle (at -1.6 -1.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 127 /SDQS2#)
+    )
+    (pad G7 smd circle (at 1.6 -1.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad G8 smd circle (at 2.4 -1.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad G9 smd circle (at 3.2 -1.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad H1 smd circle (at -3.2 -0.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 167 DRAM_REF)
+    )
+    (pad H2 smd circle (at -2.4 -0.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad H3 smd circle (at -1.6 -0.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 18 /DQ18)
+    )
+    (pad H7 smd circle (at 1.6 -0.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 19 /DQ19)
+    )
+    (pad H8 smd circle (at 2.4 -0.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 21 /DQ20)
+    )
+    (pad H9 smd circle (at 3.2 -0.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad J1 smd circle (at -3.2 0.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad J2 smd circle (at -2.4 0.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad J3 smd circle (at -1.6 0.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 130 /SRAS)
+    )
+    (pad J7 smd circle (at 1.6 0.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 4 /CK)
+    )
+    (pad J8 smd circle (at 2.4 0.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad J9 smd circle (at 3.2 0.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad K1 smd circle (at -3.2 1.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 90 /ODT)
+    )
+    (pad K2 smd circle (at -2.4 1.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad K3 smd circle (at -1.6 1.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 115 /SCAS)
+    )
+    (pad K7 smd circle (at 1.6 1.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 5 /CK#)
+    )
+    (pad K8 smd circle (at 2.4 1.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad K9 smd circle (at 3.2 1.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 6 /CKE)
+    )
+    (pad L1 smd circle (at -3.2 2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad L2 smd circle (at -2.4 2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 7 /CS#)
+    )
+    (pad L3 smd circle (at -1.6 2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 132 /SWE)
+    )
+    (pad L7 smd circle (at 1.6 2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 102 /SA10)
+    )
+    (pad L8 smd circle (at 2.4 2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 230 N-00000299)
+    )
+    (pad L9 smd circle (at 3.2 2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad M1 smd circle (at -3.2 2.8 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad M2 smd circle (at -2.4 2.8 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 1 /BA0)
+    )
+    (pad M3 smd circle (at -1.6 2.8 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 3 /BA2)
+    )
+    (pad M7 smd circle (at 1.6 2.8 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad M8 smd circle (at 2.4 2.8 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 167 DRAM_REF)
+    )
+    (pad M9 smd circle (at 3.2 2.8 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad N1 smd circle (at -3.2 3.6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad N2 smd circle (at -2.4 3.6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 108 /SA3)
+    )
+    (pad N3 smd circle (at -1.6 3.6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 100 /SA0)
+    )
+    (pad N7 smd circle (at 1.6 3.6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 104 /SA12)
+    )
+    (pad N8 smd circle (at 2.4 3.6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 2 /BA1)
+    )
+    (pad N9 smd circle (at 3.2 3.6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad P1 smd circle (at -3.2 4.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad P2 smd circle (at -2.4 4.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 110 /SA5)
+    )
+    (pad P3 smd circle (at -1.6 4.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 107 /SA2)
+    )
+    (pad P7 smd circle (at 1.6 4.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 101 /SA1)
+    )
+    (pad P8 smd circle (at 2.4 4.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 109 /SA4)
+    )
+    (pad P9 smd circle (at 3.2 4.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad R1 smd circle (at -3.2 5.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad R2 smd circle (at -2.4 5.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 112 /SA7)
+    )
+    (pad R3 smd circle (at -1.6 5.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 114 /SA9)
+    )
+    (pad R7 smd circle (at 1.6 5.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 103 /SA11)
+    )
+    (pad R8 smd circle (at 2.4 5.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 111 /SA6)
+    )
+    (pad R9 smd circle (at 3.2 5.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad T1 smd circle (at -3.2 6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad T2 smd circle (at -2.4 6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 131 /SRST)
+    )
+    (pad T3 smd circle (at -1.6 6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 105 /SA13)
+    )
+    (pad T7 smd circle (at 1.6 6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 106 /SA14)
+    )
+    (pad T8 smd circle (at 2.4 6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 113 /SA8)
+    )
+    (pad T9 smd circle (at 3.2 6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+  )
+
+  (module FBGA96-new (layer TOP-L1) (tedit 51A49183) (tstamp 51725D99)
+    (at 56 80 270)
+    (path /514D42E8)
+    (attr smd)
+    (fp_text reference U2 (at -3.6 -7.6 360) (layer F.SilkS)
+      (effects (font (size 0.508 0.508) (thickness 0.1016)))
+    )
+    (fp_text value 4GBDDR3 (at 4.55 -7.625 360) (layer F.SilkS) hide
+      (effects (font (size 0.508 0.508) (thickness 0.1016)))
+    )
+    (fp_line (start -3.5 -7) (end -4 -6.5) (layer F.SilkS) (width 0.15))
+    (fp_line (start -4 -7) (end 4 -7) (layer F.SilkS) (width 0.15))
+    (fp_line (start 4 -7) (end 4 7) (layer F.SilkS) (width 0.15))
+    (fp_line (start 4 7) (end -4 7) (layer F.SilkS) (width 0.15))
+    (fp_line (start -4 7) (end -4 -7) (layer F.SilkS) (width 0.15))
+    (pad A1 smd circle (at -3.2 -6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad A2 smd circle (at -2.4 -6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 11 /DQ11)
+    )
+    (pad A3 smd circle (at -1.6 -6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 12 /DQ12)
+    )
+    (pad A7 smd circle (at 1.6 -6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 38 /DQ8)
+    )
+    (pad A8 smd circle (at 2.4 -6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad A9 smd circle (at 3.2 -6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad B1 smd circle (at -3.2 -5.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad B2 smd circle (at -2.4 -5.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad B3 smd circle (at -1.6 -5.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad B7 smd circle (at 1.6 -5.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 125 /SDQS1#)
+    )
+    (pad B8 smd circle (at 2.4 -5.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 10 /DQ10)
+    )
+    (pad B9 smd circle (at 3.2 -5.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad C1 smd circle (at -3.2 -4.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad C2 smd circle (at -2.4 -4.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 14 /DQ14)
+    )
+    (pad C3 smd circle (at -1.6 -4.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 39 /DQ9)
+    )
+    (pad C7 smd circle (at 1.6 -4.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 124 /SDQS1)
+    )
+    (pad C8 smd circle (at 2.4 -4.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 13 /DQ13)
+    )
+    (pad C9 smd circle (at 3.2 -4.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad D1 smd circle (at -3.2 -3.6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad D2 smd circle (at -2.4 -3.6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad D3 smd circle (at -1.6 -3.6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 119 /SDQM1)
+    )
+    (pad D7 smd circle (at 1.6 -3.6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 15 /DQ15)
+    )
+    (pad D8 smd circle (at 2.4 -3.6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad D9 smd circle (at 3.2 -3.6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad E1 smd circle (at -3.2 -2.8 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad E2 smd circle (at -2.4 -2.8 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad E3 smd circle (at -1.6 -2.8 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 8 /DQ0)
+    )
+    (pad E7 smd circle (at 1.6 -2.8 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 118 /SDQM0)
+    )
+    (pad E8 smd circle (at 2.4 -2.8 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad E9 smd circle (at 3.2 -2.8 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad F1 smd circle (at -3.2 -2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad F2 smd circle (at -2.4 -2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 20 /DQ2)
+    )
+    (pad F3 smd circle (at -1.6 -2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 122 /SDQS0)
+    )
+    (pad F7 smd circle (at 1.6 -2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 9 /DQ1)
+    )
+    (pad F8 smd circle (at 2.4 -2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 31 /DQ3)
+    )
+    (pad F9 smd circle (at 3.2 -2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad G1 smd circle (at -3.2 -1.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad G2 smd circle (at -2.4 -1.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 37 /DQ7)
+    )
+    (pad G3 smd circle (at -1.6 -1.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 123 /SDQS0#)
+    )
+    (pad G7 smd circle (at 1.6 -1.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad G8 smd circle (at 2.4 -1.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad G9 smd circle (at 3.2 -1.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad H1 smd circle (at -3.2 -0.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 167 DRAM_REF)
+    )
+    (pad H2 smd circle (at -2.4 -0.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad H3 smd circle (at -1.6 -0.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 36 /DQ6)
+    )
+    (pad H7 smd circle (at 1.6 -0.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 34 /DQ4)
+    )
+    (pad H8 smd circle (at 2.4 -0.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 35 /DQ5)
+    )
+    (pad H9 smd circle (at 3.2 -0.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad J1 smd circle (at -3.2 0.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad J2 smd circle (at -2.4 0.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad J3 smd circle (at -1.6 0.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 130 /SRAS)
+    )
+    (pad J7 smd circle (at 1.6 0.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 4 /CK)
+    )
+    (pad J8 smd circle (at 2.4 0.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad J9 smd circle (at 3.2 0.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad K1 smd circle (at -3.2 1.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 90 /ODT)
+    )
+    (pad K2 smd circle (at -2.4 1.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad K3 smd circle (at -1.6 1.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 115 /SCAS)
+    )
+    (pad K7 smd circle (at 1.6 1.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 5 /CK#)
+    )
+    (pad K8 smd circle (at 2.4 1.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad K9 smd circle (at 3.2 1.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 6 /CKE)
+    )
+    (pad L1 smd circle (at -3.2 2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad L2 smd circle (at -2.4 2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 7 /CS#)
+    )
+    (pad L3 smd circle (at -1.6 2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 132 /SWE)
+    )
+    (pad L7 smd circle (at 1.6 2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 102 /SA10)
+    )
+    (pad L8 smd circle (at 2.4 2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 221 N-00000268)
+    )
+    (pad L9 smd circle (at 3.2 2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad M1 smd circle (at -3.2 2.8 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad M2 smd circle (at -2.4 2.8 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 1 /BA0)
+    )
+    (pad M3 smd circle (at -1.6 2.8 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 3 /BA2)
+    )
+    (pad M7 smd circle (at 1.6 2.8 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad M8 smd circle (at 2.4 2.8 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 167 DRAM_REF)
+    )
+    (pad M9 smd circle (at 3.2 2.8 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad N1 smd circle (at -3.2 3.6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad N2 smd circle (at -2.4 3.6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 108 /SA3)
+    )
+    (pad N3 smd circle (at -1.6 3.6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 100 /SA0)
+    )
+    (pad N7 smd circle (at 1.6 3.6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 104 /SA12)
+    )
+    (pad N8 smd circle (at 2.4 3.6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 2 /BA1)
+    )
+    (pad N9 smd circle (at 3.2 3.6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad P1 smd circle (at -3.2 4.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad P2 smd circle (at -2.4 4.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 110 /SA5)
+    )
+    (pad P3 smd circle (at -1.6 4.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 107 /SA2)
+    )
+    (pad P7 smd circle (at 1.6 4.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 101 /SA1)
+    )
+    (pad P8 smd circle (at 2.4 4.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 109 /SA4)
+    )
+    (pad P9 smd circle (at 3.2 4.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad R1 smd circle (at -3.2 5.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad R2 smd circle (at -2.4 5.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 112 /SA7)
+    )
+    (pad R3 smd circle (at -1.6 5.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 114 /SA9)
+    )
+    (pad R7 smd circle (at 1.6 5.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 103 /SA11)
+    )
+    (pad R8 smd circle (at 2.4 5.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 111 /SA6)
+    )
+    (pad R9 smd circle (at 3.2 5.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad T1 smd circle (at -3.2 6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad T2 smd circle (at -2.4 6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 131 /SRST)
+    )
+    (pad T3 smd circle (at -1.6 6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 105 /SA13)
+    )
+    (pad T7 smd circle (at 1.6 6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 106 /SA14)
+    )
+    (pad T8 smd circle (at 2.4 6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 113 /SA8)
+    )
+    (pad T9 smd circle (at 3.2 6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+  )
+
+  (module pin_array_4x2 (layer TOP-L1) (tedit 51A95231) (tstamp 51544E3E)
+    (at 75.05 31.25 180)
+    (descr "Double rangee de contacts 2 x 4 pins")
+    (tags CONN)
+    (path /51729C76)
+    (fp_text reference J6 (at 6.1 -1.375 180) (layer F.SilkS)
+      (effects (font (size 1.016 1.016) (thickness 0.2032)))
+    )
+    (fp_text value CONN_8 (at 0 3.81 180) (layer F.SilkS) hide
+      (effects (font (size 1.016 1.016) (thickness 0.2032)))
+    )
+    (fp_line (start -5.08 -2.54) (end 5.08 -2.54) (layer F.SilkS) (width 0.3048))
+    (fp_line (start 5.08 -2.54) (end 5.08 2.54) (layer F.SilkS) (width 0.3048))
+    (fp_line (start 5.08 2.54) (end -5.08 2.54) (layer F.SilkS) (width 0.3048))
+    (fp_line (start -5.08 2.54) (end -5.08 -2.54) (layer F.SilkS) (width 0.3048))
+    (pad 1 thru_hole rect (at -3.81 1.27 180) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 138 /U3TX)
+    )
+    (pad 2 thru_hole circle (at -3.81 -1.27 180) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 137 /U3RX)
+    )
+    (pad 3 thru_hole circle (at -1.27 1.27 180) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 140 /U4TX)
+    )
+    (pad 4 thru_hole circle (at -1.27 -1.27 180) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 139 /U4RX)
+    )
+    (pad 5 thru_hole circle (at 1.27 1.27 180) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 142 /U5TX)
+    )
+    (pad 6 thru_hole circle (at 1.27 -1.27 180) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 141 /U5RX)
+    )
+    (pad 7 thru_hole circle (at 3.81 1.27 180) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 165 5V_IN)
+    )
+    (pad 8 thru_hole circle (at 3.81 -1.27 180) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 187 GND)
+    )
+    (model pin_array/pins_array_4x2.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51A834B5) (tstamp 51544D47)
+    (at 60.825 54.075 270)
+    (path /51543761)
+    (attr smd)
+    (fp_text reference C1 (at -0.505 0.675 270) (layer F.SilkS)
+      (effects (font (size 0.35052 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 18pF (at -0.285 1.375 270) (layer F.SilkS) hide
+      (effects (font (size 0.35052 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 234 N-00000363)
+    )
+    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module pin2mm-30 (layer TOP-L1) (tedit 51A9520B) (tstamp 51544E71)
+    (at 70.575 88.2 180)
+    (path /5150C360)
+    (fp_text reference P1 (at -9.325 1.875 180) (layer F.SilkS)
+      (effects (font (size 1 1) (thickness 0.15)))
+    )
+    (fp_text value "CONN30 - LCD" (at 0.7 1.775 180) (layer F.SilkS) hide
+      (effects (font (size 1 1) (thickness 0.15)))
+    )
+    (fp_line (start -13 -3) (end 17 -3) (layer F.SilkS) (width 0.15))
+    (fp_line (start 17 -3) (end 17 1) (layer F.SilkS) (width 0.15))
+    (fp_line (start 17 1) (end -13 1) (layer F.SilkS) (width 0.15))
+    (fp_line (start -13 1) (end -13 -3) (layer F.SilkS) (width 0.15))
+    (pad 1 thru_hole circle (at -12 -2 180) (size 1 1) (drill 0.8)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 58 /LD0)
+    )
+    (pad 2 thru_hole circle (at -12 0 180) (size 1 1) (drill 0.8)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 59 /LD1)
+    )
+    (pad 3 thru_hole circle (at -10 -2 180) (size 1 1) (drill 0.8)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 70 /LD2)
+    )
+    (pad 4 thru_hole circle (at -10 0 180) (size 1 1) (drill 0.8)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 75 /LD3)
+    )
+    (pad 5 thru_hole circle (at -8 -2 180) (size 1 1) (drill 0.8)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 76 /LD4)
+    )
+    (pad 6 thru_hole circle (at -8 0 180) (size 1 1) (drill 0.8)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 77 /LD5)
+    )
+    (pad 7 thru_hole circle (at -6 -2 180) (size 1 1) (drill 0.8)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 78 /LD6)
+    )
+    (pad 8 thru_hole circle (at -6 0 180) (size 1 1) (drill 0.8)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 79 /LD7)
+    )
+    (pad 9 thru_hole circle (at -4 -2 180) (size 1 1) (drill 0.8)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 80 /LD8)
+    )
+    (pad 10 thru_hole circle (at -4 0 180) (size 1 1) (drill 0.8)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 81 /LD9)
+    )
+    (pad 11 thru_hole circle (at -2 -2 180) (size 1 1) (drill 0.8)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 60 /LD10)
+    )
+    (pad 12 thru_hole circle (at -2 0 180) (size 1 1) (drill 0.8)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 61 /LD11)
+    )
+    (pad 13 thru_hole circle (at 0 -2 180) (size 1 1) (drill 0.8)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 62 /LD12)
+    )
+    (pad 14 thru_hole circle (at 0 0 180) (size 1 1) (drill 0.8)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 63 /LD13)
+    )
+    (pad 15 thru_hole circle (at 2 -2 180) (size 1 1) (drill 0.8)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 64 /LD14)
+    )
+    (pad 16 thru_hole circle (at 2 0 180) (size 1 1) (drill 0.8)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 65 /LD15)
+    )
+    (pad 17 thru_hole circle (at 4 -2 180) (size 1 1) (drill 0.8)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 66 /LD16)
+    )
+    (pad 18 thru_hole circle (at 4 0 180) (size 1 1) (drill 0.8)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 67 /LD17)
+    )
+    (pad 19 thru_hole circle (at 6 -2 180) (size 1 1) (drill 0.8)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 68 /LD18)
+    )
+    (pad 20 thru_hole circle (at 6 0 180) (size 1 1) (drill 0.8)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 69 /LD19)
+    )
+    (pad 21 thru_hole circle (at 8 -2 180) (size 1 1) (drill 0.8)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 71 /LD20)
+    )
+    (pad 22 thru_hole circle (at 8 0 180) (size 1 1) (drill 0.8)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 72 /LD21)
+    )
+    (pad 23 thru_hole circle (at 10 -2 180) (size 1 1) (drill 0.8)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 73 /LD22)
+    )
+    (pad 24 thru_hole circle (at 10 0 180) (size 1 1) (drill 0.8)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 74 /LD23)
+    )
+    (pad 25 thru_hole circle (at 12 -2 180) (size 1 1) (drill 0.8)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 57 /LCLK)
+    )
+    (pad 26 thru_hole circle (at 12 0 180) (size 1 1) (drill 0.8)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 82 /LDE)
+    )
+    (pad 27 thru_hole circle (at 14 -2 180) (size 1 1) (drill 0.8)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 83 /LHSYN)
+    )
+    (pad 28 thru_hole circle (at 14 0 180) (size 1 1) (drill 0.8)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 86 /LVSYN)
+    )
+    (pad 29 thru_hole circle (at 16 -2 180) (size 1 1) (drill 0.8)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 165 5V_IN)
+    )
+    (pad 30 thru_hole circle (at 16 0 180) (size 1 1) (drill 0.8)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 187 GND)
+    )
+  )
+
+  (module conn_usb_A-vert (layer TOP-L1) (tedit 51AD76C3) (tstamp 51887596)
+    (at 110.775 40.575 90)
+    (descr "USB A-type vertical receptacle, Tyco P/N 440260-2")
+    (path /5151A43E)
+    (fp_text reference J4 (at 0.025 -11.1 90) (layer F.SilkS)
+      (effects (font (size 1.524 1.524) (thickness 0.3048)))
+    )
+    (fp_text value USB1 (at 0.0612 3.8822 90) (layer F.SilkS)
+      (effects (font (size 1.524 1.524) (thickness 0.3048)))
+    )
+    (fp_line (start 3.937 9.652) (end -3.937 9.652) (layer F.SilkS) (width 0.381))
+    (fp_line (start -3.937 9.398) (end -3.937 9.906) (layer F.SilkS) (width 0.381))
+    (fp_line (start -3.937 9.906) (end 3.937 9.906) (layer F.SilkS) (width 0.381))
+    (fp_line (start 3.937 9.906) (end 3.937 9.398) (layer F.SilkS) (width 0.381))
+    (fp_line (start 3.937 9.398) (end -3.937 9.398) (layer F.SilkS) (width 0.381))
+    (fp_line (start 2.921 -9.652) (end -2.921 -9.652) (layer F.SilkS) (width 0.381))
+    (fp_line (start -2.921 -9.652) (end -2.921 9.652) (layer F.SilkS) (width 0.381))
+    (fp_line (start 2.921 9.652) (end 2.921 -9.652) (layer F.SilkS) (width 0.381))
+    (pad 6 thru_hole circle (at -2.72034 -9.29894 90) (size 2.19964 2.19964) (drill 1.30048)
+      (layers *.Cu)
+      (net 187 GND)
+    )
+    (pad 5 thru_hole circle (at 2.72034 -9.29894 90) (size 2.19964 2.19964) (drill 1.30048)
+      (layers *.Cu)
+      (net 187 GND)
+    )
+    (pad 1 thru_hole circle (at 0 -3.03022 90) (size 1.50114 1.50114) (drill 0.899159)
+      (layers *.Cu)
+      (net 165 5V_IN)
+    )
+    (pad 2 thru_hole circle (at 0 -5.0292 90) (size 1.50114 1.50114) (drill 0.899159)
+      (layers *.Cu)
+      (net 198 N-0000015)
+    )
+    (pad 3 thru_hole circle (at 0 -7.03072 90) (size 1.50114 1.50114) (drill 0.899159)
+      (layers *.Cu)
+      (net 199 N-0000016)
+    )
+    (pad 4 thru_hole circle (at 0 -9.0297 90) (size 1.50114 1.50114) (drill 0.899159)
+      (layers *.Cu)
+      (net 187 GND)
+    )
+    (pad "" thru_hole circle (at 2.72034 -2.30124 90) (size 2.19964 2.19964) (drill 1.30048)
+      (layers *.Cu)
+    )
+    (pad "" thru_hole circle (at -2.72034 -2.30124 90) (size 2.19964 2.19964) (drill 1.30048)
+      (layers *.Cu)
+    )
+    (model walter/conn_pc/usb_A_vert.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51920FF9) (tstamp 515446F9)
+    (at 84.625 76.975 90)
+    (path /5151D4E3)
+    (attr smd)
+    (fp_text reference C25 (at -1.375 0.275 90) (layer F.SilkS)
+      (effects (font (size 0.35052 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value .22 (at -1.35 -0.25 90) (layer F.SilkS) hide
+      (effects (font (size 0.35052 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 165 5V_IN)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module pin_array_4x2 (layer TOP-L1) (tedit 51A9D6A1) (tstamp 51A5B3F4)
+    (at 83.55 28.3 270)
+    (descr "Double rangee de contacts 2 x 4 pins")
+    (tags CONN)
+    (path /5189E5B2)
+    (fp_text reference P14 (at -6.325 -0.375 360) (layer F.SilkS)
+      (effects (font (size 1.016 1.016) (thickness 0.2032)))
+    )
+    (fp_text value CONN_8 (at -0.01 -3.425 270) (layer F.SilkS) hide
+      (effects (font (size 1.016 1.016) (thickness 0.2032)))
+    )
+    (fp_line (start -5.08 -2.54) (end 5.08 -2.54) (layer F.SilkS) (width 0.3048))
+    (fp_line (start 5.08 -2.54) (end 5.08 2.54) (layer F.SilkS) (width 0.3048))
+    (fp_line (start 5.08 2.54) (end -5.08 2.54) (layer F.SilkS) (width 0.3048))
+    (fp_line (start -5.08 2.54) (end -5.08 -2.54) (layer F.SilkS) (width 0.3048))
+    (pad 1 thru_hole rect (at -3.81 1.27 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 91 /PE0)
+    )
+    (pad 2 thru_hole circle (at -3.81 -1.27 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 92 /PE1)
+    )
+    (pad 3 thru_hole circle (at -1.27 1.27 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 93 /PE2)
+    )
+    (pad 4 thru_hole circle (at -1.27 -1.27 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 94 /PE3)
+    )
+    (pad 5 thru_hole circle (at 1.27 1.27 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 95 /PE4)
+    )
+    (pad 6 thru_hole circle (at 1.27 -1.27 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 96 /PE5)
+    )
+    (pad 7 thru_hole circle (at 3.81 1.27 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 97 /PE6)
+    )
+    (pad 8 thru_hole circle (at 3.81 -1.27 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 98 /PE7)
+    )
+    (model pin_array/pins_array_4x2.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51A9D714) (tstamp 5189B238)
+    (at 92.3 62.18)
+    (path /5189E9C5)
+    (attr smd)
+    (fp_text reference R50 (at 0.05 0.745) (layer F.SilkS)
+      (effects (font (size 0.35052 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 4k7 (at 0.2 1.25) (layer F.SilkS) hide
+      (effects (font (size 0.35052 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 99 /RESET#)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 216 N-00000230)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module LED-3MM (layer TOP-L1) (tedit 51A9DB54) (tstamp 5189B251)
+    (at 92.33 59.16 90)
+    (descr "LED 3mm - Lead pitch 100mil (2,54mm)")
+    (tags "LED led 3mm 3MM 100mil 2,54mm")
+    (path /5189E9D2)
+    (fp_text reference D1 (at 0.46 2.695 90) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.0889)))
+    )
+    (fp_text value LED (at -2.215 2.145 90) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.0889)))
+    )
+    (fp_line (start 1.8288 1.27) (end 1.8288 -1.27) (layer F.SilkS) (width 0.254))
+    (fp_arc (start 0.254 0) (end -1.27 0) (angle 39.8) (layer F.SilkS) (width 0.1524))
+    (fp_arc (start 0.254 0) (end -0.88392 1.01092) (angle 41.6) (layer F.SilkS) (width 0.1524))
+    (fp_arc (start 0.254 0) (end 1.4097 -0.9906) (angle 40.6) (layer F.SilkS) (width 0.1524))
+    (fp_arc (start 0.254 0) (end 1.778 0) (angle 39.8) (layer F.SilkS) (width 0.1524))
+    (fp_arc (start 0.254 0) (end 0.254 -1.524) (angle 54.4) (layer F.SilkS) (width 0.1524))
+    (fp_arc (start 0.254 0) (end -0.9652 -0.9144) (angle 53.1) (layer F.SilkS) (width 0.1524))
+    (fp_arc (start 0.254 0) (end 1.45542 0.93472) (angle 52.1) (layer F.SilkS) (width 0.1524))
+    (fp_arc (start 0.254 0) (end 0.254 1.524) (angle 52.1) (layer F.SilkS) (width 0.1524))
+    (fp_arc (start 0.254 0) (end -0.381 0) (angle 90) (layer F.SilkS) (width 0.1524))
+    (fp_arc (start 0.254 0) (end -0.762 0) (angle 90) (layer F.SilkS) (width 0.1524))
+    (fp_arc (start 0.254 0) (end 0.889 0) (angle 90) (layer F.SilkS) (width 0.1524))
+    (fp_arc (start 0.254 0) (end 1.27 0) (angle 90) (layer F.SilkS) (width 0.1524))
+    (fp_arc (start 0.254 0) (end 0.254 -2.032) (angle 50.1) (layer F.SilkS) (width 0.254))
+    (fp_arc (start 0.254 0) (end -1.5367 -0.95504) (angle 61.9) (layer F.SilkS) (width 0.254))
+    (fp_arc (start 0.254 0) (end 1.8034 1.31064) (angle 49.7) (layer F.SilkS) (width 0.254))
+    (fp_arc (start 0.254 0) (end 0.254 2.032) (angle 60.2) (layer F.SilkS) (width 0.254))
+    (fp_arc (start 0.254 0) (end -1.778 0) (angle 28.3) (layer F.SilkS) (width 0.254))
+    (fp_arc (start 0.254 0) (end -1.47574 1.06426) (angle 31.6) (layer F.SilkS) (width 0.254))
+    (pad 1 thru_hole circle (at -1.27 0 90) (size 1.6764 1.6764) (drill 0.812799)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 216 N-00000230)
+    )
+    (pad 2 thru_hole circle (at 1.27 0 90) (size 1.6764 1.6764) (drill 0.812799)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 187 GND)
+    )
+    (model discret/leds/led3_vertical_verde.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SIL-2 (layer TOP-L1) (tedit 51A831F9) (tstamp 51920386)
+    (at 88.775 59.625 90)
+    (descr "Connecteurs 2 pins")
+    (tags "CONN DEV")
+    (path /5152F670)
+    (fp_text reference SW1 (at -2.025 -1.575 90) (layer F.SilkS)
+      (effects (font (size 0.3 0.3) (thickness 0.075)))
+    )
+    (fp_text value SW_PUSH (at 0.075 -0.775 90) (layer F.SilkS) hide
+      (effects (font (size 0.127 0.127) (thickness 0.000001)))
+    )
+    (fp_line (start -2.54 1.27) (end -2.54 -1.27) (layer F.SilkS) (width 0.3048))
+    (fp_line (start -2.54 -1.27) (end 2.54 -1.27) (layer F.SilkS) (width 0.3048))
+    (fp_line (start 2.54 -1.27) (end 2.54 1.27) (layer F.SilkS) (width 0.3048))
+    (fp_line (start 2.54 1.27) (end -2.54 1.27) (layer F.SilkS) (width 0.3048))
+    (pad 1 thru_hole rect (at -1.27 0 90) (size 1.397 1.397) (drill 0.812799)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 187 GND)
+    )
+    (pad 2 thru_hole circle (at 1.27 0 90) (size 1.397 1.397) (drill 0.812799)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 211 N-00000200)
+    )
+  )
+
+  (module HDMI (layer TOP-L1) (tedit 51A9D732) (tstamp 51A169D8)
+    (at 110.175 66.7 90)
+    (descr "Cms SOJ 20 pins large")
+    (tags "CMS SOJ")
+    (path /514F1A03)
+    (attr smd)
+    (fp_text reference J7 (at 5.95 4.75 90) (layer F.SilkS)
+      (effects (font (size 1.524 1.524) (thickness 0.127)))
+    )
+    (fp_text value "HDMI Connector" (at 0 4.9 90) (layer F.SilkS) hide
+      (effects (font (size 1 1) (thickness 0.1)))
+    )
+    (fp_line (start -3.95 5.65) (end -3.95 -1.9) (layer F.SilkS) (width 0.2))
+    (fp_line (start -3.95 -1.75) (end 4.25 -1.75) (layer F.SilkS) (width 0.2))
+    (fp_line (start 4.25 -1.9) (end 4.25 5.65) (layer F.SilkS) (width 0.2))
+    (fp_line (start 4.25 5.65) (end -3.95 5.65) (layer F.SilkS) (width 0.2))
+    (pad 9 smd rect (at 0.2 -0.68 90) (size 0.23 0.85)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 239 N-0000041)
+    )
+    (pad 7 smd rect (at 0.6 -0.675 90) (size 0.23 0.85)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 238 N-0000040)
+    )
+    (pad 5 smd rect (at 1 -0.675 90) (size 0.23 0.85)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 3 smd rect (at 1.4 -0.675 90) (size 0.23 0.85)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 235 N-0000037)
+    )
+    (pad 1 smd rect (at 1.8 -0.675 90) (size 0.23 0.85)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 233 N-0000036)
+    )
+    (pad 11 smd rect (at -0.2 -0.675 90) (size 0.23 0.85)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 15 smd rect (at -1 -0.675 90) (size 0.23 0.85)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 219 N-00000266)
+    )
+    (pad 13 smd rect (at -0.6 -0.675 90) (size 0.23 0.85)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 218 N-00000265)
+    )
+    (pad 17 smd rect (at -1.4 -0.675 90) (size 0.23 0.85)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 19 smd rect (at -1.8 -0.675 90) (size 0.23 0.85)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 203 N-00000192)
+    )
+    (pad 2 smd rect (at 1.595 0.675 90) (size 0.23 0.85)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 4 smd rect (at 1.195 0.675 90) (size 0.23 0.85)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 236 N-0000038)
+    )
+    (pad 6 smd rect (at 0.795 0.675 90) (size 0.23 0.85)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 237 N-0000039)
+    )
+    (pad 8 smd rect (at 0.395 0.675 90) (size 0.23 0.85)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 10 smd rect (at -0.005 0.675 90) (size 0.23 0.85)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 245 N-0000042)
+    )
+    (pad 12 smd rect (at -0.405 0.675 90) (size 0.23 0.85)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 202 N-00000187)
+    )
+    (pad 14 smd rect (at -0.805 0.675 90) (size 0.23 0.85)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 16 smd rect (at -1.205 0.675 90) (size 0.23 0.85)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 220 N-00000267)
+    )
+    (pad 18 smd rect (at -1.605 0.675 90) (size 0.23 0.85)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 165 5V_IN)
+    )
+    (pad sh1 thru_hole oval (at -3.1 0.75 90) (size 1.5 2.55) (drill oval 0.65 1.699999)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 187 GND)
+    )
+    (pad sh2 thru_hole oval (at 3.1 0.75 90) (size 1.5 2.55) (drill oval 0.65 1.699999)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 187 GND)
+    )
+    (pad sh3 thru_hole oval (at -3.05 4.1 90) (size 1.5 2.55) (drill oval 0.65 1.699999)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 187 GND)
+    )
+    (pad sh4 thru_hole oval (at 3.1 4.1 90) (size 1.5 2.55) (drill oval 0.65 1.699999)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 187 GND)
+    )
+    (model smd/cms_so20.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.5 0.6 0.5))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A6FE2E) (tstamp 51A16A0A)
+    (at 103.7 72.29 90)
+    (path /514C634B)
+    (attr smd)
+    (fp_text reference R27 (at 0.025 -0.775 90) (layer B.SilkS)
+      (effects (font (size 0.35052 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value 2k2 (at 0 -1.25 90) (layer B.SilkS) hide
+      (effects (font (size 0.35052 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 165 5V_IN)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 44 /HSDA)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A6FE2C) (tstamp 51A16A16)
+    (at 102.76 72.28 90)
+    (path /514F4E43)
+    (attr smd)
+    (fp_text reference R26 (at 0.075 -0.675 90) (layer B.SilkS)
+      (effects (font (size 0.35052 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value 2k2 (at 0.05 -1.15 90) (layer B.SilkS) hide
+      (effects (font (size 0.35052 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 165 5V_IN)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 43 /HSCL)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module Crystal_SMD_7x5mm (layer TOP-L1) (tedit 51A5B2DC) (tstamp 51A5B3D2)
+    (at 93.91 66.5)
+    (descr "Crystal, Quarz, SMD, 0603, 4 Pads,")
+    (tags "Crystal, Quarz, SMD, 0603, 4 Pads,")
+    (path /51523481)
+    (attr smd)
+    (fp_text reference X2 (at -0.057 3.5786) (layer F.SilkS)
+      (effects (font (size 1.524 1.524) (thickness 0.3048)))
+    )
+    (fp_text value 24MHz (at -0.21 5.125) (layer F.SilkS) hide
+      (effects (font (size 1 1) (thickness 0.2)))
+    )
+    (fp_line (start -4.6 -2.45) (end 4.65 -2.45) (layer F.SilkS) (width 0.15))
+    (fp_line (start 4.65 -2.45) (end 4.65 2.35) (layer F.SilkS) (width 0.15))
+    (fp_line (start 4.65 2.35) (end -4.6 2.35) (layer F.SilkS) (width 0.15))
+    (fp_line (start -4.6 2.35) (end -4.6 -2.45) (layer F.SilkS) (width 0.15))
+    (pad 1 smd rect (at -3.15 1.25) (size 2.2 1.4)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 232 N-00000303)
+    )
+    (pad 2 smd rect (at 3.15 1.25) (size 2.2 1.4)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 231 N-00000302)
+    )
+    (pad 2 smd rect (at 3.15 -1.25) (size 2.2 1.4)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 231 N-00000302)
+    )
+    (pad 1 smd rect (at -3.15 -1.25) (size 2.2 1.4)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 232 N-00000303)
+    )
+  )
+
+  (module crystal-32k-4.5x1.9mm (layer TOP-L1) (tedit 51A9D8F9) (tstamp 51A169A9)
+    (at 64.125 53.65 180)
+    (path /5154375B)
+    (attr smd)
+    (fp_text reference X1 (at 1.675 1.875 180) (layer F.SilkS)
+      (effects (font (size 0.508 0.4572) (thickness 0.1143)))
+    )
+    (fp_text value 32.768k (at -0.075 -1.325 180) (layer F.SilkS) hide
+      (effects (font (size 0.508 0.4572) (thickness 0.1143)))
+    )
+    (fp_line (start -2.65 -1.4) (end 2.7 -1.4) (layer F.SilkS) (width 0.15))
+    (fp_line (start 2.7 -1.4) (end 2.7 1.4) (layer F.SilkS) (width 0.15))
+    (fp_line (start 2.7 1.4) (end -2.65 1.4) (layer F.SilkS) (width 0.15))
+    (fp_line (start -2.65 1.4) (end -2.65 -1.4) (layer F.SilkS) (width 0.15))
+    (pad 1 smd rect (at -1.7 0 180) (size 1.1 1.9)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 215 N-00000205)
+    )
+    (pad 2 smd rect (at 1.7 0.05 180) (size 1.1 1.9)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 234 N-00000363)
+    )
+    (model smd\resistors\R0603.wrl
+      (at (xyz 0 0 0.001))
+      (scale (xyz 0.5 0.5 0.5))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0603_Capa (layer TOP-L1) (tedit 51A8367B) (tstamp 5154458E)
+    (at 65.97 36.74)
+    (path /5159654C/515AE1D6)
+    (attr smd)
+    (fp_text reference L5 (at 0.04 -0.95) (layer F.SilkS)
+      (effects (font (size 0.508 0.4572) (thickness 0.1143)))
+    )
+    (fp_text value "bead /SM0603" (at 1.95 -1.7) (layer F.SilkS) hide
+      (effects (font (size 0.508 0.4572) (thickness 0.1143)))
+    )
+    (fp_line (start 0.50038 0.65024) (end 1.19888 0.65024) (layer F.SilkS) (width 0.11938))
+    (fp_line (start -0.50038 0.65024) (end -1.19888 0.65024) (layer F.SilkS) (width 0.11938))
+    (fp_line (start 0.50038 -0.65024) (end 1.19888 -0.65024) (layer F.SilkS) (width 0.11938))
+    (fp_line (start -1.19888 -0.65024) (end -0.50038 -0.65024) (layer F.SilkS) (width 0.11938))
+    (fp_line (start 1.19888 -0.635) (end 1.19888 0.635) (layer F.SilkS) (width 0.11938))
+    (fp_line (start -1.19888 0.635) (end -1.19888 -0.635) (layer F.SilkS) (width 0.11938))
+    (pad 1 smd rect (at -0.762 0) (size 0.635 1.143)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 157 /ethernet/PFWBOUT)
+    )
+    (pad 2 smd rect (at 0.762 0) (size 0.635 1.143)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 244 N-00000414)
+    )
+    (model smd\capacitors\C0603.wrl
+      (at (xyz 0 0 0.001))
+      (scale (xyz 0.5 0.5 0.5))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0603_Capa (layer TOP-L1) (tedit 51A9D91B) (tstamp 5154459A)
+    (at 60.075 33.525 270)
+    (path /5159654C/515ADE75)
+    (attr smd)
+    (fp_text reference L1 (at -1.7 0.025 270) (layer F.SilkS)
+      (effects (font (size 0.508 0.4572) (thickness 0.1143)))
+    )
+    (fp_text value "Bead / SM0603" (at -0.5 -1.225 270) (layer F.SilkS) hide
+      (effects (font (size 0.508 0.4572) (thickness 0.1143)))
+    )
+    (fp_line (start 0.50038 0.65024) (end 1.19888 0.65024) (layer F.SilkS) (width 0.11938))
+    (fp_line (start -0.50038 0.65024) (end -1.19888 0.65024) (layer F.SilkS) (width 0.11938))
+    (fp_line (start 0.50038 -0.65024) (end 1.19888 -0.65024) (layer F.SilkS) (width 0.11938))
+    (fp_line (start -1.19888 -0.65024) (end -0.50038 -0.65024) (layer F.SilkS) (width 0.11938))
+    (fp_line (start 1.19888 -0.635) (end 1.19888 0.635) (layer F.SilkS) (width 0.11938))
+    (fp_line (start -1.19888 0.635) (end -1.19888 -0.635) (layer F.SilkS) (width 0.11938))
+    (pad 1 smd rect (at -0.762 0 270) (size 0.635 1.143)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (pad 2 smd rect (at 0.762 0 270) (size 0.635 1.143)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 154 /ethernet/ETHAVDD)
+    )
+    (model smd\capacitors\C0603.wrl
+      (at (xyz 0 0 0.001))
+      (scale (xyz 0.5 0.5 0.5))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module RJ45-HANRUN (layer TOP-L1) (tedit 51A59CD3) (tstamp 51A592F6)
+    (at 47.675 32.575)
+    (tags RJ45)
+    (path /5159654C/51A4CD0A)
+    (fp_text reference J8 (at -9.525 -10.025) (layer F.SilkS)
+      (effects (font (size 1.524 1.524) (thickness 0.3048)))
+    )
+    (fp_text value RJ45-HANRUN (at -1.675 -8.95) (layer F.SilkS)
+      (effects (font (size 1.00076 1.00076) (thickness 0.2032)))
+    )
+    (fp_line (start -7.787 -11.226) (end -7.787 0.712) (layer F.SilkS) (width 0.3048))
+    (fp_line (start -7.787 3.887) (end -7.787 13.793) (layer F.SilkS) (width 0.3048))
+    (fp_line (start 8.215 -11.226) (end 8.215 0.712) (layer F.SilkS) (width 0.3048))
+    (fp_line (start 8.215 3.887) (end 8.215 13.793) (layer F.SilkS) (width 0.3048))
+    (fp_line (start -7.787 13.793) (end -6.771 13.793) (layer F.SilkS) (width 0.3048))
+    (fp_line (start 1.611 13.793) (end -1.691 13.793) (layer F.SilkS) (width 0.3048))
+    (fp_line (start 8.215 13.793) (end 6.945 13.793) (layer F.SilkS) (width 0.3048))
+    (fp_line (start 8.215 -11.27172) (end -7.787 -11.27172) (layer F.SilkS) (width 0.3048))
+    (pad Hole thru_hole circle (at -5.72 0 180) (size 3.85064 3.85064) (drill 3.302)
+      (layers *.Cu *.SilkS *.Mask)
+    )
+    (pad Hole thru_hole circle (at 5.71 0 180) (size 3.85064 3.85064) (drill 3.302)
+      (layers *.Cu *.SilkS *.Mask)
+    )
+    (pad 1 thru_hole circle (at 4.45 6.35 180) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 160 /ethernet/TX+)
+    )
+    (pad 2 thru_hole circle (at 3.18 8.89 180) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 161 /ethernet/TX-)
+    )
+    (pad 3 thru_hole circle (at 1.91 6.35 180) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 158 /ethernet/RX+)
+    )
+    (pad 4 thru_hole circle (at 0.64 8.89 180) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 157 /ethernet/PFWBOUT)
+    )
+    (pad 5 thru_hole circle (at -0.63 6.35 180) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 157 /ethernet/PFWBOUT)
+    )
+    (pad 6 thru_hole circle (at -1.9 8.89 180) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 159 /ethernet/RX-)
+    )
+    (pad 7 thru_hole circle (at -3.17 6.35 180) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+    )
+    (pad 13 thru_hole circle (at -7.78 3.05 180) (size 2.4 2.4) (drill 1.699999)
+      (layers *.Cu *.SilkS *.Mask)
+      (net 187 GND)
+    )
+    (pad 13 thru_hole circle (at 7.75 3.05 180) (size 2.4 2.4) (drill 1.659999)
+      (layers *.Cu *.SilkS *.Mask)
+      (net 187 GND)
+    )
+    (pad 10 thru_hole circle (at 4.08 -4.9 180) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 246 N-00000420)
+    )
+    (pad 11 thru_hole circle (at -4.08 -4.9 180) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 247 N-00000422)
+    )
+    (pad 9 thru_hole circle (at 6.63 -4.9 180) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 164 3.3V)
+    )
+    (pad 12 thru_hole circle (at -6.62 -4.9 180) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 156 /ethernet/LED4)
+    )
+    (pad 8 thru_hole rect (at -4.39 8.89 180) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 187 GND)
+    )
+  )
+
+  (module Crystal-TXC-SMD3.2x2.5 (layer TOP-L1) (tedit 51A8348B) (tstamp 51A169B4)
+    (at 57.475 50.3 90)
+    (descr "Crystal, Quarz, SMD, 0603, 4 Pads,")
+    (tags "Crystal, Quarz, SMD, 0603, 4 Pads,")
+    (path /5159654C/51A6DE19)
+    (attr smd)
+    (fp_text reference X3 (at -2.59 -1.995 180) (layer F.SilkS)
+      (effects (font (size 0.5 0.5) (thickness 0.1)))
+    )
+    (fp_text value 25M (at -3.63 -1.425 180) (layer F.SilkS) hide
+      (effects (font (size 1 1) (thickness 0.2)))
+    )
+    (fp_line (start -2.13 -1.76) (end 2.08 -1.76) (layer F.SilkS) (width 0.2))
+    (fp_line (start 2.08 -1.76) (end 2.07 1.68) (layer F.SilkS) (width 0.2))
+    (fp_line (start 2.07 1.68) (end -2.11 1.68) (layer F.SilkS) (width 0.2))
+    (fp_line (start -2.11 1.68) (end -2.11 -1.78) (layer F.SilkS) (width 0.2))
+    (pad 4 smd rect (at -1.1 -0.85 90) (size 1.4 1.2)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 2 smd rect (at 1.1 0.85 90) (size 1.4 1.2)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 3 smd rect (at 1.1 -0.85 90) (size 1.4 1.2)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 252 N-00000431)
+    )
+    (pad 1 smd rect (at -1.1 0.85 90) (size 1.4 1.2)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 243 N-00000413)
+    )
+  )
+
+  (module 1pin (layer TOP-L1) (tedit 200000) (tstamp 51A8526B)
+    (at 38.225 89.425)
+    (descr "module 1 pin (ou trou mecanique de percage)")
+    (tags DEV)
+    (path 1pin)
+    (fp_text reference 1PIN (at 0 -3.048) (layer F.SilkS)
+      (effects (font (size 1.016 1.016) (thickness 0.254)))
+    )
+    (fp_text value P*** (at 0 2.794) (layer F.SilkS) hide
+      (effects (font (size 1.016 1.016) (thickness 0.254)))
+    )
+    (fp_circle (center 0 0) (end 0 -2.286) (layer F.SilkS) (width 0.381))
+    (pad 1 thru_hole circle (at 0 0) (size 4.064 4.064) (drill 3.048)
+      (layers *.Cu *.Mask F.SilkS)
+    )
+  )
+
+  (module 1pin (layer TOP-L1) (tedit 51A9DF79) (tstamp 51A852A6)
+    (at 91.175 32.1)
+    (descr "module 1 pin (ou trou mecanique de percage)")
+    (tags DEV)
+    (path 1pin)
+    (fp_text reference MH (at 3.225 1.65) (layer F.SilkS)
+      (effects (font (size 1.016 1.016) (thickness 0.127)))
+    )
+    (fp_text value P*** (at 3.5 -2) (layer F.SilkS) hide
+      (effects (font (size 1.016 1.016) (thickness 0.254)))
+    )
+    (fp_circle (center 0 0) (end 0 -2.286) (layer F.SilkS) (width 0.381))
+    (pad 1 thru_hole circle (at 0 0) (size 4.064 4.064) (drill 3.048)
+      (layers *.Cu *.Mask F.SilkS)
+    )
+  )
+
+  (module 1pin (layer TOP-L1) (tedit 200000) (tstamp 51A852B8)
+    (at 49.975 50.075)
+    (descr "module 1 pin (ou trou mecanique de percage)")
+    (tags DEV)
+    (path 1pin)
+    (fp_text reference 1PIN (at 0 -3.048) (layer F.SilkS)
+      (effects (font (size 1.016 1.016) (thickness 0.254)))
+    )
+    (fp_text value P*** (at 0 2.794) (layer F.SilkS) hide
+      (effects (font (size 1.016 1.016) (thickness 0.254)))
+    )
+    (fp_circle (center 0 0) (end 0 -2.286) (layer F.SilkS) (width 0.381))
+    (pad 1 thru_hole circle (at 0 0) (size 4.064 4.064) (drill 3.048)
+      (layers *.Cu *.Mask F.SilkS)
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51A9DE39) (tstamp 51A878AB)
+    (at 74.87 64.1)
+    (path /515197D1/51A88020)
+    (attr smd)
+    (fp_text reference C23 (at 0.055 0.7) (layer B.SilkS)
+      (effects (font (size 0.35052 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0.08 1.125) (layer B.SilkS) hide
+      (effects (font (size 0.35052 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 163 1.2V)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module TPT (layer TOP-L1) (tedit 51AD80FC) (tstamp 51AD7239)
+    (at 52.525 86.85)
+    (path /5189B2BF)
+    (fp_text reference P5 (at 0.875 -0.75) (layer F.SilkS)
+      (effects (font (size 0.5 0.5) (thickness 0.05)))
+    )
+    (fp_text value CONN_1 (at 0.4 1.125) (layer F.SilkS) hide
+      (effects (font (size 0.5 0.5) (thickness 0.05)))
+    )
+    (pad 1 thru_hole circle (at -0.025 0.025) (size 1.1 1.1) (drill 0.8)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 168 DRAM_VCC)
+    )
+  )
+
+  (module TPT (layer TOP-L1) (tedit 51AD7634) (tstamp 5189ABE1)
+    (at 57.6 27.975)
+    (path /5189B2CC)
+    (fp_text reference P6 (at -0.05 -0.975) (layer F.SilkS)
+      (effects (font (size 0.5 0.5) (thickness 0.05)))
+    )
+    (fp_text value CONN_1 (at 0.4 1.125) (layer F.SilkS) hide
+      (effects (font (size 0.5 0.5) (thickness 0.05)))
+    )
+    (pad 1 thru_hole circle (at -0.025 0.025) (size 1.1 1.1) (drill 0.8)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 164 3.3V)
+    )
+  )
+
+  (module TPT (layer TOP-L1) (tedit 51AD7649) (tstamp 5189ABEA)
+    (at 98.325 50.425)
+    (path /5189B2D2)
+    (fp_text reference P7 (at -0.05 -0.975) (layer F.SilkS)
+      (effects (font (size 0.5 0.5) (thickness 0.05)))
+    )
+    (fp_text value CONN_1 (at 0.4 1.125) (layer F.SilkS) hide
+      (effects (font (size 0.5 0.5) (thickness 0.05)))
+    )
+    (pad 1 thru_hole circle (at -0.025 0.025) (size 1.1 1.1) (drill 0.8)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 163 1.2V)
+    )
+  )
+
+  (module TPT (layer TOP-L1) (tedit 51AD763F) (tstamp 5189ABF3)
+    (at 87.45 53.575)
+    (path /5189B2D8)
+    (fp_text reference P8 (at -0.05 -0.975) (layer F.SilkS)
+      (effects (font (size 0.5 0.5) (thickness 0.05)))
+    )
+    (fp_text value CONN_1 (at 0.4 1.125) (layer F.SilkS) hide
+      (effects (font (size 0.5 0.5) (thickness 0.05)))
+    )
+    (pad 1 thru_hole circle (at -0.025 0.025) (size 1.1 1.1) (drill 0.8)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 162 1.25V)
+    )
+  )
+
+  (module TPT (layer TOP-L1) (tedit 51AD6CE2) (tstamp 5189AF73)
+    (at 53.475 54.2)
+    (path /5189BF82)
+    (fp_text reference P11 (at -0.05 -0.975) (layer F.SilkS)
+      (effects (font (size 0.5 0.5) (thickness 0.05)))
+    )
+    (fp_text value CONN_1 (at 0.4 1.125) (layer F.SilkS)
+      (effects (font (size 0.5 0.5) (thickness 0.05)))
+    )
+    (pad 1 thru_hole circle (at -0.025 0.025) (size 1.1 1.1) (drill 0.8)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 167 DRAM_REF)
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51ADCDBB) (tstamp 51ADCD87)
+    (at 107.91 72.11 180)
+    (path /51ADEA26)
+    (attr smd)
+    (fp_text reference R51 (at 1.27 -0.06 180) (layer F.SilkS)
+      (effects (font (size 0.35052 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 47k (at 0.09906 0 180) (layer F.SilkS) hide
+      (effects (font (size 0.35052 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 203 N-00000192)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (gr_text "Addr/Ctrl lines 50 mm" (at 36.975 67.9 90) (layer F.SilkS)
+    (effects (font (size 1 1) (thickness 0.2)))
+  )
+  (dimension 72.250108 (width 0.25) (layer Edge.Cuts)
+    (gr_text "72.250 mm" (at 31.362508 55.805406 270.0991275) (layer Edge.Cuts)
+      (effects (font (size 1 1) (thickness 0.25)))
+    )
+    (feature1 (pts (xy 34.55 91.925) (xy 30.42501 91.932136)))
+    (feature2 (pts (xy 34.425 19.675) (xy 30.30001 19.682136)))
+    (crossbar (pts (xy 32.300007 19.678676) (xy 32.425007 91.928676)))
+    (arrow1a (pts (xy 32.425007 91.928676) (xy 31.836639 90.803189)))
+    (arrow1b (pts (xy 32.425007 91.928676) (xy 33.009477 90.80116)))
+    (arrow2a (pts (xy 32.300007 19.678676) (xy 31.715537 20.806192)))
+    (arrow2b (pts (xy 32.300007 19.678676) (xy 32.888375 20.804163)))
+  )
+  (dimension 80.125062 (width 0.25) (layer Edge.Cuts)
+    (gr_text "80.125 mm" (at 75.841306 15.249973 359.928492) (layer Edge.Cuts)
+      (effects (font (size 1 1) (thickness 0.25)))
+    )
+    (feature1 (pts (xy 115.9 18.35) (xy 115.905054 14.299974)))
+    (feature2 (pts (xy 35.775 18.25) (xy 35.780054 14.199974)))
+    (crossbar (pts (xy 35.777558 16.199972) (xy 115.902558 16.299972)))
+    (arrow1a (pts (xy 115.902558 16.299972) (xy 114.775324 16.884986)))
+    (arrow1b (pts (xy 115.902558 16.299972) (xy 114.776788 15.712146)))
+    (arrow2a (pts (xy 35.777558 16.199972) (xy 36.903328 16.787798)))
+    (arrow2b (pts (xy 35.777558 16.199972) (xy 36.904792 15.614958)))
+  )
+  (gr_text "8-JUN-2013\nbpajith at gmail.com" (at 108 81.65) (layer F.SilkS)
+    (effects (font (size 0.5 0.5) (thickness 0.08)) (justify left))
+  )
+  (gr_text NETHOLI-SBC (at 102.55 82.3) (layer F.SilkS)
+    (effects (font (size 1 1) (thickness 0.1)))
+  )
+  (gr_line (start 35.775 91.925) (end 35.775 19.625) (angle 90) (layer Edge.Cuts) (width 0.15))
+  (gr_line (start 115.9 91.925) (end 35.775 91.925) (angle 90) (layer Edge.Cuts) (width 0.15))
+  (gr_line (start 115.9 19.625) (end 115.9 91.925) (angle 90) (layer Edge.Cuts) (width 0.15))
+  (gr_line (start 35.75 19.625) (end 115.9 19.625) (angle 90) (layer Edge.Cuts) (width 0.15))
+
+  (segment (start 80.6 50.875) (end 80.7 50.875) (width 0.1524) (layer Signal-L3) (net 0) (tstamp 5191FD90))
+  (segment (start 80.7 50.875) (end 80.75 50.875) (width 0.1524) (layer Signal-L3) (net 0) (tstamp 5191FD92))
+  (segment (start 80.75 50.875) (end 82.25 50.875) (width 0.1524) (layer Signal-L3) (net 0) (tstamp 5191FD94))
+  (segment (start 82.25 50.875) (end 82.575 50.875) (width 0.1524) (layer Signal-L3) (net 0) (tstamp 5191FDC0))
+  (segment (start 82.5 50.875) (end 82.7 50.875) (width 0.1524) (layer Signal-L3) (net 0) (tstamp 5191FD98))
+  (segment (start 82.575 50.875) (end 82.5 50.875) (width 0.1524) (layer Signal-L3) (net 0) (tstamp 5191FD9B))
+  (segment (start 63.15 57.2) (end 63.15 56.775) (width 0.1524) (layer Signal-L5) (net 1))
+  (segment (start 68.725 56.65) (end 68.725 57.225) (width 0.1524) (layer Signal-L5) (net 1) (tstamp 51A848AF))
+  (segment (start 68.025 55.95) (end 68.725 56.65) (width 0.1524) (layer Signal-L5) (net 1) (tstamp 51A848AE))
+  (segment (start 63.975 55.95) (end 68.025 55.95) (width 0.1524) (layer Signal-L5) (net 1) (tstamp 51A848AC))
+  (segment (start 63.15 56.775) (end 63.975 55.95) (width 0.1524) (layer Signal-L5) (net 1) (tstamp 51A848AB))
+  (segment (start 50.8 56.55) (end 50.8 56.125) (width 0.1524) (layer Signal-L3) (net 1))
+  (segment (start 52.6 60) (end 53.2 59.4) (width 0.1524) (layer Signal-L3) (net 1) (tstamp 51A847D8))
+  (segment (start 52.15 60) (end 52.6 60) (width 0.1524) (layer Signal-L3) (net 1) (tstamp 51A847D7))
+  (segment (start 51.775 60.375) (end 52.15 60) (width 0.1524) (layer Signal-L3) (net 1) (tstamp 51A847D6))
+  (segment (start 51.775 63.85) (end 51.775 60.375) (width 0.1524) (layer Signal-L3) (net 1) (tstamp 51A847D0))
+  (segment (start 52.625 64.7) (end 51.775 63.85) (width 0.1524) (layer Signal-L3) (net 1) (tstamp 51A847CD))
+  (segment (start 52.95 64.7) (end 52.625 64.7) (width 0.1524) (layer Signal-L3) (net 1))
+  (segment (start 53.2 59.4) (end 53.2 56.8) (width 0.1524) (layer Signal-L3) (net 1) (tstamp 51A847D9))
+  (segment (start 50.8 56.55) (end 50.8 57.2) (width 0.1524) (layer Signal-L3) (net 1) (tstamp 51A8482D))
+  (via (at 50.8 57.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 1))
+  (segment (start 50.8 57.2) (end 51.2 57.6) (width 0.1524) (layer TOP-L1) (net 1) (tstamp 51A847F5))
+  (segment (start 50.8 56.125) (end 51.1 55.825) (width 0.1524) (layer Signal-L3) (net 1) (tstamp 51A8482F))
+  (segment (start 51.1 55.825) (end 52.55 55.825) (width 0.1524) (layer Signal-L3) (net 1) (tstamp 51A84830))
+  (segment (start 52.55 55.825) (end 53.2 56.475) (width 0.1524) (layer Signal-L3) (net 1) (tstamp 51A84831))
+  (segment (start 53.2 56.475) (end 53.2 56.8) (width 0.1524) (layer Signal-L3) (net 1) (tstamp 51A84832))
+  (segment (start 53.2 77.6) (end 52.8 77.2) (width 0.1524) (layer TOP-L1) (net 1))
+  (segment (start 52.6 64.7) (end 52.95 64.7) (width 0.1524) (layer Signal-L3) (net 1) (tstamp 51A84882))
+  (segment (start 52.25 65.05) (end 52.6 64.7) (width 0.1524) (layer Signal-L3) (net 1) (tstamp 51A84880))
+  (segment (start 52.25 65.525) (end 52.25 65.05) (width 0.1524) (layer Signal-L3) (net 1) (tstamp 51A8487A))
+  (segment (start 51.85 65.925) (end 52.25 65.525) (width 0.1524) (layer Signal-L3) (net 1) (tstamp 51A84876))
+  (segment (start 51.85 66.825) (end 51.85 65.925) (width 0.1524) (layer Signal-L3) (net 1) (tstamp 51A84875))
+  (segment (start 51.75 66.925) (end 51.85 66.825) (width 0.1524) (layer Signal-L3) (net 1) (tstamp 51A84871))
+  (segment (start 51.75 74.95) (end 51.75 66.925) (width 0.1524) (layer Signal-L3) (net 1) (tstamp 51A8486C))
+  (segment (start 52.8 76) (end 51.75 74.95) (width 0.1524) (layer Signal-L3) (net 1) (tstamp 51A84857))
+  (segment (start 52.8 77.2) (end 52.8 76) (width 0.1524) (layer Signal-L3) (net 1) (tstamp 51A84856))
+  (via (at 52.8 77.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 1))
+  (segment (start 63.15 58.05) (end 63.15 57.2) (width 0.1524) (layer Signal-L5) (net 1))
+  (segment (start 68.725 57.225) (end 68.725 57.375) (width 0.1524) (layer Signal-L5) (net 1) (tstamp 51A848B2))
+  (segment (start 54.9 65.125) (end 54.475 64.7) (width 0.1524) (layer Signal-L5) (net 1) (tstamp 51A2FA4F))
+  (segment (start 63.925 65.125) (end 54.9 65.125) (width 0.1524) (layer Signal-L5) (net 1) (tstamp 51A2FA4B))
+  (segment (start 64.475 64.575) (end 63.925 65.125) (width 0.1524) (layer Signal-L5) (net 1) (tstamp 51A2FA47))
+  (segment (start 64.475 61.9) (end 64.475 64.575) (width 0.1524) (layer Signal-L5) (net 1) (tstamp 51A2FA46))
+  (segment (start 63.15 60.575) (end 64.475 61.9) (width 0.1524) (layer Signal-L5) (net 1) (tstamp 51A2FA43))
+  (segment (start 69.4 64.8) (end 69 64.8) (width 0.1524) (layer Signal-L5) (net 1) (tstamp 51A2FA2D))
+  (segment (start 69 64.8) (end 68.725 64.525) (width 0.1524) (layer Signal-L5) (net 1) (tstamp 51A2FA2E))
+  (segment (start 68.725 64.525) (end 68.725 57.55) (width 0.1524) (layer Signal-L5) (net 1) (tstamp 51A2FA30))
+  (segment (start 69.8 64.4) (end 69.4 64.8) (width 0.1524) (layer TOP-L1) (net 1))
+  (via (at 69.4 64.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 1))
+  (segment (start 54.475 64.7) (end 53 64.7) (width 0.1524) (layer Signal-L5) (net 1) (tstamp 51A2FA53))
+  (via (at 52.95 64.7) (size 0.4572) (layers TOP-L1 BOT-L6) (net 1))
+  (segment (start 53 64.7) (end 52.95 64.7) (width 0.1524) (layer Signal-L5) (net 1))
+  (segment (start 63.15 58.05) (end 63.15 60.575) (width 0.1524) (layer Signal-L5) (net 1) (tstamp 51A85364))
+  (segment (start 68.725 57.375) (end 68.725 57.55) (width 0.1524) (layer Signal-L5) (net 1) (tstamp 51A8536E))
+  (segment (start 51.2 76.55) (end 51.2 76.925) (width 0.1524) (layer Signal-L3) (net 2))
+  (segment (start 52 81.125) (end 52 82) (width 0.1524) (layer Signal-L3) (net 2) (tstamp 51A32A3D))
+  (segment (start 52.275 80.85) (end 52 81.125) (width 0.1524) (layer Signal-L3) (net 2) (tstamp 51A32A3B))
+  (segment (start 52.275 78) (end 52.275 80.85) (width 0.1524) (layer Signal-L3) (net 2) (tstamp 51A32A38))
+  (segment (start 51.2 76.925) (end 52.275 78) (width 0.1524) (layer Signal-L3) (net 2) (tstamp 51A32A37))
+  (segment (start 65.6 58.875) (end 65.225 58.875) (width 0.1524) (layer Signal-L5) (net 2))
+  (segment (start 64.775 60.575) (end 65.075 60.875) (width 0.1524) (layer Signal-L5) (net 2) (tstamp 51A2FE48))
+  (segment (start 64.775 59.325) (end 64.775 60.575) (width 0.1524) (layer Signal-L5) (net 2) (tstamp 51A2FE47))
+  (segment (start 65.225 58.875) (end 64.775 59.325) (width 0.1524) (layer Signal-L5) (net 2) (tstamp 51A2FE46))
+  (segment (start 67.75 59.6) (end 67.75 60.2) (width 0.1524) (layer Signal-L5) (net 2) (tstamp 51A2FE3C))
+  (segment (start 67.025 58.875) (end 67.75 59.6) (width 0.1524) (layer Signal-L5) (net 2) (tstamp 51A2FE3B))
+  (segment (start 65.6 58.875) (end 67.025 58.875) (width 0.1524) (layer Signal-L5) (net 2) (tstamp 51A2FE44))
+  (segment (start 44.2 66) (end 43.75 65.55) (width 0.1524) (layer TOP-L1) (net 2) (tstamp 51A04CA9))
+  (segment (start 43.75 65.55) (end 43.75 64.95) (width 0.1524) (layer TOP-L1) (net 2) (tstamp 51A04CAE))
+  (segment (start 43.75 64.95) (end 44.125 64.575) (width 0.1524) (layer TOP-L1) (net 2) (tstamp 51A04CAF))
+  (segment (start 44.125 64.575) (end 47.6 64.575) (width 0.1524) (layer TOP-L1) (net 2) (tstamp 51A04CB0))
+  (segment (start 51.15 66) (end 51.1 66) (width 0.1524) (layer TOP-L1) (net 2))
+  (segment (start 49.59 64.58) (end 47.79 64.58) (width 0.1524) (layer TOP-L1) (net 2) (tstamp 519F1B4D))
+  (segment (start 50 64.17) (end 49.59 64.58) (width 0.1524) (layer TOP-L1) (net 2) (tstamp 519F1B4C))
+  (segment (start 50 63.475) (end 50 63.48) (width 0.1524) (layer TOP-L1) (net 2))
+  (segment (start 50 63.55) (end 50 63.475) (width 0.1524) (layer TOP-L1) (net 2))
+  (segment (start 50 62.8) (end 50 63.55) (width 0.1524) (layer TOP-L1) (net 2) (tstamp 519DB215))
+  (segment (start 50.4 62.4) (end 50 62.8) (width 0.1524) (layer TOP-L1) (net 2))
+  (segment (start 47.79 64.58) (end 47.6 64.58) (width 0.1524) (layer TOP-L1) (net 2))
+  (segment (start 50 63.48) (end 50 64.17) (width 0.1524) (layer TOP-L1) (net 2))
+  (segment (start 51.15 66) (end 50.175 66) (width 0.1524) (layer TOP-L1) (net 2) (tstamp 519F60C5))
+  (segment (start 50.175 66) (end 46.675 66) (width 0.1524) (layer TOP-L1) (net 2) (tstamp 519F506B))
+  (segment (start 47.6 64.575) (end 47.6 64.58) (width 0.1524) (layer TOP-L1) (net 2) (tstamp 51A04CB4))
+  (segment (start 46.675 66) (end 44.2 66) (width 0.1524) (layer TOP-L1) (net 2))
+  (segment (start 51.2 76.55) (end 51.2 75.975) (width 0.1524) (layer Signal-L3) (net 2) (tstamp 51A32A35))
+  (segment (start 51.1 66) (end 50.925 66.175) (width 0.1524) (layer Signal-L3) (net 2) (tstamp 519F60C9))
+  (segment (start 50.925 66.175) (end 50.925 66.9) (width 0.1524) (layer Signal-L3) (net 2) (tstamp 519F60CA))
+  (segment (start 50.925 66.9) (end 51.125 67.1) (width 0.1524) (layer Signal-L3) (net 2) (tstamp 519F60CB))
+  (segment (start 51.125 67.1) (end 51.125 75.475) (width 0.1524) (layer Signal-L3) (net 2) (tstamp 519F60CE))
+  (segment (start 51.125 75.625) (end 51.2 75.7) (width 0.1524) (layer Signal-L3) (net 2) (tstamp 519F60DA))
+  (segment (start 51.2 75.7) (end 51.2 75.975) (width 0.1524) (layer Signal-L3) (net 2) (tstamp 519F60DB))
+  (segment (start 51.125 75.475) (end 51.125 75.625) (width 0.1524) (layer Signal-L3) (net 2))
+  (via (at 52 82) (size 0.4572) (layers TOP-L1 BOT-L6) (net 2))
+  (segment (start 52 82) (end 52.4 82.4) (width 0.1524) (layer TOP-L1) (net 2) (tstamp 51A02174))
+  (segment (start 51.1 66) (end 64.55 66) (width 0.1524) (layer Signal-L5) (net 2))
+  (segment (start 64.55 66) (end 65.45 65.1) (width 0.1524) (layer Signal-L5) (net 2) (tstamp 51A2FD93))
+  (segment (start 65.45 65.1) (end 65.45 61.25) (width 0.1524) (layer Signal-L5) (net 2) (tstamp 51A2FD9B))
+  (segment (start 65.45 61.25) (end 65.075 60.875) (width 0.1524) (layer Signal-L5) (net 2) (tstamp 51A2FD9C))
+  (segment (start 70.2 65.6) (end 70.6 65.2) (width 0.1524) (layer TOP-L1) (net 2) (tstamp 51A2FDB2))
+  (via (at 70.2 65.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 2))
+  (segment (start 69.8 66) (end 70.2 65.6) (width 0.1524) (layer Signal-L5) (net 2) (tstamp 51A2FDAB))
+  (segment (start 68.675 66) (end 69.8 66) (width 0.1524) (layer Signal-L5) (net 2) (tstamp 51A2FDA9))
+  (segment (start 67.75 65.075) (end 68.675 66) (width 0.1524) (layer Signal-L5) (net 2) (tstamp 51A2FDA5))
+  (segment (start 67.75 60.2) (end 67.75 65.075) (width 0.1524) (layer Signal-L5) (net 2) (tstamp 51A2FE3F))
+  (via (at 51.1 66) (size 0.4572) (layers TOP-L1 BOT-L6) (net 2))
+  (segment (start 63.45 58) (end 63.45 57.8) (width 0.1524) (layer Signal-L5) (net 3))
+  (segment (start 68.4 57.65) (end 68.4 57.9) (width 0.1524) (layer Signal-L5) (net 3) (tstamp 51A862A1))
+  (segment (start 67.625 56.875) (end 68.4 57.65) (width 0.1524) (layer Signal-L5) (net 3) (tstamp 51A8629F))
+  (segment (start 64.375 56.875) (end 67.625 56.875) (width 0.1524) (layer Signal-L5) (net 3) (tstamp 51A8629D))
+  (segment (start 63.45 57.8) (end 64.375 56.875) (width 0.1524) (layer Signal-L5) (net 3) (tstamp 51A8629C))
+  (segment (start 64.05 65.425) (end 54.7 65.425) (width 0.1524) (layer Signal-L5) (net 3))
+  (via (at 70.2 64.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 3))
+  (segment (start 68.4 64.675) (end 68.4 57.9) (width 0.1524) (layer Signal-L5) (net 3) (tstamp 51A2F3CF))
+  (segment (start 68.925 65.2) (end 68.4 64.675) (width 0.1524) (layer Signal-L5) (net 3) (tstamp 51A2F3CD))
+  (segment (start 69.8 65.2) (end 68.925 65.2) (width 0.1524) (layer Signal-L5) (net 3) (tstamp 51A2F3C6))
+  (segment (start 69.8 65.2) (end 70.2 64.8) (width 0.1524) (layer Signal-L5) (net 3))
+  (segment (start 70.6 64.4) (end 70.2 64.8) (width 0.1524) (layer TOP-L1) (net 3))
+  (segment (start 63.45 58) (end 63.45 60.45) (width 0.1524) (layer Signal-L5) (net 3) (tstamp 51A8629A))
+  (segment (start 63.45 60.45) (end 64.775 61.775) (width 0.1524) (layer Signal-L5) (net 3) (tstamp 51A2F3DE))
+  (segment (start 64.775 61.775) (end 64.775 64.7) (width 0.1524) (layer Signal-L5) (net 3) (tstamp 51A2F3E2))
+  (segment (start 64.775 64.7) (end 64.05 65.425) (width 0.1524) (layer Signal-L5) (net 3) (tstamp 51A2F3E3))
+  (segment (start 54.475 65.2) (end 51.825 65.2) (width 0.1524) (layer Signal-L5) (net 3) (tstamp 51A85EF5))
+  (segment (start 54.7 65.425) (end 54.475 65.2) (width 0.1524) (layer Signal-L5) (net 3) (tstamp 51A85EF0))
+  (segment (start 52 76.775) (end 52 77.2) (width 0.1524) (layer Signal-L3) (net 3))
+  (segment (start 52 75.85) (end 52 76.775) (width 0.1524) (layer Signal-L3) (net 3) (tstamp 519F0CF3))
+  (segment (start 51.7 75.55) (end 52 75.85) (width 0.1524) (layer Signal-L3) (net 3) (tstamp 519F60BA))
+  (segment (start 51.525 65.5) (end 51.825 65.2) (width 0.1524) (layer Signal-L3) (net 3) (tstamp 519F60AE))
+  (segment (start 51.525 66.65) (end 51.425 66.75) (width 0.1524) (layer Signal-L3) (net 3) (tstamp 519F60B0))
+  (segment (start 51.425 66.75) (end 51.425 75.275) (width 0.1524) (layer Signal-L3) (net 3) (tstamp 519F60B1))
+  (segment (start 51.425 75.275) (end 51.7 75.55) (width 0.1524) (layer Signal-L3) (net 3) (tstamp 519F60B2))
+  (segment (start 51.525 65.5) (end 51.525 66.65) (width 0.1524) (layer Signal-L3) (net 3))
+  (segment (start 52 77.2) (end 52.8 78) (width 0.1524) (layer Signal-L3) (net 3) (tstamp 51A01DFB))
+  (via (at 52.8 78) (size 0.4572) (layers TOP-L1 BOT-L6) (net 3))
+  (segment (start 52.8 78) (end 53.2 78.4) (width 0.1524) (layer TOP-L1) (net 3) (tstamp 51A01E04))
+  (segment (start 51.75 56.75) (end 51.75 57.85) (width 0.1524) (layer Signal-L3) (net 3))
+  (segment (start 51.825 65.2) (end 50.8 64.175) (width 0.1524) (layer Signal-L3) (net 3) (tstamp 519F6080))
+  (segment (start 51.45 62.55) (end 51.45 61.875) (width 0.1524) (layer Signal-L3) (net 3) (tstamp 519F0BCD))
+  (segment (start 50.8 63.2) (end 51.45 62.55) (width 0.1524) (layer Signal-L3) (net 3) (tstamp 519F0BCA))
+  (segment (start 50.8 63.75) (end 50.8 63.2) (width 0.1524) (layer Signal-L3) (net 3) (tstamp 519F6084))
+  (segment (start 50.8 64.175) (end 50.8 63.75) (width 0.1524) (layer Signal-L3) (net 3) (tstamp 519F6081))
+  (segment (start 52.825 58.85) (end 52.825 56.525) (width 0.1524) (layer Signal-L3) (net 3) (tstamp 51A01F14))
+  (segment (start 52.825 56.525) (end 52.65 56.35) (width 0.1524) (layer Signal-L3) (net 3) (tstamp 51A01ED3))
+  (segment (start 52.65 56.35) (end 52.025 56.35) (width 0.1524) (layer Signal-L3) (net 3) (tstamp 51A01ED4))
+  (segment (start 52.025 56.35) (end 51.75 56.625) (width 0.1524) (layer Signal-L3) (net 3) (tstamp 51A01ED8))
+  (segment (start 51.75 56.625) (end 51.75 56.75) (width 0.1524) (layer Signal-L3) (net 3) (tstamp 51A01ED9))
+  (via (at 51.6 58) (size 0.4572) (layers TOP-L1 BOT-L6) (net 3))
+  (segment (start 51.6 58) (end 51.2 58.4) (width 0.1524) (layer TOP-L1) (net 3) (tstamp 51A01EEA))
+  (segment (start 51.45 61.875) (end 51.45 61.65) (width 0.1524) (layer Signal-L3) (net 3))
+  (segment (start 52.075 59.625) (end 52.375 59.625) (width 0.1524) (layer Signal-L3) (net 3) (tstamp 51A01F23))
+  (segment (start 52.375 59.625) (end 52.825 59.175) (width 0.1524) (layer Signal-L3) (net 3) (tstamp 51A01F0F))
+  (segment (start 52.825 59.175) (end 52.825 58.85) (width 0.1524) (layer Signal-L3) (net 3) (tstamp 51A01F10))
+  (segment (start 51.45 61.65) (end 51.45 61.4) (width 0.1524) (layer Signal-L3) (net 3))
+  (segment (start 51.45 61.325) (end 51.15 61.025) (width 0.1524) (layer Signal-L3) (net 3) (tstamp 51A01F1D))
+  (segment (start 51.15 61.025) (end 51.15 60.1) (width 0.1524) (layer Signal-L3) (net 3) (tstamp 51A01F1E))
+  (segment (start 51.15 60.1) (end 51.625 59.625) (width 0.1524) (layer Signal-L3) (net 3) (tstamp 51A01F1F))
+  (segment (start 51.625 59.625) (end 52.075 59.625) (width 0.1524) (layer Signal-L3) (net 3) (tstamp 51A01F20))
+  (segment (start 51.45 61.4) (end 51.45 61.325) (width 0.1524) (layer Signal-L3) (net 3))
+  (segment (start 51.75 57.85) (end 51.6 58) (width 0.1524) (layer Signal-L3) (net 3) (tstamp 51A1646D))
+  (segment (start 51.875 65.2) (end 51.825 65.2) (width 0.1524) (layer TOP-L1) (net 3))
+  (via (at 51.825 65.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 3))
+  (segment (start 54.175 80.525) (end 54.4 80.75) (width 0.1524) (layer Signal-L5) (net 4))
+  (segment (start 54.575 80.75) (end 54.7 80.625) (width 0.1524) (layer Signal-L5) (net 4) (tstamp 51A44C42))
+  (segment (start 54.4 80.75) (end 54.575 80.75) (width 0.1524) (layer Signal-L5) (net 4) (tstamp 51A44C40))
+  (segment (start 53.925 80.275) (end 54.175 80.525) (width 0.1524) (layer Signal-L5) (net 4))
+  (segment (start 55.45 80.875) (end 55.15 81.175) (width 0.1524) (layer Signal-L5) (net 4) (tstamp 51A44C29))
+  (segment (start 55.45 80.55) (end 55.45 80.875) (width 0.1524) (layer Signal-L5) (net 4) (tstamp 51A44C28))
+  (segment (start 55.275 80.375) (end 55.45 80.55) (width 0.1524) (layer Signal-L5) (net 4) (tstamp 51A44C27))
+  (segment (start 54.95 80.375) (end 55.275 80.375) (width 0.1524) (layer Signal-L5) (net 4) (tstamp 51A44C25))
+  (segment (start 54.7 80.625) (end 54.95 80.375) (width 0.1524) (layer Signal-L5) (net 4) (tstamp 51A44C46))
+  (segment (start 55.575 75.125) (end 55.35 75.125) (width 0.1524) (layer Signal-L5) (net 4))
+  (segment (start 49.15 79.175) (end 47.975 78) (width 0.1524) (layer Signal-L5) (net 4) (tstamp 51A44BD2))
+  (segment (start 53.625 79.175) (end 49.15 79.175) (width 0.1524) (layer Signal-L5) (net 4) (tstamp 51A44BCF))
+  (segment (start 54.65 78.15) (end 53.625 79.175) (width 0.1524) (layer Signal-L5) (net 4) (tstamp 51A44BCA))
+  (segment (start 54.65 75.825) (end 54.65 78.15) (width 0.1524) (layer Signal-L5) (net 4) (tstamp 51A44BC7))
+  (segment (start 55.35 75.125) (end 54.65 75.825) (width 0.1524) (layer Signal-L5) (net 4) (tstamp 51A44BC4))
+  (segment (start 63.125 71.6) (end 63.65 71.6) (width 0.1524) (layer Signal-L3) (net 4))
+  (segment (start 63.325 65.675) (end 62.775 65.675) (width 0.1524) (layer Signal-L3) (net 4) (tstamp 51A44B90))
+  (segment (start 64.575 66.925) (end 63.325 65.675) (width 0.1524) (layer Signal-L3) (net 4) (tstamp 51A44B8C))
+  (segment (start 64.575 70.675) (end 64.575 66.925) (width 0.1524) (layer Signal-L3) (net 4) (tstamp 51A44B8B))
+  (segment (start 63.65 71.6) (end 64.575 70.675) (width 0.1524) (layer Signal-L3) (net 4) (tstamp 51A44B8A))
+  (segment (start 53.925 63.35) (end 53.925 62.25) (width 0.1524) (layer Signal-L3) (net 4))
+  (segment (start 61.425 65.675) (end 62.775 65.675) (width 0.1524) (layer Signal-L3) (net 4))
+  (segment (start 53.925 63.35) (end 53.925 64.25) (width 0.1524) (layer Signal-L3) (net 4) (tstamp 51A44B62))
+  (segment (start 55.35 65.675) (end 61.425 65.675) (width 0.1524) (layer Signal-L3) (net 4) (tstamp 51A446E6))
+  (segment (start 53.925 64.25) (end 55.35 65.675) (width 0.1524) (layer Signal-L3) (net 4) (tstamp 51A446E1))
+  (segment (start 54.35 61.6) (end 54.35 61.35) (width 0.1524) (layer Signal-L3) (net 4) (tstamp 51A44B68))
+  (segment (start 54.35 61.35) (end 54.1 61.1) (width 0.1524) (layer Signal-L3) (net 4) (tstamp 51A44B38))
+  (segment (start 54.1 61.1) (end 54 61.1) (width 0.1524) (layer Signal-L3) (net 4) (tstamp 51A44B39))
+  (via (at 54 61.1) (size 0.4572) (layers TOP-L1 BOT-L6) (net 4))
+  (segment (start 54 61.1) (end 53.75 61.1) (width 0.1524) (layer TOP-L1) (net 4) (tstamp 51A44B47))
+  (segment (start 53.75 61.1) (end 53.6 61.25) (width 0.1524) (layer TOP-L1) (net 4) (tstamp 51A44B48))
+  (segment (start 53.6 61.25) (end 53.6 61.6) (width 0.1524) (layer TOP-L1) (net 4) (tstamp 51A44B4E))
+  (segment (start 53.925 62.25) (end 54.35 61.825) (width 0.1524) (layer Signal-L3) (net 4) (tstamp 51A44B64))
+  (segment (start 54.35 61.825) (end 54.35 61.6) (width 0.1524) (layer Signal-L3) (net 4) (tstamp 51A44B65))
+  (segment (start 63.125 71.6) (end 60.8 71.6) (width 0.1524) (layer Signal-L3) (net 4) (tstamp 51A44B88))
+  (segment (start 55.95 75.475) (end 56.125 75.3) (width 0.1524) (layer Signal-L3) (net 4) (tstamp 51A44710))
+  (segment (start 55.125 75.475) (end 55.95 75.475) (width 0.1524) (layer Signal-L3) (net 4) (tstamp 51A4470F))
+  (segment (start 53.8 74.15) (end 55.125 75.475) (width 0.1524) (layer Signal-L3) (net 4) (tstamp 51A44705))
+  (segment (start 53.8 72.375) (end 53.8 74.15) (width 0.1524) (layer Signal-L3) (net 4) (tstamp 51A44704))
+  (segment (start 54.575 71.6) (end 53.8 72.375) (width 0.1524) (layer Signal-L3) (net 4) (tstamp 51A446FF))
+  (segment (start 60.8 71.6) (end 54.575 71.6) (width 0.1524) (layer Signal-L3) (net 4) (tstamp 51A44A3F))
+  (segment (start 57.425 73.775) (end 57.425 72.92458) (width 0.1524) (layer TOP-L1) (net 4))
+  (segment (start 57.425 74.825) (end 57.25 75) (width 0.1524) (layer TOP-L1) (net 4) (tstamp 51A444B4))
+  (segment (start 57.25 75) (end 57.05 75) (width 0.1524) (layer TOP-L1) (net 4) (tstamp 51A444B5))
+  (segment (start 57.425 73.775) (end 57.425 74.825) (width 0.1524) (layer TOP-L1) (net 4))
+  (segment (start 56.425 75) (end 56.125 75.3) (width 0.1524) (layer TOP-L1) (net 4) (tstamp 51A444DD))
+  (via (at 56.125 75.3) (size 0.4572) (layers TOP-L1 BOT-L6) (net 4))
+  (segment (start 57.05 75) (end 56.425 75) (width 0.1524) (layer TOP-L1) (net 4))
+  (segment (start 55.95 75.125) (end 56.125 75.3) (width 0.1524) (layer Signal-L5) (net 4) (tstamp 51A44529))
+  (segment (start 55.575 75.125) (end 55.95 75.125) (width 0.1524) (layer Signal-L5) (net 4) (tstamp 51A44BC2))
+  (segment (start 48.725 80) (end 51.35 80) (width 0.1524) (layer Signal-L5) (net 4) (tstamp 51A339D6))
+  (segment (start 45.725 77) (end 48.725 80) (width 0.1524) (layer Signal-L5) (net 4) (tstamp 51A339D3))
+  (segment (start 42.85 77) (end 45.725 77) (width 0.1524) (layer Signal-L5) (net 4) (tstamp 51A339D2))
+  (segment (start 42.525 76.675) (end 42.85 77) (width 0.1524) (layer Signal-L5) (net 4) (tstamp 51A339D0))
+  (segment (start 42.525 75.75) (end 42.525 76.675) (width 0.1524) (layer Signal-L5) (net 4) (tstamp 51A339CF))
+  (segment (start 42.725 75.55) (end 42.525 75.75) (width 0.1524) (layer Signal-L5) (net 4) (tstamp 51A339CE))
+  (segment (start 45.525 75.55) (end 42.725 75.55) (width 0.1524) (layer Signal-L5) (net 4) (tstamp 51A339CC))
+  (segment (start 47.975 78) (end 45.525 75.55) (width 0.1524) (layer Signal-L5) (net 4) (tstamp 51A44BE9))
+  (segment (start 51.35 80) (end 51.65 80) (width 0.1524) (layer Signal-L5) (net 4) (tstamp 51A339DE))
+  (segment (start 55.575 81.6) (end 55.6 81.6) (width 0.1524) (layer TOP-L1) (net 4) (tstamp 51A3375E))
+  (segment (start 55.15 81.175) (end 55.575 81.6) (width 0.1524) (layer TOP-L1) (net 4) (tstamp 51A3375D))
+  (via (at 55.15 81.175) (size 0.4572) (layers TOP-L1 BOT-L6) (net 4))
+  (segment (start 53.65 80) (end 53.925 80.275) (width 0.1524) (layer Signal-L5) (net 4) (tstamp 51A33752))
+  (segment (start 51.65 80) (end 53.65 80) (width 0.1524) (layer Signal-L5) (net 4) (tstamp 51A33784))
+  (segment (start 55.6 74.8) (end 55.25 74.8) (width 0.1524) (layer Signal-L5) (net 5))
+  (segment (start 49.3 78.875) (end 48.475 78.05) (width 0.1524) (layer Signal-L5) (net 5) (tstamp 51A44BFE))
+  (segment (start 53.5 78.875) (end 49.3 78.875) (width 0.1524) (layer Signal-L5) (net 5) (tstamp 51A44BFB))
+  (segment (start 54.35 78.025) (end 53.5 78.875) (width 0.1524) (layer Signal-L5) (net 5) (tstamp 51A44BF3))
+  (segment (start 54.35 75.7) (end 54.35 78.025) (width 0.1524) (layer Signal-L5) (net 5) (tstamp 51A44BF0))
+  (segment (start 55.25 74.8) (end 54.35 75.7) (width 0.1524) (layer Signal-L5) (net 5) (tstamp 51A44BEE))
+  (segment (start 62.65 65.975) (end 63.175 65.975) (width 0.1524) (layer Signal-L3) (net 5))
+  (segment (start 63.5 71.3) (end 62.975 71.3) (width 0.1524) (layer Signal-L3) (net 5) (tstamp 51A44B83))
+  (segment (start 64.275 70.525) (end 63.5 71.3) (width 0.1524) (layer Signal-L3) (net 5) (tstamp 51A44B82))
+  (segment (start 64.275 67.075) (end 64.275 70.525) (width 0.1524) (layer Signal-L3) (net 5) (tstamp 51A44B80))
+  (segment (start 63.175 65.975) (end 64.275 67.075) (width 0.1524) (layer Signal-L3) (net 5) (tstamp 51A44B7F))
+  (segment (start 60.775 65.975) (end 62.65 65.975) (width 0.1524) (layer Signal-L3) (net 5))
+  (segment (start 60.775 65.975) (end 55.225 65.975) (width 0.1524) (layer Signal-L3) (net 5) (tstamp 51A44A4A))
+  (segment (start 55.225 65.975) (end 53.625 64.375) (width 0.1524) (layer Signal-L3) (net 5) (tstamp 51A446BA))
+  (segment (start 53.625 64.375) (end 53.625 62.425) (width 0.1524) (layer Signal-L3) (net 5) (tstamp 51A446BC))
+  (segment (start 62.975 71.3) (end 62.4 71.3) (width 0.1524) (layer Signal-L3) (net 5) (tstamp 51A44B86))
+  (segment (start 56.9 75.475) (end 56.65 75.475) (width 0.1524) (layer Signal-L3) (net 5))
+  (segment (start 56.65 75.475) (end 56.35 75.775) (width 0.1524) (layer Signal-L3) (net 5) (tstamp 51A446A0))
+  (segment (start 56.35 75.775) (end 54.975 75.775) (width 0.1524) (layer Signal-L3) (net 5) (tstamp 51A446A2))
+  (segment (start 54.975 75.775) (end 53.5 74.3) (width 0.1524) (layer Signal-L3) (net 5) (tstamp 51A446A4))
+  (segment (start 53.5 74.3) (end 53.5 72.25) (width 0.1524) (layer Signal-L3) (net 5) (tstamp 51A446A7))
+  (segment (start 53.5 72.25) (end 54.45 71.3) (width 0.1524) (layer Signal-L3) (net 5) (tstamp 51A446AA))
+  (segment (start 54.45 71.3) (end 62.4 71.3) (width 0.1524) (layer Signal-L3) (net 5) (tstamp 51A446AC))
+  (segment (start 53.2 62) (end 52.8 61.6) (width 0.1524) (layer TOP-L1) (net 5) (tstamp 51A446CA))
+  (via (at 53.2 62) (size 0.4572) (layers TOP-L1 BOT-L6) (net 5))
+  (segment (start 53.625 62.425) (end 53.2 62) (width 0.1524) (layer Signal-L3) (net 5) (tstamp 51A446C3))
+  (segment (start 55.825 74.8) (end 55.6 74.8) (width 0.1524) (layer Signal-L5) (net 5))
+  (segment (start 58.275 73.25) (end 58.05 73.475) (width 0.1524) (layer TOP-L1) (net 5) (tstamp 51A44471))
+  (segment (start 58.05 73.475) (end 57.85 73.475) (width 0.1524) (layer TOP-L1) (net 5) (tstamp 51A44474))
+  (segment (start 57.85 73.475) (end 57.725 73.6) (width 0.1524) (layer TOP-L1) (net 5) (tstamp 51A44475))
+  (segment (start 57.725 73.6) (end 57.725 75) (width 0.1524) (layer TOP-L1) (net 5) (tstamp 51A4447B))
+  (segment (start 57.725 75) (end 57.25 75.475) (width 0.1524) (layer TOP-L1) (net 5) (tstamp 51A4447F))
+  (segment (start 57.25 75.475) (end 56.9 75.475) (width 0.1524) (layer TOP-L1) (net 5) (tstamp 51A444AA))
+  (via (at 56.9 75.475) (size 0.4572) (layers TOP-L1 BOT-L6) (net 5))
+  (segment (start 58.275 73.25) (end 58.275 72.92458) (width 0.1524) (layer TOP-L1) (net 5))
+  (segment (start 56.225 74.8) (end 56.9 75.475) (width 0.1524) (layer Signal-L5) (net 5) (tstamp 51A444F3))
+  (segment (start 55.825 74.8) (end 56.225 74.8) (width 0.1524) (layer Signal-L5) (net 5) (tstamp 51A44503))
+  (segment (start 44.225 75.225) (end 42.575 75.225) (width 0.1524) (layer Signal-L5) (net 5))
+  (segment (start 54.4 81.2) (end 54.8 81.6) (width 0.1524) (layer TOP-L1) (net 5) (tstamp 51A3372F))
+  (segment (start 53.5 80.3) (end 54.4 81.2) (width 0.1524) (layer Signal-L5) (net 5) (tstamp 51A33701))
+  (segment (start 52.05 80.3) (end 53.5 80.3) (width 0.1524) (layer Signal-L5) (net 5) (tstamp 51A33988))
+  (via (at 54.4 81.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 5))
+  (segment (start 48.475 78.05) (end 46.75 76.325) (width 0.1524) (layer Signal-L5) (net 5) (tstamp 51A44C06))
+  (segment (start 47.575 79.3) (end 48.575 80.3) (width 0.1524) (layer Signal-L5) (net 5) (tstamp 51A339AE))
+  (segment (start 48.575 80.3) (end 52.05 80.3) (width 0.1524) (layer Signal-L5) (net 5) (tstamp 51A33983))
+  (segment (start 45.65 75.225) (end 44.35 75.225) (width 0.1524) (layer Signal-L5) (net 5) (tstamp 51A33999))
+  (segment (start 43.625 77.325) (end 45.6 77.325) (width 0.1524) (layer Signal-L5) (net 5) (tstamp 51A339BB))
+  (segment (start 45.6 77.325) (end 47.575 79.3) (width 0.1524) (layer Signal-L5) (net 5) (tstamp 51A339A2))
+  (segment (start 46.75 76.325) (end 45.65 75.225) (width 0.1524) (layer Signal-L5) (net 5))
+  (segment (start 43.25 77.325) (end 43.625 77.325) (width 0.1524) (layer Signal-L5) (net 5) (tstamp 51A339C6))
+  (segment (start 44.35 75.225) (end 44.225 75.225) (width 0.1524) (layer Signal-L5) (net 5))
+  (segment (start 42.575 75.225) (end 42.2 75.6) (width 0.1524) (layer Signal-L5) (net 5) (tstamp 51A339C0))
+  (segment (start 42.2 75.6) (end 42.2 76.825) (width 0.1524) (layer Signal-L5) (net 5) (tstamp 51A339C1))
+  (segment (start 42.2 76.825) (end 42.7 77.325) (width 0.1524) (layer Signal-L5) (net 5) (tstamp 51A339C2))
+  (segment (start 42.7 77.325) (end 43.25 77.325) (width 0.1524) (layer Signal-L5) (net 5) (tstamp 51A339C3))
+  (segment (start 42.05 63.6) (end 42.05 63.45) (width 0.1524) (layer Signal-L5) (net 6))
+  (segment (start 42.525 62.975) (end 42.875 62.975) (width 0.1524) (layer Signal-L5) (net 6) (tstamp 51A86506))
+  (segment (start 42.05 63.45) (end 42.525 62.975) (width 0.1524) (layer Signal-L5) (net 6) (tstamp 51A86505))
+  (segment (start 42.875 64.15) (end 42.325 64.15) (width 0.1524) (layer Signal-L5) (net 6))
+  (segment (start 42.05 63.875) (end 42.05 63.6) (width 0.1524) (layer Signal-L5) (net 6) (tstamp 51A864F8))
+  (segment (start 42.325 64.15) (end 42.05 63.875) (width 0.1524) (layer Signal-L5) (net 6) (tstamp 51A864F7))
+  (segment (start 49.825 82.125) (end 49.225 82.125) (width 0.1524) (layer Signal-L3) (net 6))
+  (segment (start 49.25 83.075) (end 49.5 83.075) (width 0.1524) (layer Signal-L3) (net 6) (tstamp 51A864D6))
+  (segment (start 49.025 82.85) (end 49.25 83.075) (width 0.1524) (layer Signal-L3) (net 6) (tstamp 51A864D5))
+  (segment (start 49.025 82.325) (end 49.025 82.85) (width 0.1524) (layer Signal-L3) (net 6) (tstamp 51A864D4))
+  (segment (start 49.225 82.125) (end 49.025 82.325) (width 0.1524) (layer Signal-L3) (net 6) (tstamp 51A864D3))
+  (segment (start 53.7 78.525) (end 53.7 78.65) (width 0.1524) (layer Signal-L3) (net 6))
+  (segment (start 52.575 67.2) (end 52.35 67.425) (width 0.1524) (layer Signal-L3) (net 6) (tstamp 519F5F89))
+  (segment (start 52.35 67.425) (end 52.35 73.9) (width 0.1524) (layer Signal-L3) (net 6) (tstamp 519F5F8A))
+  (segment (start 52.95 67.2) (end 52.575 67.2) (width 0.1524) (layer Signal-L3) (net 6))
+  (segment (start 52.35 74.45) (end 52.625 74.725) (width 0.1524) (layer Signal-L3) (net 6) (tstamp 51A027A3))
+  (segment (start 52.625 74.725) (end 52.9 74.725) (width 0.1524) (layer Signal-L3) (net 6) (tstamp 51A027A4))
+  (segment (start 52.35 73.9) (end 52.35 74.45) (width 0.1524) (layer Signal-L3) (net 6))
+  (segment (start 53.175 74.725) (end 54.15 75.7) (width 0.1524) (layer Signal-L3) (net 6) (tstamp 51A0289B))
+  (segment (start 54.15 75.7) (end 54.15 76.25) (width 0.1524) (layer Signal-L3) (net 6) (tstamp 51A0289C))
+  (segment (start 52.9 74.725) (end 53.175 74.725) (width 0.1524) (layer Signal-L3) (net 6))
+  (segment (start 54.15 76.9) (end 53.7 77.35) (width 0.1524) (layer Signal-L3) (net 6) (tstamp 51A028F8))
+  (segment (start 53.7 77.35) (end 53.7 78.525) (width 0.1524) (layer Signal-L3) (net 6) (tstamp 51A028F9))
+  (segment (start 54.15 76.25) (end 54.15 76.9) (width 0.1524) (layer Signal-L3) (net 6))
+  (segment (start 53.225 82.275) (end 52.975 82.525) (width 0.1524) (layer Signal-L3) (net 6) (tstamp 51A33541))
+  (segment (start 52.975 82.525) (end 51.875 82.525) (width 0.1524) (layer Signal-L3) (net 6) (tstamp 51A21403))
+  (segment (start 49.5 83.075) (end 49.875 83.075) (width 0.1524) (layer Signal-L3) (net 6) (tstamp 51A864D9))
+  (segment (start 49.875 83.075) (end 50.15 82.8) (width 0.1524) (layer Signal-L3) (net 6) (tstamp 51A21413))
+  (segment (start 50.15 82.8) (end 53.6 82.8) (width 0.1524) (layer Signal-L3) (net 6) (tstamp 51A21414))
+  (via (at 53.6 82.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 6))
+  (segment (start 53.6 82.8) (end 54.4 82.8) (width 0.1524) (layer TOP-L1) (net 6) (tstamp 51A2141F))
+  (segment (start 54.4 82.8) (end 54.8 83.2) (width 0.1524) (layer TOP-L1) (net 6) (tstamp 51A21420))
+  (segment (start 51.325 82.525) (end 50.925 82.125) (width 0.1524) (layer Signal-L3) (net 6) (tstamp 51A21433))
+  (segment (start 50.925 82.125) (end 49.825 82.125) (width 0.1524) (layer Signal-L3) (net 6) (tstamp 51A21434))
+  (segment (start 51.875 82.525) (end 51.325 82.525) (width 0.1524) (layer Signal-L3) (net 6))
+  (segment (start 53.7 78.65) (end 52.95 79.4) (width 0.1524) (layer Signal-L3) (net 6) (tstamp 51A33536))
+  (segment (start 52.95 79.4) (end 52.95 80.325) (width 0.1524) (layer Signal-L3) (net 6) (tstamp 51A33537))
+  (segment (start 52.95 80.325) (end 53.375 80.75) (width 0.1524) (layer Signal-L3) (net 6) (tstamp 51A33538))
+  (segment (start 53.375 80.75) (end 53.375 82.125) (width 0.1524) (layer Signal-L3) (net 6) (tstamp 51A3353A))
+  (segment (start 53.375 82.125) (end 53.225 82.275) (width 0.1524) (layer Signal-L3) (net 6) (tstamp 51A3353C))
+  (segment (start 42.925 62.975) (end 42.875 62.975) (width 0.1524) (layer Signal-L5) (net 6))
+  (segment (start 42.925 62.975) (end 44.6 62.975) (width 0.1524) (layer Signal-L5) (net 6) (tstamp 51A302CB))
+  (segment (start 44.6 62.975) (end 45.975 64.35) (width 0.1524) (layer Signal-L5) (net 6) (tstamp 51A3029A))
+  (segment (start 45.975 64.35) (end 51.625 64.35) (width 0.1524) (layer Signal-L5) (net 6) (tstamp 51A3029C))
+  (segment (start 51.625 64.35) (end 52.4 63.575) (width 0.1524) (layer Signal-L5) (net 6) (tstamp 51A3029E))
+  (via (at 52.4 63.575) (size 0.4572) (layers TOP-L1 BOT-L6) (net 6))
+  (segment (start 52.4 63.575) (end 52.775 63.2) (width 0.1524) (layer TOP-L1) (net 6) (tstamp 51A302B3))
+  (segment (start 52.775 63.2) (end 52.8 63.2) (width 0.1524) (layer TOP-L1) (net 6) (tstamp 51A302B4))
+  (segment (start 43.25 65.15) (end 42.925 65.15) (width 0.1524) (layer Signal-L5) (net 6) (tstamp 51A302C5))
+  (segment (start 43.575 64.825) (end 43.25 65.15) (width 0.1524) (layer Signal-L5) (net 6) (tstamp 51A302C4))
+  (segment (start 43.575 64.425) (end 43.575 64.825) (width 0.1524) (layer Signal-L5) (net 6) (tstamp 51A302C3))
+  (segment (start 43.3 64.15) (end 43.575 64.425) (width 0.1524) (layer Signal-L5) (net 6) (tstamp 51A302C2))
+  (segment (start 52.825 67.2) (end 49.1 67.2) (width 0.1524) (layer Signal-L5) (net 6))
+  (segment (start 49.1 67.2) (end 49.025 67.275) (width 0.1524) (layer Signal-L5) (net 6) (tstamp 51A3027E))
+  (segment (start 49.025 67.275) (end 48.075 67.275) (width 0.1524) (layer Signal-L5) (net 6) (tstamp 51A30280))
+  (segment (start 48.075 67.275) (end 46.95 66.15) (width 0.1524) (layer Signal-L5) (net 6) (tstamp 51A30283))
+  (segment (start 46.95 66.15) (end 42.15 66.15) (width 0.1524) (layer Signal-L5) (net 6) (tstamp 51A30286))
+  (segment (start 42.15 66.15) (end 41.8 65.8) (width 0.1524) (layer Signal-L5) (net 6) (tstamp 51A30289))
+  (segment (start 41.8 65.8) (end 41.8 65.425) (width 0.1524) (layer Signal-L5) (net 6) (tstamp 51A3028A))
+  (segment (start 41.8 65.425) (end 42.075 65.15) (width 0.1524) (layer Signal-L5) (net 6) (tstamp 51A30290))
+  (segment (start 42.075 65.15) (end 42.925 65.15) (width 0.1524) (layer Signal-L5) (net 6) (tstamp 51A30291))
+  (segment (start 43.125 64.15) (end 42.875 64.15) (width 0.1524) (layer Signal-L5) (net 6) (tstamp 51A302C0))
+  (segment (start 43.125 64.15) (end 43.3 64.15) (width 0.1524) (layer Signal-L5) (net 6))
+  (segment (start 66.85 65.675) (end 66.7 65.675) (width 0.1524) (layer Signal-L5) (net 6))
+  (segment (start 66.55 66.2) (end 66.625 66.275) (width 0.1524) (layer Signal-L5) (net 6) (tstamp 51A30270))
+  (segment (start 66.55 65.825) (end 66.55 66.2) (width 0.1524) (layer Signal-L5) (net 6) (tstamp 51A3026F))
+  (segment (start 66.7 65.675) (end 66.55 65.825) (width 0.1524) (layer Signal-L5) (net 6) (tstamp 51A3026E))
+  (segment (start 67.525 66.6) (end 67.525 66.15) (width 0.1524) (layer Signal-L5) (net 6))
+  (segment (start 66.85 66.5) (end 66.85 66.775) (width 0.1524) (layer Signal-L5) (net 6) (tstamp 51A30263))
+  (segment (start 66.625 66.275) (end 66.85 66.5) (width 0.1524) (layer Signal-L5) (net 6) (tstamp 51A30273))
+  (segment (start 67.05 65.675) (end 66.85 65.675) (width 0.1524) (layer Signal-L5) (net 6) (tstamp 51A3025F))
+  (segment (start 67.525 66.15) (end 67.05 65.675) (width 0.1524) (layer Signal-L5) (net 6) (tstamp 51A3025E))
+  (segment (start 52.85 67.2) (end 52.825 67.2) (width 0.1524) (layer Signal-L5) (net 6))
+  (segment (start 52.825 67.2) (end 65.2 67.2) (width 0.1524) (layer Signal-L5) (net 6) (tstamp 51A3027C))
+  (segment (start 65.2 67.2) (end 65.5 66.9) (width 0.1524) (layer Signal-L5) (net 6) (tstamp 51A301FF))
+  (segment (start 65.5 66.9) (end 65.5 66.425) (width 0.1524) (layer Signal-L5) (net 6) (tstamp 51A30208))
+  (segment (start 65.5 66.425) (end 65.675 66.25) (width 0.1524) (layer Signal-L5) (net 6) (tstamp 51A30209))
+  (segment (start 65.675 66.25) (end 66.025 66.25) (width 0.1524) (layer Signal-L5) (net 6) (tstamp 51A3020B))
+  (segment (start 66.025 66.25) (end 66.225 66.45) (width 0.1524) (layer Signal-L5) (net 6) (tstamp 51A3020C))
+  (segment (start 66.225 66.45) (end 66.225 67.05) (width 0.1524) (layer Signal-L5) (net 6) (tstamp 51A3020D))
+  (segment (start 66.225 67.05) (end 66.4 67.225) (width 0.1524) (layer Signal-L5) (net 6) (tstamp 51A3020E))
+  (segment (start 66.4 67.225) (end 66.7 67.225) (width 0.1524) (layer Signal-L5) (net 6) (tstamp 51A3020F))
+  (segment (start 66.7 67.225) (end 66.85 67.075) (width 0.1524) (layer Signal-L5) (net 6) (tstamp 51A30210))
+  (segment (start 66.85 67.075) (end 66.85 66.775) (width 0.1524) (layer Signal-L5) (net 6) (tstamp 51A30212))
+  (via (at 52.85 67.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 6))
+  (segment (start 67.725 67.2) (end 69.4 67.2) (width 0.1524) (layer Signal-L5) (net 6) (tstamp 51A3021C))
+  (segment (start 67.525 67) (end 67.725 67.2) (width 0.1524) (layer Signal-L5) (net 6) (tstamp 51A3021A))
+  (segment (start 67.525 66.6) (end 67.525 67) (width 0.1524) (layer Signal-L5) (net 6) (tstamp 51A3025C))
+  (segment (start 69.8 66.8) (end 69.4 67.2) (width 0.1524) (layer TOP-L1) (net 6))
+  (via (at 69.4 67.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 6))
+  (segment (start 46.57 56.8) (end 46.57 56.66) (width 0.1524) (layer Signal-L3) (net 7))
+  (segment (start 46.3 55.08) (end 47.01 55.08) (width 0.1524) (layer Signal-L3) (net 7) (tstamp 51A871D1))
+  (segment (start 46.08 55.3) (end 46.3 55.08) (width 0.1524) (layer Signal-L3) (net 7) (tstamp 51A871D0))
+  (segment (start 46.08 56.17) (end 46.08 55.3) (width 0.1524) (layer Signal-L3) (net 7) (tstamp 51A871CF))
+  (segment (start 46.57 56.66) (end 46.08 56.17) (width 0.1524) (layer Signal-L3) (net 7) (tstamp 51A871CE))
+  (segment (start 49.57 58.88) (end 49.57 59.41) (width 0.1524) (layer Signal-L3) (net 7))
+  (segment (start 50.44 60.98) (end 49.82 61.6) (width 0.1524) (layer Signal-L3) (net 7) (tstamp 51A87198))
+  (segment (start 50.44 60.28) (end 50.44 60.98) (width 0.1524) (layer Signal-L3) (net 7) (tstamp 51A87196))
+  (segment (start 49.57 59.41) (end 50.44 60.28) (width 0.1524) (layer Signal-L3) (net 7) (tstamp 51A87195))
+  (segment (start 46.57 56.93) (end 46.57 56.8) (width 0.1524) (layer Signal-L3) (net 7) (tstamp 51A05911))
+  (segment (start 46.57 57.1) (end 46.57 56.93) (width 0.1524) (layer Signal-L3) (net 7) (tstamp 51A0590E))
+  (segment (start 48.7 57.54) (end 48.4 57.84) (width 0.1524) (layer Signal-L3) (net 7) (tstamp 51A8712C))
+  (segment (start 49.23 57.54) (end 48.7 57.54) (width 0.1524) (layer Signal-L3) (net 7) (tstamp 51A8712B))
+  (segment (start 49.57 57.88) (end 49.23 57.54) (width 0.1524) (layer Signal-L3) (net 7) (tstamp 51A8712A))
+  (segment (start 49.57 58.88) (end 49.57 57.88) (width 0.1524) (layer Signal-L3) (net 7) (tstamp 51A87193))
+  (segment (start 49.525 61.895) (end 49.82 61.6) (width 0.1524) (layer Signal-L3) (net 7) (tstamp 51A8711F))
+  (segment (start 49.2 63.05) (end 49.2 62.775) (width 0.1524) (layer Signal-L3) (net 7))
+  (segment (start 49.525 62.45) (end 49.525 62.12) (width 0.1524) (layer Signal-L3) (net 7) (tstamp 519F618A))
+  (segment (start 49.2 62.775) (end 49.525 62.45) (width 0.1524) (layer Signal-L3) (net 7) (tstamp 519F6189))
+  (segment (start 49.2 64.125) (end 49.2 63.75) (width 0.1524) (layer Signal-L3) (net 7) (tstamp 519F6124))
+  (segment (start 50.275 65.2) (end 49.2 64.125) (width 0.1524) (layer Signal-L3) (net 7) (tstamp 519F6121))
+  (segment (start 50.275 67.45) (end 50.275 65.2) (width 0.1524) (layer Signal-L3) (net 7) (tstamp 519F6120))
+  (segment (start 50.45 67.625) (end 50.275 67.45) (width 0.1524) (layer Signal-L3) (net 7) (tstamp 519F611E))
+  (segment (start 50.45 70.15) (end 50.45 67.625) (width 0.1524) (layer Signal-L3) (net 7) (tstamp 519F611D))
+  (segment (start 50.025 70.575) (end 50.45 70.15) (width 0.1524) (layer Signal-L3) (net 7) (tstamp 519F611C))
+  (segment (start 50.025 70.875) (end 50.025 70.575) (width 0.1524) (layer Signal-L3) (net 7) (tstamp 519F611B))
+  (segment (start 50.35 71.2) (end 50.025 70.875) (width 0.1524) (layer Signal-L3) (net 7) (tstamp 519F611A))
+  (segment (start 49.2 63.75) (end 49.2 63.05) (width 0.1524) (layer Signal-L3) (net 7) (tstamp 519F6127))
+  (segment (start 49.525 62.12) (end 49.525 61.895) (width 0.1524) (layer Signal-L3) (net 7))
+  (segment (start 46.625 58.01) (end 46.765 58.01) (width 0.1524) (layer Signal-L3) (net 7))
+  (segment (start 48.59 55.075) (end 47.01 55.075) (width 0.1524) (layer TOP-L1) (net 7) (tstamp 51A154A0))
+  (segment (start 52 57.6) (end 51.6 57.2) (width 0.1524) (layer TOP-L1) (net 7))
+  (segment (start 51.6 57.2) (end 51.6 55.775) (width 0.1524) (layer TOP-L1) (net 7) (tstamp 519EFE67))
+  (segment (start 51.6 55.775) (end 51.6 55.79) (width 0.1524) (layer TOP-L1) (net 7))
+  (via (at 47.01 55.08) (size 0.4572) (layers TOP-L1 BOT-L6) (net 7))
+  (segment (start 47.01 55.08) (end 47.01 55.075) (width 0.1524) (layer TOP-L1) (net 7) (tstamp 519F1D1F))
+  (segment (start 46.625 58.01) (end 46.3 58.01) (width 0.1524) (layer Signal-L3) (net 7) (tstamp 51A86B07))
+  (segment (start 46.3 58.01) (end 46.14 57.85) (width 0.1524) (layer Signal-L3) (net 7) (tstamp 51A0590A))
+  (segment (start 46.14 57.85) (end 46.14 57.53) (width 0.1524) (layer Signal-L3) (net 7) (tstamp 51A0590B))
+  (segment (start 46.14 57.53) (end 46.57 57.1) (width 0.1524) (layer Signal-L3) (net 7) (tstamp 51A0590D))
+  (segment (start 48.23 58.01) (end 48.4 57.84) (width 0.1524) (layer Signal-L3) (net 7) (tstamp 51A05918))
+  (segment (start 48.075 58.01) (end 48.23 58.01) (width 0.1524) (layer Signal-L3) (net 7) (tstamp 51A86B10))
+  (segment (start 49.505 55.075) (end 49.7 55.27) (width 0.1524) (layer TOP-L1) (net 7) (tstamp 51A154A2))
+  (segment (start 49.7 55.27) (end 51.3 55.27) (width 0.1524) (layer TOP-L1) (net 7) (tstamp 51A154A3))
+  (segment (start 51.3 55.27) (end 51.6 55.57) (width 0.1524) (layer TOP-L1) (net 7) (tstamp 51A154A4))
+  (segment (start 51.6 55.57) (end 51.6 55.79) (width 0.1524) (layer TOP-L1) (net 7) (tstamp 51A154A5))
+  (segment (start 48.59 55.075) (end 49.505 55.075) (width 0.1524) (layer TOP-L1) (net 7))
+  (segment (start 46.765 58.01) (end 47.175 57.6) (width 0.1524) (layer Signal-L3) (net 7) (tstamp 51A86B09))
+  (segment (start 47.175 57.6) (end 47.55 57.6) (width 0.1524) (layer Signal-L3) (net 7) (tstamp 51A86B0A))
+  (segment (start 47.55 57.6) (end 47.95 58) (width 0.1524) (layer Signal-L3) (net 7) (tstamp 51A86B0B))
+  (segment (start 47.95 58) (end 48.075 58) (width 0.1524) (layer Signal-L3) (net 7) (tstamp 51A86B0D))
+  (segment (start 48.075 58) (end 48.075 58.01) (width 0.1524) (layer Signal-L3) (net 7) (tstamp 51A86B0F))
+  (segment (start 49.275 75.275) (end 48.375 75.275) (width 0.1524) (layer TOP-L1) (net 7))
+  (segment (start 47.875 77.4) (end 47.875 77.395) (width 0.1524) (layer Signal-L3) (net 7) (tstamp 51A33946))
+  (segment (start 47.425 76.95) (end 47.875 77.4) (width 0.1524) (layer Signal-L3) (net 7) (tstamp 51A33942))
+  (segment (start 47.425 76.225) (end 47.425 76.95) (width 0.1524) (layer Signal-L3) (net 7) (tstamp 51A33941))
+  (segment (start 47.85 75.8) (end 47.425 76.225) (width 0.1524) (layer Signal-L3) (net 7) (tstamp 51A33940))
+  (via (at 47.85 75.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 7))
+  (segment (start 48.375 75.275) (end 47.85 75.8) (width 0.1524) (layer TOP-L1) (net 7) (tstamp 51A33930))
+  (segment (start 50.35 71.2) (end 69.4 71.2) (width 0.1524) (layer Signal-L5) (net 7))
+  (segment (start 69.4 71.2) (end 69.8 70.8) (width 0.1524) (layer TOP-L1) (net 7) (tstamp 51A30995))
+  (via (at 69.4 71.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 7))
+  (segment (start 49.275 75.275) (end 52.925 75.275) (width 0.1524) (layer TOP-L1) (net 7) (tstamp 51A3392E))
+  (segment (start 52.925 75.275) (end 53.425 75.775) (width 0.1524) (layer TOP-L1) (net 7) (tstamp 519F1037))
+  (segment (start 53.6 77.2) (end 53.6 75.95) (width 0.1524) (layer TOP-L1) (net 7) (tstamp 519DC3E6))
+  (segment (start 54 77.6) (end 53.6 77.2) (width 0.1524) (layer TOP-L1) (net 7))
+  (segment (start 53.6 75.95) (end 53.425 75.775) (width 0.1524) (layer TOP-L1) (net 7) (tstamp 519DC3E8))
+  (segment (start 49.6 71.2) (end 47.92 71.2) (width 0.1524) (layer TOP-L1) (net 7) (tstamp 519F5D8F))
+  (segment (start 50.425 71.2) (end 50.35 71.2) (width 0.1524) (layer TOP-L1) (net 7))
+  (segment (start 48.05 69.21) (end 48.05 68.34) (width 0.1524) (layer Signal-L3) (net 7))
+  (segment (start 47.32 68.31) (end 47.32 69.78) (width 0.1524) (layer Signal-L3) (net 7) (tstamp 51A058BD))
+  (segment (start 47.49 68.14) (end 47.32 68.31) (width 0.1524) (layer Signal-L3) (net 7) (tstamp 51A058B8))
+  (segment (start 47.85 68.14) (end 47.49 68.14) (width 0.1524) (layer Signal-L3) (net 7) (tstamp 51A058B7))
+  (segment (start 48.05 68.34) (end 47.85 68.14) (width 0.1524) (layer Signal-L3) (net 7) (tstamp 51A058B6))
+  (segment (start 47.55 73.75) (end 47.55 74.7) (width 0.1524) (layer Signal-L3) (net 7))
+  (segment (start 48.47 77.99) (end 47.875 77.395) (width 0.1524) (layer Signal-L3) (net 7) (tstamp 51A058A3))
+  (segment (start 48.47 78.36) (end 48.47 77.99) (width 0.1524) (layer Signal-L3) (net 7) (tstamp 51A058A2))
+  (segment (start 48.17 78.66) (end 48.47 78.36) (width 0.1524) (layer Signal-L3) (net 7) (tstamp 51A058A1))
+  (segment (start 47.34 78.66) (end 48.17 78.66) (width 0.1524) (layer Signal-L3) (net 7) (tstamp 51A058A0))
+  (segment (start 47.08 78.4) (end 47.34 78.66) (width 0.1524) (layer Signal-L3) (net 7) (tstamp 51A0589E))
+  (segment (start 47.08 75.17) (end 47.08 78.4) (width 0.1524) (layer Signal-L3) (net 7) (tstamp 51A0589D))
+  (segment (start 47.55 74.7) (end 47.08 75.17) (width 0.1524) (layer Signal-L3) (net 7) (tstamp 51A0589C))
+  (segment (start 47.92 71.2) (end 47.84 71.2) (width 0.1524) (layer TOP-L1) (net 7))
+  (segment (start 47.55 73.46) (end 47.55 73.75) (width 0.1524) (layer Signal-L3) (net 7) (tstamp 51A05851))
+  (segment (start 47.89 73.12) (end 47.55 73.46) (width 0.1524) (layer Signal-L3) (net 7) (tstamp 51A05850))
+  (segment (start 47.89 72.02) (end 47.89 73.12) (width 0.1524) (layer Signal-L3) (net 7) (tstamp 51A0584D))
+  (segment (start 47.32 71.45) (end 47.89 72.02) (width 0.1524) (layer Signal-L3) (net 7) (tstamp 51A0584A))
+  (segment (start 47.32 69.78) (end 47.32 71.45) (width 0.1524) (layer Signal-L3) (net 7) (tstamp 51A058C1))
+  (segment (start 48.05 70.11) (end 48.05 69.21) (width 0.1524) (layer Signal-L3) (net 7) (tstamp 51A05840))
+  (segment (start 47.63 70.53) (end 48.05 70.11) (width 0.1524) (layer Signal-L3) (net 7) (tstamp 51A05839))
+  (segment (start 47.63 70.99) (end 47.63 70.53) (width 0.1524) (layer Signal-L3) (net 7) (tstamp 51A05838))
+  (segment (start 47.84 71.2) (end 47.63 70.99) (width 0.1524) (layer Signal-L3) (net 7) (tstamp 51A05837))
+  (via (at 47.84 71.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 7))
+  (segment (start 50.425 71.2) (end 49.6 71.2) (width 0.1524) (layer TOP-L1) (net 7) (tstamp 519F6116))
+  (via (at 50.35 71.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 7))
+  (segment (start 58.45 80.1) (end 58.2 79.85) (width 0.1524) (layer TOP-L1) (net 8))
+  (segment (start 58.2 79) (end 58.475 78.725) (width 0.1524) (layer TOP-L1) (net 8) (tstamp 51A44192))
+  (segment (start 58.2 79.85) (end 58.2 79) (width 0.1524) (layer TOP-L1) (net 8) (tstamp 51A44191))
+  (segment (start 58.475 78.725) (end 58.6 78.6) (width 0.1524) (layer TOP-L1) (net 8) (tstamp 51A44195))
+  (segment (start 58.75 80.4) (end 58.45 80.1) (width 0.1524) (layer TOP-L1) (net 8))
+  (segment (start 67.5 77.6) (end 65.575 79.525) (width 0.1524) (layer TOP-L1) (net 8))
+  (segment (start 66.1 81.325) (end 65.725 81.325) (width 0.1524) (layer TOP-L1) (net 8) (tstamp 51A44162))
+  (segment (start 66.45 80.975) (end 66.1 81.325) (width 0.1524) (layer TOP-L1) (net 8) (tstamp 51A44160))
+  (segment (start 66.45 80.475) (end 66.45 80.975) (width 0.1524) (layer TOP-L1) (net 8) (tstamp 51A4415F))
+  (segment (start 66.25 80.275) (end 66.45 80.475) (width 0.1524) (layer TOP-L1) (net 8) (tstamp 51A4415D))
+  (segment (start 65.925 80.275) (end 66.25 80.275) (width 0.1524) (layer TOP-L1) (net 8) (tstamp 51A4415A))
+  (segment (start 65.575 79.925) (end 65.925 80.275) (width 0.1524) (layer TOP-L1) (net 8) (tstamp 51A44159))
+  (segment (start 65.575 79.525) (end 65.575 79.925) (width 0.1524) (layer TOP-L1) (net 8) (tstamp 51A44155))
+  (segment (start 58.6 78.6) (end 58.8 78.4) (width 0.1524) (layer TOP-L1) (net 8) (tstamp 51A44176))
+  (via (at 65.725 81.325) (size 0.4572) (layers TOP-L1 BOT-L6) (net 8))
+  (segment (start 65.725 81.325) (end 63.675 81.325) (width 0.1524) (layer Signal-L3) (net 8) (tstamp 51A440CF))
+  (segment (start 63.675 81.325) (end 63.1 80.75) (width 0.1524) (layer Signal-L3) (net 8) (tstamp 51A440D0))
+  (segment (start 63.1 80.75) (end 62.15 80.75) (width 0.1524) (layer Signal-L3) (net 8) (tstamp 51A440D2))
+  (segment (start 62.15 80.75) (end 61.95 80.55) (width 0.1524) (layer Signal-L3) (net 8) (tstamp 51A440D5))
+  (segment (start 61.95 80.55) (end 60.15 80.55) (width 0.1524) (layer Signal-L3) (net 8) (tstamp 51A440D7))
+  (segment (start 60.15 80.55) (end 60.025 80.675) (width 0.1524) (layer Signal-L3) (net 8) (tstamp 51A440D8))
+  (segment (start 60.025 80.675) (end 59.025 80.675) (width 0.1524) (layer Signal-L3) (net 8) (tstamp 51A440DD))
+  (via (at 59.025 80.675) (size 0.4572) (layers TOP-L1 BOT-L6) (net 8))
+  (segment (start 59.025 80.675) (end 58.75 80.4) (width 0.1524) (layer TOP-L1) (net 8) (tstamp 51A440E3))
+  (segment (start 70.6 74) (end 70.2 74.4) (width 0.1524) (layer TOP-L1) (net 8))
+  (segment (start 68.9 76.2) (end 67.5 77.6) (width 0.1524) (layer TOP-L1) (net 8) (tstamp 51A440C2))
+  (segment (start 69.925 76.2) (end 68.9 76.2) (width 0.1524) (layer TOP-L1) (net 8) (tstamp 51A440C0))
+  (segment (start 70.2 75.925) (end 69.925 76.2) (width 0.1524) (layer TOP-L1) (net 8) (tstamp 51A440BC))
+  (segment (start 70.2 74.4) (end 70.2 75.925) (width 0.1524) (layer TOP-L1) (net 8) (tstamp 51A440B7))
+  (segment (start 58.625 80.25) (end 58.275 80.25) (width 0.1524) (layer Signal-L3) (net 9))
+  (segment (start 58 80.525) (end 58 80.625) (width 0.1524) (layer Signal-L3) (net 9) (tstamp 51A43C1B))
+  (segment (start 58.275 80.25) (end 58 80.525) (width 0.1524) (layer Signal-L3) (net 9) (tstamp 51A43C1A))
+  (segment (start 58.625 80.25) (end 58.575 80.25) (width 0.1524) (layer Signal-L3) (net 9) (tstamp 51A43C18))
+  (segment (start 67.4 80.8) (end 66.425 80.8) (width 0.1524) (layer Signal-L3) (net 9))
+  (segment (start 69.975 80.825) (end 69.95 80.8) (width 0.1524) (layer Signal-L3) (net 9) (tstamp 51A43BCE))
+  (segment (start 69.95 80.8) (end 67.4 80.8) (width 0.1524) (layer Signal-L3) (net 9) (tstamp 51A43BCF))
+  (segment (start 66.425 80.8) (end 66.275 80.65) (width 0.1524) (layer Signal-L3) (net 9) (tstamp 51A43BD9))
+  (segment (start 66.275 80.65) (end 65.6 80.65) (width 0.1524) (layer Signal-L3) (net 9) (tstamp 51A43BDA))
+  (segment (start 65.6 80.65) (end 63.575 80.65) (width 0.1524) (layer Signal-L3) (net 9))
+  (segment (start 63.575 80.65) (end 63.5 80.575) (width 0.1524) (layer Signal-L3) (net 9) (tstamp 51A43BE6))
+  (segment (start 73.8 75.925) (end 73.8 77) (width 0.1524) (layer TOP-L1) (net 9))
+  (via (at 69.975 80.825) (size 0.4572) (layers TOP-L1 BOT-L6) (net 9))
+  (segment (start 73.8 77) (end 69.975 80.825) (width 0.1524) (layer TOP-L1) (net 9) (tstamp 51A43BCB))
+  (segment (start 63.175 80.45) (end 63.375 80.45) (width 0.1524) (layer Signal-L3) (net 9))
+  (segment (start 63.375 80.45) (end 63.5 80.575) (width 0.1524) (layer Signal-L3) (net 9) (tstamp 51A2125C))
+  (segment (start 58 81.35) (end 58 80.625) (width 0.1524) (layer TOP-L1) (net 9))
+  (segment (start 58 81.6) (end 58 81.35) (width 0.1524) (layer TOP-L1) (net 9))
+  (via (at 58 80.625) (size 0.4572) (layers TOP-L1 BOT-L6) (net 9))
+  (segment (start 62.25 80.45) (end 63.175 80.45) (width 0.1524) (layer Signal-L3) (net 9) (tstamp 51A2084C))
+  (segment (start 62.05 80.25) (end 62.25 80.45) (width 0.1524) (layer Signal-L3) (net 9) (tstamp 51A2084B))
+  (segment (start 61.8 80.25) (end 62.05 80.25) (width 0.1524) (layer Signal-L3) (net 9))
+  (segment (start 61.8 80.25) (end 58.575 80.25) (width 0.1524) (layer Signal-L3) (net 9) (tstamp 51A20849))
+  (segment (start 73.8 75.925) (end 73.8 75.875) (width 0.1524) (layer TOP-L1) (net 9) (tstamp 51A43BC9))
+  (segment (start 73.8 75.875) (end 73.8 74.8) (width 0.1524) (layer TOP-L1) (net 9) (tstamp 51A2085A))
+  (segment (start 66.9 75.35) (end 66.675 75.35) (width 0.1524) (layer TOP-L1) (net 10))
+  (segment (start 66.45 75.575) (end 66.45 75.75) (width 0.1524) (layer TOP-L1) (net 10) (tstamp 519B280F))
+  (segment (start 66.675 75.35) (end 66.45 75.575) (width 0.1524) (layer TOP-L1) (net 10) (tstamp 519B280E))
+  (segment (start 66.45 75.75) (end 66.45 75.975) (width 0.1524) (layer TOP-L1) (net 10) (tstamp 519B2812))
+  (segment (start 65.575 76.05) (end 65.575 75.675) (width 0.1524) (layer TOP-L1) (net 10) (tstamp 519B2805))
+  (segment (start 65.75 76.225) (end 65.575 76.05) (width 0.1524) (layer TOP-L1) (net 10) (tstamp 519B2804))
+  (segment (start 66.2 76.225) (end 65.75 76.225) (width 0.1524) (layer TOP-L1) (net 10) (tstamp 519B2803))
+  (segment (start 66.45 75.975) (end 66.2 76.225) (width 0.1524) (layer TOP-L1) (net 10) (tstamp 519B2802))
+  (segment (start 67.2 75.35) (end 66.9 75.35) (width 0.1524) (layer TOP-L1) (net 10))
+  (segment (start 65.575 75.675) (end 65.575 75.65) (width 0.1524) (layer TOP-L1) (net 10) (tstamp 519B2808))
+  (segment (start 65.575 75.65) (end 65.575 75.5) (width 0.1524) (layer TOP-L1) (net 10) (tstamp 519B27FA))
+  (segment (start 69 74.8) (end 69 74.925) (width 0.1524) (layer TOP-L1) (net 10))
+  (segment (start 61.6 82.8) (end 61.2 82.4) (width 0.1524) (layer TOP-L1) (net 10) (tstamp 519B27D8))
+  (segment (start 62.9 82.8) (end 61.6 82.8) (width 0.1524) (layer TOP-L1) (net 10) (tstamp 519B27D6))
+  (segment (start 64.5 81.2) (end 62.9 82.8) (width 0.1524) (layer TOP-L1) (net 10) (tstamp 519B27D3))
+  (segment (start 64.5 75.55) (end 64.5 81.2) (width 0.1524) (layer TOP-L1) (net 10) (tstamp 519B27D2))
+  (segment (start 64.775 75.275) (end 64.5 75.55) (width 0.1524) (layer TOP-L1) (net 10) (tstamp 519B27D1))
+  (segment (start 65.35 75.275) (end 64.775 75.275) (width 0.1524) (layer TOP-L1) (net 10) (tstamp 519B27D0))
+  (segment (start 65.575 75.5) (end 65.35 75.275) (width 0.1524) (layer TOP-L1) (net 10) (tstamp 519B27CF))
+  (segment (start 68.575 75.35) (end 67.2 75.35) (width 0.1524) (layer TOP-L1) (net 10) (tstamp 519B27B3))
+  (segment (start 69 74.925) (end 68.575 75.35) (width 0.1524) (layer TOP-L1) (net 10) (tstamp 519B27B2))
+  (segment (start 62.45 72.8) (end 61.85 72.8) (width 0.1524) (layer TOP-L1) (net 11))
+  (segment (start 61.65 73) (end 61.65 73.225) (width 0.1524) (layer TOP-L1) (net 11) (tstamp 51A43811))
+  (segment (start 61.85 72.8) (end 61.65 73) (width 0.1524) (layer TOP-L1) (net 11) (tstamp 51A43810))
+  (segment (start 60.375 73) (end 60.25 73.125) (width 0.1524) (layer TOP-L1) (net 11) (tstamp 51A437F3))
+  (segment (start 60.25 73.125) (end 60.175 73.2) (width 0.1524) (layer TOP-L1) (net 11) (tstamp 51A437F8))
+  (segment (start 60.175 73.2) (end 60.175 73.3) (width 0.1524) (layer TOP-L1) (net 11) (tstamp 519B23BC))
+  (segment (start 60.85 73) (end 60.375 73) (width 0.1524) (layer TOP-L1) (net 11) (tstamp 51A437F2))
+  (segment (start 63.1 72.8) (end 62.45 72.8) (width 0.1524) (layer TOP-L1) (net 11))
+  (segment (start 61.025 73.175) (end 60.85 73) (width 0.1524) (layer TOP-L1) (net 11) (tstamp 51A437F1))
+  (segment (start 61.025 73.475) (end 61.025 73.175) (width 0.1524) (layer TOP-L1) (net 11) (tstamp 51A437EE))
+  (segment (start 61.2 73.65) (end 61.025 73.475) (width 0.1524) (layer TOP-L1) (net 11) (tstamp 51A437EC))
+  (segment (start 61.45 73.65) (end 61.2 73.65) (width 0.1524) (layer TOP-L1) (net 11) (tstamp 51A437EB))
+  (segment (start 61.65 73.45) (end 61.45 73.65) (width 0.1524) (layer TOP-L1) (net 11) (tstamp 51A437E9))
+  (segment (start 61.65 73.225) (end 61.65 73.45) (width 0.1524) (layer TOP-L1) (net 11) (tstamp 51A43814))
+  (segment (start 69 72.4) (end 68.6 72.8) (width 0.1524) (layer TOP-L1) (net 11))
+  (segment (start 68.6 72.8) (end 63.1 72.8) (width 0.1524) (layer TOP-L1) (net 11) (tstamp 519B16B4))
+  (segment (start 60.175 75.425) (end 60.7 75.425) (width 0.1524) (layer TOP-L1) (net 11) (tstamp 519B2384))
+  (segment (start 59.875 75.125) (end 60.175 75.425) (width 0.1524) (layer TOP-L1) (net 11) (tstamp 519B2383))
+  (segment (start 59.875 73.9) (end 59.875 75.125) (width 0.1524) (layer TOP-L1) (net 11) (tstamp 519B237D))
+  (segment (start 60.175 73.6) (end 59.875 73.9) (width 0.1524) (layer TOP-L1) (net 11) (tstamp 519B237B))
+  (segment (start 60.175 73.3) (end 60.175 73.6) (width 0.1524) (layer TOP-L1) (net 11) (tstamp 519B23BF))
+  (segment (start 60.95 75.425) (end 61.525 76) (width 0.1524) (layer TOP-L1) (net 11) (tstamp 519B16EF))
+  (segment (start 60.7 75.425) (end 60.95 75.425) (width 0.1524) (layer TOP-L1) (net 11) (tstamp 519B2388))
+  (segment (start 61.6 77.2) (end 62 77.6) (width 0.1524) (layer TOP-L1) (net 11) (tstamp 519B16DA))
+  (segment (start 61.6 76.075) (end 61.6 77.2) (width 0.1524) (layer TOP-L1) (net 11) (tstamp 519B16D6))
+  (segment (start 61.525 76) (end 61.6 76.075) (width 0.1524) (layer TOP-L1) (net 11) (tstamp 519B16F5))
+  (segment (start 60.2 74.525) (end 60.2 74.7) (width 0.1524) (layer TOP-L1) (net 12))
+  (segment (start 60.575 75.075) (end 60.775 75.075) (width 0.1524) (layer TOP-L1) (net 12) (tstamp 519B396E))
+  (segment (start 60.2 74.7) (end 60.575 75.075) (width 0.1524) (layer TOP-L1) (net 12) (tstamp 519B396D))
+  (segment (start 61.95 74.35) (end 61.95 74.525) (width 0.1524) (layer TOP-L1) (net 12))
+  (segment (start 61.45 74.75) (end 61.325 74.625) (width 0.1524) (layer TOP-L1) (net 12) (tstamp 519B25EA))
+  (segment (start 61.725 74.75) (end 61.45 74.75) (width 0.1524) (layer TOP-L1) (net 12) (tstamp 519B25E9))
+  (segment (start 61.95 74.525) (end 61.725 74.75) (width 0.1524) (layer TOP-L1) (net 12) (tstamp 519B25E8))
+  (segment (start 62.55 73.2) (end 62.35 73.2) (width 0.1524) (layer TOP-L1) (net 12))
+  (segment (start 61.025 73.95) (end 60.75 73.95) (width 0.1524) (layer TOP-L1) (net 12) (tstamp 519B25C7))
+  (segment (start 61.225 74.15) (end 61.025 73.95) (width 0.1524) (layer TOP-L1) (net 12) (tstamp 519B25C5))
+  (segment (start 61.225 74.525) (end 61.225 74.15) (width 0.1524) (layer TOP-L1) (net 12) (tstamp 519B25C4))
+  (segment (start 61.325 74.625) (end 61.225 74.525) (width 0.1524) (layer TOP-L1) (net 12) (tstamp 519B25ED))
+  (segment (start 61.95 73.6) (end 61.95 74.35) (width 0.1524) (layer TOP-L1) (net 12) (tstamp 519B25BE))
+  (segment (start 62.35 73.2) (end 61.95 73.6) (width 0.1524) (layer TOP-L1) (net 12) (tstamp 519B25BA))
+  (segment (start 62 78.4) (end 62.15 78.4) (width 0.1524) (layer TOP-L1) (net 12))
+  (segment (start 62.225 75.825) (end 61.9 75.825) (width 0.1524) (layer TOP-L1) (net 12) (tstamp 519B25A1))
+  (segment (start 62.575 76.175) (end 62.225 75.825) (width 0.1524) (layer TOP-L1) (net 12) (tstamp 519B2597))
+  (segment (start 62.575 77.975) (end 62.575 76.175) (width 0.1524) (layer TOP-L1) (net 12) (tstamp 519B2595))
+  (segment (start 62.15 78.4) (end 62.575 77.975) (width 0.1524) (layer TOP-L1) (net 12) (tstamp 519B2592))
+  (segment (start 68.2 73.2) (end 62.7 73.2) (width 0.1524) (layer TOP-L1) (net 12))
+  (segment (start 62.7 73.2) (end 62.475 73.2) (width 0.1524) (layer TOP-L1) (net 12))
+  (segment (start 61.375 75.45) (end 61.75 75.825) (width 0.1524) (layer TOP-L1) (net 12) (tstamp 519B244D))
+  (segment (start 61.75 75.825) (end 61.9 75.825) (width 0.1524) (layer TOP-L1) (net 12) (tstamp 519B17C3))
+  (segment (start 60.75 73.95) (end 60.475 73.95) (width 0.1524) (layer TOP-L1) (net 12) (tstamp 519B25CA))
+  (segment (start 60.475 73.95) (end 60.2 74.225) (width 0.1524) (layer TOP-L1) (net 12) (tstamp 519B2444))
+  (segment (start 60.2 74.225) (end 60.2 74.525) (width 0.1524) (layer TOP-L1) (net 12) (tstamp 519B2445))
+  (segment (start 60.775 75.075) (end 61 75.075) (width 0.1524) (layer TOP-L1) (net 12) (tstamp 519B3971))
+  (segment (start 61 75.075) (end 61.375 75.45) (width 0.1524) (layer TOP-L1) (net 12) (tstamp 519B2448))
+  (segment (start 62.475 73.2) (end 62.55 73.2) (width 0.1524) (layer TOP-L1) (net 12))
+  (segment (start 65.675 78.95) (end 64.8 79.825) (width 0.1524) (layer TOP-L1) (net 13))
+  (segment (start 64.8 81.85) (end 64.05 82.6) (width 0.1524) (layer TOP-L1) (net 13) (tstamp 51A209F0))
+  (segment (start 64.8 79.825) (end 64.8 81.85) (width 0.1524) (layer TOP-L1) (net 13) (tstamp 51A209EF))
+  (segment (start 62.95 83.7) (end 64.05 82.6) (width 0.1524) (layer TOP-L1) (net 13) (tstamp 519B2867))
+  (segment (start 60.8 83.45) (end 61.05 83.7) (width 0.1524) (layer TOP-L1) (net 13) (tstamp 519B1FC1))
+  (segment (start 61.05 83.7) (end 62.125 83.7) (width 0.1524) (layer TOP-L1) (net 13) (tstamp 519B1FC2))
+  (segment (start 62.125 83.7) (end 62.325 83.7) (width 0.1524) (layer TOP-L1) (net 13))
+  (segment (start 60.4 82.4) (end 60.8 82.8) (width 0.1524) (layer TOP-L1) (net 13))
+  (segment (start 60.8 82.8) (end 60.8 83.45) (width 0.1524) (layer TOP-L1) (net 13) (tstamp 519B1FBE))
+  (segment (start 62.325 83.7) (end 62.95 83.7) (width 0.1524) (layer TOP-L1) (net 13))
+  (segment (start 69.4 75.225) (end 69.4 74.725) (width 0.1524) (layer TOP-L1) (net 13) (tstamp 519B284B))
+  (segment (start 65.675 78.95) (end 69.4 75.225) (width 0.1524) (layer TOP-L1) (net 13) (tstamp 51A209ED))
+  (segment (start 69.4 74.4) (end 69.8 74) (width 0.1524) (layer TOP-L1) (net 13) (tstamp 519B1FCF))
+  (segment (start 69.4 74.725) (end 69.4 74.4) (width 0.1524) (layer TOP-L1) (net 13) (tstamp 519B2851))
+  (segment (start 60 72) (end 60.15 72) (width 0.1524) (layer TOP-L1) (net 14))
+  (segment (start 60.875 72) (end 61 72) (width 0.1524) (layer TOP-L1) (net 14) (tstamp 51A437CA))
+  (segment (start 60.7 72.175) (end 60.875 72) (width 0.1524) (layer TOP-L1) (net 14) (tstamp 51A437C9))
+  (segment (start 60.325 72.175) (end 60.7 72.175) (width 0.1524) (layer TOP-L1) (net 14) (tstamp 51A437C8))
+  (segment (start 60.15 72) (end 60.325 72.175) (width 0.1524) (layer TOP-L1) (net 14) (tstamp 51A437C7))
+  (segment (start 59.875 72) (end 60 72) (width 0.1524) (layer TOP-L1) (net 14))
+  (segment (start 61 72) (end 61.3 72) (width 0.1524) (layer TOP-L1) (net 14) (tstamp 51A437CD))
+  (segment (start 60 76.6) (end 60 76.15) (width 0.1524) (layer TOP-L1) (net 14))
+  (segment (start 59.25 75.4) (end 59.25 75.25) (width 0.1524) (layer TOP-L1) (net 14) (tstamp 519B3C4E))
+  (segment (start 60 76.15) (end 59.25 75.4) (width 0.1524) (layer TOP-L1) (net 14) (tstamp 519B3C4C))
+  (segment (start 59.875 72) (end 59.625 72) (width 0.1524) (layer TOP-L1) (net 14) (tstamp 51A437AB))
+  (segment (start 59.25 72.375) (end 59.25 72.575) (width 0.1524) (layer TOP-L1) (net 14) (tstamp 519B3935))
+  (segment (start 59.625 72) (end 59.25 72.375) (width 0.1524) (layer TOP-L1) (net 14) (tstamp 519B3934))
+  (segment (start 59.25 72.575) (end 59.25 75.25) (width 0.1524) (layer TOP-L1) (net 14) (tstamp 519B3938))
+  (segment (start 62.475 72) (end 61.3 72) (width 0.1524) (layer TOP-L1) (net 14))
+  (segment (start 69 71.6) (end 68.6 72) (width 0.1524) (layer TOP-L1) (net 14))
+  (segment (start 68.6 72) (end 62.475 72) (width 0.1524) (layer TOP-L1) (net 14) (tstamp 519B1588))
+  (segment (start 60 76.6) (end 60 76.55) (width 0.1524) (layer TOP-L1) (net 14) (tstamp 519B3C4A))
+  (segment (start 60 77.2) (end 60.4 77.6) (width 0.1524) (layer TOP-L1) (net 14) (tstamp 519B158F))
+  (segment (start 60 76.55) (end 60 77.2) (width 0.1524) (layer TOP-L1) (net 14) (tstamp 519B2105))
+  (segment (start 64.55 74.7) (end 64.475 74.7) (width 0.1524) (layer TOP-L1) (net 15))
+  (segment (start 64.15 75.025) (end 64.15 75.4) (width 0.1524) (layer TOP-L1) (net 15) (tstamp 519B279C))
+  (segment (start 64.475 74.7) (end 64.15 75.025) (width 0.1524) (layer TOP-L1) (net 15) (tstamp 519B279B))
+  (segment (start 59.6 81.6) (end 60 82) (width 0.1524) (layer TOP-L1) (net 15))
+  (segment (start 64.15 80.7) (end 64.15 75.4) (width 0.1524) (layer TOP-L1) (net 15) (tstamp 519B2785))
+  (segment (start 63.85 81) (end 64.15 80.7) (width 0.1524) (layer TOP-L1) (net 15) (tstamp 519B2782))
+  (segment (start 63.3 81) (end 63.85 81) (width 0.1524) (layer TOP-L1) (net 15) (tstamp 519B2781))
+  (segment (start 62.925 80.625) (end 63.3 81) (width 0.1524) (layer TOP-L1) (net 15) (tstamp 519B2780))
+  (segment (start 62.7 80.625) (end 62.925 80.625) (width 0.1524) (layer TOP-L1) (net 15) (tstamp 519B277F))
+  (segment (start 62.55 80.775) (end 62.7 80.625) (width 0.1524) (layer TOP-L1) (net 15) (tstamp 519B277D))
+  (segment (start 62.55 81.7) (end 62.55 80.775) (width 0.1524) (layer TOP-L1) (net 15) (tstamp 519B2779))
+  (segment (start 62.25 82) (end 62.55 81.7) (width 0.1524) (layer TOP-L1) (net 15) (tstamp 519B2774))
+  (segment (start 60 82) (end 62.25 82) (width 0.1524) (layer TOP-L1) (net 15) (tstamp 519B2771))
+  (segment (start 65.275 74.8) (end 68.2 74.8) (width 0.1524) (layer TOP-L1) (net 15) (tstamp 519B2795))
+  (segment (start 65.175 74.7) (end 65.275 74.8) (width 0.1524) (layer TOP-L1) (net 15) (tstamp 519B278E))
+  (segment (start 64.55 74.7) (end 65.175 74.7) (width 0.1524) (layer TOP-L1) (net 15) (tstamp 519B2799))
+  (segment (start 59.725 59.175) (end 59.125 59.175) (width 0.1524) (layer Signal-L3) (net 16))
+  (segment (start 57.55 57.075) (end 57.15 57.075) (width 0.1524) (layer Signal-L3) (net 16) (tstamp 51A161B9))
+  (segment (start 57.775 57.3) (end 57.55 57.075) (width 0.1524) (layer Signal-L3) (net 16) (tstamp 51A161B8))
+  (segment (start 57.775 58.225) (end 57.775 57.3) (width 0.1524) (layer Signal-L3) (net 16) (tstamp 51A161B3))
+  (segment (start 58.025 58.475) (end 57.775 58.225) (width 0.1524) (layer Signal-L3) (net 16) (tstamp 51A161B0))
+  (segment (start 58.425 58.475) (end 58.025 58.475) (width 0.1524) (layer Signal-L3) (net 16) (tstamp 51A161AC))
+  (segment (start 59.125 59.175) (end 58.425 58.475) (width 0.1524) (layer Signal-L3) (net 16) (tstamp 51A161A9))
+  (segment (start 65.375 66.325) (end 63.575 64.525) (width 0.1524) (layer TOP-L1) (net 16))
+  (segment (start 63.575 64.525) (end 63.575 64.275) (width 0.1524) (layer TOP-L1) (net 16) (tstamp 51A15C83))
+  (segment (start 65.85 66.8) (end 66.55 66.8) (width 0.1524) (layer TOP-L1) (net 16) (tstamp 51A15C6E))
+  (segment (start 65.375 66.325) (end 65.85 66.8) (width 0.1524) (layer TOP-L1) (net 16) (tstamp 51A15C81))
+  (segment (start 67.125 66.8) (end 66.55 66.8) (width 0.1524) (layer TOP-L1) (net 16))
+  (segment (start 68.2 66.8) (end 67.125 66.8) (width 0.1524) (layer TOP-L1) (net 16))
+  (segment (start 63.15 63.85) (end 63.15 62.75) (width 0.1524) (layer Signal-L3) (net 16) (tstamp 51A15C42))
+  (segment (start 63.575 64.275) (end 63.15 63.85) (width 0.1524) (layer Signal-L3) (net 16) (tstamp 51A15C41))
+  (via (at 63.575 64.275) (size 0.4572) (layers TOP-L1 BOT-L6) (net 16))
+  (segment (start 63.15 62.35) (end 62.825 62.025) (width 0.1524) (layer Signal-L3) (net 16) (tstamp 519C902A))
+  (segment (start 63.15 62.75) (end 63.15 62.35) (width 0.1524) (layer Signal-L3) (net 16) (tstamp 51A15C46))
+  (segment (start 62.725 61.925) (end 62.5 61.925) (width 0.1524) (layer Signal-L3) (net 16) (tstamp 519C53BE))
+  (segment (start 62.825 62.025) (end 62.725 61.925) (width 0.1524) (layer Signal-L3) (net 16) (tstamp 519C902D))
+  (segment (start 62.5 61.925) (end 62.025 61.925) (width 0.1524) (layer Signal-L3) (net 16) (tstamp 519C53C1))
+  (via (at 56.4 57.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 16))
+  (segment (start 56.525 57.075) (end 56.4 57.2) (width 0.1524) (layer Signal-L3) (net 16) (tstamp 519C5330))
+  (segment (start 57.15 57.075) (end 56.525 57.075) (width 0.1524) (layer Signal-L3) (net 16) (tstamp 51A161BC))
+  (segment (start 60.2 59.175) (end 59.725 59.175) (width 0.1524) (layer Signal-L3) (net 16) (tstamp 519C531E))
+  (segment (start 60.4 59.375) (end 60.2 59.175) (width 0.1524) (layer Signal-L3) (net 16) (tstamp 519C5316))
+  (segment (start 60.4 60.175) (end 60.4 59.375) (width 0.1524) (layer Signal-L3) (net 16) (tstamp 519C5312))
+  (segment (start 60.65 60.425) (end 60.4 60.175) (width 0.1524) (layer Signal-L3) (net 16) (tstamp 519C5311))
+  (segment (start 61.4 60.425) (end 60.65 60.425) (width 0.1524) (layer Signal-L3) (net 16) (tstamp 519C5310))
+  (segment (start 61.775 60.8) (end 61.4 60.425) (width 0.1524) (layer Signal-L3) (net 16) (tstamp 519C530F))
+  (segment (start 61.775 61.675) (end 61.775 60.8) (width 0.1524) (layer Signal-L3) (net 16) (tstamp 519C530D))
+  (segment (start 62.025 61.925) (end 61.775 61.675) (width 0.1524) (layer Signal-L3) (net 16) (tstamp 519C530C))
+  (segment (start 56.4 57.2) (end 56 57.6) (width 0.1524) (layer TOP-L1) (net 16) (tstamp 519C5353))
+  (segment (start 56.675 65.4) (end 56 64.725) (width 0.1524) (layer TOP-L1) (net 17))
+  (segment (start 56 64.1) (end 56 63.575) (width 0.1524) (layer Signal-L3) (net 17) (tstamp 519C90A6))
+  (via (at 56 64.1) (size 0.4572) (layers TOP-L1 BOT-L6) (net 17))
+  (segment (start 56 64.725) (end 56 64.1) (width 0.1524) (layer TOP-L1) (net 17) (tstamp 519C9089))
+  (segment (start 59.375 67.75) (end 59.375 67.525) (width 0.1524) (layer TOP-L1) (net 17))
+  (segment (start 58.825 66.975) (end 58.5 66.975) (width 0.1524) (layer TOP-L1) (net 17) (tstamp 519C8D45))
+  (segment (start 59.375 67.525) (end 58.825 66.975) (width 0.1524) (layer TOP-L1) (net 17) (tstamp 519C8D44))
+  (segment (start 58.5 66.975) (end 58.25 66.975) (width 0.1524) (layer TOP-L1) (net 17) (tstamp 519C8D48))
+  (segment (start 60.725 69.175) (end 60.55 69) (width 0.1524) (layer TOP-L1) (net 17))
+  (segment (start 60.55 69) (end 60.55 68.7) (width 0.1524) (layer TOP-L1) (net 17) (tstamp 519C8D1A))
+  (segment (start 60.1 68.25) (end 59.925 68.25) (width 0.1524) (layer TOP-L1) (net 17) (tstamp 519C8D1F))
+  (segment (start 60.55 68.7) (end 60.1 68.25) (width 0.1524) (layer TOP-L1) (net 17) (tstamp 519C8D1E))
+  (segment (start 56 63.575) (end 56 63.55) (width 0.1524) (layer Signal-L3) (net 17) (tstamp 519C90AA))
+  (segment (start 62.35 69.6) (end 61.15 69.6) (width 0.1524) (layer TOP-L1) (net 17))
+  (segment (start 61.15 69.6) (end 60.725 69.175) (width 0.1524) (layer TOP-L1) (net 17) (tstamp 519C8CDD))
+  (segment (start 58.25 66.975) (end 56.675 65.4) (width 0.1524) (layer TOP-L1) (net 17) (tstamp 519C8CE9))
+  (segment (start 59.375 67.825) (end 59.375 67.75) (width 0.1524) (layer TOP-L1) (net 17) (tstamp 519C8CE4))
+  (segment (start 59.8 68.25) (end 59.375 67.825) (width 0.1524) (layer TOP-L1) (net 17) (tstamp 519C8CE1))
+  (segment (start 59.925 68.25) (end 59.8 68.25) (width 0.1524) (layer TOP-L1) (net 17) (tstamp 519C8D24))
+  (segment (start 55.6 62.125) (end 55.6 62) (width 0.1524) (layer Signal-L3) (net 17) (tstamp 519C7413))
+  (segment (start 56 62.525) (end 55.6 62.125) (width 0.1524) (layer Signal-L3) (net 17) (tstamp 519C7400))
+  (segment (start 56 63.55) (end 56 62.525) (width 0.1524) (layer Signal-L3) (net 17) (tstamp 519C8D08))
+  (segment (start 62.625 69.6) (end 62.35 69.6) (width 0.1524) (layer TOP-L1) (net 17))
+  (segment (start 68.6 69.6) (end 69 69.2) (width 0.1524) (layer TOP-L1) (net 17) (tstamp 519C6C24))
+  (segment (start 62.625 69.6) (end 68.6 69.6) (width 0.1524) (layer TOP-L1) (net 17) (tstamp 519C71FA))
+  (via (at 55.6 62) (size 0.4572) (layers TOP-L1 BOT-L6) (net 17))
+  (segment (start 55.6 62) (end 56 61.6) (width 0.1524) (layer TOP-L1) (net 17) (tstamp 519C6C8E))
+  (segment (start 62.625 63.325) (end 62.625 63.85) (width 0.1524) (layer Signal-L3) (net 18))
+  (segment (start 62.625 64.15) (end 63.275 64.8) (width 0.1524) (layer TOP-L1) (net 18) (tstamp 519C9012))
+  (segment (start 62.625 63.85) (end 62.625 64.15) (width 0.1524) (layer TOP-L1) (net 18) (tstamp 519C9011))
+  (via (at 62.625 63.85) (size 0.4572) (layers TOP-L1 BOT-L6) (net 18))
+  (segment (start 62.625 63.325) (end 62.625 63.1) (width 0.1524) (layer Signal-L3) (net 18) (tstamp 519C900C))
+  (segment (start 58.3 58.775) (end 57.45 58.775) (width 0.1524) (layer Signal-L3) (net 18) (tstamp 519C6E8B))
+  (segment (start 62.625 63.1) (end 58.3 58.775) (width 0.1524) (layer Signal-L3) (net 18) (tstamp 519C6E8A))
+  (segment (start 66.025 67.2) (end 65.675 67.2) (width 0.1524) (layer TOP-L1) (net 18))
+  (segment (start 65.675 67.2) (end 63.275 64.8) (width 0.1524) (layer TOP-L1) (net 18) (tstamp 519C6E76))
+  (segment (start 69 66.8) (end 68.6 67.2) (width 0.1524) (layer TOP-L1) (net 18))
+  (segment (start 68.6 67.2) (end 66.025 67.2) (width 0.1524) (layer TOP-L1) (net 18) (tstamp 519C5551))
+  (segment (start 57.45 58.775) (end 57.175 58.775) (width 0.1524) (layer Signal-L3) (net 18) (tstamp 519C6E90))
+  (segment (start 56.975 58.775) (end 57.175 58.775) (width 0.1524) (layer Signal-L3) (net 18))
+  (segment (start 54.4 58.4) (end 54.775 58.775) (width 0.1524) (layer TOP-L1) (net 18))
+  (segment (start 56.575 58.775) (end 56.975 58.775) (width 0.1524) (layer Signal-L3) (net 18) (tstamp 519C6E14))
+  (segment (start 54.775 58.775) (end 56.575 58.775) (width 0.1524) (layer Signal-L3) (net 18) (tstamp 519C6E13))
+  (via (at 54.775 58.775) (size 0.4572) (layers TOP-L1 BOT-L6) (net 18))
+  (segment (start 55.275 63.75) (end 55.275 64.45) (width 0.1524) (layer Signal-L3) (net 19))
+  (via (at 55.275 64.45) (size 0.4572) (layers TOP-L1 BOT-L6) (net 19))
+  (segment (start 55.275 64.45) (end 55.275 64.5) (width 0.1524) (layer TOP-L1) (net 19) (tstamp 51A99C12))
+  (segment (start 55.275 64.5) (end 55.575 64.8) (width 0.1524) (layer TOP-L1) (net 19) (tstamp 51A99C13))
+  (segment (start 59.675 68.525) (end 59.4 68.525) (width 0.1524) (layer TOP-L1) (net 19))
+  (segment (start 59.1 68.225) (end 59.1 67.95) (width 0.1524) (layer TOP-L1) (net 19) (tstamp 51A43758))
+  (segment (start 59.4 68.525) (end 59.1 68.225) (width 0.1524) (layer TOP-L1) (net 19) (tstamp 51A43757))
+  (segment (start 61.125 70) (end 60.25 69.125) (width 0.1524) (layer TOP-L1) (net 19) (tstamp 51A43630))
+  (segment (start 60.25 69.125) (end 60.25 69) (width 0.1524) (layer TOP-L1) (net 19) (tstamp 51A43631))
+  (segment (start 55.275 64.45) (end 55.275 64.5) (width 0.1524) (layer TOP-L1) (net 19))
+  (segment (start 58.025 67.25) (end 58.375 67.25) (width 0.1524) (layer TOP-L1) (net 19) (tstamp 51A43675))
+  (segment (start 55.275 64.5) (end 55.575 64.8) (width 0.1524) (layer TOP-L1) (net 19) (tstamp 51A4366E))
+  (segment (start 55.575 64.8) (end 58.025 67.25) (width 0.1524) (layer TOP-L1) (net 19) (tstamp 51A99C18))
+  (segment (start 55.2 63.3) (end 55.2 62.4) (width 0.1524) (layer Signal-L3) (net 19) (tstamp 519C907F))
+  (segment (start 55.2 62.4) (end 54.8 62) (width 0.1524) (layer Signal-L3) (net 19) (tstamp 519C72C7))
+  (via (at 54.8 62) (size 0.4572) (layers TOP-L1 BOT-L6) (net 19))
+  (segment (start 54.8 62) (end 54.4 61.6) (width 0.1524) (layer TOP-L1) (net 19) (tstamp 519C72D2))
+  (segment (start 55.275 63.75) (end 55.275 63.675) (width 0.1524) (layer Signal-L3) (net 19) (tstamp 51A99C0B))
+  (segment (start 55.275 63.675) (end 55.2 63.6) (width 0.1524) (layer Signal-L3) (net 19) (tstamp 519C9079))
+  (segment (start 55.2 63.6) (end 55.2 63.3) (width 0.1524) (layer Signal-L3) (net 19) (tstamp 519C907A))
+  (segment (start 58.375 67.25) (end 58.7 67.25) (width 0.1524) (layer TOP-L1) (net 19) (tstamp 51A4367A))
+  (segment (start 58.7 67.25) (end 59.1 67.65) (width 0.1524) (layer TOP-L1) (net 19) (tstamp 51A435D9))
+  (segment (start 59.1 67.65) (end 59.1 67.95) (width 0.1524) (layer TOP-L1) (net 19) (tstamp 51A435DA))
+  (segment (start 59.675 68.525) (end 59.725 68.525) (width 0.1524) (layer TOP-L1) (net 19) (tstamp 51A43755))
+  (segment (start 60.25 68.875) (end 59.9 68.525) (width 0.1524) (layer TOP-L1) (net 19) (tstamp 51A4363D))
+  (segment (start 59.9 68.525) (end 59.725 68.525) (width 0.1524) (layer TOP-L1) (net 19) (tstamp 51A4363E))
+  (segment (start 60.25 69) (end 60.25 68.875) (width 0.1524) (layer TOP-L1) (net 19))
+  (segment (start 61.525 70) (end 61.125 70) (width 0.1524) (layer TOP-L1) (net 19))
+  (segment (start 68.2 70) (end 61.525 70) (width 0.1524) (layer TOP-L1) (net 19))
+  (segment (start 71.27 76.96) (end 71.49 77.18) (width 0.1524) (layer Signal-L3) (net 20))
+  (segment (start 71.49 77.96) (end 71.32 78.13) (width 0.1524) (layer Signal-L3) (net 20) (tstamp 51A874F9))
+  (segment (start 71.49 77.18) (end 71.49 77.96) (width 0.1524) (layer Signal-L3) (net 20) (tstamp 51A874F8))
+  (segment (start 70.44 78.59) (end 70.86 78.59) (width 0.1524) (layer Signal-L3) (net 20))
+  (segment (start 70.86 78.59) (end 71.32 78.13) (width 0.1524) (layer Signal-L3) (net 20) (tstamp 51A874E7))
+  (segment (start 68.34 77.11) (end 68.61 77.11) (width 0.1524) (layer Signal-L3) (net 20))
+  (segment (start 70.09 78.59) (end 70.44 78.59) (width 0.1524) (layer Signal-L3) (net 20) (tstamp 51A874CB))
+  (segment (start 68.61 77.11) (end 70.09 78.59) (width 0.1524) (layer Signal-L3) (net 20) (tstamp 51A874CA))
+  (segment (start 61.63 75.475) (end 61.755 75.475) (width 0.1524) (layer Signal-L3) (net 20))
+  (segment (start 67.81 77.11) (end 68.34 77.11) (width 0.1524) (layer Signal-L3) (net 20) (tstamp 51A8746B))
+  (segment (start 67.1 76.4) (end 67.81 77.11) (width 0.1524) (layer Signal-L3) (net 20) (tstamp 51A87464))
+  (segment (start 65.13 76.4) (end 67.1 76.4) (width 0.1524) (layer Signal-L3) (net 20) (tstamp 51A87462))
+  (segment (start 64.28 77.25) (end 65.13 76.4) (width 0.1524) (layer Signal-L3) (net 20) (tstamp 51A87460))
+  (segment (start 63.53 77.25) (end 64.28 77.25) (width 0.1524) (layer Signal-L3) (net 20) (tstamp 51A8745C))
+  (segment (start 61.755 75.475) (end 63.53 77.25) (width 0.1524) (layer Signal-L3) (net 20) (tstamp 51A8745B))
+  (segment (start 58.625 75.55) (end 58.7 75.475) (width 0.1524) (layer Signal-L3) (net 20))
+  (segment (start 58.7 75.475) (end 61.63 75.475) (width 0.1524) (layer Signal-L3) (net 20) (tstamp 51A20BD7))
+  (segment (start 58.4 76.325) (end 58.4 75.775) (width 0.1524) (layer TOP-L1) (net 20))
+  (segment (start 58.4 75.775) (end 58.625 75.55) (width 0.1524) (layer Signal-L3) (net 20) (tstamp 519F01E7))
+  (via (at 58.4 75.775) (size 0.4572) (layers TOP-L1 BOT-L6) (net 20))
+  (segment (start 58.4 77.2) (end 58.4 76.325) (width 0.1524) (layer TOP-L1) (net 20) (tstamp 519B4E1C))
+  (segment (start 58 77.6) (end 58.4 77.2) (width 0.1524) (layer TOP-L1) (net 20))
+  (segment (start 70.61 76.3) (end 70.61 75.68) (width 0.1524) (layer Signal-L3) (net 20) (tstamp 51A874A6))
+  (segment (start 71.27 76.96) (end 70.61 76.3) (width 0.1524) (layer Signal-L3) (net 20) (tstamp 51A874F6))
+  (segment (start 70.61 75.4) (end 70.6 75.4) (width 0.1524) (layer Signal-L3) (net 20) (tstamp 51A8747D))
+  (segment (start 70.61 75.68) (end 70.61 75.4) (width 0.1524) (layer Signal-L3) (net 20) (tstamp 51A874AA))
+  (segment (start 70.6 75.375) (end 70.6 75.4) (width 0.1524) (layer Signal-L3) (net 20))
+  (via (at 70.6 75.375) (size 0.4572) (layers TOP-L1 BOT-L6) (net 20))
+  (segment (start 70.6 75.375) (end 70.6 74.8) (width 0.1524) (layer TOP-L1) (net 20) (tstamp 519B4E81))
+  (segment (start 54.8 63.775) (end 54.8 64.75) (width 0.1524) (layer TOP-L1) (net 21))
+  (segment (start 60.45 70.4) (end 60.975 70.4) (width 0.1524) (layer TOP-L1) (net 21) (tstamp 51A43777))
+  (segment (start 54.8 64.75) (end 60.45 70.4) (width 0.1524) (layer TOP-L1) (net 21) (tstamp 51A43775))
+  (segment (start 60.975 70.4) (end 61.775 70.4) (width 0.1524) (layer TOP-L1) (net 21) (tstamp 51A4377C))
+  (segment (start 54.8 63.625) (end 54.8 63.775) (width 0.1524) (layer TOP-L1) (net 21))
+  (segment (start 69 70) (end 68.6 70.4) (width 0.1524) (layer TOP-L1) (net 21))
+  (segment (start 68.6 70.4) (end 61.775 70.4) (width 0.1524) (layer TOP-L1) (net 21) (tstamp 519C6B54))
+  (segment (start 54.8 62.8) (end 54.4 62.4) (width 0.1524) (layer TOP-L1) (net 21) (tstamp 519C6B7C))
+  (segment (start 54.8 63.625) (end 54.8 62.8) (width 0.1524) (layer TOP-L1) (net 21) (tstamp 51A43697))
+  (segment (start 61.825 62.9) (end 62 63.075) (width 0.1524) (layer Signal-L3) (net 22))
+  (segment (start 62 63.075) (end 62 64.4) (width 0.1524) (layer Signal-L3) (net 22) (tstamp 51A16541))
+  (segment (start 62.275 66.25) (end 62.525 66.5) (width 0.1524) (layer TOP-L1) (net 22) (tstamp 51A1655F))
+  (segment (start 62.275 64.675) (end 62.275 66.25) (width 0.1524) (layer TOP-L1) (net 22) (tstamp 51A1655E))
+  (via (at 62.275 64.675) (size 0.4572) (layers TOP-L1 BOT-L6) (net 22))
+  (segment (start 62 64.4) (end 62.275 64.675) (width 0.1524) (layer Signal-L3) (net 22) (tstamp 51A16551))
+  (segment (start 63.625 67.6) (end 64.625 67.6) (width 0.1524) (layer TOP-L1) (net 22) (tstamp 519C93C1))
+  (segment (start 62.525 66.5) (end 63.625 67.6) (width 0.1524) (layer TOP-L1) (net 22) (tstamp 51A16564))
+  (segment (start 61.825 62.9) (end 61.575 62.65) (width 0.1524) (layer Signal-L3) (net 22) (tstamp 51A1653F))
+  (segment (start 64.625 67.6) (end 65 67.6) (width 0.1524) (layer TOP-L1) (net 22) (tstamp 519C93C7))
+  (segment (start 65.35 67.6) (end 65 67.6) (width 0.1524) (layer TOP-L1) (net 22))
+  (segment (start 68.2 67.6) (end 65.35 67.6) (width 0.1524) (layer TOP-L1) (net 22))
+  (segment (start 58.7 61.825) (end 60.75 61.825) (width 0.1524) (layer Signal-L3) (net 22) (tstamp 519C6EC8))
+  (segment (start 60.75 61.825) (end 61.575 62.65) (width 0.1524) (layer Signal-L3) (net 22) (tstamp 519C6ECA))
+  (segment (start 58.75 59.825) (end 58.75 60.25) (width 0.1524) (layer Signal-L3) (net 22) (tstamp 519C6EBE))
+  (segment (start 58.425 59.5) (end 58.75 59.825) (width 0.1524) (layer Signal-L3) (net 22) (tstamp 519C6EBD))
+  (segment (start 57.025 59.5) (end 58.425 59.5) (width 0.1524) (layer Signal-L3) (net 22) (tstamp 519C6EA0))
+  (segment (start 56.8 59.275) (end 57.025 59.5) (width 0.1524) (layer Signal-L3) (net 22) (tstamp 519C6E9F))
+  (via (at 56.8 59.275) (size 0.4572) (layers TOP-L1 BOT-L6) (net 22))
+  (segment (start 56.8 58.4) (end 56.8 59.275) (width 0.1524) (layer TOP-L1) (net 22))
+  (segment (start 58.3 61.425) (end 58.7 61.825) (width 0.1524) (layer Signal-L3) (net 22) (tstamp 519C6EC5))
+  (segment (start 58.3 60.7) (end 58.3 61.425) (width 0.1524) (layer Signal-L3) (net 22) (tstamp 519C6EC1))
+  (segment (start 58.75 60.25) (end 58.3 60.7) (width 0.1524) (layer Signal-L3) (net 22) (tstamp 519C6EBF))
+  (segment (start 57.825 66) (end 56.65 64.825) (width 0.1524) (layer TOP-L1) (net 23))
+  (segment (start 56.65 63.05) (end 56.6 63) (width 0.1524) (layer Signal-L3) (net 23) (tstamp 519C90DE))
+  (segment (start 56.65 64.125) (end 56.65 63.05) (width 0.1524) (layer Signal-L3) (net 23) (tstamp 519C90DD))
+  (via (at 56.65 64.125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 23))
+  (segment (start 56.65 64.825) (end 56.65 64.125) (width 0.1524) (layer TOP-L1) (net 23) (tstamp 519C90C7))
+  (segment (start 61.25 67.8) (end 61.05 67.6) (width 0.1524) (layer TOP-L1) (net 23))
+  (segment (start 60.725 67.6) (end 60.55 67.775) (width 0.1524) (layer TOP-L1) (net 23) (tstamp 519C8CCA))
+  (segment (start 61.05 67.6) (end 60.725 67.6) (width 0.1524) (layer TOP-L1) (net 23) (tstamp 519C8CC9))
+  (segment (start 61.25 67.8) (end 61.325 67.875) (width 0.1524) (layer TOP-L1) (net 23) (tstamp 519C8CC7))
+  (segment (start 60.525 67.8) (end 60.55 67.775) (width 0.1524) (layer TOP-L1) (net 23) (tstamp 519C8C92))
+  (segment (start 59.725 67.1) (end 59.875 66.95) (width 0.1524) (layer TOP-L1) (net 23) (tstamp 519C8C99))
+  (segment (start 59.725 67.525) (end 59.725 67.1) (width 0.1524) (layer TOP-L1) (net 23) (tstamp 519C8C97))
+  (segment (start 60.1 67.9) (end 59.725 67.525) (width 0.1524) (layer TOP-L1) (net 23) (tstamp 519C8C95))
+  (segment (start 60.425 67.9) (end 60.1 67.9) (width 0.1524) (layer TOP-L1) (net 23) (tstamp 519C8C94))
+  (segment (start 57.825 66) (end 58.475 66.65) (width 0.1524) (layer TOP-L1) (net 23))
+  (segment (start 60.075 66.75) (end 59.875 66.95) (width 0.1524) (layer TOP-L1) (net 23) (tstamp 519C8C5F))
+  (segment (start 60.075 66.4) (end 60.075 66.75) (width 0.1524) (layer TOP-L1) (net 23) (tstamp 519C8C5D))
+  (segment (start 59.825 66.15) (end 60.075 66.4) (width 0.1524) (layer TOP-L1) (net 23) (tstamp 519C8C5B))
+  (segment (start 59.4 66.15) (end 59.825 66.15) (width 0.1524) (layer TOP-L1) (net 23) (tstamp 519C8C58))
+  (segment (start 58.9 66.65) (end 59.4 66.15) (width 0.1524) (layer TOP-L1) (net 23) (tstamp 519C8C55))
+  (segment (start 58.475 66.65) (end 58.9 66.65) (width 0.1524) (layer TOP-L1) (net 23) (tstamp 519C8C4F))
+  (segment (start 56 62.4) (end 56.4 62.8) (width 0.1524) (layer TOP-L1) (net 23))
+  (via (at 56.4 62.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 23))
+  (segment (start 56.4 62.8) (end 56.6 63) (width 0.1524) (layer Signal-L3) (net 23) (tstamp 519C734C))
+  (segment (start 60.525 67.8) (end 60.425 67.9) (width 0.1524) (layer TOP-L1) (net 23))
+  (segment (start 61.275 69.2) (end 61.6 69.2) (width 0.1524) (layer TOP-L1) (net 23) (tstamp 519C8C86))
+  (segment (start 60.925 68.85) (end 61.275 69.2) (width 0.1524) (layer TOP-L1) (net 23) (tstamp 519C8C85))
+  (segment (start 60.925 68.575) (end 60.925 68.85) (width 0.1524) (layer TOP-L1) (net 23) (tstamp 519C8C83))
+  (segment (start 61.325 68.175) (end 60.925 68.575) (width 0.1524) (layer TOP-L1) (net 23) (tstamp 519C8C82))
+  (segment (start 61.325 67.875) (end 61.325 68.175) (width 0.1524) (layer TOP-L1) (net 23) (tstamp 519C8C81))
+  (segment (start 62.625 69.2) (end 61.6 69.2) (width 0.1524) (layer TOP-L1) (net 23))
+  (segment (start 62.625 69.2) (end 68.2 69.2) (width 0.1524) (layer TOP-L1) (net 23) (tstamp 519C769E))
+  (segment (start 63.85 63) (end 63.85 62.425) (width 0.1524) (layer Signal-L3) (net 24))
+  (segment (start 58.225 56.8) (end 57.225 56.8) (width 0.1524) (layer Signal-L3) (net 24) (tstamp 519C52DB))
+  (segment (start 63.85 62.425) (end 58.225 56.8) (width 0.1524) (layer Signal-L3) (net 24) (tstamp 519C52DA))
+  (segment (start 57.225 56.8) (end 57.25 56.8) (width 0.1524) (layer Signal-L3) (net 24) (tstamp 519C52E1))
+  (segment (start 63.85 63.125) (end 63.85 63) (width 0.1524) (layer Signal-L3) (net 24))
+  (segment (start 69 66) (end 68.6 66.4) (width 0.1524) (layer TOP-L1) (net 24))
+  (segment (start 55.6 57.2) (end 55.2 57.6) (width 0.1524) (layer TOP-L1) (net 24) (tstamp 519C52A3))
+  (via (at 55.6 57.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 24))
+  (segment (start 56 56.8) (end 55.6 57.2) (width 0.1524) (layer Signal-L3) (net 24) (tstamp 519C5290))
+  (segment (start 57.25 56.8) (end 56 56.8) (width 0.1524) (layer Signal-L3) (net 24) (tstamp 519C52C1))
+  (segment (start 63.85 63.425) (end 63.85 63.125) (width 0.1524) (layer Signal-L3) (net 24) (tstamp 519C5274))
+  (via (at 63.85 63.425) (size 0.4572) (layers TOP-L1 BOT-L6) (net 24))
+  (segment (start 63.85 63.6) (end 63.85 63.425) (width 0.1524) (layer TOP-L1) (net 24) (tstamp 519C5270))
+  (segment (start 66.65 66.4) (end 63.85 63.6) (width 0.1524) (layer TOP-L1) (net 24) (tstamp 519C5263))
+  (segment (start 68.6 66.4) (end 66.65 66.4) (width 0.1524) (layer TOP-L1) (net 24) (tstamp 519C5261))
+  (segment (start 63.1 62.35) (end 62.925 62.35) (width 0.1524) (layer TOP-L1) (net 25))
+  (segment (start 62.275 61.7) (end 62.275 61.45) (width 0.1524) (layer TOP-L1) (net 25) (tstamp 519C46D9))
+  (segment (start 62.925 62.35) (end 62.275 61.7) (width 0.1524) (layer TOP-L1) (net 25) (tstamp 519C46D8))
+  (segment (start 62.125 60.125) (end 61.825 60.125) (width 0.1524) (layer TOP-L1) (net 25) (tstamp 519C46A7))
+  (segment (start 62.275 60.275) (end 62.125 60.125) (width 0.1524) (layer TOP-L1) (net 25) (tstamp 519C46A6))
+  (segment (start 62.275 60.5) (end 62.275 60.275) (width 0.1524) (layer TOP-L1) (net 25))
+  (segment (start 61.825 60.125) (end 61.6 60.35) (width 0.1524) (layer TOP-L1) (net 25) (tstamp 519C46A8))
+  (segment (start 61.6 60.35) (end 61.6 60.525) (width 0.1524) (layer TOP-L1) (net 25) (tstamp 519C46A9))
+  (segment (start 61.6 60.525) (end 61.6 61.15) (width 0.1524) (layer TOP-L1) (net 25) (tstamp 519C46AC))
+  (segment (start 60.375 61.2) (end 60.375 60.7) (width 0.1524) (layer TOP-L1) (net 25))
+  (segment (start 60.975 60.7) (end 60.975 60.925) (width 0.1524) (layer TOP-L1) (net 25) (tstamp 519C4689))
+  (segment (start 60.825 60.55) (end 60.975 60.7) (width 0.1524) (layer TOP-L1) (net 25) (tstamp 519C4688))
+  (segment (start 60.525 60.55) (end 60.825 60.55) (width 0.1524) (layer TOP-L1) (net 25) (tstamp 519C4687))
+  (segment (start 60.375 60.7) (end 60.525 60.55) (width 0.1524) (layer TOP-L1) (net 25) (tstamp 519C4686))
+  (segment (start 62.275 61.45) (end 62.275 60.5) (width 0.1524) (layer TOP-L1) (net 25) (tstamp 519C46DC))
+  (segment (start 60.975 61.1) (end 60.975 60.925) (width 0.1524) (layer TOP-L1) (net 25) (tstamp 519C4674))
+  (segment (start 61.2 61.325) (end 60.975 61.1) (width 0.1524) (layer TOP-L1) (net 25) (tstamp 519C4673))
+  (segment (start 61.425 61.325) (end 61.2 61.325) (width 0.1524) (layer TOP-L1) (net 25) (tstamp 519C4672))
+  (segment (start 61.6 61.15) (end 61.425 61.325) (width 0.1524) (layer TOP-L1) (net 25) (tstamp 519C4670))
+  (segment (start 63.85 60.825) (end 63.85 61.975) (width 0.1524) (layer TOP-L1) (net 25))
+  (segment (start 63.475 62.35) (end 63.1 62.35) (width 0.1524) (layer TOP-L1) (net 25) (tstamp 519B687A))
+  (segment (start 63.85 61.975) (end 63.475 62.35) (width 0.1524) (layer TOP-L1) (net 25) (tstamp 519B6879))
+  (segment (start 68.2 65.2) (end 66.9 65.2) (width 0.1524) (layer TOP-L1) (net 25))
+  (segment (start 63.85 60.475) (end 63.85 60.825) (width 0.1524) (layer TOP-L1) (net 25) (tstamp 519B67BC))
+  (segment (start 64.025 60.3) (end 63.85 60.475) (width 0.1524) (layer TOP-L1) (net 25) (tstamp 519B67BB))
+  (segment (start 64.6 60.3) (end 64.025 60.3) (width 0.1524) (layer TOP-L1) (net 25) (tstamp 519B67BA))
+  (segment (start 65 60.7) (end 64.6 60.3) (width 0.1524) (layer TOP-L1) (net 25) (tstamp 519B67B9))
+  (segment (start 65 63.3) (end 65 60.7) (width 0.1524) (layer TOP-L1) (net 25) (tstamp 519B67B7))
+  (segment (start 66.9 65.2) (end 65 63.3) (width 0.1524) (layer TOP-L1) (net 25) (tstamp 519B67B1))
+  (segment (start 59.2 62.4) (end 59.425 62.4) (width 0.1524) (layer TOP-L1) (net 25))
+  (segment (start 59.425 62.4) (end 59.825 62) (width 0.1524) (layer TOP-L1) (net 25) (tstamp 519C4546))
+  (segment (start 59.825 62) (end 60.225 62) (width 0.1524) (layer TOP-L1) (net 25) (tstamp 519C454F))
+  (segment (start 60.225 62) (end 60.375 61.85) (width 0.1524) (layer TOP-L1) (net 25) (tstamp 519C4554))
+  (segment (start 60.375 61.85) (end 60.375 61.2) (width 0.1524) (layer TOP-L1) (net 25) (tstamp 519C4567))
+  (segment (start 63.875 58.275) (end 63.5 58.275) (width 0.1524) (layer TOP-L1) (net 26))
+  (segment (start 63.2 57.975) (end 63.2 57.575) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 519B6348))
+  (segment (start 63.5 58.275) (end 63.2 57.975) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 519B6347))
+  (segment (start 63.2 57.575) (end 63.2 56.475) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 519B634B))
+  (segment (start 62.6 56.175) (end 62.25 56.525) (width 0.1524) (layer TOP-L1) (net 26))
+  (segment (start 61.6 56.175) (end 61.425 56.175) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 519B631D))
+  (segment (start 61.95 56.525) (end 61.6 56.175) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 519B6314))
+  (segment (start 62.25 56.525) (end 61.95 56.525) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 519B6313))
+  (segment (start 64.3 56.85) (end 64.3 58) (width 0.1524) (layer TOP-L1) (net 26))
+  (segment (start 64.025 58.275) (end 63.875 58.275) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 519B62F9))
+  (segment (start 64.3 58) (end 64.025 58.275) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 519B62F7))
+  (segment (start 64.3 56.675) (end 64.3 56.85) (width 0.1524) (layer TOP-L1) (net 26))
+  (segment (start 68.2 62.8) (end 67.4 62.8) (width 0.1524) (layer TOP-L1) (net 26))
+  (segment (start 64.3 56.375) (end 64.3 56.675) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 519B62AE))
+  (segment (start 64.55 56.125) (end 64.3 56.375) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 519B62AC))
+  (segment (start 65.7 56.125) (end 64.55 56.125) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 519B62A6))
+  (segment (start 65.925 56.35) (end 65.7 56.125) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 519B62A4))
+  (segment (start 65.925 57.075) (end 65.925 56.35) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 519B62A3))
+  (segment (start 66.275 57.425) (end 65.925 57.075) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 519B62A2))
+  (segment (start 66.55 57.425) (end 66.275 57.425) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 519B629F))
+  (segment (start 66.875 57.75) (end 66.55 57.425) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 519B629E))
+  (segment (start 66.875 62.275) (end 66.875 57.75) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 519B6298))
+  (segment (start 67.4 62.8) (end 66.875 62.275) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 519B6295))
+  (segment (start 62.9 56.175) (end 62.6 56.175) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 519B62FF))
+  (segment (start 63.2 56.475) (end 62.9 56.175) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 519B62FE))
+  (segment (start 60.7 57.6) (end 60 57.6) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 519B62C1))
+  (segment (start 60.975 57.325) (end 60.7 57.6) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 519B62BC))
+  (segment (start 60.975 56.475) (end 60.975 57.325) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 519B62BB))
+  (segment (start 61.275 56.175) (end 60.975 56.475) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 519B62BA))
+  (segment (start 61.425 56.175) (end 61.275 56.175) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 519B6321))
+  (segment (start 60.95 63.225) (end 60.95 63.3) (width 0.1524) (layer TOP-L1) (net 27))
+  (segment (start 61.65 63.35) (end 61.65 63.125) (width 0.1524) (layer TOP-L1) (net 27) (tstamp 51A4357F))
+  (segment (start 61.5 63.5) (end 61.65 63.35) (width 0.1524) (layer TOP-L1) (net 27) (tstamp 51A4357E))
+  (segment (start 61.15 63.5) (end 61.5 63.5) (width 0.1524) (layer TOP-L1) (net 27) (tstamp 51A4357D))
+  (segment (start 60.95 63.3) (end 61.15 63.5) (width 0.1524) (layer TOP-L1) (net 27) (tstamp 51A4357C))
+  (segment (start 61.65 63.125) (end 61.65 62.875) (width 0.1524) (layer TOP-L1) (net 27) (tstamp 51A43582))
+  (segment (start 61.9 61.875) (end 62.225 62.2) (width 0.1524) (layer TOP-L1) (net 27) (tstamp 51A4356F))
+  (segment (start 61.4 61.875) (end 61.9 61.875) (width 0.1524) (layer TOP-L1) (net 27) (tstamp 51A4356E))
+  (segment (start 61.2 62.075) (end 61.4 61.875) (width 0.1524) (layer TOP-L1) (net 27) (tstamp 51A4356D))
+  (segment (start 61.2 62.425) (end 61.2 62.075) (width 0.1524) (layer TOP-L1) (net 27) (tstamp 51A4356C))
+  (segment (start 61.65 62.875) (end 61.2 62.425) (width 0.1524) (layer TOP-L1) (net 27) (tstamp 51A4356B))
+  (segment (start 60.4 62.8) (end 60.725 62.8) (width 0.1524) (layer TOP-L1) (net 27))
+  (segment (start 62.225 62.2) (end 62.3 62.275) (width 0.1524) (layer TOP-L1) (net 27) (tstamp 51A43572))
+  (segment (start 60.95 63.025) (end 60.95 63.225) (width 0.1524) (layer TOP-L1) (net 27) (tstamp 51A43553))
+  (segment (start 60.725 62.8) (end 60.95 63.025) (width 0.1524) (layer TOP-L1) (net 27) (tstamp 51A43552))
+  (segment (start 60.4 62.8) (end 60.3 62.8) (width 0.1524) (layer TOP-L1) (net 27) (tstamp 51A43550))
+  (segment (start 58.4 62.4) (end 58.8 62.8) (width 0.1524) (layer TOP-L1) (net 27))
+  (segment (start 58.8 62.8) (end 60.3 62.8) (width 0.1524) (layer TOP-L1) (net 27) (tstamp 519C8ED3))
+  (segment (start 62.3 62.275) (end 62.375 62.35) (width 0.1524) (layer TOP-L1) (net 27) (tstamp 51A43562))
+  (segment (start 62.425 62.4) (end 62.375 62.35) (width 0.1524) (layer TOP-L1) (net 27))
+  (segment (start 62.45 62.425) (end 62.425 62.4) (width 0.1524) (layer TOP-L1) (net 27))
+  (segment (start 64.35 60.7) (end 64.3 60.7) (width 0.1524) (layer TOP-L1) (net 27))
+  (segment (start 64.125 60.875) (end 64.125 61.025) (width 0.1524) (layer TOP-L1) (net 27) (tstamp 519C45C5))
+  (segment (start 64.3 60.7) (end 64.125 60.875) (width 0.1524) (layer TOP-L1) (net 27) (tstamp 519C45C4))
+  (segment (start 64.65 62.775) (end 64.65 60.875) (width 0.1524) (layer TOP-L1) (net 27))
+  (segment (start 63.85 62.675) (end 63.45 62.675) (width 0.1524) (layer TOP-L1) (net 27) (tstamp 519C45BC))
+  (segment (start 64.125 62.4) (end 63.85 62.675) (width 0.1524) (layer TOP-L1) (net 27) (tstamp 519C45B9))
+  (segment (start 64.125 61.025) (end 64.125 62.4) (width 0.1524) (layer TOP-L1) (net 27) (tstamp 519C45C8))
+  (segment (start 64.475 60.7) (end 64.35 60.7) (width 0.1524) (layer TOP-L1) (net 27) (tstamp 519C45B5))
+  (segment (start 64.65 60.875) (end 64.475 60.7) (width 0.1524) (layer TOP-L1) (net 27) (tstamp 519C45B3))
+  (segment (start 69 65.2) (end 68.6 65.6) (width 0.1524) (layer TOP-L1) (net 27))
+  (segment (start 62.7 62.675) (end 62.45 62.425) (width 0.1524) (layer TOP-L1) (net 27) (tstamp 519B68D9))
+  (segment (start 63.45 62.675) (end 62.7 62.675) (width 0.1524) (layer TOP-L1) (net 27) (tstamp 519C45C0))
+  (segment (start 64.65 63.4) (end 64.65 62.775) (width 0.1524) (layer TOP-L1) (net 27) (tstamp 519B68CF))
+  (segment (start 66.85 65.6) (end 64.65 63.4) (width 0.1524) (layer TOP-L1) (net 27) (tstamp 519B68CB))
+  (segment (start 68.6 65.6) (end 66.85 65.6) (width 0.1524) (layer TOP-L1) (net 27) (tstamp 519B68C7))
+  (segment (start 65.625 56.8) (end 65.625 56.675) (width 0.1524) (layer TOP-L1) (net 28))
+  (segment (start 65.4 56.45) (end 65.2 56.45) (width 0.1524) (layer TOP-L1) (net 28) (tstamp 519B63A3))
+  (segment (start 65.625 56.675) (end 65.4 56.45) (width 0.1524) (layer TOP-L1) (net 28) (tstamp 519B63A2))
+  (segment (start 65.025 56.45) (end 64.875 56.45) (width 0.1524) (layer TOP-L1) (net 28))
+  (segment (start 64.625 56.7) (end 64.625 56.875) (width 0.1524) (layer TOP-L1) (net 28) (tstamp 519B639A))
+  (segment (start 64.875 56.45) (end 64.625 56.7) (width 0.1524) (layer TOP-L1) (net 28) (tstamp 519B6399))
+  (segment (start 69 62.8) (end 68.6 63.2) (width 0.1524) (layer TOP-L1) (net 28))
+  (segment (start 60.4 58) (end 60 58.4) (width 0.1524) (layer TOP-L1) (net 28) (tstamp 519B6381))
+  (segment (start 60.925 58) (end 60.4 58) (width 0.1524) (layer TOP-L1) (net 28) (tstamp 519B6379))
+  (segment (start 61.3 57.625) (end 60.925 58) (width 0.1524) (layer TOP-L1) (net 28) (tstamp 519B6376))
+  (segment (start 61.3 57.025) (end 61.3 57.625) (width 0.1524) (layer TOP-L1) (net 28) (tstamp 519B6374))
+  (segment (start 61.5 56.825) (end 61.3 57.025) (width 0.1524) (layer TOP-L1) (net 28) (tstamp 519B6372))
+  (segment (start 62.65 56.825) (end 61.5 56.825) (width 0.1524) (layer TOP-L1) (net 28) (tstamp 519B6370))
+  (segment (start 62.875 57.05) (end 62.65 56.825) (width 0.1524) (layer TOP-L1) (net 28) (tstamp 519B636F))
+  (segment (start 62.875 58.125) (end 62.875 57.05) (width 0.1524) (layer TOP-L1) (net 28) (tstamp 519B636E))
+  (segment (start 63.35 58.6) (end 62.875 58.125) (width 0.1524) (layer TOP-L1) (net 28) (tstamp 519B636D))
+  (segment (start 64.175 58.6) (end 63.35 58.6) (width 0.1524) (layer TOP-L1) (net 28) (tstamp 519B636C))
+  (segment (start 64.625 58.15) (end 64.175 58.6) (width 0.1524) (layer TOP-L1) (net 28) (tstamp 519B6369))
+  (segment (start 64.625 56.875) (end 64.625 58.15) (width 0.1524) (layer TOP-L1) (net 28) (tstamp 519B639D))
+  (segment (start 65.2 56.45) (end 65.025 56.45) (width 0.1524) (layer TOP-L1) (net 28) (tstamp 519B63A6))
+  (segment (start 65.625 57.175) (end 65.625 56.8) (width 0.1524) (layer TOP-L1) (net 28) (tstamp 519B6362))
+  (segment (start 66.15 57.7) (end 65.625 57.175) (width 0.1524) (layer TOP-L1) (net 28) (tstamp 519B635F))
+  (segment (start 66.4 57.7) (end 66.15 57.7) (width 0.1524) (layer TOP-L1) (net 28) (tstamp 519B635E))
+  (segment (start 66.575 57.875) (end 66.4 57.7) (width 0.1524) (layer TOP-L1) (net 28) (tstamp 519B635A))
+  (segment (start 66.575 62.425) (end 66.575 57.875) (width 0.1524) (layer TOP-L1) (net 28) (tstamp 519B6356))
+  (segment (start 67.35 63.2) (end 66.575 62.425) (width 0.1524) (layer TOP-L1) (net 28) (tstamp 519B6354))
+  (segment (start 68.6 63.2) (end 67.35 63.2) (width 0.1524) (layer TOP-L1) (net 28) (tstamp 519B6350))
+  (segment (start 63.55 58.925) (end 63.075 58.925) (width 0.1524) (layer TOP-L1) (net 29))
+  (segment (start 62.575 58.425) (end 62.575 58.075) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 519B63EF))
+  (segment (start 63.075 58.925) (end 62.575 58.425) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 519B63EE))
+  (segment (start 68.2 63.6) (end 67.275 63.6) (width 0.1524) (layer TOP-L1) (net 29))
+  (segment (start 58.4 58.725) (end 58.4 58.4) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 519B63DF))
+  (segment (start 58.625 58.95) (end 58.4 58.725) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 519B63D3))
+  (segment (start 60.3 58.95) (end 58.625 58.95) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 519B63D0))
+  (segment (start 60.925 58.325) (end 60.3 58.95) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 519B63CF))
+  (segment (start 61.225 58.325) (end 60.925 58.325) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 519B63CD))
+  (segment (start 61.6 57.95) (end 61.225 58.325) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 519B63CA))
+  (segment (start 61.6 57.225) (end 61.6 57.95) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 519B63C8))
+  (segment (start 61.725 57.1) (end 61.6 57.225) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 519B63C7))
+  (segment (start 62.45 57.1) (end 61.725 57.1) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 519B63C6))
+  (segment (start 62.575 57.225) (end 62.45 57.1) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 519B63C5))
+  (segment (start 62.575 58.075) (end 62.575 57.225) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 519B63F2))
+  (segment (start 64.3 58.925) (end 63.55 58.925) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 519B63BE))
+  (segment (start 64.95 58.275) (end 64.3 58.925) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 519B63BC))
+  (segment (start 64.95 57.55) (end 64.95 58.275) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 519B63BB))
+  (segment (start 65.075 57.425) (end 64.95 57.55) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 519B63B9))
+  (segment (start 65.425 57.425) (end 65.075 57.425) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 519B63B8))
+  (segment (start 66.275 58.275) (end 65.425 57.425) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 519B63B6))
+  (segment (start 66.275 62.6) (end 66.275 58.275) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 519B63B2))
+  (segment (start 67.275 63.6) (end 66.275 62.6) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 519B63AF))
+  (segment (start 62.3 63.325) (end 61.725 63.9) (width 0.1524) (layer TOP-L1) (net 30))
+  (segment (start 61.025 65.525) (end 60.9 65.4) (width 0.1524) (layer TOP-L1) (net 30) (tstamp 51A43518))
+  (segment (start 61.55 65.525) (end 61.025 65.525) (width 0.1524) (layer TOP-L1) (net 30) (tstamp 51A43514))
+  (segment (start 61.725 65.35) (end 61.55 65.525) (width 0.1524) (layer TOP-L1) (net 30) (tstamp 51A43512))
+  (segment (start 61.725 63.9) (end 61.725 65.35) (width 0.1524) (layer TOP-L1) (net 30) (tstamp 51A43511))
+  (segment (start 62.675 62.95) (end 63.525 62.95) (width 0.1524) (layer TOP-L1) (net 30) (tstamp 51A43505))
+  (segment (start 62.3 63.325) (end 62.675 62.95) (width 0.1524) (layer TOP-L1) (net 30) (tstamp 51A4350F))
+  (segment (start 60.8 64.425) (end 60.8 65.3) (width 0.1524) (layer TOP-L1) (net 30))
+  (segment (start 60.8 65.3) (end 60.9 65.4) (width 0.1524) (layer TOP-L1) (net 30) (tstamp 51A434F4))
+  (segment (start 60.8 64.4) (end 60.8 64.425) (width 0.1524) (layer TOP-L1) (net 30))
+  (segment (start 60.275 63.7) (end 60.45 63.7) (width 0.1524) (layer TOP-L1) (net 30))
+  (segment (start 60.8 64.05) (end 60.8 64.4) (width 0.1524) (layer TOP-L1) (net 30) (tstamp 51A434CD))
+  (segment (start 60.45 63.7) (end 60.8 64.05) (width 0.1524) (layer TOP-L1) (net 30) (tstamp 51A434CC))
+  (segment (start 58 63.3) (end 58 63.975) (width 0.1524) (layer TOP-L1) (net 30))
+  (segment (start 59.05 64.125) (end 59.325 63.85) (width 0.1524) (layer TOP-L1) (net 30) (tstamp 51A1630C))
+  (segment (start 59.05 64.7) (end 59.05 64.125) (width 0.1524) (layer TOP-L1) (net 30) (tstamp 51A16306))
+  (segment (start 58.9 64.85) (end 59.05 64.7) (width 0.1524) (layer TOP-L1) (net 30) (tstamp 51A16304))
+  (segment (start 58.5 64.85) (end 58.9 64.85) (width 0.1524) (layer TOP-L1) (net 30) (tstamp 51A16303))
+  (segment (start 58.35 64.7) (end 58.5 64.85) (width 0.1524) (layer TOP-L1) (net 30) (tstamp 51A16301))
+  (segment (start 58.35 64.325) (end 58.35 64.7) (width 0.1524) (layer TOP-L1) (net 30) (tstamp 51A16300))
+  (segment (start 58 63.975) (end 58.35 64.325) (width 0.1524) (layer TOP-L1) (net 30) (tstamp 51A162FF))
+  (segment (start 59.475 63.7) (end 59.325 63.85) (width 0.1524) (layer TOP-L1) (net 30) (tstamp 519C940B))
+  (segment (start 60.275 63.7) (end 59.475 63.7) (width 0.1524) (layer TOP-L1) (net 30) (tstamp 51A434CA))
+  (segment (start 58 62) (end 57.6 61.6) (width 0.1524) (layer TOP-L1) (net 30) (tstamp 519C9418))
+  (segment (start 58 63.3) (end 58 62) (width 0.1524) (layer TOP-L1) (net 30) (tstamp 51A162FD))
+  (segment (start 68.2 66) (end 66.775 66) (width 0.1524) (layer TOP-L1) (net 30))
+  (segment (start 66.775 66) (end 64.375 63.6) (width 0.1524) (layer TOP-L1) (net 30) (tstamp 519C93D6))
+  (segment (start 64.375 63.6) (end 64.375 63.25) (width 0.1524) (layer TOP-L1) (net 30) (tstamp 519C93D8))
+  (segment (start 64.375 63.25) (end 64.075 62.95) (width 0.1524) (layer TOP-L1) (net 30) (tstamp 519C93E1))
+  (segment (start 64.075 62.95) (end 63.525 62.95) (width 0.1524) (layer TOP-L1) (net 30) (tstamp 519C93E2))
+  (segment (start 73.4 76.15) (end 73.4 76.6) (width 0.1524) (layer TOP-L1) (net 31))
+  (segment (start 67.2 82.8) (end 66.825 82.8) (width 0.1524) (layer TOP-L1) (net 31) (tstamp 51A43C67))
+  (segment (start 73.4 76.6) (end 67.2 82.8) (width 0.1524) (layer TOP-L1) (net 31) (tstamp 51A43C64))
+  (segment (start 66.825 82.8) (end 66.6 82.8) (width 0.1524) (layer TOP-L1) (net 31) (tstamp 51A43C6D))
+  (segment (start 73.4 75.325) (end 73.4 76.15) (width 0.1524) (layer TOP-L1) (net 31))
+  (segment (start 66.625 82.8) (end 66.6 82.8) (width 0.1524) (layer TOP-L1) (net 31))
+  (segment (start 66.475 82.8) (end 66.625 82.8) (width 0.1524) (layer TOP-L1) (net 31))
+  (segment (start 66.475 82.8) (end 66.45 82.8) (width 0.1524) (layer TOP-L1) (net 31) (tstamp 51A438D5))
+  (segment (start 65.975 82.8) (end 65.975 82.75) (width 0.1524) (layer TOP-L1) (net 31) (tstamp 519B3DCF))
+  (segment (start 66.45 82.8) (end 65.975 82.8) (width 0.1524) (layer TOP-L1) (net 31) (tstamp 51A20842))
+  (segment (start 65.975 82.75) (end 65.925 82.8) (width 0.1524) (layer TOP-L1) (net 31) (tstamp 519B3DD0))
+  (segment (start 58.4 82.8) (end 58 82.4) (width 0.1524) (layer TOP-L1) (net 31) (tstamp 519B3D49))
+  (via (at 65.925 82.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 31))
+  (segment (start 65.925 82.8) (end 58.4 82.8) (width 0.1524) (layer Signal-L3) (net 31) (tstamp 519B3D3D))
+  (via (at 58.4 82.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 31))
+  (segment (start 73.4 75.175) (end 73.4 75.325) (width 0.1524) (layer TOP-L1) (net 31))
+  (segment (start 73.4 74.4) (end 73.4 74.825) (width 0.1524) (layer TOP-L1) (net 31) (tstamp 519B3D19))
+  (segment (start 73.8 74) (end 73.4 74.4) (width 0.1524) (layer TOP-L1) (net 31))
+  (segment (start 73.4 74.825) (end 73.4 75.15) (width 0.1524) (layer TOP-L1) (net 31))
+  (segment (start 73.4 75.175) (end 73.4 75.15) (width 0.1524) (layer TOP-L1) (net 31) (tstamp 51A2083B))
+  (segment (start 67.2 57.575) (end 67.2 57.4) (width 0.1524) (layer TOP-L1) (net 32))
+  (segment (start 66.85 57.05) (end 66.675 57.05) (width 0.1524) (layer TOP-L1) (net 32) (tstamp 519B627D))
+  (segment (start 67.2 57.4) (end 66.85 57.05) (width 0.1524) (layer TOP-L1) (net 32) (tstamp 519B627C))
+  (segment (start 66.625 56.4) (end 66.45 56.4) (width 0.1524) (layer TOP-L1) (net 32))
+  (segment (start 66.775 56.4) (end 66.9 56.4) (width 0.1524) (layer TOP-L1) (net 32) (tstamp 519B6247))
+  (segment (start 66.9 56.4) (end 67.075 56.225) (width 0.1524) (layer TOP-L1) (net 32) (tstamp 519B6220))
+  (segment (start 67.075 56.225) (end 67.075 56.1) (width 0.1524) (layer TOP-L1) (net 32) (tstamp 519B6221))
+  (segment (start 67.075 56.1) (end 66.8 55.825) (width 0.1524) (layer TOP-L1) (net 32) (tstamp 519B6222))
+  (segment (start 66.8 55.825) (end 59.7 55.825) (width 0.1524) (layer TOP-L1) (net 32) (tstamp 519B6223))
+  (segment (start 58.8 56.35) (end 58.8 57.2) (width 0.1524) (layer TOP-L1) (net 32) (tstamp 519B6236))
+  (segment (start 58.925 55.825) (end 58.8 55.95) (width 0.1524) (layer TOP-L1) (net 32) (tstamp 519B6230))
+  (segment (start 58.8 55.95) (end 58.8 56.35) (width 0.1524) (layer TOP-L1) (net 32) (tstamp 519B6232))
+  (segment (start 59.7 55.825) (end 58.925 55.825) (width 0.1524) (layer TOP-L1) (net 32))
+  (segment (start 66.675 56.4) (end 66.775 56.4) (width 0.1524) (layer TOP-L1) (net 32) (tstamp 519B6261))
+  (segment (start 66.25 56.675) (end 66.25 56.875) (width 0.1524) (layer TOP-L1) (net 32) (tstamp 519B6275))
+  (segment (start 66.25 56.875) (end 66.425 57.05) (width 0.1524) (layer TOP-L1) (net 32) (tstamp 519B6265))
+  (segment (start 66.425 57.05) (end 66.675 57.05) (width 0.1524) (layer TOP-L1) (net 32) (tstamp 519B6267))
+  (segment (start 66.675 56.4) (end 66.625 56.4) (width 0.1524) (layer TOP-L1) (net 32))
+  (segment (start 66.45 56.4) (end 66.25 56.6) (width 0.1524) (layer TOP-L1) (net 32) (tstamp 519B6271))
+  (segment (start 66.25 56.6) (end 66.25 56.675) (width 0.1524) (layer TOP-L1) (net 32) (tstamp 519B6272))
+  (segment (start 67.2 57.625) (end 67.2 57.575) (width 0.1524) (layer TOP-L1) (net 32))
+  (segment (start 67.5 62.4) (end 67.2 62.1) (width 0.1524) (layer TOP-L1) (net 32) (tstamp 519B6218))
+  (segment (start 67.2 62.1) (end 67.2 57.625) (width 0.1524) (layer TOP-L1) (net 32) (tstamp 519B6219))
+  (segment (start 68.6 62.4) (end 67.5 62.4) (width 0.1524) (layer TOP-L1) (net 32) (tstamp 519B6217))
+  (segment (start 69 62) (end 68.6 62.4) (width 0.1524) (layer TOP-L1) (net 32))
+  (segment (start 58.8 57.2) (end 58.4 57.6) (width 0.1524) (layer TOP-L1) (net 32) (tstamp 519B6226))
+  (segment (start 58.8 57.2) (end 58.4 57.6) (width 0.1524) (layer TOP-L1) (net 32) (tstamp 519B5FD1))
+  (segment (start 63 61.625) (end 62.875 61.625) (width 0.1524) (layer TOP-L1) (net 33))
+  (segment (start 62.6 61.35) (end 62.6 61.175) (width 0.1524) (layer TOP-L1) (net 33) (tstamp 519B679D))
+  (segment (start 62.875 61.625) (end 62.6 61.35) (width 0.1524) (layer TOP-L1) (net 33) (tstamp 519B679C))
+  (segment (start 63.525 60.9) (end 63.525 61.4) (width 0.1524) (layer TOP-L1) (net 33))
+  (segment (start 62.6 61.175) (end 62.6 61.05) (width 0.1524) (layer TOP-L1) (net 33) (tstamp 519B67A0))
+  (segment (start 63.3 61.625) (end 63 61.625) (width 0.1524) (layer TOP-L1) (net 33) (tstamp 519B678F))
+  (segment (start 63.525 61.4) (end 63.3 61.625) (width 0.1524) (layer TOP-L1) (net 33) (tstamp 519B678E))
+  (segment (start 63.525 60.875) (end 63.525 60.9) (width 0.1524) (layer TOP-L1) (net 33))
+  (segment (start 64.35 59.975) (end 63.725 59.975) (width 0.1524) (layer TOP-L1) (net 33))
+  (segment (start 62.6 60) (end 62.375 59.775) (width 0.1524) (layer TOP-L1) (net 33) (tstamp 519B6775))
+  (segment (start 62.6 61.05) (end 62.6 60) (width 0.1524) (layer TOP-L1) (net 33) (tstamp 519B6789))
+  (segment (start 63.525 60.175) (end 63.525 60.875) (width 0.1524) (layer TOP-L1) (net 33) (tstamp 519B6770))
+  (segment (start 63.725 59.975) (end 63.525 60.175) (width 0.1524) (layer TOP-L1) (net 33) (tstamp 519B676F))
+  (segment (start 69 64.4) (end 68.6 64.8) (width 0.1524) (layer TOP-L1) (net 33))
+  (segment (start 60 60.975) (end 60 61.6) (width 0.1524) (layer TOP-L1) (net 33) (tstamp 519B6759))
+  (segment (start 59.725 60.7) (end 60 60.975) (width 0.1524) (layer TOP-L1) (net 33) (tstamp 519B6756))
+  (segment (start 59.425 60.7) (end 59.725 60.7) (width 0.1524) (layer TOP-L1) (net 33) (tstamp 519B6754))
+  (segment (start 59.075 60.35) (end 59.425 60.7) (width 0.1524) (layer TOP-L1) (net 33) (tstamp 519B6753))
+  (segment (start 59.075 60.15) (end 59.075 60.35) (width 0.1524) (layer TOP-L1) (net 33) (tstamp 519B6752))
+  (segment (start 59.275 59.95) (end 59.075 60.15) (width 0.1524) (layer TOP-L1) (net 33) (tstamp 519B674C))
+  (segment (start 61.125 59.95) (end 59.275 59.95) (width 0.1524) (layer TOP-L1) (net 33) (tstamp 519B674B))
+  (segment (start 61.65 59.425) (end 61.125 59.95) (width 0.1524) (layer TOP-L1) (net 33) (tstamp 519B674A))
+  (segment (start 62.025 59.425) (end 61.65 59.425) (width 0.1524) (layer TOP-L1) (net 33) (tstamp 519B6749))
+  (segment (start 62.375 59.775) (end 62.025 59.425) (width 0.1524) (layer TOP-L1) (net 33) (tstamp 519B6778))
+  (segment (start 64.725 59.975) (end 64.35 59.975) (width 0.1524) (layer TOP-L1) (net 33) (tstamp 519B6733))
+  (segment (start 65.3 60.55) (end 64.725 59.975) (width 0.1524) (layer TOP-L1) (net 33) (tstamp 519B672F))
+  (segment (start 65.3 63.1) (end 65.3 60.55) (width 0.1524) (layer TOP-L1) (net 33) (tstamp 519B672D))
+  (segment (start 67 64.8) (end 65.3 63.1) (width 0.1524) (layer TOP-L1) (net 33) (tstamp 519B6728))
+  (segment (start 68.6 64.8) (end 67 64.8) (width 0.1524) (layer TOP-L1) (net 33) (tstamp 519B6725))
+  (segment (start 68.975 80.15) (end 69.325 80.15) (width 0.1524) (layer TOP-L1) (net 34))
+  (segment (start 73 76.475) (end 73 76.25) (width 0.1524) (layer TOP-L1) (net 34) (tstamp 51A43CE8))
+  (segment (start 69.325 80.15) (end 73 76.475) (width 0.1524) (layer TOP-L1) (net 34) (tstamp 51A43CE5))
+  (segment (start 68.975 80.15) (end 65.7 80.15) (width 0.1524) (layer Signal-L3) (net 34) (tstamp 51A43CC4))
+  (via (at 68.975 80.15) (size 0.4572) (layers TOP-L1 BOT-L6) (net 34))
+  (segment (start 65.7 80.15) (end 65.15 80.15) (width 0.1524) (layer Signal-L3) (net 34) (tstamp 51A43CC7))
+  (segment (start 73 75.275) (end 73 76.25) (width 0.1524) (layer TOP-L1) (net 34))
+  (segment (start 73 74.8) (end 73 75.275) (width 0.1524) (layer TOP-L1) (net 34))
+  (segment (start 65.15 80.15) (end 62.375 80.15) (width 0.1524) (layer Signal-L3) (net 34) (tstamp 51A43CB4))
+  (segment (start 56.4 81.05) (end 56.4 80.775) (width 0.1524) (layer Signal-L3) (net 34))
+  (segment (start 57.225 79.95) (end 57.75 79.95) (width 0.1524) (layer Signal-L3) (net 34) (tstamp 51A2128C))
+  (segment (start 56.4 80.775) (end 57.225 79.95) (width 0.1524) (layer Signal-L3) (net 34) (tstamp 51A21288))
+  (segment (start 56.4 81.05) (end 56.4 81.07) (width 0.1524) (layer Signal-L3) (net 34) (tstamp 51A21286))
+  (segment (start 62.175 79.95) (end 61.325 79.95) (width 0.1524) (layer Signal-L3) (net 34) (tstamp 51A208D5))
+  (segment (start 62.375 80.15) (end 62.175 79.95) (width 0.1524) (layer Signal-L3) (net 34) (tstamp 51A208D3))
+  (segment (start 61.325 79.95) (end 57.75 79.95) (width 0.1524) (layer Signal-L3) (net 34) (tstamp 51A208D9))
+  (segment (start 56.4 81.07) (end 56.4 81.6) (width 0.1524) (layer TOP-L1) (net 34) (tstamp 519B575C))
+  (via (at 56.4 81.07) (size 0.4572) (layers TOP-L1 BOT-L6) (net 34))
+  (segment (start 71 75.025) (end 71 75.7) (width 0.1524) (layer TOP-L1) (net 35))
+  (segment (start 70.1 76.6) (end 69.6 76.6) (width 0.1524) (layer TOP-L1) (net 35) (tstamp 51A20CDA))
+  (segment (start 71 75.7) (end 70.1 76.6) (width 0.1524) (layer TOP-L1) (net 35) (tstamp 51A20CD8))
+  (segment (start 67.2 80.125) (end 67.2 80.65) (width 0.1524) (layer TOP-L1) (net 35))
+  (segment (start 65.75 82.1) (end 65.6 82.1) (width 0.1524) (layer TOP-L1) (net 35) (tstamp 51A20C7C))
+  (segment (start 67.2 80.65) (end 65.75 82.1) (width 0.1524) (layer TOP-L1) (net 35) (tstamp 51A20C7B))
+  (segment (start 62.55 81.6) (end 62.85 81.6) (width 0.1524) (layer Signal-L3) (net 35))
+  (segment (start 63.35 82.1) (end 64.025 82.1) (width 0.1524) (layer Signal-L3) (net 35) (tstamp 51A20C5C))
+  (segment (start 62.85 81.6) (end 63.35 82.1) (width 0.1524) (layer Signal-L3) (net 35) (tstamp 51A20C5B))
+  (segment (start 65.225 82.1) (end 64.025 82.1) (width 0.1524) (layer Signal-L3) (net 35) (tstamp 51A20C4B))
+  (via (at 65.225 82.1) (size 0.4572) (layers TOP-L1 BOT-L6) (net 35))
+  (segment (start 65.6 82.1) (end 65.225 82.1) (width 0.1524) (layer TOP-L1) (net 35) (tstamp 51A20C7F))
+  (segment (start 67.2 78.4) (end 67.2 80.125) (width 0.1524) (layer TOP-L1) (net 35) (tstamp 51A20C3B))
+  (segment (start 69 76.6) (end 67.2 78.4) (width 0.1524) (layer TOP-L1) (net 35) (tstamp 51A20C3A))
+  (segment (start 69.6 76.6) (end 69 76.6) (width 0.1524) (layer TOP-L1) (net 35) (tstamp 51A20CDE))
+  (segment (start 71 75) (end 71 75.025) (width 0.1524) (layer TOP-L1) (net 35))
+  (segment (start 71.4 74) (end 71 74.4) (width 0.1524) (layer TOP-L1) (net 35))
+  (segment (start 71 74.4) (end 71 75) (width 0.1524) (layer TOP-L1) (net 35) (tstamp 519B4A08))
+  (segment (start 57.2 81.6) (end 56.8 82) (width 0.1524) (layer Signal-L3) (net 35) (tstamp 519D9D05))
+  (segment (start 62.55 81.6) (end 57.2 81.6) (width 0.1524) (layer Signal-L3) (net 35) (tstamp 51A20C59))
+  (via (at 56.8 82) (size 0.4572) (layers TOP-L1 BOT-L6) (net 35))
+  (segment (start 56.8 82) (end 56.4 82.4) (width 0.1524) (layer TOP-L1) (net 35) (tstamp 519B4A5C))
+  (segment (start 56.4 78.4) (end 56.95 78.95) (width 0.1524) (layer TOP-L1) (net 36))
+  (segment (start 57.6 78.95) (end 57.975 78.95) (width 0.1524) (layer Signal-L3) (net 36) (tstamp 51AD7302))
+  (via (at 57.6 78.95) (size 0.4572) (layers TOP-L1 BOT-L6) (net 36))
+  (segment (start 56.95 78.95) (end 57.6 78.95) (width 0.1524) (layer TOP-L1) (net 36) (tstamp 51AD7300))
+  (segment (start 57.975 78.95) (end 58.325 78.95) (width 0.1524) (layer Signal-L3) (net 36) (tstamp 51AD7305))
+  (segment (start 66.4 79.575) (end 66.6 79.575) (width 0.1524) (layer Signal-L3) (net 36))
+  (segment (start 67.525 79.575) (end 67.8 79.575) (width 0.1524) (layer Signal-L3) (net 36) (tstamp 51A43D48))
+  (segment (start 67.35 79.75) (end 67.525 79.575) (width 0.1524) (layer Signal-L3) (net 36) (tstamp 51A43D47))
+  (segment (start 66.775 79.75) (end 67.35 79.75) (width 0.1524) (layer Signal-L3) (net 36) (tstamp 51A43D46))
+  (segment (start 66.6 79.575) (end 66.775 79.75) (width 0.1524) (layer Signal-L3) (net 36) (tstamp 51A43D45))
+  (segment (start 64.025 79.825) (end 62.625 79.825) (width 0.1524) (layer Signal-L3) (net 36))
+  (segment (start 62.475 79.675) (end 60.875 79.675) (width 0.1524) (layer Signal-L3) (net 36) (tstamp 51A43D25))
+  (segment (start 62.625 79.825) (end 62.475 79.675) (width 0.1524) (layer Signal-L3) (net 36) (tstamp 51A43D24))
+  (segment (start 72.6 75.15) (end 72.6 76.325) (width 0.1524) (layer TOP-L1) (net 36))
+  (segment (start 72.6 74.4) (end 72.6 75.15) (width 0.1524) (layer TOP-L1) (net 36) (tstamp 519B560F))
+  (segment (start 73 74) (end 72.6 74.4) (width 0.1524) (layer TOP-L1) (net 36))
+  (segment (start 68 79.575) (end 67.8 79.575) (width 0.1524) (layer Signal-L3) (net 36) (tstamp 51A43D19))
+  (segment (start 72.6 76.325) (end 69.35 79.575) (width 0.1524) (layer TOP-L1) (net 36) (tstamp 51A43CFD))
+  (segment (start 69.35 79.575) (end 68.55 79.575) (width 0.1524) (layer TOP-L1) (net 36) (tstamp 51A43D06))
+  (via (at 68.55 79.575) (size 0.4572) (layers TOP-L1 BOT-L6) (net 36))
+  (segment (start 68.55 79.575) (end 68 79.575) (width 0.1524) (layer Signal-L3) (net 36) (tstamp 51A43D16))
+  (segment (start 66.4 79.575) (end 65.15 79.575) (width 0.1524) (layer Signal-L3) (net 36) (tstamp 51A43D43))
+  (segment (start 65.15 79.575) (end 65 79.725) (width 0.1524) (layer Signal-L3) (net 36) (tstamp 51A438FD))
+  (segment (start 65 79.725) (end 65.05 79.675) (width 0.1524) (layer Signal-L3) (net 36) (tstamp 51A43900))
+  (segment (start 64.9 79.825) (end 64.025 79.825) (width 0.1524) (layer Signal-L3) (net 36) (tstamp 519B564F))
+  (segment (start 65.05 79.675) (end 64.9 79.825) (width 0.1524) (layer Signal-L3) (net 36) (tstamp 51A20924))
+  (segment (start 59.05 79.675) (end 59.55 79.675) (width 0.1524) (layer Signal-L3) (net 36) (tstamp 51A210C3))
+  (segment (start 58.325 78.95) (end 59.05 79.675) (width 0.1524) (layer Signal-L3) (net 36) (tstamp 51A210C1))
+  (segment (start 60.875 79.675) (end 60.75 79.675) (width 0.1524) (layer Signal-L3) (net 36) (tstamp 51A43D28))
+  (segment (start 60.75 79.675) (end 59.55 79.675) (width 0.1524) (layer Signal-L3) (net 36) (tstamp 519B568D))
+  (segment (start 70.37 78.06) (end 70.59 78.06) (width 0.1524) (layer Signal-L3) (net 37))
+  (segment (start 70.89 77.11) (end 70.55 76.77) (width 0.1524) (layer Signal-L3) (net 37) (tstamp 51A87562))
+  (segment (start 70.89 77.76) (end 70.89 77.11) (width 0.1524) (layer Signal-L3) (net 37) (tstamp 51A87561))
+  (segment (start 70.59 78.06) (end 70.89 77.76) (width 0.1524) (layer Signal-L3) (net 37) (tstamp 51A8755F))
+  (segment (start 68.41 76.73) (end 68.8 76.73) (width 0.1524) (layer Signal-L3) (net 37))
+  (segment (start 69.81 76.03) (end 69.81 75.78) (width 0.1524) (layer Signal-L3) (net 37) (tstamp 51A87548))
+  (segment (start 70.55 76.77) (end 69.81 76.03) (width 0.1524) (layer Signal-L3) (net 37) (tstamp 51A87566))
+  (segment (start 70.13 78.06) (end 70.37 78.06) (width 0.1524) (layer Signal-L3) (net 37) (tstamp 51A87543))
+  (segment (start 68.8 76.73) (end 70.13 78.06) (width 0.1524) (layer Signal-L3) (net 37) (tstamp 51A87542))
+  (segment (start 57.6 75.86) (end 57.6 75.82) (width 0.1524) (layer Signal-L3) (net 37))
+  (segment (start 69.81 75.31) (end 69.81 75.29) (width 0.1524) (layer Signal-L3) (net 37) (tstamp 51A87536))
+  (segment (start 69.81 75.78) (end 69.81 75.31) (width 0.1524) (layer Signal-L3) (net 37) (tstamp 51A8754C))
+  (segment (start 68.1 76.73) (end 68.41 76.73) (width 0.1524) (layer Signal-L3) (net 37) (tstamp 51A8752F))
+  (segment (start 67.33 75.96) (end 68.1 76.73) (width 0.1524) (layer Signal-L3) (net 37) (tstamp 51A8752D))
+  (segment (start 63.27 75.96) (end 67.33 75.96) (width 0.1524) (layer Signal-L3) (net 37) (tstamp 51A8752A))
+  (segment (start 61.58 74.27) (end 63.27 75.96) (width 0.1524) (layer Signal-L3) (net 37) (tstamp 51A87528))
+  (segment (start 59.15 74.27) (end 61.58 74.27) (width 0.1524) (layer Signal-L3) (net 37) (tstamp 51A8751C))
+  (segment (start 57.6 75.82) (end 59.15 74.27) (width 0.1524) (layer Signal-L3) (net 37) (tstamp 51A8751A))
+  (segment (start 57.6 76.525) (end 57.6 75.86) (width 0.1524) (layer Signal-L3) (net 37))
+  (segment (start 57.2 77.6) (end 57.6 77.2) (width 0.1524) (layer TOP-L1) (net 37))
+  (segment (start 57.6 77.2) (end 57.6 76.525) (width 0.1524) (layer Signal-L3) (net 37) (tstamp 519B4D0E))
+  (via (at 57.6 77.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 37))
+  (segment (start 69.81 75.29) (end 69.8 75.3) (width 0.1524) (layer Signal-L3) (net 37) (tstamp 51A87537))
+  (segment (start 69.8 75.3) (end 69.8 74.8) (width 0.1524) (layer TOP-L1) (net 37) (tstamp 519B4D86))
+  (via (at 69.8 75.3) (size 0.4572) (layers TOP-L1 BOT-L6) (net 37))
+  (segment (start 62.625 79.875) (end 62.45 79.875) (width 0.1524) (layer TOP-L1) (net 38))
+  (segment (start 62.2 80.125) (end 62.2 80.275) (width 0.1524) (layer TOP-L1) (net 38) (tstamp 519B444E))
+  (segment (start 62.45 79.875) (end 62.2 80.125) (width 0.1524) (layer TOP-L1) (net 38) (tstamp 519B444D))
+  (segment (start 62.2 80.275) (end 62.2 81.4) (width 0.1524) (layer TOP-L1) (net 38) (tstamp 519B4451))
+  (segment (start 69 74) (end 68.6 74.4) (width 0.1524) (layer TOP-L1) (net 38))
+  (segment (start 62.2 81.4) (end 62 81.6) (width 0.1524) (layer TOP-L1) (net 38) (tstamp 519B4436))
+  (segment (start 63.05 79.875) (end 62.625 79.875) (width 0.1524) (layer TOP-L1) (net 38) (tstamp 519B4433))
+  (segment (start 63.25 80.075) (end 63.05 79.875) (width 0.1524) (layer TOP-L1) (net 38) (tstamp 519B4424))
+  (segment (start 63.25 80.45) (end 63.25 80.075) (width 0.1524) (layer TOP-L1) (net 38) (tstamp 519B4413))
+  (segment (start 63.425 80.625) (end 63.25 80.45) (width 0.1524) (layer TOP-L1) (net 38) (tstamp 519B4412))
+  (segment (start 63.65 80.625) (end 63.425 80.625) (width 0.1524) (layer TOP-L1) (net 38) (tstamp 519B4411))
+  (segment (start 63.825 80.45) (end 63.65 80.625) (width 0.1524) (layer TOP-L1) (net 38) (tstamp 519B440D))
+  (segment (start 63.825 75.45) (end 63.825 80.45) (width 0.1524) (layer TOP-L1) (net 38) (tstamp 519B440B))
+  (segment (start 63.225 74.85) (end 63.825 75.45) (width 0.1524) (layer TOP-L1) (net 38) (tstamp 519B4409))
+  (segment (start 63.225 74.625) (end 63.225 74.85) (width 0.1524) (layer TOP-L1) (net 38) (tstamp 519B4407))
+  (segment (start 63.45 74.4) (end 63.225 74.625) (width 0.1524) (layer TOP-L1) (net 38) (tstamp 519B4402))
+  (segment (start 68.6 74.4) (end 63.45 74.4) (width 0.1524) (layer TOP-L1) (net 38) (tstamp 519B4400))
+  (segment (start 60.25 72.55) (end 60.025 72.325) (width 0.1524) (layer TOP-L1) (net 39))
+  (segment (start 59.8 72.325) (end 59.675 72.45) (width 0.1524) (layer TOP-L1) (net 39) (tstamp 519B3947))
+  (segment (start 60.025 72.325) (end 59.8 72.325) (width 0.1524) (layer TOP-L1) (net 39) (tstamp 519B3946))
+  (segment (start 61.15 72.4) (end 61 72.4) (width 0.1524) (layer TOP-L1) (net 39))
+  (segment (start 60.35 72.65) (end 60.25 72.55) (width 0.1524) (layer TOP-L1) (net 39) (tstamp 519B235B))
+  (segment (start 60.75 72.65) (end 60.35 72.65) (width 0.1524) (layer TOP-L1) (net 39) (tstamp 519B2359))
+  (segment (start 61 72.4) (end 60.75 72.65) (width 0.1524) (layer TOP-L1) (net 39) (tstamp 519B2358))
+  (segment (start 59.55 72.675) (end 59.55 72.825) (width 0.1524) (layer TOP-L1) (net 39))
+  (segment (start 59.875 73.475) (end 59.675 73.675) (width 0.1524) (layer TOP-L1) (net 39) (tstamp 519B2348))
+  (segment (start 59.875 73.15) (end 59.875 73.475) (width 0.1524) (layer TOP-L1) (net 39) (tstamp 519B2347))
+  (segment (start 59.55 72.825) (end 59.875 73.15) (width 0.1524) (layer TOP-L1) (net 39) (tstamp 519B2344))
+  (segment (start 61.575 72.4) (end 61.15 72.4) (width 0.1524) (layer TOP-L1) (net 39))
+  (segment (start 60.05 75.775) (end 60.275 75.775) (width 0.1524) (layer TOP-L1) (net 39) (tstamp 519B232D))
+  (segment (start 59.575 75.3) (end 60.05 75.775) (width 0.1524) (layer TOP-L1) (net 39) (tstamp 519B2329))
+  (segment (start 59.575 73.775) (end 59.575 75.3) (width 0.1524) (layer TOP-L1) (net 39) (tstamp 519B2327))
+  (segment (start 59.675 73.675) (end 59.575 73.775) (width 0.1524) (layer TOP-L1) (net 39) (tstamp 519B234C))
+  (segment (start 59.55 72.575) (end 59.55 72.675) (width 0.1524) (layer TOP-L1) (net 39) (tstamp 519B2318))
+  (segment (start 59.675 72.45) (end 59.55 72.575) (width 0.1524) (layer TOP-L1) (net 39) (tstamp 519B394A))
+  (segment (start 68.2 72.4) (end 61.575 72.4) (width 0.1524) (layer TOP-L1) (net 39))
+  (segment (start 60.8 78) (end 60.4 78.4) (width 0.1524) (layer TOP-L1) (net 39) (tstamp 519B1692))
+  (segment (start 60.8 76.075) (end 60.8 78) (width 0.1524) (layer TOP-L1) (net 39) (tstamp 519B168A))
+  (segment (start 60.5 75.775) (end 60.8 76.075) (width 0.1524) (layer TOP-L1) (net 39) (tstamp 519B1689))
+  (segment (start 60.275 75.775) (end 60.5 75.775) (width 0.1524) (layer TOP-L1) (net 39) (tstamp 519B2330))
+  (segment (start 89.3 43.2) (end 89.6 42.9) (width 0.2) (layer BOT-L6) (net 40))
+  (segment (start 89.6 42.9) (end 89.6 40.725) (width 0.2) (layer BOT-L6) (net 40) (tstamp 51A82C61))
+  (segment (start 74.075 38.625) (end 73.45 38.625) (width 0.2) (layer BOT-L6) (net 40) (tstamp 51A82C73))
+  (segment (start 74.475 39.025) (end 74.075 38.625) (width 0.2) (layer BOT-L6) (net 40) (tstamp 51A82C72))
+  (segment (start 82.625 39.025) (end 74.475 39.025) (width 0.2) (layer BOT-L6) (net 40) (tstamp 51A82C70))
+  (segment (start 83.3 39.7) (end 82.625 39.025) (width 0.2) (layer BOT-L6) (net 40) (tstamp 51A82C6F))
+  (segment (start 88.575 39.7) (end 83.3 39.7) (width 0.2) (layer BOT-L6) (net 40) (tstamp 51A82C6B))
+  (segment (start 89.6 40.725) (end 88.575 39.7) (width 0.2) (layer BOT-L6) (net 40) (tstamp 51A82C68))
+  (segment (start 73.45 38.625) (end 73.275 38.625) (width 0.2) (layer BOT-L6) (net 40) (tstamp 51A82C77))
+  (segment (start 73.225 38.625) (end 73.275 38.625) (width 0.2) (layer BOT-L6) (net 40))
+  (segment (start 73.225 38.625) (end 72.5 38.625) (width 0.2) (layer BOT-L6) (net 40) (tstamp 51A828CA))
+  (segment (start 65.275 31.4) (end 65.275 26.05) (width 0.2) (layer Signal-L3) (net 40) (tstamp 51A828B8))
+  (segment (start 72.3 38.425) (end 65.275 31.4) (width 0.2) (layer Signal-L3) (net 40) (tstamp 51A828B7))
+  (via (at 72.3 38.425) (size 0.4572) (layers TOP-L1 BOT-L6) (net 40))
+  (segment (start 72.5 38.625) (end 72.3 38.425) (width 0.2) (layer BOT-L6) (net 40) (tstamp 51A828AA))
+  (segment (start 65.2725 26.0525) (end 65.275 26.05) (width 0.1524) (layer TOP-L1) (net 40) (tstamp 5188E429))
+  (via (at 65.275 26.05) (size 0.4572) (layers TOP-L1 BOT-L6) (net 40))
+  (segment (start 64.42 26.0525) (end 65.2725 26.0525) (width 0.1524) (layer TOP-L1) (net 40))
+  (segment (start 89.3 43.2) (end 89.325 43.175) (width 0.2) (layer BOT-L6) (net 40) (tstamp 51A82C5F))
+  (segment (start 88.7855 43.3855) (end 87.92656 43.3855) (width 0.2) (layer TOP-L1) (net 40) (tstamp 51A73EF0))
+  (segment (start 88.825 43.425) (end 88.7855 43.3855) (width 0.2) (layer TOP-L1) (net 40) (tstamp 51A73EEF))
+  (via (at 88.825 43.425) (size 0.4572) (layers TOP-L1 BOT-L6) (net 40))
+  (segment (start 89.075 43.425) (end 88.825 43.425) (width 0.2) (layer BOT-L6) (net 40) (tstamp 51A73EEC))
+  (segment (start 89.325 43.175) (end 89.075 43.425) (width 0.2) (layer BOT-L6) (net 40) (tstamp 51A828DD))
+  (segment (start 85.8 67.6) (end 86.625 67.6) (width 0.1524) (layer TOP-L1) (net 41))
+  (segment (start 104.55 69.175) (end 105.475 69.175) (width 0.1524) (layer TOP-L1) (net 41) (tstamp 51A58EF6))
+  (via (at 104.55 69.175) (size 0.4572) (layers TOP-L1 BOT-L6) (net 41))
+  (segment (start 88.2 69.175) (end 104.55 69.175) (width 0.1524) (layer Signal-L5) (net 41) (tstamp 51A58EEF))
+  (segment (start 87.075 68.05) (end 88.2 69.175) (width 0.1524) (layer Signal-L5) (net 41) (tstamp 51A58EEE))
+  (via (at 87.075 68.05) (size 0.4572) (layers TOP-L1 BOT-L6) (net 41))
+  (segment (start 86.625 67.6) (end 87.075 68.05) (width 0.1524) (layer TOP-L1) (net 41) (tstamp 51A58EDE))
+  (segment (start 85 67.6) (end 85.4 68) (width 0.1524) (layer TOP-L1) (net 42))
+  (segment (start 85.4 68) (end 86.15 68) (width 0.1524) (layer TOP-L1) (net 42) (tstamp 51A5A288))
+  (segment (start 86.6 69.2) (end 87.025 69.625) (width 0.1524) (layer Signal-L3) (net 42) (tstamp 51A5A29E))
+  (segment (start 86.6 68.425) (end 86.6 69.2) (width 0.1524) (layer Signal-L3) (net 42) (tstamp 51A5A29C))
+  (segment (start 86.175 68) (end 86.6 68.425) (width 0.1524) (layer Signal-L3) (net 42) (tstamp 51A5A298))
+  (segment (start 86.15 68) (end 86.175 68) (width 0.1524) (layer Signal-L3) (net 42) (tstamp 51A5A297))
+  (via (at 86.15 68) (size 0.4572) (layers TOP-L1 BOT-L6) (net 42))
+  (segment (start 104.525 71.175) (end 105.475 71.175) (width 0.1524) (layer TOP-L1) (net 42) (tstamp 51A58EC3))
+  (via (at 104.525 71.175) (size 0.4572) (layers TOP-L1 BOT-L6) (net 42))
+  (segment (start 88.575 71.175) (end 104.525 71.175) (width 0.1524) (layer Signal-L3) (net 42) (tstamp 51A58EB7))
+  (segment (start 87.025 69.625) (end 88.575 71.175) (width 0.1524) (layer Signal-L3) (net 42) (tstamp 51A5A2A1))
+  (segment (start 102.76 71.83042) (end 102.76 70.41) (width 0.1524) (layer BOT-L6) (net 43))
+  (segment (start 103.92 69.67) (end 103.925 69.675) (width 0.1524) (layer BOT-L6) (net 43) (tstamp 51ADCE91))
+  (segment (start 103.5 69.67) (end 103.92 69.67) (width 0.1524) (layer BOT-L6) (net 43) (tstamp 51ADCE8F))
+  (segment (start 102.76 70.41) (end 103.5 69.67) (width 0.1524) (layer BOT-L6) (net 43) (tstamp 51ADCE87))
+  (segment (start 86.125 68.4) (end 86.725 68.4) (width 0.1524) (layer TOP-L1) (net 43))
+  (segment (start 88 69.675) (end 88.325 69.675) (width 0.1524) (layer Signal-L5) (net 43) (tstamp 51A5A278))
+  (segment (start 87.075 68.75) (end 88 69.675) (width 0.1524) (layer Signal-L5) (net 43) (tstamp 51A5A277))
+  (via (at 87.075 68.75) (size 0.4572) (layers TOP-L1 BOT-L6) (net 43))
+  (segment (start 86.725 68.4) (end 87.075 68.75) (width 0.1524) (layer TOP-L1) (net 43) (tstamp 51A5A270))
+  (segment (start 105.475 69.675) (end 103.925 69.675) (width 0.1524) (layer TOP-L1) (net 43))
+  (segment (start 103.925 69.675) (end 88.325 69.675) (width 0.1524) (layer Signal-L5) (net 43) (tstamp 51A58ECE))
+  (via (at 103.925 69.675) (size 0.4572) (layers TOP-L1 BOT-L6) (net 43))
+  (segment (start 86.125 68.4) (end 85.8 68.4) (width 0.1524) (layer TOP-L1) (net 43) (tstamp 51A5A26E))
+  (segment (start 103.7 71.84042) (end 103.7 71) (width 0.1524) (layer BOT-L6) (net 44))
+  (segment (start 104.03 70.67) (end 104.025 70.675) (width 0.1524) (layer BOT-L6) (net 44) (tstamp 51ADCE4A))
+  (segment (start 103.7 71) (end 104.03 70.67) (width 0.1524) (layer BOT-L6) (net 44) (tstamp 51ADCE48))
+  (segment (start 85 68.4) (end 85.4 68.8) (width 0.1524) (layer TOP-L1) (net 44))
+  (segment (start 85.4 68.8) (end 86.15 68.8) (width 0.1524) (layer TOP-L1) (net 44) (tstamp 51A5A2A8))
+  (segment (start 88.175 70.675) (end 88.975 70.675) (width 0.1524) (layer Signal-L5) (net 44) (tstamp 51A5A2B2))
+  (segment (start 86.3 68.8) (end 88.175 70.675) (width 0.1524) (layer Signal-L5) (net 44) (tstamp 51A5A2B0))
+  (segment (start 86.15 68.8) (end 86.3 68.8) (width 0.1524) (layer Signal-L5) (net 44) (tstamp 51A5A2AF))
+  (via (at 86.15 68.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 44))
+  (segment (start 104.025 70.675) (end 105.475 70.675) (width 0.1524) (layer TOP-L1) (net 44) (tstamp 51A58E74))
+  (via (at 104.025 70.675) (size 0.4572) (layers TOP-L1 BOT-L6) (net 44))
+  (segment (start 88.975 70.675) (end 104.025 70.675) (width 0.1524) (layer Signal-L5) (net 44) (tstamp 51A5A2B8))
+  (segment (start 96.775 71.2) (end 100.65 71.2) (width 0.1524) (layer TOP-L1) (net 45))
+  (segment (start 103.05 66.325) (end 103.775 66.325) (width 0.1524) (layer TOP-L1) (net 45) (tstamp 51A59D9E))
+  (segment (start 101.625 67.75) (end 103.05 66.325) (width 0.1524) (layer TOP-L1) (net 45) (tstamp 51A59D9C))
+  (segment (start 101.625 70.225) (end 101.625 67.75) (width 0.1524) (layer TOP-L1) (net 45) (tstamp 51A59D9A))
+  (segment (start 100.65 71.2) (end 101.625 70.225) (width 0.1524) (layer TOP-L1) (net 45) (tstamp 51A59D99))
+  (segment (start 105.525 66.325) (end 103.775 66.325) (width 0.1524) (layer TOP-L1) (net 45))
+  (segment (start 85.4 71.2) (end 85 70.8) (width 0.1524) (layer TOP-L1) (net 45) (tstamp 51A58D98))
+  (segment (start 96.775 71.2) (end 85.4 71.2) (width 0.1524) (layer TOP-L1) (net 45) (tstamp 51A59D97))
+  (segment (start 103.975 65.925) (end 102.925 65.925) (width 0.1524) (layer TOP-L1) (net 46))
+  (segment (start 100.5 70.8) (end 97.075 70.8) (width 0.1524) (layer TOP-L1) (net 46) (tstamp 51A59DAC))
+  (segment (start 101.3 70) (end 100.5 70.8) (width 0.1524) (layer TOP-L1) (net 46) (tstamp 51A59DAA))
+  (segment (start 101.3 67.55) (end 101.3 70) (width 0.1524) (layer TOP-L1) (net 46) (tstamp 51A59DA8))
+  (segment (start 102.925 65.925) (end 101.3 67.55) (width 0.1524) (layer TOP-L1) (net 46) (tstamp 51A59DA6))
+  (segment (start 85.8 70.8) (end 97.075 70.8) (width 0.1524) (layer TOP-L1) (net 46))
+  (segment (start 104.975 65.825) (end 105.525 65.825) (width 0.1524) (layer TOP-L1) (net 46) (tstamp 51A58DB0))
+  (segment (start 104.875 65.925) (end 104.975 65.825) (width 0.1524) (layer TOP-L1) (net 46) (tstamp 51A58DAE))
+  (segment (start 103.975 65.925) (end 104.875 65.925) (width 0.1524) (layer TOP-L1) (net 46) (tstamp 51A59DA4))
+  (segment (start 96.75 70.4) (end 99.875 70.4) (width 0.1524) (layer TOP-L1) (net 47))
+  (segment (start 103.275 64.575) (end 103.7 64.575) (width 0.1524) (layer TOP-L1) (net 47) (tstamp 51A59DBD))
+  (segment (start 100.725 67.125) (end 103.275 64.575) (width 0.1524) (layer TOP-L1) (net 47) (tstamp 51A59DB8))
+  (segment (start 100.725 69.55) (end 100.725 67.125) (width 0.1524) (layer TOP-L1) (net 47) (tstamp 51A59DB6))
+  (segment (start 99.875 70.4) (end 100.725 69.55) (width 0.1524) (layer TOP-L1) (net 47) (tstamp 51A59DB4))
+  (segment (start 85 70) (end 85.4 70.4) (width 0.1524) (layer TOP-L1) (net 47))
+  (segment (start 103.7 64.575) (end 105.475 64.575) (width 0.1524) (layer TOP-L1) (net 47) (tstamp 51A59DC0))
+  (segment (start 85.4 70.4) (end 96.75 70.4) (width 0.1524) (layer TOP-L1) (net 47) (tstamp 51A58DB4))
+  (segment (start 104.075 64.225) (end 103.125 64.225) (width 0.1524) (layer TOP-L1) (net 48))
+  (segment (start 99.8 70) (end 96.65 70) (width 0.1524) (layer TOP-L1) (net 48) (tstamp 51A59DC9))
+  (segment (start 100.35 69.45) (end 99.8 70) (width 0.1524) (layer TOP-L1) (net 48) (tstamp 51A59DC8))
+  (segment (start 100.35 67) (end 100.35 69.45) (width 0.1524) (layer TOP-L1) (net 48) (tstamp 51A59DC6))
+  (segment (start 103.125 64.225) (end 100.35 67) (width 0.1524) (layer TOP-L1) (net 48) (tstamp 51A59DC4))
+  (segment (start 85.8 70) (end 96.65 70) (width 0.1524) (layer TOP-L1) (net 48))
+  (segment (start 104.825 64.075) (end 105.475 64.075) (width 0.1524) (layer TOP-L1) (net 48) (tstamp 51A58DD9))
+  (segment (start 104.675 64.225) (end 104.825 64.075) (width 0.1524) (layer TOP-L1) (net 48) (tstamp 51A58DD8))
+  (segment (start 104.075 64.225) (end 104.675 64.225) (width 0.1524) (layer TOP-L1) (net 48) (tstamp 51A59DC2))
+  (segment (start 96 69.6) (end 99.525 69.6) (width 0.1524) (layer TOP-L1) (net 49))
+  (segment (start 103.575 62.925) (end 104.325 62.925) (width 0.1524) (layer TOP-L1) (net 49) (tstamp 51A59DD4))
+  (segment (start 99.95 66.55) (end 103.575 62.925) (width 0.1524) (layer TOP-L1) (net 49) (tstamp 51A59DD2))
+  (segment (start 99.95 69.175) (end 99.95 66.55) (width 0.1524) (layer TOP-L1) (net 49) (tstamp 51A59DD1))
+  (segment (start 99.525 69.6) (end 99.95 69.175) (width 0.1524) (layer TOP-L1) (net 49) (tstamp 51A59DD0))
+  (segment (start 85 69.2) (end 85.4 69.6) (width 0.1524) (layer TOP-L1) (net 49))
+  (segment (start 104.95 63.075) (end 105.475 63.075) (width 0.1524) (layer TOP-L1) (net 49) (tstamp 51A58E28))
+  (segment (start 104.8 62.925) (end 104.95 63.075) (width 0.1524) (layer TOP-L1) (net 49) (tstamp 51A58E26))
+  (segment (start 104.325 62.925) (end 104.8 62.925) (width 0.1524) (layer TOP-L1) (net 49) (tstamp 51A59DD8))
+  (segment (start 85.4 69.6) (end 96 69.6) (width 0.1524) (layer TOP-L1) (net 49) (tstamp 51A58DF8))
+  (segment (start 103.825 62.575) (end 103.425 62.575) (width 0.1524) (layer TOP-L1) (net 50))
+  (segment (start 99.45 69.2) (end 95.925 69.2) (width 0.1524) (layer TOP-L1) (net 50) (tstamp 51A59DE1))
+  (segment (start 99.6 69.05) (end 99.45 69.2) (width 0.1524) (layer TOP-L1) (net 50) (tstamp 51A59DDF))
+  (segment (start 99.6 66.4) (end 99.6 69.05) (width 0.1524) (layer TOP-L1) (net 50) (tstamp 51A59DDD))
+  (segment (start 103.425 62.575) (end 99.6 66.4) (width 0.1524) (layer TOP-L1) (net 50) (tstamp 51A59DDC))
+  (segment (start 105.475 62.575) (end 103.825 62.575) (width 0.1524) (layer TOP-L1) (net 50))
+  (segment (start 95.925 69.2) (end 85.8 69.2) (width 0.1524) (layer TOP-L1) (net 50) (tstamp 51A59DE5))
+  (segment (start 97.075 72) (end 101.375 72) (width 0.1524) (layer TOP-L1) (net 51))
+  (segment (start 103.45 67.825) (end 103.75 67.825) (width 0.1524) (layer TOP-L1) (net 51) (tstamp 51A59D83))
+  (segment (start 102.825 68.45) (end 103.45 67.825) (width 0.1524) (layer TOP-L1) (net 51) (tstamp 51A59D81))
+  (segment (start 102.825 70.55) (end 102.825 68.45) (width 0.1524) (layer TOP-L1) (net 51) (tstamp 51A59D7E))
+  (segment (start 101.375 72) (end 102.825 70.55) (width 0.1524) (layer TOP-L1) (net 51) (tstamp 51A59D73))
+  (segment (start 105.525 67.825) (end 103.75 67.825) (width 0.1524) (layer TOP-L1) (net 51))
+  (segment (start 85.4 72) (end 85 71.6) (width 0.1524) (layer TOP-L1) (net 51) (tstamp 51A58D75))
+  (segment (start 97.075 72) (end 85.4 72) (width 0.1524) (layer TOP-L1) (net 51) (tstamp 51A59D71))
+  (segment (start 97.425 71.6) (end 101.175 71.6) (width 0.1524) (layer TOP-L1) (net 52))
+  (segment (start 103.25 67.425) (end 103.525 67.425) (width 0.1524) (layer TOP-L1) (net 52) (tstamp 51A59D92))
+  (segment (start 102.425 68.25) (end 103.25 67.425) (width 0.1524) (layer TOP-L1) (net 52) (tstamp 51A59D8E))
+  (segment (start 102.425 70.35) (end 102.425 68.25) (width 0.1524) (layer TOP-L1) (net 52) (tstamp 51A59D8B))
+  (segment (start 101.175 71.6) (end 102.425 70.35) (width 0.1524) (layer TOP-L1) (net 52) (tstamp 51A59D8A))
+  (segment (start 85.8 71.6) (end 97.425 71.6) (width 0.1524) (layer TOP-L1) (net 52))
+  (segment (start 104.925 67.325) (end 105.525 67.325) (width 0.1524) (layer TOP-L1) (net 52) (tstamp 51A58D8E))
+  (segment (start 104.825 67.425) (end 104.925 67.325) (width 0.1524) (layer TOP-L1) (net 52) (tstamp 51A58D8D))
+  (segment (start 103.525 67.425) (end 104.825 67.425) (width 0.1524) (layer TOP-L1) (net 52) (tstamp 51A59D95))
+  (segment (start 75.7 35.175) (end 75.7 34.675) (width 0.1524) (layer Signal-L5) (net 53))
+  (segment (start 77.375 27.975) (end 76.32 26.92) (width 0.1524) (layer Signal-L5) (net 53) (tstamp 51A73D6D))
+  (segment (start 77.375 33) (end 77.375 27.975) (width 0.1524) (layer Signal-L5) (net 53) (tstamp 51A73D6C))
+  (segment (start 75.7 34.675) (end 77.375 33) (width 0.1524) (layer Signal-L5) (net 53) (tstamp 51A73D6B))
+  (segment (start 75.7 36.7) (end 75.7 35.175) (width 0.1524) (layer Signal-L5) (net 53))
+  (segment (start 75.7 37.95) (end 75.7 36.7) (width 0.1524) (layer Signal-L5) (net 53))
+  (segment (start 76.525 54.675) (end 76.525 53.125) (width 0.1524) (layer Signal-L5) (net 53))
+  (segment (start 75.7 52.3) (end 75.7 51.975) (width 0.1524) (layer Signal-L5) (net 53) (tstamp 51A722AA))
+  (segment (start 76.525 53.125) (end 75.7 52.3) (width 0.1524) (layer Signal-L5) (net 53) (tstamp 51A722A5))
+  (segment (start 76.175 56.225) (end 76.525 55.875) (width 0.1524) (layer TOP-L1) (net 53))
+  (segment (start 75.7 51.975) (end 75.7 37.95) (width 0.1524) (layer Signal-L5) (net 53) (tstamp 51A722AD))
+  (segment (start 76.525 54.975) (end 76.525 54.675) (width 0.1524) (layer Signal-L5) (net 53) (tstamp 51A5CC1D))
+  (via (at 76.525 54.975) (size 0.4572) (layers TOP-L1 BOT-L6) (net 53))
+  (segment (start 76.525 55.875) (end 76.525 54.975) (width 0.1524) (layer TOP-L1) (net 53) (tstamp 51A5CC18))
+  (segment (start 75.8 57.425) (end 75.8 56.6) (width 0.1524) (layer TOP-L1) (net 53))
+  (segment (start 75.8 56.6) (end 76.175 56.225) (width 0.1524) (layer TOP-L1) (net 53) (tstamp 51A2EF69))
+  (segment (start 75.4 58) (end 75.8 57.6) (width 0.1524) (layer TOP-L1) (net 53))
+  (segment (start 75.8 57.6) (end 75.8 57.425) (width 0.1524) (layer TOP-L1) (net 53) (tstamp 51A2EED6))
+  (segment (start 75.075 36.55) (end 75.075 34.7) (width 0.1524) (layer Signal-L5) (net 54))
+  (segment (start 74.875 28.015) (end 73.78 26.92) (width 0.1524) (layer Signal-L5) (net 54) (tstamp 51A728EA))
+  (segment (start 74.875 34.5) (end 74.875 28.015) (width 0.1524) (layer Signal-L5) (net 54) (tstamp 51A728E9))
+  (segment (start 75.075 34.7) (end 74.875 34.5) (width 0.1524) (layer Signal-L5) (net 54) (tstamp 51A728E0))
+  (segment (start 75.475 53) (end 75.65 53.175) (width 0.1524) (layer Signal-L5) (net 54))
+  (segment (start 75.65 53.175) (end 75.65 53.775) (width 0.1524) (layer Signal-L5) (net 54) (tstamp 51A7231A))
+  (segment (start 75 57.6) (end 74.6 58) (width 0.1524) (layer TOP-L1) (net 54) (tstamp 51A7232B))
+  (segment (start 75 55.4) (end 75 57.6) (width 0.1524) (layer TOP-L1) (net 54) (tstamp 51A72328))
+  (segment (start 75.65 54.75) (end 75 55.4) (width 0.1524) (layer TOP-L1) (net 54) (tstamp 51A72327))
+  (segment (start 75.65 53.775) (end 75.65 54.75) (width 0.1524) (layer TOP-L1) (net 54) (tstamp 51A72326))
+  (via (at 75.65 53.775) (size 0.4572) (layers TOP-L1 BOT-L6) (net 54))
+  (segment (start 75.075 52.6) (end 75.075 52.425) (width 0.1524) (layer Signal-L5) (net 54) (tstamp 51A722BA))
+  (segment (start 75.475 53) (end 75.075 52.6) (width 0.1524) (layer Signal-L5) (net 54) (tstamp 51A72318))
+  (segment (start 75.075 52.425) (end 75.075 36.55) (width 0.1524) (layer Signal-L5) (net 54) (tstamp 51A722BD))
+  (segment (start 75.075 36.55) (end 75.075 34.875) (width 0.1524) (layer Signal-L5) (net 54) (tstamp 51A728DE))
+  (segment (start 73.78 24.38) (end 73.77 24.38) (width 0.1524) (layer Signal-L5) (net 55))
+  (segment (start 74.775 37.075) (end 74.775 37.4) (width 0.1524) (layer Signal-L5) (net 55) (tstamp 51A728D8))
+  (segment (start 72.65 34.95) (end 74.775 37.075) (width 0.1524) (layer Signal-L5) (net 55) (tstamp 51A728D4))
+  (segment (start 72.65 25.5) (end 72.65 34.95) (width 0.1524) (layer Signal-L5) (net 55) (tstamp 51A728D1))
+  (segment (start 73.77 24.38) (end 72.65 25.5) (width 0.1524) (layer Signal-L5) (net 55) (tstamp 51A728CE))
+  (segment (start 75.225 53.85) (end 75.225 54.475) (width 0.1524) (layer Signal-L5) (net 55))
+  (segment (start 74.6 55.1) (end 74.6 56.725) (width 0.1524) (layer TOP-L1) (net 55) (tstamp 51A722D8))
+  (segment (start 75.225 54.475) (end 74.6 55.1) (width 0.1524) (layer TOP-L1) (net 55) (tstamp 51A722D7))
+  (via (at 75.225 54.475) (size 0.4572) (layers TOP-L1 BOT-L6) (net 55))
+  (segment (start 75.225 53.85) (end 75.225 53.3) (width 0.1524) (layer Signal-L5) (net 55) (tstamp 51A722D3))
+  (segment (start 74.775 52.575) (end 74.775 37.4) (width 0.1524) (layer Signal-L5) (net 55) (tstamp 51A722C5))
+  (segment (start 75.225 53.3) (end 74.775 52.85) (width 0.1524) (layer Signal-L5) (net 55) (tstamp 51A722C1))
+  (segment (start 74.775 52.85) (end 74.775 52.575) (width 0.1524) (layer Signal-L5) (net 55) (tstamp 51A722C2))
+  (segment (start 74.6 57.2) (end 74.6 56.725) (width 0.1524) (layer TOP-L1) (net 55))
+  (segment (start 75.375 34.725) (end 75.375 33.9) (width 0.1524) (layer Signal-L5) (net 56))
+  (segment (start 75.2 25.5) (end 76.32 24.38) (width 0.1524) (layer Signal-L5) (net 56) (tstamp 51A7291E))
+  (segment (start 75.2 33.725) (end 75.2 25.5) (width 0.1524) (layer Signal-L5) (net 56) (tstamp 51A7291D))
+  (segment (start 75.375 33.9) (end 75.2 33.725) (width 0.1524) (layer Signal-L5) (net 56) (tstamp 51A72911))
+  (segment (start 75.775 56.175) (end 76.075 55.875) (width 0.1524) (layer TOP-L1) (net 56))
+  (segment (start 75.4 56.55) (end 75.775 56.175) (width 0.1524) (layer TOP-L1) (net 56) (tstamp 51A2EF7D))
+  (segment (start 75.4 56.75) (end 75.4 56.55) (width 0.1524) (layer TOP-L1) (net 56))
+  (segment (start 75.4 57.2) (end 75.4 56.75) (width 0.1524) (layer TOP-L1) (net 56))
+  (segment (start 76.075 55.875) (end 76.075 55.575) (width 0.1524) (layer TOP-L1) (net 56) (tstamp 51A5CBFA))
+  (via (at 76.075 55.575) (size 0.4572) (layers TOP-L1 BOT-L6) (net 56))
+  (segment (start 76.075 54.9) (end 76.075 53.15) (width 0.1524) (layer Signal-L5) (net 56))
+  (segment (start 76.075 55.575) (end 76.075 54.9) (width 0.1524) (layer Signal-L5) (net 56) (tstamp 51A5CC00))
+  (segment (start 76.075 53.15) (end 75.375 52.45) (width 0.1524) (layer Signal-L5) (net 56) (tstamp 51A722B1))
+  (segment (start 75.375 52.25) (end 75.375 34.725) (width 0.1524) (layer Signal-L5) (net 56) (tstamp 51A722B5))
+  (segment (start 75.375 34.725) (end 75.375 34.2) (width 0.1524) (layer Signal-L5) (net 56) (tstamp 51A7290F))
+  (segment (start 75.375 52.45) (end 75.375 52.25) (width 0.1524) (layer Signal-L5) (net 56) (tstamp 51A722B2))
+  (segment (start 74.6 72.4) (end 74.2 72.8) (width 0.1524) (layer TOP-L1) (net 57))
+  (segment (start 57.6 89.225) (end 58.575 90.2) (width 0.1524) (layer Signal-L3) (net 57) (tstamp 51A49E44))
+  (segment (start 57.6 86.7) (end 57.6 89.225) (width 0.1524) (layer Signal-L3) (net 57) (tstamp 51A49E41))
+  (segment (start 59.85 84.45) (end 57.6 86.7) (width 0.1524) (layer Signal-L3) (net 57) (tstamp 51A49E3E))
+  (segment (start 67.425 84.45) (end 59.85 84.45) (width 0.1524) (layer Signal-L3) (net 57) (tstamp 51A49E36))
+  (segment (start 74.2 77.675) (end 67.425 84.45) (width 0.1524) (layer Signal-L3) (net 57) (tstamp 51A49E33))
+  (segment (start 74.2 72.8) (end 74.2 77.675) (width 0.1524) (layer Signal-L3) (net 57) (tstamp 51A49E32))
+  (via (at 74.2 72.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 57))
+  (segment (start 79.4 74) (end 79.8 74.4) (width 0.1524) (layer TOP-L1) (net 58))
+  (segment (start 83.4 89.375) (end 82.575 90.2) (width 0.1524) (layer TOP-L1) (net 58) (tstamp 51A588B0))
+  (segment (start 83.4 87.45) (end 83.4 89.375) (width 0.1524) (layer TOP-L1) (net 58) (tstamp 51A588A9))
+  (segment (start 79.8 83.85) (end 83.4 87.45) (width 0.1524) (layer TOP-L1) (net 58) (tstamp 51A588A4))
+  (segment (start 79.8 74.4) (end 79.8 83.85) (width 0.1524) (layer TOP-L1) (net 58) (tstamp 51A588A2))
+  (segment (start 79.4 74.8) (end 79.4 85.025) (width 0.1524) (layer TOP-L1) (net 59))
+  (segment (start 79.4 85.025) (end 82.575 88.2) (width 0.1524) (layer TOP-L1) (net 59) (tstamp 51A5889B))
+  (segment (start 79.4 72.4) (end 79 72.8) (width 0.1524) (layer TOP-L1) (net 60))
+  (segment (start 71.55 89.175) (end 72.575 90.2) (width 0.1524) (layer Signal-L3) (net 60) (tstamp 51A58A86))
+  (segment (start 71.55 83.875) (end 71.55 89.175) (width 0.1524) (layer Signal-L3) (net 60) (tstamp 51A58A84))
+  (segment (start 78.6 76.825) (end 71.55 83.875) (width 0.1524) (layer Signal-L3) (net 60) (tstamp 51A58A80))
+  (segment (start 78.6 73.2) (end 78.6 76.825) (width 0.1524) (layer Signal-L3) (net 60) (tstamp 51A58A7F))
+  (segment (start 79 72.8) (end 78.6 73.2) (width 0.1524) (layer Signal-L3) (net 60) (tstamp 51A58A7E))
+  (via (at 79 72.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 60))
+  (segment (start 79.8 76.45) (end 79.8 80.975) (width 0.1524) (layer Signal-L5) (net 61))
+  (segment (start 79.8 80.975) (end 72.575 88.2) (width 0.1524) (layer Signal-L5) (net 61) (tstamp 51AD6A49))
+  (segment (start 79.8 73.6) (end 79.4 73.2) (width 0.1524) (layer TOP-L1) (net 61) (tstamp 51A58A98))
+  (via (at 79.8 73.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 61))
+  (segment (start 79.8 76.45) (end 79.8 73.6) (width 0.1524) (layer Signal-L5) (net 61) (tstamp 51AD6A47))
+  (segment (start 78.6 72.4) (end 78.2 72.8) (width 0.1524) (layer TOP-L1) (net 62))
+  (segment (start 69.56 89.185) (end 70.575 90.2) (width 0.1524) (layer Signal-L3) (net 62) (tstamp 51A58A46))
+  (segment (start 69.56 85.36) (end 69.56 89.185) (width 0.1524) (layer Signal-L3) (net 62) (tstamp 51A58A41))
+  (segment (start 77.8 77.12) (end 69.56 85.36) (width 0.1524) (layer Signal-L3) (net 62) (tstamp 51A58A3C))
+  (segment (start 77.8 73.2) (end 77.8 77.12) (width 0.1524) (layer Signal-L3) (net 62) (tstamp 51A58A3B))
+  (segment (start 78.2 72.8) (end 77.8 73.2) (width 0.1524) (layer Signal-L3) (net 62) (tstamp 51A58A3A))
+  (via (at 78.2 72.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 62))
+  (segment (start 79 75.275) (end 79 81.025) (width 0.1524) (layer Signal-L5) (net 63))
+  (segment (start 71.55 87.225) (end 70.575 88.2) (width 0.1524) (layer Signal-L5) (net 63) (tstamp 51AD6A66))
+  (segment (start 72.8 87.225) (end 71.55 87.225) (width 0.1524) (layer Signal-L5) (net 63) (tstamp 51AD6A64))
+  (segment (start 79 81.025) (end 72.8 87.225) (width 0.1524) (layer Signal-L5) (net 63) (tstamp 51AD6A5D))
+  (segment (start 78.61 73.2) (end 78.6 73.2) (width 0.1524) (layer TOP-L1) (net 63) (tstamp 51A58A68))
+  (segment (start 79 73.59) (end 78.61 73.2) (width 0.1524) (layer TOP-L1) (net 63) (tstamp 51A58A67))
+  (via (at 79 73.59) (size 0.4572) (layers TOP-L1 BOT-L6) (net 63))
+  (segment (start 79 75.275) (end 79 73.59) (width 0.1524) (layer Signal-L5) (net 63) (tstamp 51AD6A5B))
+  (segment (start 68.575 90.2) (end 67.625 89.25) (width 0.1524) (layer Signal-L3) (net 64))
+  (segment (start 77.4 72.8) (end 77.8 72.4) (width 0.1524) (layer TOP-L1) (net 64) (tstamp 51A589B8))
+  (via (at 77.4 72.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 64))
+  (segment (start 77 73.2) (end 77.4 72.8) (width 0.1524) (layer Signal-L3) (net 64) (tstamp 51A589B2))
+  (segment (start 77 77.325) (end 77 73.2) (width 0.1524) (layer Signal-L3) (net 64) (tstamp 51A589AB))
+  (segment (start 67.625 86.7) (end 77 77.325) (width 0.1524) (layer Signal-L3) (net 64) (tstamp 51A589A9))
+  (segment (start 67.625 89.25) (end 67.625 86.7) (width 0.1524) (layer Signal-L3) (net 64) (tstamp 51A589A5))
+  (segment (start 68.575 88.2) (end 69.9 86.875) (width 0.1524) (layer Signal-L5) (net 65))
+  (segment (start 78.2 81.375) (end 78.2 76.275) (width 0.1524) (layer Signal-L5) (net 65) (tstamp 51AD6A6D))
+  (segment (start 72.7 86.875) (end 78.2 81.375) (width 0.1524) (layer Signal-L5) (net 65) (tstamp 51AD6A6C))
+  (segment (start 69.9 86.875) (end 72.7 86.875) (width 0.1524) (layer Signal-L5) (net 65) (tstamp 51AD6A6A))
+  (segment (start 78.2 73.6) (end 77.8 73.2) (width 0.1524) (layer TOP-L1) (net 65) (tstamp 51A589DB))
+  (via (at 78.2 73.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 65))
+  (segment (start 78.2 76.275) (end 78.2 73.6) (width 0.1524) (layer Signal-L5) (net 65) (tstamp 51AD6A74))
+  (segment (start 77 72.4) (end 76.6 72.8) (width 0.1524) (layer TOP-L1) (net 66))
+  (segment (start 65.625 89.25) (end 66.575 90.2) (width 0.1524) (layer Signal-L3) (net 66) (tstamp 51A5891A))
+  (segment (start 65.625 88) (end 65.625 89.25) (width 0.1524) (layer Signal-L3) (net 66) (tstamp 51A58912))
+  (segment (start 76.2 77.425) (end 65.625 88) (width 0.1524) (layer Signal-L3) (net 66) (tstamp 51A58907))
+  (segment (start 76.2 73.2) (end 76.2 77.425) (width 0.1524) (layer Signal-L3) (net 66) (tstamp 51A58906))
+  (segment (start 76.6 72.8) (end 76.2 73.2) (width 0.1524) (layer Signal-L3) (net 66) (tstamp 51A58905))
+  (via (at 76.6 72.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 66))
+  (segment (start 77.4 76.175) (end 77.4 81.725) (width 0.1524) (layer Signal-L5) (net 67))
+  (segment (start 67.45 87.325) (end 66.575 88.2) (width 0.1524) (layer Signal-L5) (net 67) (tstamp 51AD6A7F))
+  (segment (start 68.925 87.325) (end 67.45 87.325) (width 0.1524) (layer Signal-L5) (net 67) (tstamp 51AD6A7D))
+  (segment (start 69.7 86.55) (end 68.925 87.325) (width 0.1524) (layer Signal-L5) (net 67) (tstamp 51AD6A7C))
+  (segment (start 72.575 86.55) (end 69.7 86.55) (width 0.1524) (layer Signal-L5) (net 67) (tstamp 51AD6A7A))
+  (segment (start 77.4 81.725) (end 72.575 86.55) (width 0.1524) (layer Signal-L5) (net 67) (tstamp 51AD6A78))
+  (segment (start 77.4 73.6) (end 77 73.2) (width 0.1524) (layer TOP-L1) (net 67) (tstamp 51A5899E))
+  (via (at 77.4 73.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 67))
+  (segment (start 77.4 76.175) (end 77.4 73.6) (width 0.1524) (layer Signal-L5) (net 67) (tstamp 51AD6A76))
+  (segment (start 76.2 72.4) (end 75.8 72.8) (width 0.1524) (layer TOP-L1) (net 68))
+  (segment (start 63.575 89.2) (end 64.575 90.2) (width 0.1524) (layer Signal-L3) (net 68) (tstamp 51A49F77))
+  (segment (start 63.575 86.625) (end 63.575 89.2) (width 0.1524) (layer Signal-L3) (net 68) (tstamp 51A49F75))
+  (segment (start 64.525 85.675) (end 63.575 86.625) (width 0.1524) (layer Signal-L3) (net 68) (tstamp 51A49F73))
+  (segment (start 67.55 85.675) (end 64.525 85.675) (width 0.1524) (layer Signal-L3) (net 68) (tstamp 51A49F71))
+  (segment (start 75.4 77.825) (end 67.55 85.675) (width 0.1524) (layer Signal-L3) (net 68) (tstamp 51A49F6F))
+  (segment (start 75.4 73.2) (end 75.4 77.825) (width 0.1524) (layer Signal-L3) (net 68) (tstamp 51A49F6E))
+  (segment (start 75.8 72.8) (end 75.4 73.2) (width 0.1524) (layer Signal-L3) (net 68) (tstamp 51A49F6D))
+  (via (at 75.8 72.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 68))
+  (segment (start 64.575 88.2) (end 65.825 86.95) (width 0.1524) (layer Signal-L5) (net 69))
+  (segment (start 76.6 82) (end 76.6 76.875) (width 0.1524) (layer Signal-L5) (net 69) (tstamp 51AD6A8B))
+  (segment (start 72.475 86.125) (end 76.6 82) (width 0.1524) (layer Signal-L5) (net 69) (tstamp 51AD6A89))
+  (segment (start 69.575 86.125) (end 72.475 86.125) (width 0.1524) (layer Signal-L5) (net 69) (tstamp 51AD6A87))
+  (segment (start 68.75 86.95) (end 69.575 86.125) (width 0.1524) (layer Signal-L5) (net 69) (tstamp 51AD6A85))
+  (segment (start 65.825 86.95) (end 68.75 86.95) (width 0.1524) (layer Signal-L5) (net 69) (tstamp 51AD6A83))
+  (segment (start 76.6 73.6) (end 76.2 73.2) (width 0.1524) (layer TOP-L1) (net 69) (tstamp 51A49F8C))
+  (via (at 76.6 73.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 69))
+  (segment (start 76.6 76.875) (end 76.6 73.6) (width 0.1524) (layer Signal-L5) (net 69) (tstamp 51AD6A8F))
+  (segment (start 78.6 74) (end 79 74.4) (width 0.1524) (layer TOP-L1) (net 70))
+  (segment (start 81.425 89.35) (end 80.575 90.2) (width 0.1524) (layer TOP-L1) (net 70) (tstamp 51A58897))
+  (segment (start 81.425 87.625) (end 81.425 89.35) (width 0.1524) (layer TOP-L1) (net 70) (tstamp 51A58895))
+  (segment (start 79 85.2) (end 81.425 87.625) (width 0.1524) (layer TOP-L1) (net 70) (tstamp 51A58890))
+  (segment (start 79 74.4) (end 79 85.2) (width 0.1524) (layer TOP-L1) (net 70) (tstamp 51A5888D))
+  (segment (start 75.4 72.4) (end 75 72.8) (width 0.1524) (layer TOP-L1) (net 71))
+  (segment (start 61.675 89.3) (end 62.575 90.2) (width 0.1524) (layer Signal-L3) (net 71) (tstamp 51A49F09))
+  (segment (start 61.675 86.15) (end 61.675 89.3) (width 0.1524) (layer Signal-L3) (net 71) (tstamp 51A49F07))
+  (segment (start 62.625 85.2) (end 61.675 86.15) (width 0.1524) (layer Signal-L3) (net 71) (tstamp 51A49F05))
+  (segment (start 67.225 85.2) (end 62.625 85.2) (width 0.1524) (layer Signal-L3) (net 71) (tstamp 51A49EFB))
+  (segment (start 74.6 77.825) (end 67.225 85.2) (width 0.1524) (layer Signal-L3) (net 71) (tstamp 51A49EF0))
+  (segment (start 74.6 73.2) (end 74.6 77.825) (width 0.1524) (layer Signal-L3) (net 71) (tstamp 51A49EEB))
+  (segment (start 75 72.8) (end 74.6 73.2) (width 0.1524) (layer Signal-L3) (net 71) (tstamp 51A49EEA))
+  (via (at 75 72.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 71))
+  (segment (start 75.8 76.65) (end 75.8 82.375) (width 0.1524) (layer Signal-L5) (net 72))
+  (segment (start 63.125 88.2) (end 62.575 88.2) (width 0.1524) (layer Signal-L5) (net 72) (tstamp 51AD6A9C))
+  (segment (start 64.675 86.65) (end 63.125 88.2) (width 0.1524) (layer Signal-L5) (net 72) (tstamp 51AD6A9A))
+  (segment (start 68.6 86.65) (end 64.675 86.65) (width 0.1524) (layer Signal-L5) (net 72) (tstamp 51AD6A99))
+  (segment (start 69.425 85.825) (end 68.6 86.65) (width 0.1524) (layer Signal-L5) (net 72) (tstamp 51AD6A97))
+  (segment (start 72.35 85.825) (end 69.425 85.825) (width 0.1524) (layer Signal-L5) (net 72) (tstamp 51AD6A95))
+  (segment (start 75.8 82.375) (end 72.35 85.825) (width 0.1524) (layer Signal-L5) (net 72) (tstamp 51AD6A93))
+  (segment (start 75.8 73.6) (end 75.4 73.2) (width 0.1524) (layer TOP-L1) (net 72) (tstamp 51A49F21))
+  (via (at 75.8 73.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 72))
+  (segment (start 75.8 76.65) (end 75.8 73.6) (width 0.1524) (layer Signal-L5) (net 72) (tstamp 51AD6A91))
+  (segment (start 75.4 74) (end 75.8 74.4) (width 0.1524) (layer TOP-L1) (net 73))
+  (segment (start 61.625 89.15) (end 60.575 90.2) (width 0.1524) (layer TOP-L1) (net 73) (tstamp 51A49EB1))
+  (segment (start 61.625 85.625) (end 61.625 89.15) (width 0.1524) (layer TOP-L1) (net 73) (tstamp 51A49EB0))
+  (segment (start 62.225 85.025) (end 61.625 85.625) (width 0.1524) (layer TOP-L1) (net 73) (tstamp 51A49EAD))
+  (segment (start 69.325 85.025) (end 62.225 85.025) (width 0.1524) (layer TOP-L1) (net 73) (tstamp 51A49EA9))
+  (segment (start 75.8 78.55) (end 69.325 85.025) (width 0.1524) (layer TOP-L1) (net 73) (tstamp 51A49E97))
+  (segment (start 75.8 74.4) (end 75.8 78.55) (width 0.1524) (layer TOP-L1) (net 73) (tstamp 51A49E93))
+  (segment (start 75.4 74.8) (end 75.4 78.525) (width 0.1524) (layer TOP-L1) (net 74))
+  (segment (start 60.575 85.65) (end 60.575 88.2) (width 0.1524) (layer TOP-L1) (net 74) (tstamp 51A49E8B))
+  (segment (start 61.475 84.75) (end 60.575 85.65) (width 0.1524) (layer TOP-L1) (net 74) (tstamp 51A49E87))
+  (segment (start 69.175 84.75) (end 61.475 84.75) (width 0.1524) (layer TOP-L1) (net 74) (tstamp 51A49E85))
+  (segment (start 75.4 78.525) (end 69.175 84.75) (width 0.1524) (layer TOP-L1) (net 74) (tstamp 51A49E81))
+  (segment (start 78.6 74.8) (end 78.6 85.4) (width 0.1524) (layer TOP-L1) (net 75))
+  (segment (start 80.575 87.375) (end 80.575 88.2) (width 0.1524) (layer TOP-L1) (net 75) (tstamp 51A58889))
+  (segment (start 78.6 85.4) (end 80.575 87.375) (width 0.1524) (layer TOP-L1) (net 75) (tstamp 51A58886))
+  (segment (start 77.8 74) (end 78.2 74.4) (width 0.1524) (layer TOP-L1) (net 76))
+  (segment (start 79.45 89.325) (end 78.575 90.2) (width 0.1524) (layer TOP-L1) (net 76) (tstamp 51A58882))
+  (segment (start 79.45 87.175) (end 79.45 89.325) (width 0.1524) (layer TOP-L1) (net 76) (tstamp 51A5887F))
+  (segment (start 78.2 85.925) (end 79.45 87.175) (width 0.1524) (layer TOP-L1) (net 76) (tstamp 51A5887A))
+  (segment (start 78.2 74.4) (end 78.2 85.925) (width 0.1524) (layer TOP-L1) (net 76) (tstamp 51A58876))
+  (segment (start 77.8 74.8) (end 77.8 87.425) (width 0.1524) (layer TOP-L1) (net 77))
+  (segment (start 77.8 87.425) (end 78.575 88.2) (width 0.1524) (layer TOP-L1) (net 77) (tstamp 51A5886E))
+  (segment (start 77 74) (end 77.05 74) (width 0.1524) (layer TOP-L1) (net 78))
+  (segment (start 77.4 89.375) (end 76.575 90.2) (width 0.1524) (layer TOP-L1) (net 78) (tstamp 51A58863))
+  (segment (start 77.4 74.35) (end 77.4 89.375) (width 0.1524) (layer TOP-L1) (net 78) (tstamp 51A58862))
+  (segment (start 77.05 74) (end 77.4 74.35) (width 0.1524) (layer TOP-L1) (net 78) (tstamp 51A5885F))
+  (segment (start 77 74.8) (end 77 78.975) (width 0.1524) (layer TOP-L1) (net 79))
+  (segment (start 76.575 79.4) (end 76.575 88.2) (width 0.1524) (layer TOP-L1) (net 79) (tstamp 51A58858))
+  (segment (start 77 78.975) (end 76.575 79.4) (width 0.1524) (layer TOP-L1) (net 79) (tstamp 51A58854))
+  (segment (start 76.2 74) (end 76.6 74.4) (width 0.1524) (layer TOP-L1) (net 80))
+  (segment (start 75.6 89.175) (end 74.575 90.2) (width 0.1524) (layer TOP-L1) (net 80) (tstamp 51A58850))
+  (segment (start 75.6 79.875) (end 75.6 89.175) (width 0.1524) (layer TOP-L1) (net 80) (tstamp 51A5884A))
+  (segment (start 76.6 78.875) (end 75.6 79.875) (width 0.1524) (layer TOP-L1) (net 80) (tstamp 51A58844))
+  (segment (start 76.6 74.4) (end 76.6 78.875) (width 0.1524) (layer TOP-L1) (net 80) (tstamp 51A58840))
+  (segment (start 76.2 74.8) (end 76.2 78.6) (width 0.1524) (layer TOP-L1) (net 81))
+  (segment (start 74.575 80.225) (end 74.575 88.2) (width 0.1524) (layer TOP-L1) (net 81) (tstamp 51A58836))
+  (segment (start 76.2 78.6) (end 74.575 80.225) (width 0.1524) (layer TOP-L1) (net 81) (tstamp 51A5882F))
+  (segment (start 58.575 88.2) (end 58.575 88.05) (width 0.1524) (layer Signal-L5) (net 82))
+  (segment (start 75 82.625) (end 75 76.675) (width 0.1524) (layer Signal-L5) (net 82) (tstamp 51AD6AAC))
+  (segment (start 72.2 85.425) (end 75 82.625) (width 0.1524) (layer Signal-L5) (net 82) (tstamp 51AD6AAB))
+  (segment (start 69.275 85.425) (end 72.2 85.425) (width 0.1524) (layer Signal-L5) (net 82) (tstamp 51AD6AA9))
+  (segment (start 68.425 86.275) (end 69.275 85.425) (width 0.1524) (layer Signal-L5) (net 82) (tstamp 51AD6AA7))
+  (segment (start 64.35 86.275) (end 68.425 86.275) (width 0.1524) (layer Signal-L5) (net 82) (tstamp 51AD6AA5))
+  (segment (start 63.5 87.125) (end 64.35 86.275) (width 0.1524) (layer Signal-L5) (net 82) (tstamp 51AD6AA3))
+  (segment (start 59.5 87.125) (end 63.5 87.125) (width 0.1524) (layer Signal-L5) (net 82) (tstamp 51AD6AA0))
+  (segment (start 58.575 88.05) (end 59.5 87.125) (width 0.1524) (layer Signal-L5) (net 82) (tstamp 51AD6A9F))
+  (segment (start 75 73.6) (end 74.6 73.2) (width 0.1524) (layer TOP-L1) (net 82) (tstamp 51A49E79))
+  (via (at 75 73.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 82))
+  (segment (start 75 76.675) (end 75 73.6) (width 0.1524) (layer Signal-L5) (net 82) (tstamp 51AD6AB0))
+  (segment (start 71.9074 86.25) (end 72.627 86.25) (width 0.1524) (layer BOT-L6) (net 83))
+  (segment (start 90.1192 80.6958) (end 90.1192 81.7626) (width 0.1524) (layer TOP-L1) (net 83) (tstamp 51A5DA10))
+  (via (at 90.1192 80.6958) (size 0.4572) (layers TOP-L1 BOT-L6) (net 83))
+  (segment (start 89.9414 80.518) (end 90.1192 80.6958) (width 0.1524) (layer BOT-L6) (net 83) (tstamp 51A5DA0C))
+  (segment (start 85.8266 80.518) (end 89.9414 80.518) (width 0.1524) (layer BOT-L6) (net 83) (tstamp 51A5DA0A))
+  (segment (start 83.9724 82.3722) (end 85.8266 80.518) (width 0.1524) (layer BOT-L6) (net 83) (tstamp 51A5DA08))
+  (segment (start 76.5048 82.3722) (end 83.9724 82.3722) (width 0.1524) (layer BOT-L6) (net 83) (tstamp 51A5DA06))
+  (segment (start 72.627 86.25) (end 76.5048 82.3722) (width 0.1524) (layer BOT-L6) (net 83) (tstamp 51A5DA04))
+  (segment (start 56.575 90.2) (end 56.625 90.2) (width 0.1524) (layer BOT-L6) (net 83))
+  (segment (start 58.95 86.25) (end 71.9074 86.25) (width 0.1524) (layer BOT-L6) (net 83) (tstamp 51A58ADC))
+  (segment (start 57.575 87.625) (end 58.95 86.25) (width 0.1524) (layer BOT-L6) (net 83) (tstamp 51A58AD6))
+  (segment (start 57.575 89.25) (end 57.575 87.625) (width 0.1524) (layer BOT-L6) (net 83) (tstamp 51A58AD4))
+  (segment (start 56.625 90.2) (end 57.575 89.25) (width 0.1524) (layer BOT-L6) (net 83) (tstamp 51A58AD0))
+  (segment (start 74.6 74) (end 75 74.4) (width 0.1524) (layer TOP-L1) (net 83))
+  (segment (start 57.6 89.175) (end 56.575 90.2) (width 0.1524) (layer TOP-L1) (net 83) (tstamp 51A49DC4))
+  (segment (start 57.6 86.225) (end 57.6 89.175) (width 0.1524) (layer TOP-L1) (net 83) (tstamp 51A49DC2))
+  (segment (start 59.375 84.45) (end 57.6 86.225) (width 0.1524) (layer TOP-L1) (net 83) (tstamp 51A49DBC))
+  (segment (start 69.075 84.45) (end 59.375 84.45) (width 0.1524) (layer TOP-L1) (net 83) (tstamp 51A49DB9))
+  (segment (start 75 78.525) (end 69.075 84.45) (width 0.1524) (layer TOP-L1) (net 83) (tstamp 51A49DB0))
+  (segment (start 75 74.4) (end 75 78.525) (width 0.1524) (layer TOP-L1) (net 83) (tstamp 51A49DAC))
+  (segment (start 83.4 74) (end 83.8 74.4) (width 0.1524) (layer TOP-L1) (net 84))
+  (segment (start 112.475 76.34) (end 111.19 75.055) (width 0.1524) (layer Signal-L5) (net 84) (tstamp 51A838E1))
+  (segment (start 112.475 79.4) (end 112.475 76.34) (width 0.1524) (layer Signal-L5) (net 84) (tstamp 51A838DF))
+  (segment (start 111.3 80.575) (end 112.475 79.4) (width 0.1524) (layer Signal-L5) (net 84) (tstamp 51A838DD))
+  (segment (start 99.375 80.575) (end 111.3 80.575) (width 0.1524) (layer Signal-L5) (net 84) (tstamp 51A838DB))
+  (segment (start 94.425 75.625) (end 99.375 80.575) (width 0.1524) (layer Signal-L5) (net 84) (tstamp 51A838D9))
+  (segment (start 85.025 75.625) (end 94.425 75.625) (width 0.1524) (layer Signal-L5) (net 84) (tstamp 51A838C6))
+  (segment (start 83.8 74.4) (end 85.025 75.625) (width 0.1524) (layer Signal-L5) (net 84) (tstamp 51A838C5))
+  (via (at 83.8 74.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 84))
+  (segment (start 111.19 77.595) (end 111.19 79.485) (width 0.1524) (layer Signal-L5) (net 85))
+  (segment (start 84.6 73.6) (end 84.2 74) (width 0.1524) (layer TOP-L1) (net 85) (tstamp 51A838FF))
+  (via (at 84.6 73.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 85))
+  (segment (start 86.3 73.6) (end 84.6 73.6) (width 0.1524) (layer Signal-L5) (net 85) (tstamp 51A838F7))
+  (segment (start 87.9 75.2) (end 86.3 73.6) (width 0.1524) (layer Signal-L5) (net 85) (tstamp 51A838ED))
+  (segment (start 94.6 75.2) (end 87.9 75.2) (width 0.1524) (layer Signal-L5) (net 85) (tstamp 51A838EB))
+  (segment (start 99.6 80.2) (end 94.6 75.2) (width 0.1524) (layer Signal-L5) (net 85) (tstamp 51A838E9))
+  (segment (start 110.475 80.2) (end 99.6 80.2) (width 0.1524) (layer Signal-L5) (net 85) (tstamp 51A838E7))
+  (segment (start 111.19 79.485) (end 110.475 80.2) (width 0.1524) (layer Signal-L5) (net 85) (tstamp 51A838E5))
+  (segment (start 82.9056 81.975) (end 83.633 81.975) (width 0.1524) (layer BOT-L6) (net 86))
+  (segment (start 87.5792 80.518) (end 87.5792 81.7626) (width 0.1524) (layer TOP-L1) (net 86) (tstamp 51A5D9FE))
+  (segment (start 87.0204 79.9592) (end 87.5792 80.518) (width 0.1524) (layer TOP-L1) (net 86) (tstamp 51A5D9FD))
+  (via (at 87.0204 79.9592) (size 0.4572) (layers TOP-L1 BOT-L6) (net 86))
+  (segment (start 85.6488 79.9592) (end 87.0204 79.9592) (width 0.1524) (layer BOT-L6) (net 86) (tstamp 51A5D9FA))
+  (segment (start 83.633 81.975) (end 85.6488 79.9592) (width 0.1524) (layer BOT-L6) (net 86) (tstamp 51A5D9F8))
+  (segment (start 56.575 88.2) (end 56.575 87.525) (width 0.1524) (layer BOT-L6) (net 86))
+  (segment (start 76.275 81.975) (end 82.9056 81.975) (width 0.1524) (layer BOT-L6) (net 86) (tstamp 51A58ACB))
+  (segment (start 72.575 85.675) (end 76.275 81.975) (width 0.1524) (layer BOT-L6) (net 86) (tstamp 51A58AC7))
+  (segment (start 58.425 85.675) (end 72.575 85.675) (width 0.1524) (layer BOT-L6) (net 86) (tstamp 51A58AC5))
+  (segment (start 56.575 87.525) (end 58.425 85.675) (width 0.1524) (layer BOT-L6) (net 86) (tstamp 51A58ABE))
+  (segment (start 56.575 88.2) (end 56.575 86.25) (width 0.1524) (layer TOP-L1) (net 86))
+  (segment (start 74.6 78.525) (end 74.6 74.8) (width 0.1524) (layer TOP-L1) (net 86) (tstamp 51A49D9E))
+  (segment (start 68.95 84.175) (end 74.6 78.525) (width 0.1524) (layer TOP-L1) (net 86) (tstamp 51A49D99))
+  (segment (start 58.65 84.175) (end 68.95 84.175) (width 0.1524) (layer TOP-L1) (net 86) (tstamp 51A49D97))
+  (segment (start 56.575 86.25) (end 58.65 84.175) (width 0.1524) (layer TOP-L1) (net 86) (tstamp 51A49D8F))
+  (segment (start 113.73 75.055) (end 114.03 75.055) (width 0.1524) (layer TOP-L1) (net 87))
+  (segment (start 83.875 75.275) (end 83.4 74.8) (width 0.1524) (layer TOP-L1) (net 87) (tstamp 51A83891))
+  (segment (start 94.725 75.275) (end 83.875 75.275) (width 0.1524) (layer TOP-L1) (net 87) (tstamp 51A8388F))
+  (segment (start 100.6 81.15) (end 94.725 75.275) (width 0.1524) (layer TOP-L1) (net 87) (tstamp 51A8388D))
+  (segment (start 114.5 81.15) (end 100.6 81.15) (width 0.1524) (layer TOP-L1) (net 87) (tstamp 51A8388A))
+  (segment (start 115.175 80.475) (end 114.5 81.15) (width 0.1524) (layer TOP-L1) (net 87) (tstamp 51A83888))
+  (segment (start 115.175 76.2) (end 115.175 80.475) (width 0.1524) (layer TOP-L1) (net 87) (tstamp 51A83886))
+  (segment (start 114.03 75.055) (end 115.175 76.2) (width 0.1524) (layer TOP-L1) (net 87) (tstamp 51A83882))
+  (segment (start 84.2 74.8) (end 84.6 74.4) (width 0.1524) (layer TOP-L1) (net 88))
+  (segment (start 113.73 80.045) (end 113.73 77.595) (width 0.1524) (layer TOP-L1) (net 88) (tstamp 51A838AF))
+  (segment (start 112.975 80.8) (end 113.73 80.045) (width 0.1524) (layer TOP-L1) (net 88) (tstamp 51A838AD))
+  (segment (start 100.8 80.8) (end 112.975 80.8) (width 0.1524) (layer TOP-L1) (net 88) (tstamp 51A838AA))
+  (segment (start 95 75) (end 100.8 80.8) (width 0.1524) (layer TOP-L1) (net 88) (tstamp 51A838A2))
+  (segment (start 86.725 75) (end 95 75) (width 0.1524) (layer TOP-L1) (net 88) (tstamp 51A8389D))
+  (segment (start 86.125 74.4) (end 86.725 75) (width 0.1524) (layer TOP-L1) (net 88) (tstamp 51A8389B))
+  (segment (start 84.6 74.4) (end 86.125 74.4) (width 0.1524) (layer TOP-L1) (net 88) (tstamp 51A83897))
+  (segment (start 81.12698 46.88308) (end 80.91692 46.88308) (width 0.1524) (layer TOP-L1) (net 89))
+  (segment (start 72.875 61.2) (end 71.4 61.2) (width 0.1524) (layer TOP-L1) (net 89) (tstamp 51A8261D))
+  (segment (start 73.05 61.025) (end 72.875 61.2) (width 0.1524) (layer TOP-L1) (net 89) (tstamp 51A8261C))
+  (segment (start 77.875 61.025) (end 73.05 61.025) (width 0.1524) (layer TOP-L1) (net 89) (tstamp 51A82617))
+  (segment (start 78.2 60.7) (end 77.875 61.025) (width 0.1524) (layer TOP-L1) (net 89) (tstamp 51A82612))
+  (segment (start 78.2 49.6) (end 78.2 60.7) (width 0.1524) (layer TOP-L1) (net 89) (tstamp 51A825ED))
+  (segment (start 80.91692 46.88308) (end 78.2 49.6) (width 0.1524) (layer TOP-L1) (net 89) (tstamp 51A825E9))
+  (segment (start 71.4 73.2) (end 71 73.6) (width 0.1524) (layer TOP-L1) (net 90))
+  (segment (start 69.8 74) (end 69.45 74) (width 0.1524) (layer Signal-L5) (net 90) (tstamp 51AD78CB))
+  (segment (start 70.2 73.6) (end 69.8 74) (width 0.1524) (layer Signal-L5) (net 90) (tstamp 51AD78CA))
+  (via (at 70.2 73.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 90))
+  (segment (start 71 73.6) (end 70.2 73.6) (width 0.1524) (layer TOP-L1) (net 90) (tstamp 51AD78C0))
+  (segment (start 39.74 73.91) (end 39.74 74.66) (width 0.1524) (layer TOP-L1) (net 90))
+  (segment (start 40.02 74.94) (end 40.48 74.94) (width 0.1524) (layer TOP-L1) (net 90) (tstamp 51A872D4))
+  (segment (start 39.74 74.66) (end 40.02 74.94) (width 0.1524) (layer TOP-L1) (net 90) (tstamp 51A872D3))
+  (segment (start 39.74 73.91) (end 39.74 73.44) (width 0.1524) (layer TOP-L1) (net 90) (tstamp 51A872D1))
+  (segment (start 40.01 73.17) (end 40.49 73.17) (width 0.1524) (layer TOP-L1) (net 90) (tstamp 51A872CB))
+  (segment (start 39.74 73.44) (end 40.01 73.17) (width 0.1524) (layer TOP-L1) (net 90) (tstamp 51A872CA))
+  (segment (start 40.48 74.94) (end 40.53 74.94) (width 0.1524) (layer TOP-L1) (net 90) (tstamp 51A872D7))
+  (segment (start 50.725 74) (end 41.52 74) (width 0.1524) (layer TOP-L1) (net 90))
+  (segment (start 41.52 74) (end 40.69 73.17) (width 0.1524) (layer TOP-L1) (net 90) (tstamp 51A8727B))
+  (segment (start 40.69 73.17) (end 40.49 73.17) (width 0.1524) (layer TOP-L1) (net 90) (tstamp 51A87297))
+  (segment (start 54.8 75.96) (end 54.8 76.8) (width 0.1524) (layer TOP-L1) (net 90) (tstamp 51A872AB))
+  (segment (start 53.78 74.94) (end 54.8 75.96) (width 0.1524) (layer TOP-L1) (net 90) (tstamp 51A872A8))
+  (segment (start 40.53 74.94) (end 53.78 74.94) (width 0.1524) (layer TOP-L1) (net 90) (tstamp 51A872C4))
+  (segment (start 69.45 74) (end 50.725 74) (width 0.1524) (layer Signal-L5) (net 90) (tstamp 51AD78CE))
+  (segment (start 48.47 57.05) (end 47.38 57.05) (width 0.1524) (layer Signal-L3) (net 90))
+  (segment (start 47.79 55.13) (end 48.43 55.13) (width 0.1524) (layer Signal-L3) (net 90) (tstamp 51A87243))
+  (segment (start 46.95 55.97) (end 47.79 55.13) (width 0.1524) (layer Signal-L3) (net 90) (tstamp 51A8723F))
+  (segment (start 46.95 56.62) (end 46.95 55.97) (width 0.1524) (layer Signal-L3) (net 90) (tstamp 51A8723E))
+  (segment (start 47.38 57.05) (end 46.95 56.62) (width 0.1524) (layer Signal-L3) (net 90) (tstamp 51A8723D))
+  (segment (start 48.43 55.13) (end 48.57 55.13) (width 0.1524) (layer Signal-L3) (net 90) (tstamp 51A87248))
+  (segment (start 50 58.49) (end 50 57.69) (width 0.1524) (layer Signal-L3) (net 90))
+  (segment (start 52.08 55.3) (end 52.075 55.3) (width 0.1524) (layer Signal-L3) (net 90) (tstamp 51A87219))
+  (segment (start 51.91 55.13) (end 52.08 55.3) (width 0.1524) (layer Signal-L3) (net 90) (tstamp 51A87217))
+  (segment (start 48.57 55.13) (end 51.91 55.13) (width 0.1524) (layer Signal-L3) (net 90) (tstamp 51A8722F))
+  (segment (start 49.36 57.05) (end 48.47 57.05) (width 0.1524) (layer Signal-L3) (net 90) (tstamp 51A87208))
+  (segment (start 50 57.69) (end 49.36 57.05) (width 0.1524) (layer Signal-L3) (net 90) (tstamp 51A87207))
+  (segment (start 52.425 56.425) (end 52.8 56.8) (width 0.1524) (layer TOP-L1) (net 90) (tstamp 51A870C1))
+  (via (at 52.075 55.3) (size 0.4572) (layers TOP-L1 BOT-L6) (net 90))
+  (segment (start 52.075 55.3) (end 52.425 55.65) (width 0.1524) (layer TOP-L1) (net 90) (tstamp 51A870B3))
+  (segment (start 52.425 55.65) (end 52.425 56.425) (width 0.1524) (layer TOP-L1) (net 90) (tstamp 51A870B4))
+  (segment (start 50 59.2) (end 50 58.49) (width 0.1524) (layer Signal-L3) (net 90) (tstamp 51A8709F))
+  (segment (start 50.75 59.95) (end 50 59.2) (width 0.1524) (layer Signal-L3) (net 90) (tstamp 51A8709D))
+  (segment (start 50.75 61.325) (end 50.75 59.95) (width 0.1524) (layer Signal-L3) (net 90) (tstamp 51A87098))
+  (segment (start 50 62.075) (end 50.75 61.325) (width 0.1524) (layer Signal-L3) (net 90) (tstamp 51A87082))
+  (segment (start 50 64.2) (end 50 62.075) (width 0.1524) (layer Signal-L3) (net 90) (tstamp 51A87081))
+  (segment (start 50.625 64.825) (end 50 64.2) (width 0.1524) (layer Signal-L3) (net 90) (tstamp 51A8707F))
+  (segment (start 50.625 67.125) (end 50.625 64.825) (width 0.1524) (layer Signal-L3) (net 90) (tstamp 51A87078))
+  (segment (start 50.825 67.325) (end 50.625 67.125) (width 0.1524) (layer Signal-L3) (net 90) (tstamp 51A87072))
+  (segment (start 50.825 71.575) (end 50.825 67.325) (width 0.1524) (layer Signal-L3) (net 90) (tstamp 51A87070))
+  (segment (start 50.475 71.925) (end 50.825 71.575) (width 0.1524) (layer Signal-L3) (net 90) (tstamp 51A8706D))
+  (segment (start 50.475 73.75) (end 50.475 71.925) (width 0.1524) (layer Signal-L3) (net 90) (tstamp 51A8706A))
+  (segment (start 50.725 74) (end 50.475 73.75) (width 0.1524) (layer Signal-L3) (net 90) (tstamp 51A87069))
+  (via (at 50.725 74) (size 0.4572) (layers TOP-L1 BOT-L6) (net 90))
+  (segment (start 76.325 35.825) (end 76.325 35.3) (width 0.1524) (layer Signal-L5) (net 91))
+  (segment (start 81.075 24.5) (end 81.075 24.49) (width 0.1524) (layer Signal-L5) (net 91) (tstamp 51A73D9A))
+  (segment (start 80.625 24.5) (end 81.075 24.5) (width 0.1524) (layer Signal-L5) (net 91) (tstamp 51A73D98))
+  (segment (start 79.825 25.3) (end 80.625 24.5) (width 0.1524) (layer Signal-L5) (net 91) (tstamp 51A73D92))
+  (segment (start 79.825 33.1) (end 79.825 25.3) (width 0.1524) (layer Signal-L5) (net 91) (tstamp 51A73D8E))
+  (segment (start 79.275 33.65) (end 79.825 33.1) (width 0.1524) (layer Signal-L5) (net 91) (tstamp 51A73D8D))
+  (segment (start 77.975 33.65) (end 79.275 33.65) (width 0.1524) (layer Signal-L5) (net 91) (tstamp 51A73D8B))
+  (segment (start 76.325 35.3) (end 77.975 33.65) (width 0.1524) (layer Signal-L5) (net 91) (tstamp 51A73D8A))
+  (segment (start 81.375 24.49) (end 81.075 24.49) (width 0.1524) (layer Signal-L5) (net 91))
+  (segment (start 81.375 24.49) (end 82.28 24.49) (width 0.1524) (layer Signal-L5) (net 91) (tstamp 51A73D06))
+  (segment (start 76.325 35.825) (end 76.325 36.925) (width 0.1524) (layer Signal-L5) (net 91) (tstamp 51A73D88))
+  (segment (start 85.8 60.4) (end 85.4 60) (width 0.1524) (layer TOP-L1) (net 91))
+  (segment (start 76.325 36.925) (end 76.325 52.05) (width 0.1524) (layer Signal-L5) (net 91) (tstamp 51A73D15))
+  (segment (start 76.325 52.05) (end 83.875 59.6) (width 0.1524) (layer Signal-L5) (net 91) (tstamp 51A73BD1))
+  (segment (start 83.875 59.6) (end 85 59.6) (width 0.1524) (layer Signal-L5) (net 91) (tstamp 51A73BD6))
+  (segment (start 85 59.6) (end 85.4 60) (width 0.1524) (layer Signal-L5) (net 91) (tstamp 51A73BD9))
+  (via (at 85.4 60) (size 0.4572) (layers TOP-L1 BOT-L6) (net 91))
+  (segment (start 76.025 35.85) (end 76.025 35) (width 0.1524) (layer Signal-L5) (net 92))
+  (segment (start 78.525 22.625) (end 82.75 22.625) (width 0.1524) (layer Signal-L5) (net 92) (tstamp 51A73D80))
+  (segment (start 77.7 23.45) (end 78.525 22.625) (width 0.1524) (layer Signal-L5) (net 92) (tstamp 51A73D7B))
+  (segment (start 77.7 33.325) (end 77.7 23.45) (width 0.1524) (layer Signal-L5) (net 92) (tstamp 51A73D77))
+  (segment (start 76.025 35) (end 77.7 33.325) (width 0.1524) (layer Signal-L5) (net 92) (tstamp 51A73D76))
+  (segment (start 76.025 37.025) (end 76.025 35.85) (width 0.1524) (layer Signal-L5) (net 92))
+  (segment (start 85 60.4) (end 84.6 60) (width 0.1524) (layer TOP-L1) (net 92))
+  (segment (start 84.82 23.37) (end 84.82 24.49) (width 0.1524) (layer Signal-L5) (net 92) (tstamp 51A73BC4))
+  (segment (start 84.075 22.625) (end 84.82 23.37) (width 0.1524) (layer Signal-L5) (net 92) (tstamp 51A73BC3))
+  (segment (start 82.75 22.625) (end 84.075 22.625) (width 0.1524) (layer Signal-L5) (net 92) (tstamp 51A73D85))
+  (segment (start 76.025 52.175) (end 76.025 37.025) (width 0.1524) (layer Signal-L5) (net 92) (tstamp 51A73BAD))
+  (segment (start 83.85 60) (end 76.025 52.175) (width 0.1524) (layer Signal-L5) (net 92) (tstamp 51A73B9E))
+  (segment (start 84.6 60) (end 83.85 60) (width 0.1524) (layer Signal-L5) (net 92) (tstamp 51A73B9D))
+  (via (at 84.6 60) (size 0.4572) (layers TOP-L1 BOT-L6) (net 92))
+  (segment (start 76.9 36.425) (end 76.9 35.6) (width 0.1524) (layer Signal-L5) (net 93))
+  (segment (start 80.82 27.03) (end 82.28 27.03) (width 0.1524) (layer Signal-L5) (net 93) (tstamp 51A73DB8))
+  (segment (start 80.375 27.475) (end 80.82 27.03) (width 0.1524) (layer Signal-L5) (net 93) (tstamp 51A73DB7))
+  (segment (start 80.375 33.375) (end 80.375 27.475) (width 0.1524) (layer Signal-L5) (net 93) (tstamp 51A73DB5))
+  (segment (start 79.525 34.225) (end 80.375 33.375) (width 0.1524) (layer Signal-L5) (net 93) (tstamp 51A73DB4))
+  (segment (start 78.275 34.225) (end 79.525 34.225) (width 0.1524) (layer Signal-L5) (net 93) (tstamp 51A73DB2))
+  (segment (start 76.9 35.6) (end 78.275 34.225) (width 0.1524) (layer Signal-L5) (net 93) (tstamp 51A73DB1))
+  (segment (start 76.9 36.425) (end 76.9 37.25) (width 0.1524) (layer Signal-L5) (net 93) (tstamp 51A73DAF))
+  (segment (start 76.9 37.5) (end 76.9 37.25) (width 0.1524) (layer Signal-L5) (net 93))
+  (segment (start 85.4 59.2) (end 85.8 59.6) (width 0.1524) (layer TOP-L1) (net 93) (tstamp 51A73C32))
+  (via (at 85.4 59.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 93))
+  (segment (start 85 58.8) (end 85.4 59.2) (width 0.1524) (layer Signal-L5) (net 93) (tstamp 51A73C2E))
+  (segment (start 83.925 58.8) (end 85 58.8) (width 0.1524) (layer Signal-L5) (net 93) (tstamp 51A73C27))
+  (segment (start 76.9 51.775) (end 83.925 58.8) (width 0.1524) (layer Signal-L5) (net 93) (tstamp 51A73C22))
+  (segment (start 76.9 37.5) (end 76.9 51.775) (width 0.1524) (layer Signal-L5) (net 93) (tstamp 51A73C92))
+  (segment (start 81.675 25.8) (end 80.825 25.8) (width 0.1524) (layer Signal-L5) (net 94))
+  (segment (start 76.6 35.475) (end 76.6 36.3) (width 0.1524) (layer Signal-L5) (net 94) (tstamp 51A73DA8))
+  (segment (start 78.15 33.925) (end 76.6 35.475) (width 0.1524) (layer Signal-L5) (net 94) (tstamp 51A73DA6))
+  (segment (start 79.4 33.925) (end 78.15 33.925) (width 0.1524) (layer Signal-L5) (net 94) (tstamp 51A73DA4))
+  (segment (start 80.1 33.225) (end 79.4 33.925) (width 0.1524) (layer Signal-L5) (net 94) (tstamp 51A73DA2))
+  (segment (start 80.1 26.525) (end 80.1 33.225) (width 0.1524) (layer Signal-L5) (net 94) (tstamp 51A73DA1))
+  (segment (start 80.825 25.8) (end 80.1 26.525) (width 0.1524) (layer Signal-L5) (net 94) (tstamp 51A73D9F))
+  (segment (start 76.6 37.225) (end 76.6 36.3) (width 0.1524) (layer Signal-L5) (net 94))
+  (segment (start 85 59.6) (end 84.6 59.2) (width 0.1524) (layer TOP-L1) (net 94))
+  (segment (start 76.6 51.9) (end 76.6 37.225) (width 0.1524) (layer Signal-L5) (net 94) (tstamp 51A73BFC))
+  (segment (start 83.9 59.2) (end 76.6 51.9) (width 0.1524) (layer Signal-L5) (net 94) (tstamp 51A73BF8))
+  (segment (start 84.6 59.2) (end 83.9 59.2) (width 0.1524) (layer Signal-L5) (net 94) (tstamp 51A73BF7))
+  (via (at 84.6 59.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 94))
+  (segment (start 81.675 25.8) (end 81.95 25.8) (width 0.1524) (layer Signal-L5) (net 94) (tstamp 51A73D9D))
+  (segment (start 83.59 25.8) (end 84.82 27.03) (width 0.1524) (layer Signal-L5) (net 94) (tstamp 51A73C14))
+  (segment (start 81.95 25.8) (end 83.59 25.8) (width 0.1524) (layer Signal-L5) (net 94) (tstamp 51A73C84))
+  (segment (start 77.5 36.425) (end 77.5 35.85) (width 0.1524) (layer Signal-L5) (net 95))
+  (segment (start 81.43 29.57) (end 82.28 29.57) (width 0.1524) (layer Signal-L5) (net 95) (tstamp 51A73DD6))
+  (segment (start 80.925 30.075) (end 81.43 29.57) (width 0.1524) (layer Signal-L5) (net 95) (tstamp 51A73DD4))
+  (segment (start 80.925 33.65) (end 80.925 30.075) (width 0.1524) (layer Signal-L5) (net 95) (tstamp 51A73DD1))
+  (segment (start 79.775 34.8) (end 80.925 33.65) (width 0.1524) (layer Signal-L5) (net 95) (tstamp 51A73DD0))
+  (segment (start 78.55 34.8) (end 79.775 34.8) (width 0.1524) (layer Signal-L5) (net 95) (tstamp 51A73DCE))
+  (segment (start 77.5 35.85) (end 78.55 34.8) (width 0.1524) (layer Signal-L5) (net 95) (tstamp 51A73DCD))
+  (segment (start 77.5 37.8) (end 77.5 36.425) (width 0.1524) (layer Signal-L5) (net 95))
+  (segment (start 85.8 58.8) (end 85.4 58.4) (width 0.1524) (layer TOP-L1) (net 95))
+  (segment (start 77.5 51.525) (end 77.5 37.8) (width 0.1524) (layer Signal-L5) (net 95) (tstamp 51A73CCF))
+  (segment (start 83.975 58) (end 77.5 51.525) (width 0.1524) (layer Signal-L5) (net 95) (tstamp 51A73CCC))
+  (segment (start 85 58) (end 83.975 58) (width 0.1524) (layer Signal-L5) (net 95) (tstamp 51A73CCB))
+  (segment (start 85.4 58.4) (end 85 58) (width 0.1524) (layer Signal-L5) (net 95) (tstamp 51A73CCA))
+  (via (at 85.4 58.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 95))
+  (segment (start 82.075 28.375) (end 81.2 28.375) (width 0.1524) (layer Signal-L5) (net 96))
+  (segment (start 77.2 35.75) (end 77.2 36.275) (width 0.1524) (layer Signal-L5) (net 96) (tstamp 51A73DC5))
+  (segment (start 78.425 34.525) (end 77.2 35.75) (width 0.1524) (layer Signal-L5) (net 96) (tstamp 51A73DC4))
+  (segment (start 79.65 34.525) (end 78.425 34.525) (width 0.1524) (layer Signal-L5) (net 96) (tstamp 51A73DC2))
+  (segment (start 80.65 33.525) (end 79.65 34.525) (width 0.1524) (layer Signal-L5) (net 96) (tstamp 51A73DBF))
+  (segment (start 80.65 28.925) (end 80.65 33.525) (width 0.1524) (layer Signal-L5) (net 96) (tstamp 51A73DBE))
+  (segment (start 81.2 28.375) (end 80.65 28.925) (width 0.1524) (layer Signal-L5) (net 96) (tstamp 51A73DBD))
+  (segment (start 77.2 37.3) (end 77.2 36.275) (width 0.1524) (layer Signal-L5) (net 96))
+  (segment (start 84.6 58.4) (end 85 58.8) (width 0.1524) (layer TOP-L1) (net 96) (tstamp 51A73CC4))
+  (via (at 84.6 58.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 96))
+  (segment (start 83.975 58.4) (end 84.6 58.4) (width 0.1524) (layer Signal-L5) (net 96) (tstamp 51A73CBA))
+  (segment (start 77.2 51.625) (end 83.975 58.4) (width 0.1524) (layer Signal-L5) (net 96) (tstamp 51A73CB3))
+  (segment (start 77.2 37.3) (end 77.2 51.625) (width 0.1524) (layer Signal-L5) (net 96) (tstamp 51A73D41))
+  (segment (start 84.82 29.57) (end 83.625 28.375) (width 0.1524) (layer Signal-L5) (net 96))
+  (segment (start 83.625 28.375) (end 82.075 28.375) (width 0.1524) (layer Signal-L5) (net 96) (tstamp 51A73C9F))
+  (segment (start 85.8 58) (end 85.4 57.6) (width 0.1524) (layer TOP-L1) (net 97))
+  (segment (start 81.55 32.84) (end 82.28 32.11) (width 0.1524) (layer Signal-L5) (net 97) (tstamp 51A73E1D))
+  (segment (start 81.55 33.875) (end 81.55 32.84) (width 0.1524) (layer Signal-L5) (net 97) (tstamp 51A73E1B))
+  (segment (start 80 35.425) (end 81.55 33.875) (width 0.1524) (layer Signal-L5) (net 97) (tstamp 51A73E19))
+  (segment (start 78.825 35.425) (end 80 35.425) (width 0.1524) (layer Signal-L5) (net 97) (tstamp 51A73E17))
+  (segment (start 78.1 36.15) (end 78.825 35.425) (width 0.1524) (layer Signal-L5) (net 97) (tstamp 51A73E15))
+  (segment (start 78.1 51.4) (end 78.1 36.15) (width 0.1524) (layer Signal-L5) (net 97) (tstamp 51A73E0F))
+  (segment (start 83.9 57.2) (end 78.1 51.4) (width 0.1524) (layer Signal-L5) (net 97) (tstamp 51A73E0D))
+  (segment (start 85 57.2) (end 83.9 57.2) (width 0.1524) (layer Signal-L5) (net 97) (tstamp 51A73E0C))
+  (segment (start 85.4 57.6) (end 85 57.2) (width 0.1524) (layer Signal-L5) (net 97) (tstamp 51A73E0B))
+  (via (at 85.4 57.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 97))
+  (segment (start 84.82 32.11) (end 84.635 32.11) (width 0.1524) (layer Signal-L5) (net 98))
+  (segment (start 84.6 57.6) (end 85 58) (width 0.1524) (layer TOP-L1) (net 98) (tstamp 51A73E05))
+  (via (at 84.6 57.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 98))
+  (segment (start 83.925 57.6) (end 84.6 57.6) (width 0.1524) (layer Signal-L5) (net 98) (tstamp 51A73DF4))
+  (segment (start 77.8 51.475) (end 83.925 57.6) (width 0.1524) (layer Signal-L5) (net 98) (tstamp 51A73DEF))
+  (segment (start 77.8 35.975) (end 77.8 51.475) (width 0.1524) (layer Signal-L5) (net 98) (tstamp 51A73DEB))
+  (segment (start 78.675 35.1) (end 77.8 35.975) (width 0.1524) (layer Signal-L5) (net 98) (tstamp 51A73DE9))
+  (segment (start 79.9 35.1) (end 78.675 35.1) (width 0.1524) (layer Signal-L5) (net 98) (tstamp 51A73DE8))
+  (segment (start 81.225 33.775) (end 79.9 35.1) (width 0.1524) (layer Signal-L5) (net 98) (tstamp 51A73DE4))
+  (segment (start 81.225 31.325) (end 81.225 33.775) (width 0.1524) (layer Signal-L5) (net 98) (tstamp 51A73DE2))
+  (segment (start 81.725 30.825) (end 81.225 31.325) (width 0.1524) (layer Signal-L5) (net 98) (tstamp 51A73DE1))
+  (segment (start 83.35 30.825) (end 81.725 30.825) (width 0.1524) (layer Signal-L5) (net 98) (tstamp 51A73DDF))
+  (segment (start 84.635 32.11) (end 83.35 30.825) (width 0.1524) (layer Signal-L5) (net 98) (tstamp 51A73DDE))
+  (segment (start 91.85042 62.18) (end 90.96 62.18) (width 0.2) (layer TOP-L1) (net 99))
+  (segment (start 81.37 58.4) (end 83.58 60.61) (width 0.2) (layer Signal-L5) (net 99) (tstamp 51A833B6))
+  (segment (start 83.58 60.61) (end 83.79 60.61) (width 0.2) (layer Signal-L5) (net 99) (tstamp 51A833BC))
+  (segment (start 83.79 60.61) (end 85.37 62.19) (width 0.2) (layer Signal-L5) (net 99) (tstamp 51A833BE))
+  (segment (start 85.37 62.19) (end 90.99 62.19) (width 0.2) (layer Signal-L5) (net 99) (tstamp 51A833D5))
+  (via (at 90.99 62.19) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 99))
+  (segment (start 81.37 58.4) (end 79.8 58.4) (width 0.2) (layer Signal-L5) (net 99))
+  (segment (start 90.95 62.19) (end 90.99 62.19) (width 0.2) (layer TOP-L1) (net 99) (tstamp 51A83413))
+  (segment (start 90.96 62.18) (end 90.95 62.19) (width 0.2) (layer TOP-L1) (net 99) (tstamp 51A83411))
+  (segment (start 87.95 40.75) (end 85.35 43.35) (width 0.2) (layer Signal-L3) (net 99) (tstamp 51A83103))
+  (segment (start 79.8 58.425) (end 79.8 58.4) (width 0.2) (layer Signal-L3) (net 99) (tstamp 51A83110))
+  (segment (start 80.075 58.425) (end 79.8 58.425) (width 0.2) (layer Signal-L3) (net 99) (tstamp 51A8310E))
+  (segment (start 80.525 57.975) (end 80.075 58.425) (width 0.2) (layer Signal-L3) (net 99) (tstamp 51A8310D))
+  (segment (start 80.525 55.05) (end 80.525 57.975) (width 0.2) (layer Signal-L3) (net 99) (tstamp 51A83108))
+  (segment (start 85.35 50.225) (end 80.525 55.05) (width 0.2) (layer Signal-L3) (net 99) (tstamp 51A83106))
+  (segment (start 85.35 43.35) (end 85.35 50.225) (width 0.2) (layer Signal-L3) (net 99) (tstamp 51A83104))
+  (segment (start 78.6 58.8) (end 79 58.4) (width 0.1524) (layer TOP-L1) (net 99))
+  (segment (start 87.94688 40.73628) (end 87.27378 40.73628) (width 0.1524) (layer TOP-L1) (net 99))
+  (via (at 87.95196 40.7312) (size 0.4572) (layers TOP-L1 BOT-L6) (net 99))
+  (segment (start 87.94688 40.73628) (end 87.95196 40.7312) (width 0.1524) (layer TOP-L1) (net 99) (tstamp 5162E402))
+  (segment (start 87.95 40.75) (end 87.95196 40.7312) (width 0.1524) (layer Signal-L3) (net 99) (tstamp 51A826C1))
+  (segment (start 79.8 58.4) (end 79.8 58.4) (width 0.1524) (layer Signal-L3) (net 99) (tstamp 51A826AF))
+  (via (at 79.8 58.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 99))
+  (segment (start 79 58.4) (end 79.8 58.4) (width 0.1524) (layer TOP-L1) (net 99) (tstamp 51A826A4))
+  (segment (start 49.97 72.53) (end 49.61 72.53) (width 0.1524) (layer Signal-L5) (net 100))
+  (segment (start 46.04 72.02) (end 46.04 72.015) (width 0.1524) (layer Signal-L5) (net 100) (tstamp 51A32C41))
+  (segment (start 46.74 72.02) (end 46.04 72.02) (width 0.1524) (layer Signal-L5) (net 100) (tstamp 51A32C3D))
+  (segment (start 46.83 71.93) (end 46.74 72.02) (width 0.1524) (layer Signal-L5) (net 100) (tstamp 51A32C3C))
+  (segment (start 49.01 71.93) (end 46.83 71.93) (width 0.1524) (layer Signal-L5) (net 100) (tstamp 51A32C3B))
+  (segment (start 49.61 72.53) (end 49.01 71.93) (width 0.1524) (layer Signal-L5) (net 100) (tstamp 51A32C3A))
+  (segment (start 46.05 72.025) (end 46.04 72.015) (width 0.1524) (layer Signal-L5) (net 100))
+  (segment (start 45.7 68.625) (end 45.7 68.325) (width 0.1524) (layer Signal-L3) (net 100) (tstamp 51A05E70))
+  (segment (start 46.275 70.85) (end 46.275 69.975) (width 0.1524) (layer Signal-L3) (net 100) (tstamp 51A05DF4))
+  (segment (start 46.275 69.975) (end 45.7 69.4) (width 0.1524) (layer Signal-L3) (net 100) (tstamp 51A04F53))
+  (segment (start 45.7 69.4) (end 45.7 68.925) (width 0.1524) (layer Signal-L3) (net 100) (tstamp 51A04F56))
+  (via (at 46.05 72.025) (size 0.4572) (layers TOP-L1 BOT-L6) (net 100))
+  (segment (start 46.05 72.025) (end 45.75 71.725) (width 0.1524) (layer Signal-L3) (net 100) (tstamp 51A05DEC))
+  (segment (start 45.75 71.725) (end 45.75 71.475) (width 0.1524) (layer Signal-L3) (net 100) (tstamp 51A05DED))
+  (segment (start 45.75 71.475) (end 46.275 70.95) (width 0.1524) (layer Signal-L3) (net 100) (tstamp 51A05DEE))
+  (segment (start 46.275 70.95) (end 46.275 70.85) (width 0.1524) (layer Signal-L3) (net 100) (tstamp 51A05DEF))
+  (segment (start 45.7 68.15) (end 45.7 68.325) (width 0.1524) (layer Signal-L3) (net 100) (tstamp 51A05064))
+  (segment (start 45.7 68.925) (end 45.7 68.625) (width 0.1524) (layer Signal-L3) (net 100) (tstamp 51A05E3C))
+  (segment (start 70.2 72) (end 70.6 71.6) (width 0.1524) (layer TOP-L1) (net 100) (tstamp 51A32C08))
+  (via (at 70.2 72) (size 0.4572) (layers TOP-L1 BOT-L6) (net 100))
+  (segment (start 69.8 72.4) (end 70.2 72) (width 0.1524) (layer Signal-L5) (net 100) (tstamp 51A32C02))
+  (segment (start 51.2 72.4) (end 69.8 72.4) (width 0.1524) (layer Signal-L5) (net 100) (tstamp 51A32BF7))
+  (segment (start 51.07 72.53) (end 51.2 72.4) (width 0.1524) (layer Signal-L5) (net 100) (tstamp 51A32BF6))
+  (segment (start 49.97 72.53) (end 51.07 72.53) (width 0.1524) (layer Signal-L5) (net 100) (tstamp 51A32C38))
+  (segment (start 43.225 59.07) (end 43.225 59.195) (width 0.1524) (layer Signal-L3) (net 100))
+  (segment (start 44.08 64.1) (end 44.08 64.105) (width 0.1524) (layer Signal-L3) (net 100) (tstamp 51A1589D))
+  (segment (start 43.86 63.88) (end 44.08 64.1) (width 0.1524) (layer Signal-L3) (net 100) (tstamp 51A1589A))
+  (segment (start 43.86 62.65) (end 43.86 63.88) (width 0.1524) (layer Signal-L3) (net 100) (tstamp 51A15899))
+  (segment (start 43.34 62.13) (end 43.86 62.65) (width 0.1524) (layer Signal-L3) (net 100) (tstamp 51A15896))
+  (segment (start 43.34 61.05) (end 43.34 62.13) (width 0.1524) (layer Signal-L3) (net 100) (tstamp 51A15895))
+  (segment (start 43.79 60.6) (end 43.34 61.05) (width 0.1524) (layer Signal-L3) (net 100) (tstamp 51A15894))
+  (segment (start 43.79 59.76) (end 43.79 60.6) (width 0.1524) (layer Signal-L3) (net 100) (tstamp 51A15893))
+  (segment (start 43.225 59.195) (end 43.79 59.76) (width 0.1524) (layer Signal-L3) (net 100) (tstamp 51A15892))
+  (segment (start 45.6 78.075) (end 45.6 78.625) (width 0.1524) (layer Signal-L3) (net 100))
+  (segment (start 48.825 79.075) (end 49.025 79.275) (width 0.1524) (layer TOP-L1) (net 100) (tstamp 51A1577E))
+  (segment (start 46.05 79.075) (end 48.825 79.075) (width 0.1524) (layer TOP-L1) (net 100) (tstamp 51A1577D))
+  (segment (start 46 79.025) (end 46.05 79.075) (width 0.1524) (layer TOP-L1) (net 100) (tstamp 51A1577C))
+  (via (at 46 79.025) (size 0.4572) (layers TOP-L1 BOT-L6) (net 100))
+  (segment (start 45.6 78.625) (end 46 79.025) (width 0.1524) (layer Signal-L3) (net 100) (tstamp 51A1577A))
+  (segment (start 45.7 68.225) (end 45.7 68.15) (width 0.1524) (layer Signal-L3) (net 100) (tstamp 51A05EEE))
+  (segment (start 46.375 68.4) (end 46.375 67.925) (width 0.1524) (layer Signal-L3) (net 100))
+  (segment (start 45.7 68.075) (end 45.7 68.225) (width 0.1524) (layer Signal-L3) (net 100) (tstamp 51A05EEB))
+  (segment (start 45.975 67.8) (end 45.7 68.075) (width 0.1524) (layer Signal-L3) (net 100) (tstamp 51A05EE9))
+  (segment (start 46.25 67.8) (end 45.975 67.8) (width 0.1524) (layer Signal-L3) (net 100) (tstamp 51A05EE8))
+  (segment (start 46.375 67.925) (end 46.25 67.8) (width 0.1524) (layer Signal-L3) (net 100) (tstamp 51A05EE7))
+  (segment (start 45.6 77.3) (end 45.6 73.85) (width 0.1524) (layer Signal-L3) (net 100))
+  (segment (start 45.6 73.85) (end 46.2 73.25) (width 0.1524) (layer Signal-L3) (net 100) (tstamp 51A05ED1))
+  (segment (start 46.65 70.1) (end 46.65 69.825) (width 0.1524) (layer Signal-L3) (net 100))
+  (segment (start 46.075 68.7) (end 46.375 68.4) (width 0.1524) (layer Signal-L3) (net 100) (tstamp 51A05EB4))
+  (segment (start 46.075 69.25) (end 46.075 68.7) (width 0.1524) (layer Signal-L3) (net 100) (tstamp 51A05EB3))
+  (segment (start 46.65 69.825) (end 46.075 69.25) (width 0.1524) (layer Signal-L3) (net 100) (tstamp 51A05EB2))
+  (segment (start 49.125 79.375) (end 49.025 79.275) (width 0.1524) (layer TOP-L1) (net 100))
+  (segment (start 52.4 78.4) (end 52.4 79.05) (width 0.1524) (layer TOP-L1) (net 100))
+  (segment (start 49.3 79.55) (end 49.125 79.375) (width 0.1524) (layer TOP-L1) (net 100) (tstamp 519DC227))
+  (segment (start 51.9 79.55) (end 49.3 79.55) (width 0.1524) (layer TOP-L1) (net 100) (tstamp 519DC224))
+  (segment (start 52.4 79.05) (end 51.9 79.55) (width 0.1524) (layer TOP-L1) (net 100) (tstamp 519DC223))
+  (segment (start 46.65 72.8) (end 46.65 70.1) (width 0.1524) (layer Signal-L3) (net 100) (tstamp 51A05E66))
+  (segment (start 46.2 73.25) (end 46.65 72.8) (width 0.1524) (layer Signal-L3) (net 100) (tstamp 51A05ED6))
+  (segment (start 45.6 78.075) (end 45.6 77.3) (width 0.1524) (layer Signal-L3) (net 100) (tstamp 51A15778))
+  (segment (start 43.925 58.775) (end 44 58.775) (width 0.1524) (layer TOP-L1) (net 100))
+  (segment (start 45.7 58.775) (end 45.85 58.775) (width 0.1524) (layer TOP-L1) (net 100) (tstamp 51A04FFA))
+  (segment (start 45.2 59.275) (end 45.7 58.775) (width 0.1524) (layer TOP-L1) (net 100) (tstamp 51A04FF9))
+  (segment (start 44.5 59.275) (end 45.2 59.275) (width 0.1524) (layer TOP-L1) (net 100) (tstamp 51A04FF8))
+  (segment (start 44 58.775) (end 44.5 59.275) (width 0.1524) (layer TOP-L1) (net 100) (tstamp 51A04FF7))
+  (segment (start 45.85 58.775) (end 46.3 58.775) (width 0.1524) (layer TOP-L1) (net 100) (tstamp 51A04FFD))
+  (segment (start 50.825 58.825) (end 50.4 58.4) (width 0.1524) (layer TOP-L1) (net 100) (tstamp 51A04FC7))
+  (segment (start 50.825 59.475) (end 50.825 58.825) (width 0.1524) (layer TOP-L1) (net 100) (tstamp 51A04FC6))
+  (segment (start 50.5 59.8) (end 50.825 59.475) (width 0.1524) (layer TOP-L1) (net 100) (tstamp 51A04FC5))
+  (segment (start 47.325 59.8) (end 50.5 59.8) (width 0.1524) (layer TOP-L1) (net 100) (tstamp 51A04FC3))
+  (segment (start 46.3 58.775) (end 47.325 59.8) (width 0.1524) (layer TOP-L1) (net 100) (tstamp 51A04FC0))
+  (via (at 43.425 58.775) (size 0.4572) (layers TOP-L1 BOT-L6) (net 100))
+  (segment (start 43.225 58.975) (end 43.425 58.775) (width 0.1524) (layer Signal-L3) (net 100) (tstamp 51A04FA2))
+  (segment (start 43.225 59.07) (end 43.225 58.975) (width 0.1524) (layer Signal-L3) (net 100) (tstamp 51A15890))
+  (segment (start 45.7 68.15) (end 45.7 67.575) (width 0.1524) (layer Signal-L3) (net 100) (tstamp 51A05E8F))
+  (segment (start 45.3 65.325) (end 44.08 64.105) (width 0.1524) (layer Signal-L3) (net 100) (tstamp 51A04F5B))
+  (segment (start 45.3 67.175) (end 45.3 65.325) (width 0.1524) (layer Signal-L3) (net 100) (tstamp 51A04F5A))
+  (segment (start 45.7 67.575) (end 45.3 67.175) (width 0.1524) (layer Signal-L3) (net 100) (tstamp 51A04F57))
+  (segment (start 43.425 58.775) (end 43.925 58.775) (width 0.1524) (layer TOP-L1) (net 100) (tstamp 51A04FA7))
+  (segment (start 40.5 62.91) (end 40.5 64.39) (width 0.1524) (layer Signal-L5) (net 101))
+  (segment (start 39.9 64.99) (end 39.9 65.8) (width 0.1524) (layer Signal-L5) (net 101) (tstamp 51A45032))
+  (segment (start 40.5 64.39) (end 39.9 64.99) (width 0.1524) (layer Signal-L5) (net 101) (tstamp 51A45031))
+  (segment (start 41.375 61.3) (end 41.5 61.3) (width 0.1524) (layer TOP-L1) (net 101))
+  (segment (start 42.55 61.95) (end 42.85 61.65) (width 0.1524) (layer TOP-L1) (net 101) (tstamp 51A44F33))
+  (segment (start 42.15 61.95) (end 42.55 61.95) (width 0.1524) (layer TOP-L1) (net 101) (tstamp 51A44F32))
+  (segment (start 41.5 61.3) (end 42.15 61.95) (width 0.1524) (layer TOP-L1) (net 101) (tstamp 51A44F31))
+  (segment (start 44.225 61.3) (end 43.2 61.3) (width 0.1524) (layer TOP-L1) (net 101))
+  (segment (start 49.2 61.2) (end 49.6 61.6) (width 0.1524) (layer TOP-L1) (net 101))
+  (segment (start 49.2 61.2) (end 47.3 61.2) (width 0.1524) (layer TOP-L1) (net 101) (tstamp 519DB428))
+  (segment (start 44.225 61.3) (end 46.225 61.3) (width 0.1524) (layer TOP-L1) (net 101) (tstamp 51A44F20))
+  (segment (start 47.075 61.3) (end 47.175 61.2) (width 0.1524) (layer TOP-L1) (net 101) (tstamp 51A15903))
+  (segment (start 47.175 61.2) (end 47.3 61.2) (width 0.1524) (layer TOP-L1) (net 101) (tstamp 51A15904))
+  (segment (start 46.225 61.3) (end 47.075 61.3) (width 0.1524) (layer TOP-L1) (net 101))
+  (segment (start 43.2 61.3) (end 42.85 61.65) (width 0.1524) (layer TOP-L1) (net 101) (tstamp 51A44F22))
+  (segment (start 41.375 61.3) (end 41.35 61.3) (width 0.1524) (layer TOP-L1) (net 101) (tstamp 51A44F2F))
+  (segment (start 43.875 69.2) (end 42.55 69.2) (width 0.1524) (layer Signal-L5) (net 101) (tstamp 51A3075C))
+  (segment (start 42.075 69.475) (end 42.35 69.2) (width 0.1524) (layer Signal-L5) (net 101) (tstamp 51A32E2B))
+  (segment (start 42.35 69.2) (end 42.55 69.2) (width 0.1524) (layer Signal-L5) (net 101) (tstamp 51A32E1D))
+  (segment (start 39.9 65.8) (end 39.9 68.8) (width 0.1524) (layer Signal-L5) (net 101) (tstamp 51A45035))
+  (segment (start 39.9 69.5) (end 40.425 70.025) (width 0.1524) (layer Signal-L5) (net 101) (tstamp 51A32E26))
+  (segment (start 40.425 70.025) (end 41.525 70.025) (width 0.1524) (layer Signal-L5) (net 101) (tstamp 51A32E27))
+  (segment (start 41.525 70.025) (end 42.075 69.475) (width 0.1524) (layer Signal-L5) (net 101) (tstamp 51A32E28))
+  (segment (start 39.9 68.8) (end 39.9 69.5) (width 0.1524) (layer Signal-L5) (net 101))
+  (segment (start 40.5 62.91) (end 40.5 62) (width 0.1524) (layer Signal-L5) (net 101) (tstamp 51A4502F))
+  (via (at 40.5 62) (size 0.4572) (layers TOP-L1 BOT-L6) (net 101))
+  (segment (start 40.5 62) (end 41.2 61.3) (width 0.1524) (layer TOP-L1) (net 101) (tstamp 51A44F16))
+  (segment (start 41.2 61.3) (end 41.35 61.3) (width 0.1524) (layer TOP-L1) (net 101) (tstamp 51A44F17))
+  (segment (start 47.5 69.2) (end 46.5 69.2) (width 0.1524) (layer Signal-L5) (net 101))
+  (segment (start 44.1 69.2) (end 43.875 69.2) (width 0.1524) (layer Signal-L5) (net 101) (tstamp 51A30759))
+  (segment (start 44.525 68.775) (end 44.1 69.2) (width 0.1524) (layer Signal-L5) (net 101) (tstamp 51A30757))
+  (segment (start 46.075 68.775) (end 44.525 68.775) (width 0.1524) (layer Signal-L5) (net 101) (tstamp 51A30756))
+  (segment (start 46.5 69.2) (end 46.075 68.775) (width 0.1524) (layer Signal-L5) (net 101) (tstamp 51A30755))
+  (segment (start 43.8 69.2) (end 43.875 69.2) (width 0.1524) (layer Signal-L5) (net 101))
+  (segment (start 46.95 81.3) (end 46.975 81.3) (width 0.1524) (layer TOP-L1) (net 101))
+  (segment (start 44.4 80.725) (end 44.175 80.95) (width 0.1524) (layer Signal-L3) (net 101))
+  (segment (start 44.375 81.3) (end 44.775 81.3) (width 0.1524) (layer TOP-L1) (net 101) (tstamp 51A018C9))
+  (via (at 44.375 81.3) (size 0.4572) (layers TOP-L1 BOT-L6) (net 101))
+  (segment (start 44.175 81.1) (end 44.375 81.3) (width 0.1524) (layer Signal-L3) (net 101) (tstamp 51A018C4))
+  (segment (start 44.175 80.95) (end 44.175 81.1) (width 0.1524) (layer Signal-L3) (net 101) (tstamp 51A018C2))
+  (segment (start 44.675 79.1) (end 44.675 80.45) (width 0.1524) (layer Signal-L3) (net 101))
+  (segment (start 44.675 80.45) (end 44.4 80.725) (width 0.1524) (layer Signal-L3) (net 101) (tstamp 519F5475))
+  (segment (start 44.675 77.45) (end 44.675 79.1) (width 0.1524) (layer Signal-L3) (net 101) (tstamp 519F544E))
+  (segment (start 44.2 76.975) (end 44.675 77.45) (width 0.1524) (layer Signal-L3) (net 101) (tstamp 519F544B))
+  (segment (start 44.2 76.175) (end 44.2 76.975) (width 0.1524) (layer Signal-L3) (net 101) (tstamp 51A3052D))
+  (segment (start 43.475 69.525) (end 43.475 69.7) (width 0.1524) (layer Signal-L3) (net 101) (tstamp 519F5443))
+  (segment (start 43.8 69.2) (end 43.475 69.525) (width 0.1524) (layer Signal-L3) (net 101) (tstamp 519F5442))
+  (via (at 43.8 69.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 101))
+  (segment (start 51.2 81.2) (end 51.6 81.6) (width 0.1524) (layer TOP-L1) (net 101))
+  (segment (start 51.2 81.2) (end 48.75 81.2) (width 0.1524) (layer TOP-L1) (net 101) (tstamp 519DDB3A))
+  (segment (start 43.475 70.7) (end 43.825 71.05) (width 0.1524) (layer Signal-L3) (net 101) (tstamp 51A3050E))
+  (segment (start 43.825 71.05) (end 44.3 71.05) (width 0.1524) (layer Signal-L3) (net 101) (tstamp 51A3050F))
+  (segment (start 44.3 71.05) (end 44.525 71.275) (width 0.1524) (layer Signal-L3) (net 101) (tstamp 51A30510))
+  (segment (start 44.525 71.275) (end 44.525 71.475) (width 0.1524) (layer Signal-L3) (net 101) (tstamp 51A30512))
+  (segment (start 43.475 69.7) (end 43.475 70.7) (width 0.1524) (layer Signal-L3) (net 101))
+  (segment (start 44.525 71.6) (end 43.95 72.175) (width 0.1524) (layer Signal-L3) (net 101) (tstamp 51A3051E))
+  (segment (start 43.95 72.175) (end 43.95 72.475) (width 0.1524) (layer Signal-L3) (net 101) (tstamp 51A3051F))
+  (segment (start 43.95 72.475) (end 44.2 72.725) (width 0.1524) (layer Signal-L3) (net 101) (tstamp 51A30520))
+  (segment (start 44.2 72.725) (end 44.2 73.125) (width 0.1524) (layer Signal-L3) (net 101) (tstamp 51A30521))
+  (segment (start 44.2 73.125) (end 43.85 73.475) (width 0.1524) (layer Signal-L3) (net 101) (tstamp 51A30522))
+  (segment (start 43.85 73.475) (end 43.85 73.75) (width 0.1524) (layer Signal-L3) (net 101) (tstamp 51A30524))
+  (segment (start 43.85 73.75) (end 44.2 74.1) (width 0.1524) (layer Signal-L3) (net 101) (tstamp 51A30525))
+  (segment (start 44.2 74.1) (end 44.2 74.775) (width 0.1524) (layer Signal-L3) (net 101) (tstamp 51A30526))
+  (segment (start 44.2 74.775) (end 43.775 75.2) (width 0.1524) (layer Signal-L3) (net 101) (tstamp 51A30527))
+  (segment (start 43.775 75.2) (end 43.775 75.525) (width 0.1524) (layer Signal-L3) (net 101) (tstamp 51A30528))
+  (segment (start 43.775 75.525) (end 44.2 75.95) (width 0.1524) (layer Signal-L3) (net 101) (tstamp 51A30529))
+  (segment (start 44.2 75.95) (end 44.2 76.175) (width 0.1524) (layer Signal-L3) (net 101) (tstamp 51A3052A))
+  (segment (start 44.525 71.475) (end 44.525 71.6) (width 0.1524) (layer Signal-L3) (net 101))
+  (segment (start 45.875 81.3) (end 46.2 81.625) (width 0.1524) (layer TOP-L1) (net 101) (tstamp 51A3053B))
+  (segment (start 46.2 81.625) (end 46.425 81.625) (width 0.1524) (layer TOP-L1) (net 101) (tstamp 51A3053C))
+  (segment (start 46.425 81.625) (end 46.75 81.3) (width 0.1524) (layer TOP-L1) (net 101) (tstamp 51A3053E))
+  (segment (start 46.75 81.3) (end 46.95 81.3) (width 0.1524) (layer TOP-L1) (net 101) (tstamp 51A3053F))
+  (segment (start 44.775 81.3) (end 45.875 81.3) (width 0.1524) (layer TOP-L1) (net 101))
+  (segment (start 46.975 81.3) (end 47.4 81.725) (width 0.1524) (layer TOP-L1) (net 101) (tstamp 51A30546))
+  (segment (start 47.4 81.725) (end 48 81.725) (width 0.1524) (layer TOP-L1) (net 101) (tstamp 51A30547))
+  (segment (start 48 81.725) (end 48.525 81.2) (width 0.1524) (layer TOP-L1) (net 101) (tstamp 51A30548))
+  (segment (start 48.525 81.2) (end 48.75 81.2) (width 0.1524) (layer TOP-L1) (net 101) (tstamp 51A30549))
+  (segment (start 70.6 68.4) (end 70.2 68.8) (width 0.1524) (layer TOP-L1) (net 101))
+  (segment (start 69.8 69.2) (end 47.5 69.2) (width 0.1524) (layer Signal-L5) (net 101) (tstamp 51A304C1))
+  (segment (start 70.2 68.8) (end 69.8 69.2) (width 0.1524) (layer Signal-L5) (net 101) (tstamp 51A304C0))
+  (via (at 70.2 68.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 101))
+  (segment (start 44.8 65.175) (end 44.8 65.075) (width 0.1524) (layer TOP-L1) (net 102))
+  (segment (start 51.65 65.6) (end 51 65.6) (width 0.1524) (layer TOP-L1) (net 102) (tstamp 519F5F26))
+  (segment (start 51 65.6) (end 46.925 65.6) (width 0.1524) (layer TOP-L1) (net 102))
+  (segment (start 51.6 62) (end 51.6 63.35) (width 0.1524) (layer TOP-L1) (net 102) (tstamp 519DB363))
+  (segment (start 51.6 63.35) (end 51.6 63.4) (width 0.1524) (layer TOP-L1) (net 102) (tstamp 519DD64F))
+  (segment (start 51.6 64.15) (end 51.35 64.4) (width 0.1524) (layer TOP-L1) (net 102) (tstamp 519F113F))
+  (segment (start 51.35 64.4) (end 51.02 64.4) (width 0.1524) (layer TOP-L1) (net 102) (tstamp 519F1140))
+  (segment (start 51.6 63.4) (end 51.6 64.15) (width 0.1524) (layer TOP-L1) (net 102))
+  (segment (start 51.02 64.4) (end 50.7 64.4) (width 0.1524) (layer TOP-L1) (net 102))
+  (segment (start 51.6 62) (end 52 61.6) (width 0.1524) (layer TOP-L1) (net 102))
+  (segment (start 46 64.875) (end 49.85 64.875) (width 0.1524) (layer TOP-L1) (net 102) (tstamp 51A04C98))
+  (segment (start 49.85 64.875) (end 50.325 64.4) (width 0.1524) (layer TOP-L1) (net 102) (tstamp 51A04C84))
+  (segment (start 50.325 64.4) (end 50.7 64.4) (width 0.1524) (layer TOP-L1) (net 102) (tstamp 51A04C86))
+  (segment (start 46.925 65.6) (end 46.45 65.6) (width 0.1524) (layer TOP-L1) (net 102))
+  (segment (start 46.025 64.875) (end 46 64.875) (width 0.1524) (layer TOP-L1) (net 102) (tstamp 51A86036))
+  (segment (start 46.45 65.6) (end 46.5 65.6) (width 0.1524) (layer TOP-L1) (net 102))
+  (segment (start 51.65 65.6) (end 52.925 65.6) (width 0.1524) (layer TOP-L1) (net 102) (tstamp 519F5F1F))
+  (segment (start 45.375 64.875) (end 46.025 64.875) (width 0.1524) (layer TOP-L1) (net 102) (tstamp 51A86333))
+  (segment (start 46.5 65.6) (end 46.275 65.6) (width 0.1524) (layer TOP-L1) (net 102))
+  (segment (start 46.275 65.6) (end 46.025 65.6) (width 0.1524) (layer TOP-L1) (net 102))
+  (segment (start 45.1 64.875) (end 45.375 64.875) (width 0.1524) (layer TOP-L1) (net 102) (tstamp 51A86351))
+  (segment (start 46.025 65.6) (end 45.5 65.6) (width 0.1524) (layer TOP-L1) (net 102))
+  (segment (start 45.5 65.6) (end 45.55 65.6) (width 0.1524) (layer TOP-L1) (net 102))
+  (segment (start 45 65.6) (end 44.8 65.4) (width 0.1524) (layer TOP-L1) (net 102) (tstamp 51A8634B))
+  (segment (start 44.8 65.4) (end 44.8 65.175) (width 0.1524) (layer TOP-L1) (net 102) (tstamp 51A8634C))
+  (segment (start 45.15 64.875) (end 45.1 64.875) (width 0.1524) (layer TOP-L1) (net 102) (tstamp 51A8635B))
+  (segment (start 45.55 65.6) (end 45 65.6) (width 0.1524) (layer TOP-L1) (net 102))
+  (segment (start 44.8 65.075) (end 45 64.875) (width 0.1524) (layer TOP-L1) (net 102) (tstamp 51A86357))
+  (segment (start 45 64.875) (end 45.15 64.875) (width 0.1524) (layer TOP-L1) (net 102) (tstamp 51A86358))
+  (segment (start 53.85 81.45) (end 53.5 81.1) (width 0.1524) (layer TOP-L1) (net 102))
+  (segment (start 53.85 81.45) (end 54 81.6) (width 0.1524) (layer TOP-L1) (net 102) (tstamp 51A33514))
+  (segment (start 52.65 79.3) (end 53.075 78.875) (width 0.1524) (layer Signal-L3) (net 102) (tstamp 51A33521))
+  (segment (start 52.65 80.95) (end 52.65 79.3) (width 0.1524) (layer Signal-L3) (net 102) (tstamp 51A33520))
+  (segment (start 52.8 81.1) (end 52.65 80.95) (width 0.1524) (layer Signal-L3) (net 102) (tstamp 51A3351F))
+  (via (at 52.8 81.1) (size 0.4572) (layers TOP-L1 BOT-L6) (net 102))
+  (segment (start 53.5 81.1) (end 52.8 81.1) (width 0.1524) (layer TOP-L1) (net 102) (tstamp 51A33516))
+  (segment (start 52.9 65.6) (end 52.6 65.6) (width 0.1524) (layer Signal-L3) (net 102))
+  (segment (start 52.075 74.675) (end 52.45 75.05) (width 0.1524) (layer Signal-L3) (net 102) (tstamp 519F5F9E))
+  (segment (start 52.075 67.1) (end 52.075 74.675) (width 0.1524) (layer Signal-L3) (net 102) (tstamp 519F5F9D))
+  (segment (start 52.25 66.925) (end 52.075 67.1) (width 0.1524) (layer Signal-L3) (net 102) (tstamp 519F5F9C))
+  (segment (start 52.25 65.95) (end 52.25 66.925) (width 0.1524) (layer Signal-L3) (net 102) (tstamp 519F5F9B))
+  (segment (start 52.6 65.6) (end 52.25 65.95) (width 0.1524) (layer Signal-L3) (net 102) (tstamp 519F5F9A))
+  (segment (start 53.2 75.8) (end 53.4 75.8) (width 0.1524) (layer Signal-L3) (net 102) (tstamp 51A02698))
+  (segment (start 53.4 75.8) (end 53.725 76.125) (width 0.1524) (layer Signal-L3) (net 102) (tstamp 51A02699))
+  (segment (start 53.725 76.125) (end 53.725 76.675) (width 0.1524) (layer Signal-L3) (net 102) (tstamp 51A0269A))
+  (segment (start 53.725 76.675) (end 53.325 77.075) (width 0.1524) (layer Signal-L3) (net 102) (tstamp 51A0269C))
+  (segment (start 53.325 77.075) (end 53.325 78.625) (width 0.1524) (layer Signal-L3) (net 102) (tstamp 51A0269F))
+  (segment (start 53.325 78.625) (end 53.075 78.875) (width 0.1524) (layer Signal-L3) (net 102) (tstamp 51A026A0))
+  (segment (start 52.45 75.05) (end 53.2 75.8) (width 0.1524) (layer Signal-L3) (net 102))
+  (segment (start 63.9 59.775) (end 63.9 59.25) (width 0.1524) (layer Signal-L5) (net 102))
+  (segment (start 68.05 59.15) (end 68.05 59.375) (width 0.1524) (layer Signal-L5) (net 102) (tstamp 51A863C8))
+  (segment (start 67.2 58.3) (end 68.05 59.15) (width 0.1524) (layer Signal-L5) (net 102) (tstamp 51A863C7))
+  (segment (start 64.85 58.3) (end 67.2 58.3) (width 0.1524) (layer Signal-L5) (net 102) (tstamp 51A863C5))
+  (segment (start 63.9 59.25) (end 64.85 58.3) (width 0.1524) (layer Signal-L5) (net 102) (tstamp 51A863C4))
+  (segment (start 63.9 59.825) (end 63.9 59.775) (width 0.1524) (layer Signal-L5) (net 102))
+  (segment (start 63.9 60.15) (end 63.9 59.825) (width 0.1524) (layer Signal-L5) (net 102) (tstamp 51A2FD69))
+  (segment (start 68.05 59.375) (end 68.05 64.85) (width 0.1524) (layer Signal-L5) (net 102) (tstamp 51A863CB))
+  (segment (start 65.125 61.375) (end 63.9 60.15) (width 0.1524) (layer Signal-L5) (net 102) (tstamp 51A2FD63))
+  (segment (start 52.875 65.6) (end 54.375 65.6) (width 0.1524) (layer Signal-L5) (net 102))
+  (via (at 52.875 65.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 102))
+  (segment (start 68.8 65.6) (end 69.4 65.6) (width 0.1524) (layer Signal-L5) (net 102) (tstamp 51A2FD7A))
+  (segment (start 68.05 64.85) (end 68.8 65.6) (width 0.1524) (layer Signal-L5) (net 102) (tstamp 51A2FD77))
+  (segment (start 65.125 64.9) (end 65.125 61.375) (width 0.1524) (layer Signal-L5) (net 102) (tstamp 51A2FD61))
+  (segment (start 64.325 65.7) (end 65.125 64.9) (width 0.1524) (layer Signal-L5) (net 102) (tstamp 51A2FD5B))
+  (segment (start 54.475 65.7) (end 64.325 65.7) (width 0.1524) (layer Signal-L5) (net 102) (tstamp 51A2FD5A))
+  (segment (start 54.375 65.6) (end 54.475 65.7) (width 0.1524) (layer Signal-L5) (net 102) (tstamp 51A2FD57))
+  (segment (start 69.4 65.6) (end 69.8 65.2) (width 0.1524) (layer TOP-L1) (net 102) (tstamp 519EF735))
+  (via (at 69.4 65.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 102))
+  (segment (start 48.81 80.235) (end 48.115 79.54) (width 0.1524) (layer Signal-L3) (net 103))
+  (segment (start 47.09 79.95) (end 47.09 79.953842) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A32B98))
+  (segment (start 47.5 79.54) (end 47.09 79.95) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A32B96))
+  (segment (start 48.115 79.54) (end 47.5 79.54) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A32B95))
+  (segment (start 48.81 80.235) (end 49.125 80.55) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A32B93))
+  (segment (start 47.09 79.953842) (end 46.875 80.168842) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A32B99))
+  (segment (start 49.125 80.55) (end 49.4 80.55) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A32B68))
+  (segment (start 46.875 80.168842) (end 46.875 80.4) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A32B18))
+  (segment (start 46.875 80.4) (end 46.875 81.975) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A32B1C))
+  (segment (start 49.475 80.175) (end 49.275 79.975) (width 0.1524) (layer Signal-L3) (net 103))
+  (segment (start 49.475 80.175) (end 51.125 80.175) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A32B41))
+  (segment (start 51.125 80.175) (end 51.325 80.375) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A32B03))
+  (segment (start 51.325 80.375) (end 51.325 80.725) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A32B04))
+  (segment (start 51.325 80.725) (end 51.05 81) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A32B05))
+  (segment (start 51.05 81) (end 50.15 81) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A32B06))
+  (segment (start 50.15 81) (end 49.7 80.55) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A32B0A))
+  (segment (start 49.7 80.55) (end 49.4 80.55) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A32B0D))
+  (segment (start 51.375 79.45) (end 49.825 79.45) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A32AF7))
+  (segment (start 51.675 79.15) (end 51.375 79.45) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A32AF6))
+  (segment (start 51.675 78.875) (end 51.675 79.15) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A32AF5))
+  (segment (start 51.375 78.575) (end 51.675 78.875) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A32AF4))
+  (segment (start 49 78.575) (end 51.375 78.575) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A32AF1))
+  (segment (start 48.8 78.375) (end 49 78.575) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A32AF0))
+  (segment (start 48.8 78.15) (end 48.8 78.375) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A32AEF))
+  (segment (start 49.05 77.9) (end 48.8 78.15) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A32AEE))
+  (segment (start 50.55 77.9) (end 49.05 77.9) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A32AE8))
+  (segment (start 50.65 77.8) (end 50.55 77.9) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A32AE7))
+  (segment (start 50.65 77.425) (end 50.65 77.8) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A32ADA))
+  (segment (start 50.375 77.15) (end 50.65 77.425) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A32AD9))
+  (segment (start 49.975 77.15) (end 50.375 77.15) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A32AD7))
+  (segment (start 49.5 76.675) (end 49.975 77.15) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A32AD6))
+  (segment (start 50.2 74.875) (end 50.2 75.425) (width 0.1524) (layer Signal-L3) (net 103))
+  (segment (start 49.5 76.125) (end 49.5 76.375) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A053E8))
+  (segment (start 50.2 75.425) (end 49.5 76.125) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A053E7))
+  (segment (start 49.775 72) (end 49.625 72) (width 0.1524) (layer Signal-L3) (net 103))
+  (segment (start 50.2 74.775) (end 50.2 74.875) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A01ABC))
+  (segment (start 49.825 74.4) (end 50.2 74.775) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A01AB6))
+  (segment (start 49.825 73.15) (end 49.825 74.4) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A01AB5))
+  (segment (start 49.375 72.7) (end 49.825 73.15) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A01AB4))
+  (segment (start 49.375 72.25) (end 49.375 72.7) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A01AB3))
+  (segment (start 49.625 72) (end 49.375 72.25) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A01AB2))
+  (segment (start 49.5 76.375) (end 49.5 76.675) (width 0.1524) (layer Signal-L3) (net 103))
+  (segment (start 49.275 79.975) (end 49.275 79.75) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A32B43))
+  (segment (start 49.275 79.75) (end 49.575 79.45) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A32B44))
+  (segment (start 49.575 79.45) (end 49.825 79.45) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A32B45))
+  (segment (start 47.9 82.1) (end 49.475 82.1) (width 0.1524) (layer TOP-L1) (net 103))
+  (segment (start 49.575 82) (end 49.8 82) (width 0.1524) (layer TOP-L1) (net 103) (tstamp 51A05FF8))
+  (segment (start 49.475 82.1) (end 49.575 82) (width 0.1524) (layer TOP-L1) (net 103) (tstamp 51A05FF7))
+  (via (at 47 82.1) (size 0.4572) (layers TOP-L1 BOT-L6) (net 103))
+  (segment (start 46.875 81.975) (end 47 82.1) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A01AE1))
+  (segment (start 50.4 82) (end 49.8 82) (width 0.1524) (layer TOP-L1) (net 103) (tstamp 519DC08A))
+  (segment (start 50.8 81.6) (end 50.4 82) (width 0.1524) (layer TOP-L1) (net 103))
+  (segment (start 47.9 82.1) (end 47 82.1) (width 0.1524) (layer TOP-L1) (net 103) (tstamp 51A05FF5))
+  (segment (start 48.925 59.175) (end 48.925 60.9) (width 0.1524) (layer Signal-L3) (net 103))
+  (segment (start 46.95 60.875) (end 46.7 61.125) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A32977))
+  (segment (start 47.75 60.875) (end 46.95 60.875) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A32976))
+  (segment (start 48.15 61.275) (end 47.75 60.875) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A32975))
+  (segment (start 48.55 61.275) (end 48.15 61.275) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A32973))
+  (segment (start 48.925 60.9) (end 48.55 61.275) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A3296F))
+  (segment (start 46.45 63.1) (end 46.025 62.675) (width 0.1524) (layer Signal-L3) (net 103))
+  (segment (start 47.225 63.175) (end 48.2 62.2) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A32952))
+  (segment (start 47.025 63.175) (end 47.225 63.175) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A3294F))
+  (segment (start 46.5 62.65) (end 47.025 63.175) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A3294D))
+  (segment (start 46.5 61.325) (end 46.5 62.65) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A3294B))
+  (segment (start 46.7 61.125) (end 46.5 61.325) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A3297A))
+  (segment (start 48.925 58.825) (end 48.925 59.175) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A32937))
+  (segment (start 48.675 58.575) (end 48.925 58.825) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A32935))
+  (segment (start 48.425 58.575) (end 48.675 58.575) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A32933))
+  (segment (start 48.3 58.7) (end 48.425 58.575) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A32931))
+  (segment (start 48.3 59.5) (end 48.3 58.7) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A32930))
+  (segment (start 47.95 59.85) (end 48.3 59.5) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A3292E))
+  (segment (start 47.4 59.85) (end 47.95 59.85) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A3292D))
+  (segment (start 47.05 59.5) (end 47.4 59.85) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A3292C))
+  (segment (start 47.05 58.725) (end 47.05 59.5) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A3292A))
+  (segment (start 46.975 58.65) (end 47.05 58.725) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A32929))
+  (segment (start 46.4 58.65) (end 46.975 58.65) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A32928))
+  (segment (start 46.3 58.75) (end 46.4 58.65) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A32925))
+  (segment (start 46.3 59.85) (end 46.3 58.75) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A32924))
+  (segment (start 46.675 60.225) (end 46.3 59.85) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A32921))
+  (segment (start 46.675 60.6) (end 46.675 60.225) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A3291F))
+  (segment (start 46.025 61.25) (end 46.675 60.6) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A3291C))
+  (segment (start 46.025 62.675) (end 46.025 61.25) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A3291B))
+  (segment (start 47.6 64.25) (end 46.45 63.1) (width 0.1524) (layer Signal-L3) (net 103))
+  (segment (start 48.2 62.2) (end 48.175 62.225) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A32957))
+  (segment (start 49.8 68.325) (end 49.8 68.05) (width 0.1524) (layer Signal-L3) (net 103))
+  (segment (start 48.8 61.6) (end 48.425 61.975) (width 0.1524) (layer TOP-L1) (net 103))
+  (segment (start 49.775 72) (end 49.4 71.625) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A01AB0))
+  (segment (start 49.4 71.625) (end 49.4 69.25) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A01A72))
+  (segment (start 49.4 69.25) (end 49.8 68.85) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A01A73))
+  (segment (start 49.8 68.85) (end 49.8 68.325) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A01A77))
+  (segment (start 49.45 65.85) (end 48.075 64.475) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A15AE6))
+  (segment (start 48.075 64.475) (end 47.825 64.475) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A01A8A))
+  (segment (start 47.825 64.475) (end 47.6 64.25) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A01A8B))
+  (segment (start 48.175 62.225) (end 48.425 61.975) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A30BA0))
+  (via (at 48.425 61.975) (size 0.4572) (layers TOP-L1 BOT-L6) (net 103))
+  (segment (start 49.675 67.925) (end 49.675 66.075) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A15AE1))
+  (segment (start 49.675 66.075) (end 49.45 65.85) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A15AE2))
+  (segment (start 49.8 68.05) (end 49.675 67.925) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A15AE0))
+  (segment (start 49.775 72) (end 69.4 72) (width 0.1524) (layer Signal-L5) (net 103))
+  (via (at 49.775 72) (size 0.4572) (layers TOP-L1 BOT-L6) (net 103))
+  (segment (start 69.4 72) (end 69.8 71.6) (width 0.1524) (layer TOP-L1) (net 103) (tstamp 51A30AD9))
+  (via (at 69.4 72) (size 0.4572) (layers TOP-L1 BOT-L6) (net 103))
+  (segment (start 49.775 72) (end 49.775 72) (width 0.1524) (layer Signal-L3) (net 103) (tstamp 51A01A71))
+  (segment (start 49.725 60.925) (end 50.4 61.6) (width 0.1524) (layer TOP-L1) (net 104) (tstamp 51A05CE8))
+  (segment (start 47.675 80.925) (end 44.95 80.925) (width 0.1524) (layer TOP-L1) (net 104))
+  (segment (start 44.9 80.875) (end 44.6 80.875) (width 0.1524) (layer TOP-L1) (net 104) (tstamp 51A15720))
+  (segment (start 44.95 80.925) (end 44.9 80.875) (width 0.1524) (layer TOP-L1) (net 104) (tstamp 51A1571F))
+  (segment (start 48.675 80.925) (end 47.675 80.925) (width 0.1524) (layer TOP-L1) (net 104))
+  (segment (start 52.4 81.6) (end 52 81.2) (width 0.1524) (layer TOP-L1) (net 104))
+  (segment (start 48.725 80.925) (end 48.675 80.925) (width 0.1524) (layer TOP-L1) (net 104))
+  (segment (start 44.6 80.875) (end 43.625 80.875) (width 0.1524) (layer TOP-L1) (net 104) (tstamp 51A15723))
+  (segment (start 49.275 80.925) (end 48.725 80.925) (width 0.1524) (layer TOP-L1) (net 104))
+  (segment (start 51.725 80.925) (end 52 81.2) (width 0.1524) (layer TOP-L1) (net 104) (tstamp 519DC1A1))
+  (segment (start 49.275 80.925) (end 51.725 80.925) (width 0.1524) (layer TOP-L1) (net 104) (tstamp 519DDB54))
+  (segment (start 47.55 60.925) (end 46.825 60.925) (width 0.1524) (layer TOP-L1) (net 104))
+  (segment (start 46.725 61.025) (end 42.05 61.025) (width 0.1524) (layer TOP-L1) (net 104) (tstamp 51A15921))
+  (segment (start 46.825 60.925) (end 46.725 61.025) (width 0.1524) (layer TOP-L1) (net 104) (tstamp 51A15920))
+  (segment (start 47.55 60.925) (end 49.725 60.925) (width 0.1524) (layer TOP-L1) (net 104) (tstamp 51A1591E))
+  (segment (start 43.275 80.875) (end 43.625 80.875) (width 0.1524) (layer TOP-L1) (net 104) (tstamp 51A01501))
+  (segment (start 41.2 61.025) (end 42.05 61.025) (width 0.1524) (layer TOP-L1) (net 104) (tstamp 51A30334))
+  (segment (start 40.6 60.425) (end 41.2 61.025) (width 0.1524) (layer TOP-L1) (net 104) (tstamp 51A30333))
+  (segment (start 42.9 80.875) (end 43.275 80.875) (width 0.1524) (layer TOP-L1) (net 104) (tstamp 51A01580))
+  (segment (start 42.7 77.05) (end 42.7 79.8) (width 0.1524) (layer Signal-L3) (net 104))
+  (segment (start 42.4 80.375) (end 42.9 80.875) (width 0.1524) (layer Signal-L3) (net 104) (tstamp 51A04B99))
+  (segment (start 42.4 80.1) (end 42.4 80.375) (width 0.1524) (layer Signal-L3) (net 104) (tstamp 51A04B97))
+  (segment (start 42.7 79.8) (end 42.4 80.1) (width 0.1524) (layer Signal-L3) (net 104) (tstamp 51A04B96))
+  (segment (start 42.7 76.65) (end 42.7 77.05) (width 0.1524) (layer Signal-L3) (net 104))
+  (via (at 42.9 80.875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 104))
+  (segment (start 42.7 75.25) (end 42.7 76.65) (width 0.1524) (layer Signal-L3) (net 104))
+  (segment (start 42.7 68.325) (end 42.7 75.25) (width 0.1524) (layer Signal-L3) (net 104) (tstamp 519F4AD7))
+  (segment (start 69.4 68) (end 69.8 67.6) (width 0.1524) (layer TOP-L1) (net 104) (tstamp 51A302F8))
+  (via (at 69.4 68) (size 0.4572) (layers TOP-L1 BOT-L6) (net 104))
+  (segment (start 43.025 68) (end 69.4 68) (width 0.1524) (layer Signal-L5) (net 104))
+  (via (at 43.025 68) (size 0.4572) (layers TOP-L1 BOT-L6) (net 104))
+  (segment (start 43.025 68) (end 42.7 68.325) (width 0.1524) (layer Signal-L3) (net 104) (tstamp 519F4AD6))
+  (segment (start 42.725 68) (end 40 68) (width 0.1524) (layer TOP-L1) (net 104))
+  (segment (start 43.025 68) (end 42.725 68) (width 0.1524) (layer TOP-L1) (net 104))
+  (segment (start 40 68) (end 38.575 66.575) (width 0.1524) (layer TOP-L1) (net 104) (tstamp 51A30319))
+  (segment (start 38.575 66.575) (end 38.575 61.95) (width 0.1524) (layer TOP-L1) (net 104) (tstamp 51A3031C))
+  (segment (start 38.575 61.95) (end 38.575 60.95) (width 0.1524) (layer TOP-L1) (net 104))
+  (segment (start 39.1 60.425) (end 40.6 60.425) (width 0.1524) (layer TOP-L1) (net 104) (tstamp 51A30332))
+  (segment (start 38.575 60.95) (end 39.1 60.425) (width 0.1524) (layer TOP-L1) (net 104) (tstamp 51A30331))
+  (segment (start 43 56.475) (end 43 56.425) (width 0.1524) (layer Signal-L3) (net 105))
+  (segment (start 42.475 56.375) (end 42.475 56.7) (width 0.1524) (layer Signal-L3) (net 105) (tstamp 51A32D9F))
+  (segment (start 42.6 56.25) (end 42.475 56.375) (width 0.1524) (layer Signal-L3) (net 105) (tstamp 51A32D9E))
+  (segment (start 42.825 56.25) (end 42.6 56.25) (width 0.1524) (layer Signal-L3) (net 105) (tstamp 51A32D9D))
+  (segment (start 43 56.425) (end 42.825 56.25) (width 0.1524) (layer Signal-L3) (net 105) (tstamp 51A32D9C))
+  (segment (start 43.15 57.675) (end 42.475 57) (width 0.1524) (layer Signal-L3) (net 105))
+  (segment (start 42.475 57) (end 42.475 56.7) (width 0.1524) (layer Signal-L3) (net 105) (tstamp 51A32D5E))
+  (segment (start 42.875 58.81) (end 42.875 59.265) (width 0.1524) (layer Signal-L3) (net 105))
+  (segment (start 43.02 62.52) (end 43.2 62.7) (width 0.1524) (layer Signal-L3) (net 105) (tstamp 51A158A9))
+  (segment (start 43.02 60.92) (end 43.02 62.52) (width 0.1524) (layer Signal-L3) (net 105) (tstamp 51A158A8))
+  (segment (start 43.48 60.46) (end 43.02 60.92) (width 0.1524) (layer Signal-L3) (net 105) (tstamp 51A158A7))
+  (segment (start 43.48 59.87) (end 43.48 60.46) (width 0.1524) (layer Signal-L3) (net 105) (tstamp 51A158A6))
+  (segment (start 42.875 59.265) (end 43.48 59.87) (width 0.1524) (layer Signal-L3) (net 105) (tstamp 51A158A5))
+  (segment (start 45.4 71.95) (end 45.4 71.275) (width 0.1524) (layer Signal-L3) (net 105))
+  (segment (start 43.3 63.125) (end 43.3 62.8) (width 0.1524) (layer Signal-L3) (net 105))
+  (segment (start 43.3 62.8) (end 43.2 62.7) (width 0.1524) (layer Signal-L3) (net 105) (tstamp 51A04D68))
+  (segment (start 43.3 63.9) (end 43.3 63.125) (width 0.1524) (layer Signal-L3) (net 105) (tstamp 51A04D3F))
+  (segment (start 44.875 65.475) (end 43.3 63.9) (width 0.1524) (layer Signal-L3) (net 105) (tstamp 51A04D3A))
+  (segment (start 44.875 67.325) (end 44.875 65.475) (width 0.1524) (layer Signal-L3) (net 105) (tstamp 51A04D38))
+  (segment (start 45.35 67.8) (end 44.875 67.325) (width 0.1524) (layer Signal-L3) (net 105) (tstamp 51A04D37))
+  (segment (start 45.35 69.5) (end 45.35 67.8) (width 0.1524) (layer Signal-L3) (net 105) (tstamp 51A04D33))
+  (segment (start 45.95 70.1) (end 45.35 69.5) (width 0.1524) (layer Signal-L3) (net 105) (tstamp 51A04D31))
+  (segment (start 45.95 70.575) (end 45.95 70.1) (width 0.1524) (layer Signal-L3) (net 105) (tstamp 51A05DDD))
+  (segment (start 45.4 72.525) (end 45.4 71.95) (width 0.1524) (layer Signal-L3) (net 105) (tstamp 51A04D2C))
+  (segment (start 45.675 72.8) (end 45.4 72.525) (width 0.1524) (layer Signal-L3) (net 105) (tstamp 51A04D2B))
+  (segment (start 45.4 71.275) (end 45.95 70.725) (width 0.1524) (layer Signal-L3) (net 105) (tstamp 51A05DD9))
+  (segment (start 45.95 70.725) (end 45.95 70.575) (width 0.1524) (layer Signal-L3) (net 105) (tstamp 51A05DDA))
+  (segment (start 42.875 58.625) (end 43.325 58.175) (width 0.1524) (layer Signal-L3) (net 105) (tstamp 51A05628))
+  (segment (start 43.325 58.175) (end 43.325 57.85) (width 0.1524) (layer Signal-L3) (net 105) (tstamp 51A05629))
+  (segment (start 43.325 57.85) (end 43.15 57.675) (width 0.1524) (layer Signal-L3) (net 105) (tstamp 51A0562A))
+  (segment (start 42.875 58.81) (end 42.875 58.625) (width 0.1524) (layer Signal-L3) (net 105) (tstamp 51A158A3))
+  (segment (start 44 57.625) (end 44.25 57.625) (width 0.1524) (layer TOP-L1) (net 105) (tstamp 51A32D77))
+  (segment (start 43.275 56.9) (end 44 57.625) (width 0.1524) (layer TOP-L1) (net 105) (tstamp 51A32D76))
+  (via (at 43.275 56.9) (size 0.4572) (layers TOP-L1 BOT-L6) (net 105))
+  (segment (start 43 56.625) (end 43.275 56.9) (width 0.1524) (layer Signal-L3) (net 105) (tstamp 51A32D70))
+  (segment (start 43 56.475) (end 43 56.625) (width 0.1524) (layer Signal-L3) (net 105) (tstamp 51A32D9A))
+  (segment (start 38.725 72.625) (end 38.725 71.7) (width 0.1524) (layer TOP-L1) (net 105))
+  (segment (start 40.55 72.25) (end 40.825 72.25) (width 0.1524) (layer TOP-L1) (net 105) (tstamp 51A32CCA))
+  (segment (start 39.65 71.35) (end 40.55 72.25) (width 0.1524) (layer TOP-L1) (net 105) (tstamp 51A32CC9))
+  (segment (start 39.075 71.35) (end 39.65 71.35) (width 0.1524) (layer TOP-L1) (net 105) (tstamp 51A32CC8))
+  (segment (start 38.725 71.7) (end 39.075 71.35) (width 0.1524) (layer TOP-L1) (net 105) (tstamp 51A32CC7))
+  (segment (start 38.725 72.825) (end 38.725 72.625) (width 0.1524) (layer TOP-L1) (net 105))
+  (segment (start 41.55 71.625) (end 41.75 71.625) (width 0.1524) (layer TOP-L1) (net 105) (tstamp 51A32CB1))
+  (segment (start 40.925 72.25) (end 41.55 71.625) (width 0.1524) (layer TOP-L1) (net 105) (tstamp 51A32CB0))
+  (segment (start 40.825 72.25) (end 40.925 72.25) (width 0.1524) (layer TOP-L1) (net 105) (tstamp 51A32CCD))
+  (segment (start 38.725 73.625) (end 38.725 72.825) (width 0.1524) (layer TOP-L1) (net 105))
+  (segment (start 42.6 72.25) (end 42.9 72.25) (width 0.1524) (layer TOP-L1) (net 105) (tstamp 51A32CA0))
+  (segment (start 41.975 71.625) (end 42.6 72.25) (width 0.1524) (layer TOP-L1) (net 105) (tstamp 51A32C9F))
+  (segment (start 41.75 71.625) (end 41.975 71.625) (width 0.1524) (layer TOP-L1) (net 105) (tstamp 51A32CB4))
+  (segment (start 38.725 73.9) (end 38.725 77.25) (width 0.1524) (layer TOP-L1) (net 105))
+  (segment (start 39.35 77.875) (end 40.15 77.875) (width 0.1524) (layer TOP-L1) (net 105) (tstamp 51A32C90))
+  (segment (start 38.725 77.25) (end 39.35 77.875) (width 0.1524) (layer TOP-L1) (net 105) (tstamp 51A32C8E))
+  (segment (start 45.675 72.8) (end 69.4 72.8) (width 0.1524) (layer Signal-L5) (net 105))
+  (segment (start 69.4 72.8) (end 69.8 72.4) (width 0.1524) (layer TOP-L1) (net 105) (tstamp 51A32C6B))
+  (via (at 69.4 72.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 105))
+  (segment (start 45.175 72.8) (end 44.925 72.8) (width 0.1524) (layer TOP-L1) (net 105))
+  (segment (start 45.675 72.8) (end 45.175 72.8) (width 0.1524) (layer TOP-L1) (net 105))
+  (segment (start 44.925 72.8) (end 44.375 72.25) (width 0.1524) (layer TOP-L1) (net 105) (tstamp 51A2B20C))
+  (segment (start 44.375 72.25) (end 42.9 72.25) (width 0.1524) (layer TOP-L1) (net 105) (tstamp 51A2B20D))
+  (segment (start 40.15 77.875) (end 40.875 77.875) (width 0.1524) (layer TOP-L1) (net 105) (tstamp 51A32C94))
+  (segment (start 38.725 73.625) (end 38.725 73.9) (width 0.1524) (layer TOP-L1) (net 105) (tstamp 51A32C99))
+  (segment (start 44.6 57.45) (end 44.81 57.24) (width 0.1524) (layer TOP-L1) (net 105))
+  (segment (start 44.425 57.625) (end 44.25 57.625) (width 0.1524) (layer TOP-L1) (net 105) (tstamp 51A05642))
+  (segment (start 44.6 57.45) (end 44.425 57.625) (width 0.1524) (layer TOP-L1) (net 105) (tstamp 51A154BB))
+  (segment (start 46.4 57.525) (end 45.75 57.525) (width 0.1524) (layer TOP-L1) (net 105))
+  (segment (start 45.75 57.525) (end 45.6 57.375) (width 0.1524) (layer TOP-L1) (net 105) (tstamp 51A0563F))
+  (segment (start 46.4 57.525) (end 46.7 57.525) (width 0.1524) (layer TOP-L1) (net 105) (tstamp 51A0563D))
+  (segment (start 48 58.4) (end 47.725 58.4) (width 0.1524) (layer TOP-L1) (net 105))
+  (segment (start 46.7 57.525) (end 47.575 58.4) (width 0.1524) (layer TOP-L1) (net 105) (tstamp 519DB643))
+  (segment (start 47.575 58.4) (end 47.725 58.4) (width 0.1524) (layer TOP-L1) (net 105) (tstamp 519DB645))
+  (segment (start 44.81 57.24) (end 45.45 57.24) (width 0.1524) (layer TOP-L1) (net 105) (tstamp 51A154BD))
+  (segment (start 45.45 57.24) (end 45.6 57.39) (width 0.1524) (layer TOP-L1) (net 105) (tstamp 51A154BE))
+  (segment (start 45.6 57.39) (end 45.6 57.375) (width 0.1524) (layer TOP-L1) (net 105) (tstamp 51A154C1))
+  (via (at 45.675 72.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 105))
+  (segment (start 49.425 78.4) (end 50 78.4) (width 0.1524) (layer TOP-L1) (net 105) (tstamp 51A05710))
+  (segment (start 48.8 77.775) (end 49.425 78.4) (width 0.1524) (layer TOP-L1) (net 105) (tstamp 51A0570E))
+  (segment (start 47.05 77.775) (end 48.8 77.775) (width 0.1524) (layer TOP-L1) (net 105) (tstamp 51A0570B))
+  (segment (start 46.725 77.45) (end 47.05 77.775) (width 0.1524) (layer TOP-L1) (net 105) (tstamp 51A05708))
+  (segment (start 43.15 77.45) (end 46.725 77.45) (width 0.1524) (layer TOP-L1) (net 105) (tstamp 51A05707))
+  (segment (start 42.725 77.875) (end 43.15 77.45) (width 0.1524) (layer TOP-L1) (net 105) (tstamp 51A05705))
+  (segment (start 40.875 77.875) (end 42.725 77.875) (width 0.1524) (layer TOP-L1) (net 105) (tstamp 51A2B226))
+  (segment (start 44.075 82.45) (end 44.075 82.675) (width 0.1524) (layer Signal-L3) (net 106))
+  (segment (start 45.5 82.3) (end 45.8 82.3) (width 0.1524) (layer Signal-L3) (net 106) (tstamp 51A86CC1))
+  (segment (start 44.825 82.975) (end 45.5 82.3) (width 0.1524) (layer Signal-L3) (net 106) (tstamp 51A86CBE))
+  (segment (start 44.375 82.975) (end 44.825 82.975) (width 0.1524) (layer Signal-L3) (net 106) (tstamp 51A86CBD))
+  (segment (start 44.075 82.675) (end 44.375 82.975) (width 0.1524) (layer Signal-L3) (net 106) (tstamp 51A86CBC))
+  (segment (start 46.25 82.775) (end 46.25 83.15) (width 0.1524) (layer Signal-L3) (net 106))
+  (segment (start 48.5 83.075) (end 48.45 83.075) (width 0.1524) (layer Signal-L3) (net 106) (tstamp 51A86CAF))
+  (segment (start 47.925 83.65) (end 48.5 83.075) (width 0.1524) (layer Signal-L3) (net 106) (tstamp 51A86CAC))
+  (segment (start 46.75 83.65) (end 47.925 83.65) (width 0.1524) (layer Signal-L3) (net 106) (tstamp 51A86CAB))
+  (segment (start 46.25 83.15) (end 46.75 83.65) (width 0.1524) (layer Signal-L3) (net 106) (tstamp 51A86CAA))
+  (segment (start 45.125 81.99) (end 44.41 81.99) (width 0.1524) (layer Signal-L3) (net 106))
+  (segment (start 48.45 83.075) (end 48.45 83.13) (width 0.1524) (layer Signal-L3) (net 106) (tstamp 51A86CB0))
+  (segment (start 46.25 82.575) (end 46.25 82.775) (width 0.1524) (layer Signal-L3) (net 106) (tstamp 51A86C8A))
+  (segment (start 45.975 82.3) (end 46.25 82.575) (width 0.1524) (layer Signal-L3) (net 106) (tstamp 51A86C89))
+  (segment (start 45.8 82.3) (end 45.975 82.3) (width 0.1524) (layer Signal-L3) (net 106) (tstamp 51A86CC4))
+  (segment (start 44.075 82.325) (end 44.075 82.45) (width 0.1524) (layer Signal-L3) (net 106) (tstamp 51A86C80))
+  (segment (start 44.41 81.99) (end 44.075 82.325) (width 0.1524) (layer Signal-L3) (net 106) (tstamp 51A86C7F))
+  (segment (start 44.45 56.5) (end 44.45 56.35) (width 0.1524) (layer Signal-L3) (net 106))
+  (segment (start 44.175 58.725) (end 44.17 58.725) (width 0.1524) (layer Signal-L3) (net 106) (tstamp 51A32D39))
+  (segment (start 44.175 58.525) (end 44.175 58.725) (width 0.1524) (layer Signal-L3) (net 106) (tstamp 51A32D37))
+  (segment (start 44 58.35) (end 44.175 58.525) (width 0.1524) (layer Signal-L3) (net 106) (tstamp 51A32D33))
+  (segment (start 44 56.275) (end 44 58.35) (width 0.1524) (layer Signal-L3) (net 106) (tstamp 51A32D30))
+  (segment (start 43.575 55.85) (end 44 56.275) (width 0.1524) (layer Signal-L3) (net 106) (tstamp 51A32D2E))
+  (segment (start 43.575 55.4) (end 43.575 55.85) (width 0.1524) (layer Signal-L3) (net 106) (tstamp 51A32D2D))
+  (segment (start 43.825 55.15) (end 43.575 55.4) (width 0.1524) (layer Signal-L3) (net 106) (tstamp 51A32D2C))
+  (segment (start 44.65 55.15) (end 43.825 55.15) (width 0.1524) (layer Signal-L3) (net 106) (tstamp 51A32D2B))
+  (segment (start 44.925 55.425) (end 44.65 55.15) (width 0.1524) (layer Signal-L3) (net 106) (tstamp 51A32D2A))
+  (segment (start 44.925 55.875) (end 44.925 55.425) (width 0.1524) (layer Signal-L3) (net 106) (tstamp 51A32D29))
+  (segment (start 44.45 56.35) (end 44.925 55.875) (width 0.1524) (layer Signal-L3) (net 106) (tstamp 51A32D28))
+  (segment (start 44.45 57.075) (end 44.45 56.5) (width 0.1524) (layer Signal-L3) (net 106))
+  (segment (start 45.94 75.15) (end 45.94 75.7) (width 0.1524) (layer Signal-L3) (net 106))
+  (segment (start 45.94 77.02) (end 45.94 77.11) (width 0.1524) (layer Signal-L3) (net 106) (tstamp 51A30485))
+  (segment (start 46.31 76.65) (end 45.94 77.02) (width 0.1524) (layer Signal-L3) (net 106) (tstamp 51A30484))
+  (segment (start 46.31 76.07) (end 46.31 76.65) (width 0.1524) (layer Signal-L3) (net 106) (tstamp 51A30483))
+  (segment (start 45.94 75.7) (end 46.31 76.07) (width 0.1524) (layer Signal-L3) (net 106) (tstamp 51A30482))
+  (segment (start 46.9 68.8) (end 69.4 68.8) (width 0.1524) (layer Signal-L5) (net 106))
+  (via (at 46.9 68.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 106))
+  (segment (start 69.4 68.8) (end 69.8 68.4) (width 0.1524) (layer TOP-L1) (net 106) (tstamp 51A30426))
+  (via (at 69.4 68.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 106))
+  (segment (start 48.33 81.72) (end 48.2 81.85) (width 0.1524) (layer Signal-L3) (net 106))
+  (segment (start 48.6 82.98) (end 48.45 83.13) (width 0.1524) (layer Signal-L3) (net 106) (tstamp 51A2A335))
+  (segment (start 48.6 82.56) (end 48.6 82.98) (width 0.1524) (layer Signal-L3) (net 106) (tstamp 51A2A331))
+  (segment (start 48.2 82.16) (end 48.6 82.56) (width 0.1524) (layer Signal-L3) (net 106) (tstamp 51A2A32D))
+  (segment (start 48.2 81.85) (end 48.2 82.16) (width 0.1524) (layer Signal-L3) (net 106) (tstamp 51A2A32C))
+  (segment (start 48.56 81.6) (end 48.45 81.6) (width 0.1524) (layer Signal-L3) (net 106))
+  (segment (start 48.45 81.6) (end 48.33 81.72) (width 0.1524) (layer Signal-L3) (net 106) (tstamp 51A2A312))
+  (segment (start 48.56 81.6) (end 48.85 81.6) (width 0.1524) (layer Signal-L3) (net 106) (tstamp 51A2A310))
+  (segment (start 48.85 81.6) (end 50 81.6) (width 0.1524) (layer TOP-L1) (net 106) (tstamp 51A05FF1))
+  (via (at 48.85 81.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 106))
+  (segment (start 46.95 70.93) (end 46.95 71.61) (width 0.1524) (layer Signal-L3) (net 106))
+  (segment (start 46.875 68.8) (end 46.575 69.1) (width 0.1524) (layer Signal-L3) (net 106) (tstamp 51A05FC1))
+  (segment (start 46.575 69.1) (end 46.575 69.275) (width 0.1524) (layer Signal-L3) (net 106) (tstamp 51A05FC3))
+  (segment (start 46.575 69.275) (end 46.95 69.65) (width 0.1524) (layer Signal-L3) (net 106) (tstamp 51A05FC4))
+  (segment (start 46.95 69.65) (end 46.95 70.93) (width 0.1524) (layer Signal-L3) (net 106) (tstamp 51A05FC5))
+  (segment (start 46.9 68.8) (end 46.875 68.8) (width 0.1524) (layer Signal-L3) (net 106))
+  (segment (start 45.585 81.99) (end 45.125 81.99) (width 0.1524) (layer Signal-L3) (net 106) (tstamp 51A0602E))
+  (segment (start 45.9 81.675) (end 45.585 81.99) (width 0.1524) (layer Signal-L3) (net 106) (tstamp 51A15776))
+  (segment (start 46.625 80.95) (end 45.9 81.675) (width 0.1524) (layer Signal-L3) (net 106) (tstamp 51A15772))
+  (segment (start 46.625 79.3) (end 46.625 80.95) (width 0.1524) (layer Signal-L3) (net 106) (tstamp 51A2A305))
+  (segment (start 46.95 71.61) (end 47.54 72.2) (width 0.1524) (layer Signal-L3) (net 106) (tstamp 51A2A2F4))
+  (segment (start 47.54 72.2) (end 47.54 72.96) (width 0.1524) (layer Signal-L3) (net 106) (tstamp 51A2A2F5))
+  (segment (start 47.54 72.96) (end 45.94 74.56) (width 0.1524) (layer Signal-L3) (net 106) (tstamp 51A2A2F6))
+  (segment (start 45.94 74.56) (end 45.94 75.15) (width 0.1524) (layer Signal-L3) (net 106) (tstamp 51A2A2F7))
+  (segment (start 45.94 77.11) (end 45.94 77.94) (width 0.1524) (layer Signal-L3) (net 106) (tstamp 51A30489))
+  (segment (start 45.94 77.94) (end 46.62 78.62) (width 0.1524) (layer Signal-L3) (net 106) (tstamp 51A2A2F9))
+  (segment (start 46.62 78.62) (end 46.62 79.3) (width 0.1524) (layer Signal-L3) (net 106) (tstamp 51A2A301))
+  (segment (start 46.62 79.3) (end 46.625 79.3) (width 0.1524) (layer Signal-L3) (net 106) (tstamp 51A2A304))
+  (segment (start 44.04 61.87) (end 44.48 61.87) (width 0.1524) (layer TOP-L1) (net 106) (tstamp 51A1586B))
+  (segment (start 43.99 61.82) (end 44.04 61.87) (width 0.1524) (layer TOP-L1) (net 106) (tstamp 51A1586A))
+  (via (at 43.99 61.82) (size 0.4572) (layers TOP-L1 BOT-L6) (net 106))
+  (segment (start 43.65 61.48) (end 43.99 61.82) (width 0.1524) (layer Signal-L3) (net 106) (tstamp 51A15862))
+  (segment (start 43.65 61.15) (end 43.65 61.48) (width 0.1524) (layer Signal-L3) (net 106) (tstamp 51A1585F))
+  (segment (start 44.17 60.63) (end 43.65 61.15) (width 0.1524) (layer Signal-L3) (net 106) (tstamp 51A1585C))
+  (segment (start 44.17 60.61) (end 44.17 60.63) (width 0.1524) (layer Signal-L3) (net 106) (tstamp 51A15852))
+  (segment (start 44.17 58.725) (end 44.17 60.61) (width 0.1524) (layer Signal-L3) (net 106) (tstamp 51A32D3A))
+  (segment (start 44.45 57.19) (end 44.45 58.04) (width 0.1524) (layer Signal-L3) (net 106))
+  (segment (start 45.8 64.54) (end 45.8 65.43) (width 0.1524) (layer Signal-L3) (net 106) (tstamp 51A15845))
+  (segment (start 44.76 63.5) (end 45.8 64.54) (width 0.1524) (layer Signal-L3) (net 106) (tstamp 51A1583E))
+  (segment (start 44.76 58.35) (end 44.76 63.5) (width 0.1524) (layer Signal-L3) (net 106) (tstamp 51A1583D))
+  (segment (start 44.45 58.04) (end 44.76 58.35) (width 0.1524) (layer Signal-L3) (net 106) (tstamp 51A1583C))
+  (segment (start 47.26 61.6) (end 47.38 61.6) (width 0.1524) (layer TOP-L1) (net 106) (tstamp 51A1552F))
+  (segment (start 46.99 61.87) (end 47.26 61.6) (width 0.1524) (layer TOP-L1) (net 106) (tstamp 51A1552C))
+  (segment (start 44.48 61.87) (end 46.99 61.87) (width 0.1524) (layer TOP-L1) (net 106) (tstamp 51A1586E))
+  (segment (start 44.45 57.19) (end 44.45 57.075) (width 0.1524) (layer Signal-L3) (net 106) (tstamp 51A1583A))
+  (segment (start 45.8 66.425) (end 45.8 65.43) (width 0.1524) (layer Signal-L3) (net 106) (tstamp 51A05F4B))
+  (segment (start 46.9 68.8) (end 46.65 68.55) (width 0.1524) (layer Signal-L3) (net 106) (tstamp 51A05F44))
+  (segment (start 46.65 68.55) (end 46.65 67.275) (width 0.1524) (layer Signal-L3) (net 106) (tstamp 51A05F45))
+  (segment (start 46.65 67.275) (end 45.8 66.425) (width 0.1524) (layer Signal-L3) (net 106) (tstamp 51A05F46))
+  (segment (start 48 61.6) (end 47.38 61.6) (width 0.1524) (layer TOP-L1) (net 106))
+  (segment (start 41.775 74.55) (end 41.775 74.675) (width 0.1524) (layer Signal-L3) (net 107))
+  (segment (start 41.225 77.35) (end 41.225 77.7) (width 0.1524) (layer Signal-L3) (net 107) (tstamp 51A86DEB))
+  (segment (start 42.125 76.45) (end 41.225 77.35) (width 0.1524) (layer Signal-L3) (net 107) (tstamp 51A86DE8))
+  (segment (start 42.125 75.025) (end 42.125 76.45) (width 0.1524) (layer Signal-L3) (net 107) (tstamp 51A86DE7))
+  (segment (start 41.775 74.675) (end 42.125 75.025) (width 0.1524) (layer Signal-L3) (net 107) (tstamp 51A86DE6))
+  (segment (start 42.525 70.3) (end 44.425 70.3) (width 0.1524) (layer Signal-L5) (net 107))
+  (segment (start 44.425 70.3) (end 44.975 70.85) (width 0.1524) (layer Signal-L5) (net 107) (tstamp 51A86A7D))
+  (segment (start 42.775 58.375) (end 41.95 58.375) (width 0.1524) (layer TOP-L1) (net 107))
+  (segment (start 41.275 59.05) (end 41.275 59.4) (width 0.1524) (layer Signal-L3) (net 107) (tstamp 51A86A4C))
+  (segment (start 41.95 58.375) (end 41.275 59.05) (width 0.1524) (layer Signal-L3) (net 107) (tstamp 51A86A4B))
+  (via (at 41.95 58.375) (size 0.4572) (layers TOP-L1 BOT-L6) (net 107))
+  (segment (start 42.175 70.3) (end 41.875 70.6) (width 0.1524) (layer Signal-L3) (net 107))
+  (segment (start 41.775 74.2) (end 41.775 74.55) (width 0.1524) (layer Signal-L3) (net 107) (tstamp 51A32F89))
+  (segment (start 42.425 73.55) (end 41.775 74.2) (width 0.1524) (layer Signal-L3) (net 107) (tstamp 51A32F85))
+  (segment (start 42.425 71.625) (end 42.425 73.55) (width 0.1524) (layer Signal-L3) (net 107) (tstamp 51A32F83))
+  (segment (start 41.875 71.075) (end 42.425 71.625) (width 0.1524) (layer Signal-L3) (net 107) (tstamp 51A32F81))
+  (segment (start 41.875 70.6) (end 41.875 71.075) (width 0.1524) (layer Signal-L3) (net 107) (tstamp 51A32F7E))
+  (segment (start 42.175 70.3) (end 42.525 70.3) (width 0.1524) (layer Signal-L5) (net 107))
+  (segment (start 69.8 70.8) (end 70.2 70.4) (width 0.1524) (layer Signal-L5) (net 107) (tstamp 51A309BD))
+  (segment (start 47.025 70.8) (end 69.8 70.8) (width 0.1524) (layer Signal-L5) (net 107) (tstamp 51A309B0))
+  (segment (start 46.6 71.225) (end 47.025 70.8) (width 0.1524) (layer Signal-L5) (net 107) (tstamp 51A309AF))
+  (segment (start 45.35 71.225) (end 46.6 71.225) (width 0.1524) (layer Signal-L5) (net 107) (tstamp 51A309AE))
+  (segment (start 44.975 70.85) (end 45.35 71.225) (width 0.1524) (layer Signal-L5) (net 107) (tstamp 51A86A80))
+  (segment (start 41.275 62.175) (end 41.275 63.075) (width 0.1524) (layer Signal-L3) (net 107))
+  (segment (start 41.275 62.175) (end 41.275 59.4) (width 0.1524) (layer Signal-L3) (net 107) (tstamp 51A2FFBC))
+  (segment (start 41.275 63.075) (end 41.85 63.65) (width 0.1524) (layer Signal-L3) (net 107) (tstamp 51A2FFBE))
+  (segment (start 41.85 63.65) (end 41.85 69.975) (width 0.1524) (layer Signal-L3) (net 107) (tstamp 51A2FFBF))
+  (segment (start 41.85 69.975) (end 42.175 70.3) (width 0.1524) (layer Signal-L3) (net 107) (tstamp 51A2FFC0))
+  (segment (start 48.9 78.75) (end 48.625 78.475) (width 0.1524) (layer TOP-L1) (net 107))
+  (segment (start 48.625 78.475) (end 46.125 78.475) (width 0.1524) (layer TOP-L1) (net 107) (tstamp 519DDC12))
+  (segment (start 51.6 78.4) (end 51.6 79.025) (width 0.1524) (layer TOP-L1) (net 107))
+  (segment (start 49.425 79.275) (end 48.9 78.75) (width 0.1524) (layer TOP-L1) (net 107) (tstamp 519DC23A))
+  (segment (start 51.35 79.275) (end 49.425 79.275) (width 0.1524) (layer TOP-L1) (net 107) (tstamp 519DC239))
+  (segment (start 51.6 79.025) (end 51.35 79.275) (width 0.1524) (layer TOP-L1) (net 107) (tstamp 519DC237))
+  (segment (start 41.225 77.7) (end 41.225 78.275) (width 0.1524) (layer Signal-L3) (net 107) (tstamp 51A86DEE))
+  (segment (start 41.225 78.275) (end 41.425 78.475) (width 0.1524) (layer Signal-L3) (net 107) (tstamp 51A04BDD))
+  (via (at 41.425 78.475) (size 0.4572) (layers TOP-L1 BOT-L6) (net 107))
+  (segment (start 44.525 78.475) (end 44.3 78.7) (width 0.1524) (layer TOP-L1) (net 107) (tstamp 51A1578B))
+  (segment (start 44.3 78.7) (end 41.625 78.7) (width 0.1524) (layer TOP-L1) (net 107) (tstamp 51A1578C))
+  (segment (start 41.625 78.7) (end 41.4 78.475) (width 0.1524) (layer TOP-L1) (net 107) (tstamp 51A1578D))
+  (segment (start 41.4 78.475) (end 41.425 78.475) (width 0.1524) (layer TOP-L1) (net 107) (tstamp 51A1578F))
+  (segment (start 46.125 78.475) (end 44.525 78.475) (width 0.1524) (layer TOP-L1) (net 107))
+  (via (at 42.175 70.3) (size 0.4572) (layers TOP-L1 BOT-L6) (net 107))
+  (segment (start 70.6 70) (end 70.2 70.4) (width 0.1524) (layer TOP-L1) (net 107))
+  (via (at 70.2 70.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 107))
+  (segment (start 42.775 58.375) (end 46.7 58.375) (width 0.1524) (layer TOP-L1) (net 107) (tstamp 51A86A43))
+  (segment (start 49.3 59.275) (end 48.3 59.275) (width 0.1524) (layer TOP-L1) (net 107) (tstamp 519DB4D6))
+  (segment (start 49.6 58.975) (end 49.3 59.275) (width 0.1524) (layer TOP-L1) (net 107) (tstamp 519DB4D5))
+  (segment (start 49.6 58.4) (end 49.6 58.975) (width 0.1524) (layer TOP-L1) (net 107))
+  (segment (start 46.7 58.375) (end 47.6 59.275) (width 0.1524) (layer TOP-L1) (net 107) (tstamp 519DB65D))
+  (segment (start 47.6 59.275) (end 48.3 59.275) (width 0.1524) (layer TOP-L1) (net 107) (tstamp 519DB65F))
+  (segment (start 66.05 62.3) (end 66.05 61.8) (width 0.1524) (layer Signal-L5) (net 108))
+  (segment (start 67.175 61.775) (end 67.175 62.1) (width 0.1524) (layer Signal-L5) (net 108) (tstamp 51A2FF3A))
+  (segment (start 66.925 61.525) (end 67.175 61.775) (width 0.1524) (layer Signal-L5) (net 108) (tstamp 51A2FF39))
+  (segment (start 66.325 61.525) (end 66.925 61.525) (width 0.1524) (layer Signal-L5) (net 108) (tstamp 51A2FF38))
+  (segment (start 66.05 61.8) (end 66.325 61.525) (width 0.1524) (layer Signal-L5) (net 108) (tstamp 51A2FF37))
+  (segment (start 66.05 62.35) (end 66.05 62.3) (width 0.1524) (layer Signal-L5) (net 108))
+  (segment (start 67.175 62.1) (end 67.175 65.4) (width 0.1524) (layer Signal-L5) (net 108) (tstamp 51A2FF22))
+  (segment (start 48.5 66.85) (end 50.125 66.85) (width 0.1524) (layer Signal-L5) (net 108))
+  (via (at 48.5 66.85) (size 0.4572) (layers TOP-L1 BOT-L6) (net 108))
+  (segment (start 48.5 66.85) (end 48.05 66.4) (width 0.1524) (layer Signal-L3) (net 108) (tstamp 51A052C8))
+  (segment (start 48.05 66.4) (end 48.05 65.675) (width 0.1524) (layer Signal-L3) (net 108) (tstamp 51A052C9))
+  (segment (start 47.625 65.25) (end 47.975 65.6) (width 0.1524) (layer Signal-L3) (net 108) (tstamp 51A05359))
+  (segment (start 48.05 65.675) (end 47.975 65.6) (width 0.1524) (layer Signal-L3) (net 108) (tstamp 51A052CA))
+  (segment (start 70.2 66.4) (end 70.6 66) (width 0.1524) (layer TOP-L1) (net 108) (tstamp 51A2FEE8))
+  (via (at 70.2 66.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 108))
+  (segment (start 69.8 66.8) (end 70.2 66.4) (width 0.1524) (layer Signal-L5) (net 108) (tstamp 51A2FEE0))
+  (segment (start 68.575 66.8) (end 69.8 66.8) (width 0.1524) (layer Signal-L5) (net 108) (tstamp 51A2FEDD))
+  (segment (start 67.175 65.4) (end 68.575 66.8) (width 0.1524) (layer Signal-L5) (net 108) (tstamp 51A2FEDA))
+  (segment (start 66.05 65.425) (end 66.05 62.35) (width 0.1524) (layer Signal-L5) (net 108) (tstamp 51A2FED2))
+  (segment (start 64.675 66.8) (end 66.05 65.425) (width 0.1524) (layer Signal-L5) (net 108) (tstamp 51A2FECC))
+  (segment (start 50.175 66.8) (end 64.675 66.8) (width 0.1524) (layer Signal-L5) (net 108) (tstamp 51A2FEC7))
+  (segment (start 50.125 66.85) (end 50.175 66.8) (width 0.1524) (layer Signal-L5) (net 108) (tstamp 51A2FEC1))
+  (segment (start 47.525 66.2) (end 46.975 65.65) (width 0.1524) (layer Signal-L3) (net 108))
+  (segment (start 47.55 65.175) (end 47.625 65.25) (width 0.1524) (layer Signal-L3) (net 108) (tstamp 51A05422))
+  (segment (start 47.225 65.175) (end 47.55 65.175) (width 0.1524) (layer Signal-L3) (net 108) (tstamp 51A05421))
+  (segment (start 46.975 65.425) (end 47.225 65.175) (width 0.1524) (layer Signal-L3) (net 108) (tstamp 51A05420))
+  (segment (start 46.975 65.65) (end 46.975 65.425) (width 0.1524) (layer Signal-L3) (net 108) (tstamp 51A0541F))
+  (segment (start 47.525 66.2) (end 47.55 66.225) (width 0.1524) (layer Signal-L3) (net 108) (tstamp 51A0541D))
+  (segment (start 48.65 73.075) (end 48.65 75.575) (width 0.1524) (layer Signal-L3) (net 108))
+  (segment (start 52 75.85) (end 52 77.2) (width 0.1524) (layer TOP-L1) (net 108) (tstamp 51A05333))
+  (segment (start 51.85 75.7) (end 52 75.85) (width 0.1524) (layer TOP-L1) (net 108) (tstamp 51A05330))
+  (segment (start 48.775 75.7) (end 51.85 75.7) (width 0.1524) (layer TOP-L1) (net 108) (tstamp 51A0540A))
+  (segment (start 48.65 67.725) (end 48.65 73.075) (width 0.1524) (layer Signal-L3) (net 108) (tstamp 51A05312))
+  (segment (start 47.725 66.8) (end 48.65 67.725) (width 0.1524) (layer Signal-L3) (net 108) (tstamp 51A0530F))
+  (segment (start 47.725 66.4) (end 47.725 66.8) (width 0.1524) (layer Signal-L3) (net 108) (tstamp 51A0530D))
+  (segment (start 47.55 66.225) (end 47.725 66.4) (width 0.1524) (layer Signal-L3) (net 108) (tstamp 51A05364))
+  (segment (start 52 77.2) (end 52.4 77.6) (width 0.1524) (layer TOP-L1) (net 108) (tstamp 51A05336))
+  (segment (start 48.65 75.575) (end 48.775 75.7) (width 0.1524) (layer Signal-L3) (net 108) (tstamp 51A05406))
+  (via (at 48.775 75.7) (size 0.4572) (layers TOP-L1 BOT-L6) (net 108))
+  (segment (start 50 57.2) (end 50.4 57.6) (width 0.1524) (layer TOP-L1) (net 108) (tstamp 51A052EF))
+  (segment (start 47.625 65.25) (end 45.375 63) (width 0.1524) (layer Signal-L3) (net 108) (tstamp 51A05305))
+  (segment (start 45.375 63) (end 45.375 55.8) (width 0.1524) (layer Signal-L3) (net 108) (tstamp 51A052CB))
+  (segment (start 45.375 55.8) (end 45.575 55.6) (width 0.1524) (layer Signal-L3) (net 108) (tstamp 51A052D0))
+  (via (at 45.575 55.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 108))
+  (segment (start 45.575 55.6) (end 49.775 55.6) (width 0.1524) (layer TOP-L1) (net 108) (tstamp 51A052D8))
+  (segment (start 49.775 55.6) (end 50 55.825) (width 0.1524) (layer TOP-L1) (net 108) (tstamp 51A052D9))
+  (segment (start 50 55.825) (end 50 57.2) (width 0.1524) (layer TOP-L1) (net 108) (tstamp 51A052EC))
+  (segment (start 51.2 83.225) (end 51.2 83.425) (width 0.1524) (layer TOP-L1) (net 109))
+  (segment (start 43.775 83.375) (end 43.525 83.125) (width 0.1524) (layer TOP-L1) (net 109) (tstamp 51A86F24))
+  (segment (start 48.4 83.375) (end 43.775 83.375) (width 0.1524) (layer TOP-L1) (net 109) (tstamp 51A86F1E))
+  (segment (start 49.05 84.025) (end 48.4 83.375) (width 0.1524) (layer TOP-L1) (net 109) (tstamp 51A86F1B))
+  (segment (start 50.6 84.025) (end 49.05 84.025) (width 0.1524) (layer TOP-L1) (net 109) (tstamp 51A86F18))
+  (segment (start 51.2 83.425) (end 50.6 84.025) (width 0.1524) (layer TOP-L1) (net 109) (tstamp 51A86F15))
+  (segment (start 43.525 83.125) (end 41.425 81.025) (width 0.1524) (layer TOP-L1) (net 109) (tstamp 51A86F27))
+  (segment (start 41.425 81.025) (end 41.425 80.525) (width 0.1524) (layer TOP-L1) (net 109) (tstamp 51A86D93))
+  (segment (start 41.25 73.3) (end 40.975 73.575) (width 0.1524) (layer Signal-L3) (net 109))
+  (segment (start 41.425 79.275) (end 41.425 79.5) (width 0.1524) (layer Signal-L3) (net 109) (tstamp 51A86E33))
+  (segment (start 40.975 78.825) (end 41.425 79.275) (width 0.1524) (layer Signal-L3) (net 109) (tstamp 51A86E2C))
+  (segment (start 40.975 73.575) (end 40.975 78.825) (width 0.1524) (layer Signal-L3) (net 109) (tstamp 51A86E2B))
+  (segment (start 51.6 82.4) (end 51.2 82.8) (width 0.1524) (layer TOP-L1) (net 109))
+  (segment (start 51.2 82.8) (end 51.2 83.225) (width 0.1524) (layer TOP-L1) (net 109) (tstamp 519DC0EC))
+  (segment (start 41.2 73.35) (end 41.25 73.3) (width 0.1524) (layer Signal-L3) (net 109))
+  (segment (start 41.5 73.05) (end 41.2 73.35) (width 0.1524) (layer Signal-L3) (net 109) (tstamp 51A32FF9))
+  (segment (start 41.425 79.5) (end 41.425 80.1) (width 0.1524) (layer Signal-L3) (net 109) (tstamp 51A86E37))
+  (via (at 41.425 80.1) (size 0.4572) (layers TOP-L1 BOT-L6) (net 109))
+  (segment (start 41.425 80.1) (end 41.425 80.525) (width 0.1524) (layer TOP-L1) (net 109) (tstamp 51A2C184))
+  (segment (start 40.95 64.74) (end 41.13 64.56) (width 0.1524) (layer TOP-L1) (net 109))
+  (segment (start 43.78 63.71) (end 44.06 63.71) (width 0.1524) (layer TOP-L1) (net 109) (tstamp 51A45011))
+  (segment (start 43.25 64.24) (end 43.78 63.71) (width 0.1524) (layer TOP-L1) (net 109) (tstamp 51A45010))
+  (segment (start 42.53 64.24) (end 43.25 64.24) (width 0.1524) (layer TOP-L1) (net 109) (tstamp 51A4500F))
+  (segment (start 42 63.71) (end 42.53 64.24) (width 0.1524) (layer TOP-L1) (net 109) (tstamp 51A4500D))
+  (segment (start 41.53 63.71) (end 42 63.71) (width 0.1524) (layer TOP-L1) (net 109) (tstamp 51A4500C))
+  (segment (start 41.13 64.11) (end 41.53 63.71) (width 0.1524) (layer TOP-L1) (net 109) (tstamp 51A4500B))
+  (segment (start 41.13 64.56) (end 41.13 64.11) (width 0.1524) (layer TOP-L1) (net 109) (tstamp 51A4500A))
+  (segment (start 40.44 65.83) (end 40.44 65.25) (width 0.1524) (layer Signal-L3) (net 109))
+  (segment (start 40.44 65.25) (end 40.95 64.74) (width 0.1524) (layer TOP-L1) (net 109) (tstamp 51A44FED))
+  (via (at 40.44 65.25) (size 0.4572) (layers TOP-L1 BOT-L6) (net 109))
+  (segment (start 40.6 70.925) (end 40.6 72.15) (width 0.1524) (layer Signal-L3) (net 109))
+  (segment (start 40.6 72.15) (end 41.5 73.05) (width 0.1524) (layer Signal-L3) (net 109) (tstamp 51A33004))
+  (segment (start 42.275 73.05) (end 41.5 73.05) (width 0.1524) (layer Signal-L5) (net 109))
+  (via (at 41.5 73.05) (size 0.4572) (layers TOP-L1 BOT-L6) (net 109))
+  (segment (start 46.2 63.71) (end 46.51 64.02) (width 0.1524) (layer TOP-L1) (net 109) (tstamp 51A32EAC))
+  (segment (start 44.06 63.71) (end 46.2 63.71) (width 0.1524) (layer TOP-L1) (net 109) (tstamp 51A45014))
+  (segment (start 40.6 69.11) (end 40.6 68.31) (width 0.1524) (layer Signal-L3) (net 109))
+  (segment (start 46.76 64.27) (end 47.37 64.27) (width 0.1524) (layer TOP-L1) (net 109) (tstamp 51A32E98))
+  (segment (start 46.51 64.02) (end 46.76 64.27) (width 0.1524) (layer TOP-L1) (net 109) (tstamp 51A32EB0))
+  (segment (start 40.44 68.15) (end 40.44 65.83) (width 0.1524) (layer Signal-L3) (net 109) (tstamp 51A32E82))
+  (segment (start 40.6 68.31) (end 40.44 68.15) (width 0.1524) (layer Signal-L3) (net 109) (tstamp 51A32E81))
+  (segment (start 42.275 73.05) (end 44.25 73.05) (width 0.1524) (layer Signal-L5) (net 109) (tstamp 51A32FF4))
+  (segment (start 70.2 72.8) (end 70.6 72.4) (width 0.1524) (layer TOP-L1) (net 109) (tstamp 51A32DE9))
+  (via (at 70.2 72.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 109))
+  (segment (start 69.8 73.2) (end 70.2 72.8) (width 0.1524) (layer Signal-L5) (net 109) (tstamp 51A32DDB))
+  (segment (start 44.4 73.2) (end 69.8 73.2) (width 0.1524) (layer Signal-L5) (net 109) (tstamp 51A32DD1))
+  (segment (start 44.25 73.05) (end 44.4 73.2) (width 0.1524) (layer Signal-L5) (net 109) (tstamp 51A32DD0))
+  (segment (start 40.6 69.11) (end 40.6 70.925) (width 0.1524) (layer Signal-L3) (net 109) (tstamp 51A32E7F))
+  (segment (start 49.2 63.19) (end 49.2 64.12) (width 0.1524) (layer TOP-L1) (net 109))
+  (segment (start 49.05 64.27) (end 47.37 64.27) (width 0.1524) (layer TOP-L1) (net 109) (tstamp 519F1C06))
+  (segment (start 49.2 64.12) (end 49.05 64.27) (width 0.1524) (layer TOP-L1) (net 109) (tstamp 519F1C05))
+  (segment (start 49.2 63.075) (end 49.2 63.19) (width 0.1524) (layer TOP-L1) (net 109))
+  (segment (start 49.6 62.4) (end 49.2 62.8) (width 0.1524) (layer TOP-L1) (net 109))
+  (segment (start 49.2 62.8) (end 49.2 63.075) (width 0.1524) (layer TOP-L1) (net 109) (tstamp 519DB1EA))
+  (segment (start 42.9 75.72) (end 43.32 75.72) (width 0.1524) (layer TOP-L1) (net 110))
+  (segment (start 45.275 75.725) (end 45.275 75.72) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 51A86E22))
+  (segment (start 44.75 75.725) (end 45.275 75.725) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 51A86E20))
+  (segment (start 44.475 76) (end 44.75 75.725) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 51A86E1F))
+  (segment (start 43.6 76) (end 44.475 76) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 51A86E1E))
+  (segment (start 43.32 75.72) (end 43.6 76) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 51A86E1D))
+  (segment (start 41.9 75.72) (end 41.68 75.72) (width 0.1524) (layer TOP-L1) (net 110))
+  (segment (start 41.275 74.225) (end 41.575 73.925) (width 0.1524) (layer Signal-L3) (net 110) (tstamp 51A86E00))
+  (segment (start 41.275 75.325) (end 41.275 74.225) (width 0.1524) (layer Signal-L3) (net 110) (tstamp 51A86DFA))
+  (segment (start 41.675 75.725) (end 41.275 75.325) (width 0.1524) (layer Signal-L3) (net 110) (tstamp 51A86DF9))
+  (via (at 41.675 75.725) (size 0.4572) (layers TOP-L1 BOT-L6) (net 110))
+  (segment (start 41.68 75.72) (end 41.675 75.725) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 51A86DF6))
+  (segment (start 40.975 65.775) (end 40.975 66.875) (width 0.1524) (layer Signal-L3) (net 110))
+  (segment (start 40.975 66.875) (end 41.425 67.325) (width 0.1524) (layer Signal-L3) (net 110) (tstamp 51A865D4))
+  (segment (start 49.375 67.6) (end 44.075 67.6) (width 0.1524) (layer Signal-L5) (net 110))
+  (segment (start 44.075 67.6) (end 43.8 67.325) (width 0.1524) (layer Signal-L5) (net 110) (tstamp 51A8657C))
+  (segment (start 43.8 67.325) (end 41.425 67.325) (width 0.1524) (layer Signal-L5) (net 110) (tstamp 51A86587))
+  (via (at 41.425 67.325) (size 0.4572) (layers TOP-L1 BOT-L6) (net 110))
+  (segment (start 41.225 68.15) (end 41.4 68.325) (width 0.1524) (layer Signal-L3) (net 110) (tstamp 51A8659A))
+  (segment (start 41.225 67.525) (end 41.225 68.15) (width 0.1524) (layer Signal-L3) (net 110) (tstamp 51A86596))
+  (segment (start 41.425 67.325) (end 41.225 67.525) (width 0.1524) (layer Signal-L3) (net 110) (tstamp 51A86595))
+  (segment (start 41.4 68.325) (end 41.525 68.45) (width 0.1524) (layer Signal-L3) (net 110) (tstamp 51A8659E))
+  (segment (start 41.525 68.45) (end 41.525 71.2) (width 0.1524) (layer Signal-L3) (net 110) (tstamp 51A32FC0))
+  (segment (start 41.525 71.2) (end 42.1 71.775) (width 0.1524) (layer Signal-L3) (net 110) (tstamp 51A32FC1))
+  (segment (start 42.1 71.775) (end 42.1 73.4) (width 0.1524) (layer Signal-L3) (net 110) (tstamp 51A32FC3))
+  (segment (start 42.1 73.4) (end 41.575 73.925) (width 0.1524) (layer Signal-L3) (net 110) (tstamp 51A32FC4))
+  (segment (start 48.85 76.375) (end 49.2 76.725) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 51A33920))
+  (segment (start 47.035 76.375) (end 48.85 76.375) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 51A3391F))
+  (segment (start 46.38 75.72) (end 45.275 75.72) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 51A2A462))
+  (segment (start 46.575 75.915) (end 46.38 75.72) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 51A3391D))
+  (segment (start 49.38 76.905) (end 49.2 76.725) (width 0.1524) (layer TOP-L1) (net 110))
+  (segment (start 51.2 77.2) (end 51.6 77.6) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 519F0FC8))
+  (segment (start 49.675 77.2) (end 51.2 77.2) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 519F0FC5))
+  (segment (start 49.38 76.905) (end 49.675 77.2) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 519F19DA))
+  (segment (start 46.575 75.915) (end 47.035 76.375) (width 0.1524) (layer TOP-L1) (net 110))
+  (segment (start 42.9 75.72) (end 41.9 75.72) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 51A86E1B))
+  (segment (start 40.975 65.425) (end 40.975 65.775) (width 0.1524) (layer Signal-L3) (net 110))
+  (segment (start 49.2 57.2) (end 47.95 57.2) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 519DB557))
+  (segment (start 49.6 57.6) (end 49.2 57.2) (width 0.1524) (layer TOP-L1) (net 110))
+  (segment (start 47.2 56.725) (end 47.675 57.2) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 519F16B8))
+  (segment (start 47.675 57.2) (end 47.95 57.2) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 519DB62A))
+  (segment (start 40.975 65.425) (end 40.975 59.98) (width 0.1524) (layer Signal-L3) (net 110) (tstamp 51A30001))
+  (segment (start 46.505 56.03) (end 41.25 56.03) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 519F16BA))
+  (via (at 41.25 56.03) (size 0.4572) (layers TOP-L1 BOT-L6) (net 110))
+  (segment (start 47.2 56.725) (end 46.505 56.03) (width 0.1524) (layer TOP-L1) (net 110))
+  (segment (start 40.975 59.365) (end 40.82 59.21) (width 0.1524) (layer Signal-L3) (net 110) (tstamp 51A15605))
+  (segment (start 40.82 59.21) (end 40.82 56.46) (width 0.1524) (layer Signal-L3) (net 110) (tstamp 51A15606))
+  (segment (start 40.82 56.46) (end 41.25 56.03) (width 0.1524) (layer Signal-L3) (net 110) (tstamp 51A15609))
+  (segment (start 40.975 59.98) (end 40.975 59.365) (width 0.1524) (layer Signal-L3) (net 110))
+  (segment (start 70.2 67.2) (end 70.6 66.8) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 51A30033))
+  (segment (start 49.375 67.6) (end 69.8 67.6) (width 0.1524) (layer Signal-L5) (net 110) (tstamp 51A8657A))
+  (segment (start 69.8 67.6) (end 70.2 67.2) (width 0.1524) (layer Signal-L5) (net 110) (tstamp 51A30023))
+  (via (at 70.2 67.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 110))
+  (segment (start 44.025 82.45) (end 43.925 82.45) (width 0.1524) (layer TOP-L1) (net 111) (tstamp 51A86D57))
+  (segment (start 44.45 82.875) (end 44.025 82.45) (width 0.1524) (layer TOP-L1) (net 111) (tstamp 51A86D54))
+  (segment (start 44.8 82.875) (end 44.45 82.875) (width 0.1524) (layer TOP-L1) (net 111) (tstamp 51A86D51))
+  (segment (start 45.4 82.275) (end 44.8 82.875) (width 0.1524) (layer TOP-L1) (net 111) (tstamp 51A86D50))
+  (segment (start 45.725 82.275) (end 45.4 82.275) (width 0.1524) (layer TOP-L1) (net 111) (tstamp 51A86D4E))
+  (segment (start 46.525 83.075) (end 45.725 82.275) (width 0.1524) (layer TOP-L1) (net 111) (tstamp 51A86D4C))
+  (segment (start 46.875 83.075) (end 46.525 83.075) (width 0.1524) (layer TOP-L1) (net 111))
+  (segment (start 43.575 82.45) (end 43.925 82.45) (width 0.1524) (layer TOP-L1) (net 111) (tstamp 51A86D01))
+  (via (at 43.575 82.45) (size 0.4572) (layers TOP-L1 BOT-L6) (net 111))
+  (segment (start 42.85 82.45) (end 43.575 82.45) (width 0.1524) (layer Signal-L3) (net 111) (tstamp 51A86CFA))
+  (segment (start 42.45 82.05) (end 42.85 82.45) (width 0.1524) (layer Signal-L3) (net 111) (tstamp 51A86CF7))
+  (segment (start 42.45 81.625) (end 42.45 82.05) (width 0.1524) (layer Signal-L3) (net 111) (tstamp 51A86CF6))
+  (segment (start 42.725 81.35) (end 42.45 81.625) (width 0.1524) (layer Signal-L3) (net 111) (tstamp 51A86CF4))
+  (segment (start 43.175 81.35) (end 42.725 81.35) (width 0.1524) (layer Signal-L3) (net 111) (tstamp 51A86CED))
+  (segment (start 44.275 80.25) (end 43.175 81.35) (width 0.1524) (layer Signal-L3) (net 111) (tstamp 51A86CEC))
+  (segment (start 44.275 80.05) (end 44.275 80.25) (width 0.1524) (layer Signal-L3) (net 111))
+  (segment (start 43.35 72.12) (end 43.35 72.35) (width 0.1524) (layer Signal-L3) (net 111))
+  (via (at 43.6 71.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 111))
+  (segment (start 44.275 77.75) (end 44.275 80.05) (width 0.1524) (layer Signal-L3) (net 111) (tstamp 51A01821))
+  (segment (start 43.8 77.275) (end 44.275 77.75) (width 0.1524) (layer Signal-L3) (net 111) (tstamp 51A01820))
+  (segment (start 43.8 76.2) (end 43.8 77.275) (width 0.1524) (layer Signal-L3) (net 111) (tstamp 51A0181E))
+  (segment (start 43.35 75.75) (end 43.8 76.2) (width 0.1524) (layer Signal-L3) (net 111) (tstamp 51A0181C))
+  (segment (start 43.35 75.23) (end 43.35 75.75) (width 0.1524) (layer Signal-L3) (net 111) (tstamp 51A2A37F))
+  (segment (start 43.35 71.85) (end 43.35 72.12) (width 0.1524) (layer Signal-L3) (net 111) (tstamp 51A0181B))
+  (segment (start 43.35 71.85) (end 43.6 71.6) (width 0.1524) (layer Signal-L3) (net 111) (tstamp 51A0181A))
+  (segment (start 43.35 72.35) (end 43.84 72.84) (width 0.1524) (layer Signal-L3) (net 111) (tstamp 51A2A36D))
+  (segment (start 43.84 72.84) (end 43.84 73) (width 0.1524) (layer Signal-L3) (net 111) (tstamp 51A2A36E))
+  (segment (start 43.84 73) (end 43.39 73.45) (width 0.1524) (layer Signal-L3) (net 111) (tstamp 51A2A36F))
+  (segment (start 43.39 73.45) (end 43.39 73.79) (width 0.1524) (layer Signal-L3) (net 111) (tstamp 51A2A376))
+  (segment (start 43.39 73.79) (end 43.82 74.22) (width 0.1524) (layer Signal-L3) (net 111) (tstamp 51A2A378))
+  (segment (start 43.82 74.22) (end 43.82 74.62) (width 0.1524) (layer Signal-L3) (net 111) (tstamp 51A2A379))
+  (segment (start 43.82 74.62) (end 43.35 75.09) (width 0.1524) (layer Signal-L3) (net 111) (tstamp 51A2A37B))
+  (segment (start 43.35 75.09) (end 43.35 75.23) (width 0.1524) (layer Signal-L3) (net 111) (tstamp 51A2A37C))
+  (segment (start 43.6 71.6) (end 43.625 71.6) (width 0.1524) (layer Signal-L5) (net 111))
+  (segment (start 39.56 69.56) (end 39.56 69.71) (width 0.1524) (layer Signal-L5) (net 111))
+  (segment (start 39.56 70.99) (end 39.56 71.12) (width 0.1524) (layer Signal-L5) (net 111) (tstamp 51A450AC))
+  (segment (start 39.99 70.56) (end 39.56 70.99) (width 0.1524) (layer Signal-L5) (net 111) (tstamp 51A450AB))
+  (segment (start 39.99 70.14) (end 39.99 70.56) (width 0.1524) (layer Signal-L5) (net 111) (tstamp 51A450AA))
+  (segment (start 39.56 69.71) (end 39.99 70.14) (width 0.1524) (layer Signal-L5) (net 111) (tstamp 51A450A9))
+  (segment (start 39.56 71.12) (end 39.56 71.37) (width 0.1524) (layer Signal-L5) (net 111) (tstamp 51A450AF))
+  (segment (start 43 71.6) (end 43.12 71.6) (width 0.1524) (layer Signal-L5) (net 111) (tstamp 51A4509D))
+  (segment (start 42.28 70.88) (end 43 71.6) (width 0.1524) (layer Signal-L5) (net 111) (tstamp 51A4509C))
+  (segment (start 41.37 70.88) (end 42.28 70.88) (width 0.1524) (layer Signal-L5) (net 111) (tstamp 51A4509A))
+  (segment (start 40.47 71.78) (end 41.37 70.88) (width 0.1524) (layer Signal-L5) (net 111) (tstamp 51A45099))
+  (segment (start 39.97 71.78) (end 40.47 71.78) (width 0.1524) (layer Signal-L5) (net 111) (tstamp 51A45098))
+  (segment (start 39.56 71.37) (end 39.97 71.78) (width 0.1524) (layer Signal-L5) (net 111) (tstamp 51A45097))
+  (segment (start 41.3 62.8) (end 41.92 62.8) (width 0.1524) (layer TOP-L1) (net 111) (tstamp 51A45072))
+  (segment (start 40.01 64.09) (end 41.3 62.8) (width 0.1524) (layer TOP-L1) (net 111) (tstamp 51A45071))
+  (via (at 40.01 64.09) (size 0.4572) (layers TOP-L1 BOT-L6) (net 111))
+  (segment (start 39.56 64.54) (end 40.01 64.09) (width 0.1524) (layer Signal-L5) (net 111) (tstamp 51A4505B))
+  (segment (start 39.56 69.56) (end 39.56 64.54) (width 0.1524) (layer Signal-L5) (net 111) (tstamp 51A450A7))
+  (segment (start 48.4 62.8) (end 48.8 62.4) (width 0.1524) (layer TOP-L1) (net 111) (tstamp 519F4653))
+  (segment (start 41.92 62.8) (end 48.4 62.8) (width 0.1524) (layer TOP-L1) (net 111) (tstamp 51A45087))
+  (segment (start 43.625 71.6) (end 43.12 71.6) (width 0.1524) (layer Signal-L5) (net 111))
+  (segment (start 50.8 82.4) (end 50.4 82.8) (width 0.1524) (layer TOP-L1) (net 111) (tstamp 51A01847))
+  (segment (start 47.74 83.075) (end 46.875 83.075) (width 0.1524) (layer TOP-L1) (net 111))
+  (segment (start 47.98 83.075) (end 47.74 83.075) (width 0.1524) (layer TOP-L1) (net 111))
+  (segment (start 49.7 82.8) (end 49.175 82.8) (width 0.1524) (layer TOP-L1) (net 111))
+  (segment (start 48.9 83.075) (end 47.98 83.075) (width 0.1524) (layer TOP-L1) (net 111) (tstamp 51A15748))
+  (segment (start 49.175 82.8) (end 48.9 83.075) (width 0.1524) (layer TOP-L1) (net 111) (tstamp 51A15747))
+  (segment (start 49.7 82.8) (end 50.4 82.8) (width 0.1524) (layer TOP-L1) (net 111) (tstamp 51A15745))
+  (segment (start 43.625 71.6) (end 69.8 71.6) (width 0.1524) (layer Signal-L5) (net 111) (tstamp 51A30A5D))
+  (segment (start 70.2 71.2) (end 70.6 70.8) (width 0.1524) (layer TOP-L1) (net 111) (tstamp 51A30A01))
+  (via (at 70.2 71.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 111))
+  (segment (start 69.8 71.6) (end 70.2 71.2) (width 0.1524) (layer Signal-L5) (net 111) (tstamp 51A309F4))
+  (segment (start 49.2 66.4) (end 64.625 66.4) (width 0.1524) (layer Signal-L5) (net 112))
+  (segment (start 69.4 66.4) (end 69.8 66) (width 0.1524) (layer TOP-L1) (net 112) (tstamp 51A2FE95))
+  (via (at 69.4 66.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 112))
+  (segment (start 68.6 66.4) (end 69.4 66.4) (width 0.1524) (layer Signal-L5) (net 112) (tstamp 51A2FE8A))
+  (segment (start 67.475 65.275) (end 68.6 66.4) (width 0.1524) (layer Signal-L5) (net 112) (tstamp 51A2FE83))
+  (segment (start 67.475 59.775) (end 67.475 65.275) (width 0.1524) (layer Signal-L5) (net 112) (tstamp 51A2FE82))
+  (segment (start 67.275 59.575) (end 67.475 59.775) (width 0.1524) (layer Signal-L5) (net 112) (tstamp 51A2FE81))
+  (segment (start 66.875 59.575) (end 67.275 59.575) (width 0.1524) (layer Signal-L5) (net 112) (tstamp 51A2FE80))
+  (segment (start 66.775 59.675) (end 66.875 59.575) (width 0.1524) (layer Signal-L5) (net 112) (tstamp 51A2FE7E))
+  (segment (start 66.775 60.2) (end 66.775 59.675) (width 0.1524) (layer Signal-L5) (net 112) (tstamp 51A2FE7D))
+  (segment (start 66.475 60.5) (end 66.775 60.2) (width 0.1524) (layer Signal-L5) (net 112) (tstamp 51A2FE7B))
+  (segment (start 66.2 60.5) (end 66.475 60.5) (width 0.1524) (layer Signal-L5) (net 112) (tstamp 51A2FE7A))
+  (segment (start 65.925 60.225) (end 66.2 60.5) (width 0.1524) (layer Signal-L5) (net 112) (tstamp 51A2FE79))
+  (segment (start 65.925 59.5) (end 65.925 60.225) (width 0.1524) (layer Signal-L5) (net 112) (tstamp 51A2FE78))
+  (segment (start 65.725 59.3) (end 65.925 59.5) (width 0.1524) (layer Signal-L5) (net 112) (tstamp 51A2FE77))
+  (segment (start 65.325 59.3) (end 65.725 59.3) (width 0.1524) (layer Signal-L5) (net 112) (tstamp 51A2FE76))
+  (segment (start 65.075 59.55) (end 65.325 59.3) (width 0.1524) (layer Signal-L5) (net 112) (tstamp 51A2FE75))
+  (segment (start 65.075 60.425) (end 65.075 59.55) (width 0.1524) (layer Signal-L5) (net 112) (tstamp 51A2FE73))
+  (segment (start 65.775 61.125) (end 65.075 60.425) (width 0.1524) (layer Signal-L5) (net 112) (tstamp 51A2FE71))
+  (segment (start 65.775 65.25) (end 65.775 61.125) (width 0.1524) (layer Signal-L5) (net 112) (tstamp 51A2FE6F))
+  (segment (start 64.625 66.4) (end 65.775 65.25) (width 0.1524) (layer Signal-L5) (net 112) (tstamp 51A2FE64))
+  (via (at 48.55 77.275) (size 0.4572) (layers TOP-L1 BOT-L6) (net 112))
+  (segment (start 48.975 72.675) (end 48.975 72.925) (width 0.1524) (layer Signal-L3) (net 112) (tstamp 51A053FC))
+  (segment (start 49.525 73.475) (end 48.975 72.925) (width 0.1524) (layer Signal-L3) (net 112) (tstamp 51A053FB))
+  (segment (start 49.525 75.65) (end 49.525 73.475) (width 0.1524) (layer Signal-L3) (net 112) (tstamp 51A053F9))
+  (segment (start 48.675 76.5) (end 49.525 75.65) (width 0.1524) (layer Signal-L3) (net 112) (tstamp 51A053F7))
+  (segment (start 48.5 76.5) (end 48.675 76.5) (width 0.1524) (layer Signal-L3) (net 112) (tstamp 51A053F6))
+  (segment (start 48.275 76.725) (end 48.5 76.5) (width 0.1524) (layer Signal-L3) (net 112) (tstamp 51A053F5))
+  (segment (start 48.275 77) (end 48.275 76.725) (width 0.1524) (layer Signal-L3) (net 112) (tstamp 51A053F4))
+  (segment (start 48.275 77) (end 48.55 77.275) (width 0.1524) (layer Signal-L3) (net 112) (tstamp 51A053F3))
+  (segment (start 49.2 66.4) (end 48.975 66.625) (width 0.1524) (layer Signal-L3) (net 112))
+  (segment (start 50.4 78) (end 50.8 77.6) (width 0.1524) (layer TOP-L1) (net 112) (tstamp 51A0518E))
+  (segment (start 49.5 78) (end 50.4 78) (width 0.1524) (layer TOP-L1) (net 112) (tstamp 51A0518A))
+  (segment (start 48.775 77.275) (end 49.5 78) (width 0.1524) (layer TOP-L1) (net 112) (tstamp 51A05188))
+  (segment (start 48.55 77.275) (end 48.775 77.275) (width 0.1524) (layer TOP-L1) (net 112) (tstamp 51A053F0))
+  (segment (start 48.975 66.625) (end 48.975 72.675) (width 0.1524) (layer Signal-L3) (net 112) (tstamp 51A05166))
+  (segment (start 45.7 59.2) (end 45.7 57.2) (width 0.1524) (layer Signal-L3) (net 112))
+  (segment (start 45.7 57.2) (end 45.8 57.1) (width 0.1524) (layer Signal-L3) (net 112) (tstamp 51A0235D))
+  (segment (start 49.2 66.4) (end 49.2 66.4) (width 0.1524) (layer Signal-L3) (net 112))
+  (segment (start 49.2 66.4) (end 45.7 62.9) (width 0.1524) (layer Signal-L3) (net 112) (tstamp 51A05164))
+  (segment (start 46.05 56.85) (end 46.05 56.86) (width 0.1524) (layer TOP-L1) (net 112) (tstamp 51A02343))
+  (via (at 46.05 56.85) (size 0.4572) (layers TOP-L1 BOT-L6) (net 112))
+  (segment (start 45.8 57.1) (end 46.05 56.85) (width 0.1524) (layer Signal-L3) (net 112) (tstamp 51A02360))
+  (segment (start 45.7 62.9) (end 45.7 59.2) (width 0.1524) (layer Signal-L3) (net 112) (tstamp 51A0230E))
+  (segment (start 49.25 66.4) (end 49.2 66.4) (width 0.1524) (layer TOP-L1) (net 112))
+  (via (at 49.2 66.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 112))
+  (segment (start 46.05 56.86) (end 46.45 56.86) (width 0.1524) (layer TOP-L1) (net 112) (tstamp 51A02344))
+  (segment (start 48.4 58) (end 48.8 57.6) (width 0.1524) (layer TOP-L1) (net 112) (tstamp 519F1771))
+  (segment (start 47.59 58) (end 48.4 58) (width 0.1524) (layer TOP-L1) (net 112) (tstamp 519F176D))
+  (segment (start 46.45 56.86) (end 47.59 58) (width 0.1524) (layer TOP-L1) (net 112) (tstamp 519F1767))
+  (segment (start 39.025 76.1) (end 39.025 76.25) (width 0.1524) (layer Signal-L5) (net 113))
+  (segment (start 40.075 79.075) (end 40.125 79.125) (width 0.1524) (layer Signal-L5) (net 113) (tstamp 51AD6AD8))
+  (segment (start 40.075 77.3) (end 40.075 79.075) (width 0.1524) (layer Signal-L5) (net 113) (tstamp 51AD6AD6))
+  (segment (start 39.025 76.25) (end 40.075 77.3) (width 0.1524) (layer Signal-L5) (net 113) (tstamp 51AD6AD5))
+  (segment (start 40.25 79.25) (end 40.35 79.25) (width 0.1524) (layer Signal-L5) (net 113) (tstamp 51A86F8F))
+  (segment (start 40.125 79.125) (end 40.25 79.25) (width 0.1524) (layer Signal-L5) (net 113) (tstamp 51AD6ADC))
+  (segment (start 42.2 80.85) (end 42.2 81.15) (width 0.1524) (layer TOP-L1) (net 113))
+  (segment (start 42.95 81.9) (end 43.2 81.9) (width 0.1524) (layer TOP-L1) (net 113) (tstamp 51A86E66))
+  (segment (start 42.2 81.15) (end 42.95 81.9) (width 0.1524) (layer TOP-L1) (net 113) (tstamp 51A86E65))
+  (segment (start 39.225 64.125) (end 39.225 63.35) (width 0.1524) (layer Signal-L5) (net 113))
+  (segment (start 39.575 63) (end 39.975 63) (width 0.1524) (layer Signal-L5) (net 113) (tstamp 51A45131))
+  (segment (start 39.225 63.35) (end 39.575 63) (width 0.1524) (layer Signal-L5) (net 113) (tstamp 51A45130))
+  (segment (start 39.9 73.6) (end 39.5 73.6) (width 0.1524) (layer Signal-L5) (net 113))
+  (segment (start 43.6 80.25) (end 43.4 80.25) (width 0.1524) (layer TOP-L1) (net 113) (tstamp 51A2A25B))
+  (segment (start 43.925 79.925) (end 43.6 80.25) (width 0.1524) (layer TOP-L1) (net 113) (tstamp 51A2A25A))
+  (segment (start 43.925 79.55) (end 43.925 79.925) (width 0.1524) (layer TOP-L1) (net 113) (tstamp 51A2A259))
+  (segment (start 43.625 79.25) (end 43.925 79.55) (width 0.1524) (layer TOP-L1) (net 113) (tstamp 51A2A258))
+  (segment (start 43.25 79.25) (end 42.5 79.25) (width 0.1524) (layer TOP-L1) (net 113) (tstamp 51A2A256))
+  (segment (start 42.85 80.25) (end 43.4 80.25) (width 0.1524) (layer TOP-L1) (net 113))
+  (segment (start 45.45 81.9) (end 43.2 81.9) (width 0.1524) (layer TOP-L1) (net 113) (tstamp 51A2A204))
+  (segment (start 47.75 82.65) (end 46.575 82.65) (width 0.1524) (layer TOP-L1) (net 113))
+  (segment (start 45.825 81.9) (end 45.45 81.9) (width 0.1524) (layer TOP-L1) (net 113) (tstamp 51A2A201))
+  (segment (start 46.575 82.65) (end 45.825 81.9) (width 0.1524) (layer TOP-L1) (net 113) (tstamp 51A2A200))
+  (segment (start 42.2 80.7) (end 42.65 80.25) (width 0.1524) (layer TOP-L1) (net 113) (tstamp 51A2A22C))
+  (segment (start 42.65 80.25) (end 42.85 80.25) (width 0.1524) (layer TOP-L1) (net 113) (tstamp 51A2A22D))
+  (segment (start 42.2 80.85) (end 42.2 80.7) (width 0.1524) (layer TOP-L1) (net 113) (tstamp 51A86E63))
+  (segment (start 42.5 79.25) (end 42 79.25) (width 0.1524) (layer TOP-L1) (net 113) (tstamp 51A2A244))
+  (segment (start 43.25 79.25) (end 43.625 79.25) (width 0.1524) (layer TOP-L1) (net 113))
+  (segment (start 40.425 79.25) (end 40.625 79.25) (width 0.1524) (layer TOP-L1) (net 113) (tstamp 51A2C18D))
+  (via (at 40.625 79.25) (size 0.4572) (layers TOP-L1 BOT-L6) (net 113))
+  (segment (start 42 79.25) (end 40.425 79.25) (width 0.1524) (layer TOP-L1) (net 113))
+  (segment (start 39.5 73.6) (end 39.025 74.075) (width 0.1524) (layer Signal-L5) (net 113) (tstamp 51A3317F))
+  (segment (start 39.025 74.075) (end 39.025 76.1) (width 0.1524) (layer Signal-L5) (net 113) (tstamp 51A33181))
+  (segment (start 40.35 79.25) (end 40.625 79.25) (width 0.1524) (layer Signal-L5) (net 113) (tstamp 51A86F92))
+  (segment (start 39.575 73.275) (end 39.225 72.925) (width 0.1524) (layer Signal-L5) (net 113))
+  (segment (start 41.125 62.4) (end 41.525 62.4) (width 0.1524) (layer TOP-L1) (net 113) (tstamp 51A45111))
+  (segment (start 48 62.4) (end 41.525 62.4) (width 0.1524) (layer TOP-L1) (net 113))
+  (via (at 39.975 63) (size 0.4572) (layers TOP-L1 BOT-L6) (net 113))
+  (segment (start 39.975 63) (end 40.275 62.7) (width 0.1524) (layer TOP-L1) (net 113) (tstamp 51A4510C))
+  (segment (start 40.275 62.7) (end 40.825 62.7) (width 0.1524) (layer TOP-L1) (net 113) (tstamp 51A4510D))
+  (segment (start 40.825 62.7) (end 41.125 62.4) (width 0.1524) (layer TOP-L1) (net 113) (tstamp 51A45110))
+  (segment (start 39.225 72.925) (end 39.225 64.125) (width 0.1524) (layer Signal-L5) (net 113) (tstamp 51A450FF))
+  (segment (start 39.9 73.6) (end 39.575 73.275) (width 0.1524) (layer Signal-L5) (net 113))
+  (segment (start 39.9 73.6) (end 69.4 73.6) (width 0.1524) (layer Signal-L5) (net 113) (tstamp 51A33160))
+  (segment (start 69.4 73.6) (end 69.8 73.2) (width 0.1524) (layer TOP-L1) (net 113) (tstamp 51A33052))
+  (via (at 69.4 73.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 113))
+  (segment (start 47.75 82.65) (end 47.75 82.65) (width 0.1524) (layer TOP-L1) (net 113))
+  (segment (start 49.6 82.4) (end 49 82.4) (width 0.1524) (layer TOP-L1) (net 113))
+  (segment (start 48.75 82.65) (end 47.75 82.65) (width 0.1524) (layer TOP-L1) (net 113) (tstamp 51A2A1A6))
+  (segment (start 49 82.4) (end 48.75 82.65) (width 0.1524) (layer TOP-L1) (net 113) (tstamp 51A2A1A5))
+  (segment (start 50 82.4) (end 49.6 82.4) (width 0.1524) (layer TOP-L1) (net 113))
+  (segment (start 41.6 60.375) (end 41.6 59.425) (width 0.1524) (layer Signal-L3) (net 114))
+  (segment (start 42.375 58.225) (end 42.425 58.175) (width 0.1524) (layer Signal-L3) (net 114) (tstamp 51A86A28))
+  (segment (start 42.375 58.65) (end 42.375 58.225) (width 0.1524) (layer Signal-L3) (net 114) (tstamp 51A86A24))
+  (segment (start 41.6 59.425) (end 42.375 58.65) (width 0.1524) (layer Signal-L3) (net 114) (tstamp 51A86A21))
+  (segment (start 42.2 63.775) (end 42.2 64.35) (width 0.1524) (layer Signal-L3) (net 114))
+  (segment (start 42.2 65.775) (end 42.2 65.925) (width 0.1524) (layer Signal-L3) (net 114) (tstamp 51A86740))
+  (segment (start 42.7 65.275) (end 42.2 65.775) (width 0.1524) (layer Signal-L3) (net 114) (tstamp 51A8673F))
+  (segment (start 42.7 64.85) (end 42.7 65.275) (width 0.1524) (layer Signal-L3) (net 114) (tstamp 51A8673E))
+  (segment (start 42.2 64.35) (end 42.7 64.85) (width 0.1524) (layer Signal-L3) (net 114) (tstamp 51A8673D))
+  (segment (start 42.2 65.925) (end 42.2 66.075) (width 0.1524) (layer Signal-L3) (net 114) (tstamp 51A86744))
+  (segment (start 43.8 66.425) (end 43.8 66.625) (width 0.1524) (layer Signal-L3) (net 114) (tstamp 51A8672F))
+  (segment (start 43.4 66.025) (end 43.8 66.425) (width 0.1524) (layer Signal-L3) (net 114) (tstamp 51A8672D))
+  (segment (start 43.05 66.025) (end 43.4 66.025) (width 0.1524) (layer Signal-L3) (net 114) (tstamp 51A8672C))
+  (segment (start 42.725 66.35) (end 43.05 66.025) (width 0.1524) (layer Signal-L3) (net 114) (tstamp 51A8672B))
+  (segment (start 42.475 66.35) (end 42.725 66.35) (width 0.1524) (layer Signal-L3) (net 114) (tstamp 51A8672A))
+  (segment (start 42.2 66.075) (end 42.475 66.35) (width 0.1524) (layer Signal-L3) (net 114) (tstamp 51A86729))
+  (segment (start 42.55 66.675) (end 42.7 66.675) (width 0.1524) (layer Signal-L3) (net 114))
+  (segment (start 44.05 68.4) (end 43.85 68.2) (width 0.1524) (layer Signal-L3) (net 114))
+  (segment (start 43.175 67.525) (end 42.35 67.525) (width 0.1524) (layer Signal-L3) (net 114) (tstamp 51A866C2))
+  (segment (start 42.35 67.525) (end 42.125 67.3) (width 0.1524) (layer Signal-L3) (net 114) (tstamp 51A866D4))
+  (segment (start 42.125 67.3) (end 42.125 66.9) (width 0.1524) (layer Signal-L3) (net 114) (tstamp 51A866D7))
+  (segment (start 42.125 66.9) (end 42.35 66.675) (width 0.1524) (layer Signal-L3) (net 114) (tstamp 51A866DA))
+  (segment (start 42.35 66.675) (end 42.55 66.675) (width 0.1524) (layer Signal-L3) (net 114) (tstamp 51A866DB))
+  (segment (start 43.85 68.2) (end 43.175 67.525) (width 0.1524) (layer Signal-L3) (net 114))
+  (segment (start 42.7 66.675) (end 43.175 67.15) (width 0.1524) (layer Signal-L3) (net 114) (tstamp 51A8670A))
+  (segment (start 43.175 67.15) (end 43.65 67.15) (width 0.1524) (layer Signal-L3) (net 114) (tstamp 51A8670B))
+  (segment (start 43.65 67.15) (end 43.8 67) (width 0.1524) (layer Signal-L3) (net 114) (tstamp 51A8670D))
+  (segment (start 43.8 67) (end 43.8 66.625) (width 0.1524) (layer Signal-L3) (net 114) (tstamp 51A8670E))
+  (segment (start 48.4 58.8) (end 48 58.8) (width 0.1524) (layer TOP-L1) (net 114) (tstamp 519DB511))
+  (segment (start 48.8 58.4) (end 48.4 58.8) (width 0.1524) (layer TOP-L1) (net 114))
+  (segment (start 46.675 57.95) (end 47.525 58.8) (width 0.1524) (layer TOP-L1) (net 114) (tstamp 519DB64C))
+  (segment (start 47.525 58.8) (end 48 58.8) (width 0.1524) (layer TOP-L1) (net 114) (tstamp 519DB64D))
+  (segment (start 44.5 57.95) (end 46.675 57.95) (width 0.1524) (layer TOP-L1) (net 114) (tstamp 519F4BD4))
+  (segment (start 44.45 57.95) (end 44.5 57.95) (width 0.1524) (layer TOP-L1) (net 114) (tstamp 519F4C12))
+  (segment (start 43.8 57.95) (end 44.45 57.95) (width 0.1524) (layer TOP-L1) (net 114) (tstamp 51A013A2))
+  (segment (start 42.64 57.95) (end 43.8 57.95) (width 0.1524) (layer TOP-L1) (net 114) (tstamp 51A05981))
+  (via (at 42.64 57.95) (size 0.4572) (layers TOP-L1 BOT-L6) (net 114))
+  (segment (start 41.6 61.675) (end 41.6 60.375) (width 0.1524) (layer Signal-L3) (net 114) (tstamp 51A2FF89))
+  (segment (start 42.65 57.95) (end 42.64 57.95) (width 0.1524) (layer Signal-L3) (net 114) (tstamp 51A05D9F))
+  (segment (start 41.6 62.825) (end 42.2 63.425) (width 0.1524) (layer Signal-L3) (net 114) (tstamp 51A2FF8B))
+  (segment (start 42.2 63.425) (end 42.2 63.775) (width 0.1524) (layer Signal-L3) (net 114) (tstamp 51A2FF8C))
+  (segment (start 41.6 61.675) (end 41.6 62.825) (width 0.1524) (layer Signal-L3) (net 114))
+  (segment (start 42.425 58.175) (end 42.65 57.95) (width 0.1524) (layer Signal-L3) (net 114) (tstamp 51A86A2B))
+  (segment (start 43.075 75.225) (end 43.075 80.175) (width 0.1524) (layer Signal-L3) (net 114))
+  (segment (start 43.325 80.425) (end 43.425 80.425) (width 0.1524) (layer Signal-L3) (net 114) (tstamp 51A303C4))
+  (segment (start 43.075 80.175) (end 43.325 80.425) (width 0.1524) (layer Signal-L3) (net 114) (tstamp 51A303C1))
+  (segment (start 43.775 80.225) (end 43.775 79.55) (width 0.1524) (layer Signal-L3) (net 114) (tstamp 519F541E))
+  (segment (start 43.575 80.425) (end 43.775 80.225) (width 0.1524) (layer Signal-L3) (net 114) (tstamp 519F541D))
+  (segment (start 43.425 80.425) (end 43.575 80.425) (width 0.1524) (layer Signal-L3) (net 114) (tstamp 51A303C7))
+  (segment (start 44.05 68.4) (end 69.8 68.4) (width 0.1524) (layer Signal-L5) (net 114))
+  (segment (start 70.2 68) (end 70.6 67.6) (width 0.1524) (layer TOP-L1) (net 114) (tstamp 51A30376))
+  (via (at 70.2 68) (size 0.4572) (layers TOP-L1 BOT-L6) (net 114))
+  (segment (start 69.8 68.4) (end 70.2 68) (width 0.1524) (layer Signal-L5) (net 114) (tstamp 51A3036C))
+  (segment (start 43.775 79.55) (end 43.775 79.45) (width 0.1524) (layer Signal-L3) (net 114) (tstamp 519F5422))
+  (segment (start 43.775 79.45) (end 43.4 79.075) (width 0.1524) (layer Signal-L3) (net 114) (tstamp 519F53F5))
+  (segment (start 43.4 79.075) (end 43.4 78.45) (width 0.1524) (layer Signal-L3) (net 114) (tstamp 519F53F6))
+  (segment (start 44.025 68.375) (end 44.05 68.4) (width 0.1524) (layer Signal-L3) (net 114) (tstamp 519F4E2E))
+  (segment (start 44.025 68.375) (end 43.7 68.375) (width 0.1524) (layer Signal-L3) (net 114))
+  (segment (start 50.325 78.875) (end 50.8 78.4) (width 0.1524) (layer TOP-L1) (net 114) (tstamp 519F5402))
+  (via (at 43.775 78.075) (size 0.4572) (layers TOP-L1 BOT-L6) (net 114))
+  (segment (start 43.775 78.075) (end 48.7 78.075) (width 0.1524) (layer TOP-L1) (net 114) (tstamp 519F53FB))
+  (segment (start 48.7 78.075) (end 49.5 78.875) (width 0.1524) (layer TOP-L1) (net 114) (tstamp 519F53FC))
+  (segment (start 49.5 78.875) (end 50.325 78.875) (width 0.1524) (layer TOP-L1) (net 114) (tstamp 519F53FF))
+  (segment (start 43.4 78.45) (end 43.775 78.075) (width 0.1524) (layer Signal-L3) (net 114) (tstamp 519F53F7))
+  (segment (start 43.075 69) (end 43.075 75.225) (width 0.1524) (layer Signal-L3) (net 114) (tstamp 519F53E1))
+  (segment (start 43.7 68.375) (end 43.075 69) (width 0.1524) (layer Signal-L3) (net 114) (tstamp 519F53DF))
+  (segment (start 44.025 68.4) (end 44.05 68.4) (width 0.1524) (layer TOP-L1) (net 114) (tstamp 519F4C78))
+  (segment (start 44.05 68.4) (end 44.025 68.375) (width 0.1524) (layer Signal-L3) (net 114) (tstamp 519F4BC1))
+  (via (at 44.05 68.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 114))
+  (segment (start 45.275 77.8) (end 45.275 76.875) (width 0.1524) (layer Signal-L3) (net 115))
+  (segment (start 45.275 74.8) (end 45.275 74.675) (width 0.1524) (layer Signal-L3) (net 115) (tstamp 51A8699C))
+  (segment (start 45 75.075) (end 45.275 74.8) (width 0.1524) (layer Signal-L3) (net 115) (tstamp 51A8699B))
+  (segment (start 45 76.6) (end 45 75.075) (width 0.1524) (layer Signal-L3) (net 115) (tstamp 51A8698F))
+  (segment (start 45.275 76.875) (end 45 76.6) (width 0.1524) (layer Signal-L3) (net 115) (tstamp 51A8698E))
+  (segment (start 45.475 79.2) (end 46.175 79.9) (width 0.1524) (layer Signal-L3) (net 115))
+  (segment (start 45.45 81.675) (end 45.2 81.675) (width 0.1524) (layer Signal-L3) (net 115) (tstamp 51A30933))
+  (segment (start 46.175 80.95) (end 45.45 81.675) (width 0.1524) (layer Signal-L3) (net 115) (tstamp 51A30932))
+  (segment (start 46.175 79.9) (end 46.175 80.95) (width 0.1524) (layer Signal-L3) (net 115) (tstamp 51A30930))
+  (segment (start 44.9 80.9) (end 44.9 81.525) (width 0.1524) (layer Signal-L3) (net 115))
+  (segment (start 45.05 81.675) (end 45.2 81.675) (width 0.1524) (layer Signal-L3) (net 115) (tstamp 51A30924))
+  (segment (start 44.9 81.525) (end 45.05 81.675) (width 0.1524) (layer Signal-L3) (net 115) (tstamp 51A30923))
+  (segment (start 44.9 80.975) (end 44.9 80.9) (width 0.1524) (layer Signal-L3) (net 115))
+  (segment (start 44.9 80.975) (end 44.9 80.825) (width 0.1524) (layer Signal-L3) (net 115) (tstamp 51A30889))
+  (segment (start 45.65 80.075) (end 46.325 80.075) (width 0.1524) (layer TOP-L1) (net 115) (tstamp 51A019E0))
+  (segment (start 45.275 80.45) (end 45.65 80.075) (width 0.1524) (layer TOP-L1) (net 115) (tstamp 51A019DF))
+  (via (at 45.275 80.45) (size 0.4572) (layers TOP-L1 BOT-L6) (net 115))
+  (segment (start 44.9 80.825) (end 45.275 80.45) (width 0.1524) (layer Signal-L3) (net 115) (tstamp 51A019D2))
+  (segment (start 53.05 80.375) (end 53.65 80.375) (width 0.1524) (layer TOP-L1) (net 115))
+  (segment (start 54.8 79.225) (end 54.8 78.8) (width 0.1524) (layer TOP-L1) (net 115) (tstamp 51A166F9))
+  (segment (start 53.65 80.375) (end 54.8 79.225) (width 0.1524) (layer TOP-L1) (net 115) (tstamp 51A166F8))
+  (segment (start 48.7 80.25) (end 48.525 80.075) (width 0.1524) (layer TOP-L1) (net 115))
+  (segment (start 48.525 80.075) (end 46.325 80.075) (width 0.1524) (layer TOP-L1) (net 115) (tstamp 519DDBA4))
+  (segment (start 54.8 78.4) (end 54.8 78.8) (width 0.1524) (layer TOP-L1) (net 115))
+  (segment (start 48.825 80.375) (end 48.7 80.25) (width 0.1524) (layer TOP-L1) (net 115) (tstamp 519DC1D5))
+  (segment (start 53.05 80.375) (end 48.825 80.375) (width 0.1524) (layer TOP-L1) (net 115) (tstamp 51A166F6))
+  (segment (start 43 60.08) (end 43.48 60.08) (width 0.1524) (layer TOP-L1) (net 115))
+  (segment (start 43.65 60.25) (end 43.65 60.3) (width 0.1524) (layer TOP-L1) (net 115) (tstamp 51A30916))
+  (segment (start 43.48 60.08) (end 43.65 60.25) (width 0.1524) (layer TOP-L1) (net 115) (tstamp 51A30915))
+  (segment (start 45.65 60.15) (end 45.9 59.9) (width 0.1524) (layer TOP-L1) (net 115) (tstamp 51A30907))
+  (segment (start 45.65 60.5) (end 45.65 60.15) (width 0.1524) (layer TOP-L1) (net 115) (tstamp 51A30906))
+  (segment (start 45.45 60.7) (end 45.65 60.5) (width 0.1524) (layer TOP-L1) (net 115) (tstamp 51A30905))
+  (segment (start 45.125 60.7) (end 45.45 60.7) (width 0.1524) (layer TOP-L1) (net 115) (tstamp 51A30904))
+  (segment (start 44.95 60.525) (end 45.125 60.7) (width 0.1524) (layer TOP-L1) (net 115) (tstamp 51A30903))
+  (segment (start 44.95 60.05) (end 44.95 60.525) (width 0.1524) (layer TOP-L1) (net 115) (tstamp 51A30902))
+  (segment (start 44.775 59.875) (end 44.95 60.05) (width 0.1524) (layer TOP-L1) (net 115) (tstamp 51A30901))
+  (segment (start 44.45 59.875) (end 44.775 59.875) (width 0.1524) (layer TOP-L1) (net 115) (tstamp 51A30900))
+  (segment (start 44.225 60.1) (end 44.45 59.875) (width 0.1524) (layer TOP-L1) (net 115) (tstamp 51A308FF))
+  (segment (start 44.225 60.55) (end 44.225 60.1) (width 0.1524) (layer TOP-L1) (net 115) (tstamp 51A308FE))
+  (segment (start 44.05 60.725) (end 44.225 60.55) (width 0.1524) (layer TOP-L1) (net 115) (tstamp 51A308FD))
+  (segment (start 43.85 60.725) (end 44.05 60.725) (width 0.1524) (layer TOP-L1) (net 115) (tstamp 51A308FC))
+  (segment (start 43.65 60.525) (end 43.85 60.725) (width 0.1524) (layer TOP-L1) (net 115) (tstamp 51A308FB))
+  (segment (start 43.65 60.3) (end 43.65 60.525) (width 0.1524) (layer TOP-L1) (net 115) (tstamp 51A30919))
+  (segment (start 42.3 60.95) (end 42.3 60.975) (width 0.1524) (layer Signal-L3) (net 115))
+  (segment (start 42.3 62.05) (end 42.3 62.1) (width 0.1524) (layer Signal-L3) (net 115) (tstamp 51A308EE))
+  (segment (start 42.675 61.675) (end 42.3 62.05) (width 0.1524) (layer Signal-L3) (net 115) (tstamp 51A308ED))
+  (segment (start 42.675 61.35) (end 42.675 61.675) (width 0.1524) (layer Signal-L3) (net 115) (tstamp 51A308EC))
+  (segment (start 42.3 60.975) (end 42.675 61.35) (width 0.1524) (layer Signal-L3) (net 115) (tstamp 51A308EB))
+  (segment (start 45.275 77.75) (end 45.275 77.8) (width 0.1524) (layer Signal-L3) (net 115))
+  (segment (start 45.275 74.675) (end 45.275 73.525) (width 0.1524) (layer Signal-L3) (net 115) (tstamp 51A8699F))
+  (segment (start 45.125 73.375) (end 45.125 71.925) (width 0.1524) (layer Signal-L3) (net 115) (tstamp 51A308A2))
+  (segment (start 45.275 73.525) (end 45.125 73.375) (width 0.1524) (layer Signal-L3) (net 115) (tstamp 51A308A0))
+  (segment (start 45.275 78.55) (end 45.275 79) (width 0.1524) (layer Signal-L3) (net 115))
+  (segment (start 45.275 79) (end 45.475 79.2) (width 0.1524) (layer Signal-L3) (net 115) (tstamp 51A1576A))
+  (segment (start 45.425 70.375) (end 45.125 70.675) (width 0.1524) (layer Signal-L3) (net 115))
+  (segment (start 45.125 70.675) (end 45.125 71.925) (width 0.1524) (layer Signal-L3) (net 115) (tstamp 51A0196E))
+  (segment (start 45.275 77.75) (end 45.275 78.55) (width 0.1524) (layer Signal-L3) (net 115) (tstamp 51A3089E))
+  (segment (start 46.375 59.9) (end 46.375 59.91) (width 0.1524) (layer TOP-L1) (net 115) (tstamp 51A3081A))
+  (segment (start 45.9 59.9) (end 46.375 59.9) (width 0.1524) (layer TOP-L1) (net 115) (tstamp 51A30815))
+  (segment (start 46.56 59.91) (end 46.82 60.17) (width 0.1524) (layer TOP-L1) (net 115) (tstamp 51A15501))
+  (segment (start 46.375 59.91) (end 46.56 59.91) (width 0.1524) (layer TOP-L1) (net 115) (tstamp 51A3081B))
+  (segment (start 47.025 60.375) (end 47.475 60.375) (width 0.1524) (layer TOP-L1) (net 115) (tstamp 519DB685))
+  (segment (start 46.82 60.17) (end 47.025 60.375) (width 0.1524) (layer TOP-L1) (net 115) (tstamp 51A15506))
+  (segment (start 52.8 58.4) (end 52.8 59.125) (width 0.1524) (layer TOP-L1) (net 115))
+  (segment (start 51.55 60.375) (end 47.475 60.375) (width 0.1524) (layer TOP-L1) (net 115) (tstamp 519DB47E))
+  (segment (start 52.8 59.125) (end 51.55 60.375) (width 0.1524) (layer TOP-L1) (net 115) (tstamp 519DB47B))
+  (segment (start 45.45 70.4) (end 69.4 70.4) (width 0.1524) (layer Signal-L5) (net 115))
+  (via (at 45.45 70.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 115))
+  (segment (start 45.425 70.375) (end 45.45 70.4) (width 0.1524) (layer Signal-L3) (net 115) (tstamp 51A01940))
+  (segment (start 69.4 70.4) (end 69.8 70) (width 0.1524) (layer TOP-L1) (net 115) (tstamp 51A307EA))
+  (via (at 69.4 70.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 115))
+  (segment (start 42.3 60.95) (end 42.3 60.78) (width 0.1524) (layer Signal-L3) (net 115) (tstamp 51A308E9))
+  (segment (start 42.68 60.4) (end 43 60.08) (width 0.1524) (layer TOP-L1) (net 115) (tstamp 51A15953))
+  (via (at 42.68 60.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 115))
+  (segment (start 42.3 60.78) (end 42.68 60.4) (width 0.1524) (layer Signal-L3) (net 115) (tstamp 51A15951))
+  (segment (start 42.3 62.275) (end 42.3 62.1) (width 0.1524) (layer Signal-L3) (net 115) (tstamp 51A0194C))
+  (segment (start 45.425 70.375) (end 45.025 69.975) (width 0.1524) (layer Signal-L3) (net 115) (tstamp 51A0196C))
+  (segment (start 45.025 69.975) (end 45.025 67.975) (width 0.1524) (layer Signal-L3) (net 115) (tstamp 51A01941))
+  (segment (start 45.025 67.975) (end 44.525 67.475) (width 0.1524) (layer Signal-L3) (net 115) (tstamp 51A01942))
+  (segment (start 44.525 67.475) (end 44.525 65.65) (width 0.1524) (layer Signal-L3) (net 115) (tstamp 51A01944))
+  (segment (start 44.525 65.65) (end 42.95 64.075) (width 0.1524) (layer Signal-L3) (net 115) (tstamp 51A01945))
+  (segment (start 42.95 64.075) (end 42.95 62.925) (width 0.1524) (layer Signal-L3) (net 115) (tstamp 51A01948))
+  (segment (start 42.95 62.925) (end 42.3 62.275) (width 0.1524) (layer Signal-L3) (net 115) (tstamp 51A0194A))
+  (segment (start 57.425 71.675) (end 57.425 72.02542) (width 0.1524) (layer TOP-L1) (net 116) (tstamp 51A443CF))
+  (segment (start 58.425 70.925) (end 57.9 71.45) (width 0.1524) (layer TOP-L1) (net 116) (tstamp 51A443C0))
+  (segment (start 57.9 71.45) (end 57.65 71.45) (width 0.1524) (layer TOP-L1) (net 116) (tstamp 51A443C6))
+  (segment (start 57.65 71.45) (end 57.425 71.675) (width 0.1524) (layer TOP-L1) (net 116) (tstamp 51A443CD))
+  (segment (start 68.2 70.8) (end 68.075 70.925) (width 0.1524) (layer TOP-L1) (net 116))
+  (segment (start 68.075 70.925) (end 58.425 70.925) (width 0.1524) (layer TOP-L1) (net 116) (tstamp 51A443B8))
+  (segment (start 60.65 71.225) (end 58.55 71.225) (width 0.1524) (layer TOP-L1) (net 117))
+  (segment (start 58.275 71.5) (end 58.275 72.02542) (width 0.1524) (layer TOP-L1) (net 117) (tstamp 51A44399))
+  (segment (start 58.55 71.225) (end 58.275 71.5) (width 0.1524) (layer TOP-L1) (net 117) (tstamp 51A44398))
+  (segment (start 68.575 71.225) (end 69 70.8) (width 0.1524) (layer TOP-L1) (net 117) (tstamp 51A333F4))
+  (segment (start 60.65 71.225) (end 68.575 71.225) (width 0.1524) (layer TOP-L1) (net 117) (tstamp 51A44396))
+  (segment (start 66.2 78.5) (end 66.2 78.675) (width 0.1524) (layer Signal-L3) (net 118))
+  (segment (start 66.6 79.075) (end 66.75 79.075) (width 0.1524) (layer Signal-L3) (net 118) (tstamp 51A43DD2))
+  (segment (start 66.2 78.675) (end 66.6 79.075) (width 0.1524) (layer Signal-L3) (net 118) (tstamp 51A43DD1))
+  (segment (start 66.75 79.075) (end 68.4 79.075) (width 0.1524) (layer Signal-L3) (net 118) (tstamp 51A43DD5))
+  (segment (start 65.825 77.825) (end 65.95 77.825) (width 0.1524) (layer Signal-L3) (net 118))
+  (segment (start 66.2 78.275) (end 66.2 78.5) (width 0.1524) (layer Signal-L3) (net 118) (tstamp 51A43A1B))
+  (segment (start 65.325 78.05) (end 65.55 77.825) (width 0.1524) (layer Signal-L3) (net 118) (tstamp 51A439FE))
+  (segment (start 65.55 77.825) (end 65.825 77.825) (width 0.1524) (layer Signal-L3) (net 118) (tstamp 51A439FF))
+  (segment (start 65.95 77.825) (end 66.2 78.075) (width 0.1524) (layer Signal-L3) (net 118) (tstamp 51A43A17))
+  (segment (start 66.2 78.075) (end 66.2 78.275) (width 0.1524) (layer Signal-L3) (net 118) (tstamp 51A43A18))
+  (segment (start 65.325 78.625) (end 65.325 78.05) (width 0.1524) (layer Signal-L3) (net 118))
+  (segment (start 58.8 81.6) (end 59.1 81.3) (width 0.1524) (layer TOP-L1) (net 118))
+  (segment (start 64.1 79.525) (end 63.325 79.525) (width 0.1524) (layer Signal-L3) (net 118) (tstamp 51A439CE))
+  (segment (start 63.325 79.525) (end 62.8 79) (width 0.1524) (layer Signal-L3) (net 118) (tstamp 519B54C0))
+  (via (at 59.75 79.125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 118))
+  (segment (start 59.75 79.125) (end 60.15 79.125) (width 0.1524) (layer Signal-L3) (net 118) (tstamp 51A2113B))
+  (segment (start 60.15 79.125) (end 60.375 79.35) (width 0.1524) (layer Signal-L3) (net 118) (tstamp 51A2113C))
+  (segment (start 60.375 79.35) (end 60.65 79.35) (width 0.1524) (layer Signal-L3) (net 118) (tstamp 51A2113D))
+  (segment (start 62.65 78.85) (end 62.8 79) (width 0.1524) (layer Signal-L3) (net 118) (tstamp 51A439B6))
+  (segment (start 59.525 80.875) (end 59.525 79.35) (width 0.1524) (layer TOP-L1) (net 118) (tstamp 51A21185))
+  (segment (start 59.525 79.35) (end 59.675 79.2) (width 0.1524) (layer TOP-L1) (net 118) (tstamp 51A21186))
+  (segment (start 59.675 79.2) (end 59.75 79.125) (width 0.1524) (layer TOP-L1) (net 118) (tstamp 51A21188))
+  (segment (start 59.1 81.3) (end 59.525 80.875) (width 0.1524) (layer TOP-L1) (net 118))
+  (segment (start 61 79.35) (end 61.925 78.425) (width 0.1524) (layer Signal-L3) (net 118) (tstamp 51A439AB))
+  (segment (start 61.925 78.425) (end 62.225 78.425) (width 0.1524) (layer Signal-L3) (net 118) (tstamp 51A439B1))
+  (segment (start 62.225 78.425) (end 62.65 78.85) (width 0.1524) (layer Signal-L3) (net 118) (tstamp 51A439B3))
+  (segment (start 60.65 79.35) (end 61 79.35) (width 0.1524) (layer Signal-L3) (net 118))
+  (segment (start 64.1 79.525) (end 64.75 79.525) (width 0.1524) (layer Signal-L3) (net 118))
+  (segment (start 65.325 78.95) (end 65.325 78.625) (width 0.1524) (layer Signal-L3) (net 118) (tstamp 51A439D1))
+  (segment (start 64.75 79.525) (end 65.325 78.95) (width 0.1524) (layer Signal-L3) (net 118) (tstamp 51A439D0))
+  (segment (start 72.2 75.25) (end 72.2 76.3) (width 0.1524) (layer TOP-L1) (net 118))
+  (segment (start 69.125 79.075) (end 68.4 79.075) (width 0.1524) (layer Signal-L3) (net 118) (tstamp 51A43D63))
+  (segment (start 69.15 79.1) (end 69.125 79.075) (width 0.1524) (layer Signal-L3) (net 118) (tstamp 51A43D62))
+  (via (at 69.15 79.1) (size 0.4572) (layers TOP-L1 BOT-L6) (net 118))
+  (segment (start 69.4 79.1) (end 69.15 79.1) (width 0.1524) (layer TOP-L1) (net 118) (tstamp 51A43D5C))
+  (segment (start 72.2 76.3) (end 69.4 79.1) (width 0.1524) (layer TOP-L1) (net 118) (tstamp 51A43D58))
+  (segment (start 72.2 74.8) (end 72.2 75.125) (width 0.1524) (layer TOP-L1) (net 118))
+  (segment (start 72.2 75.125) (end 72.2 75.25) (width 0.1524) (layer TOP-L1) (net 118))
+  (segment (start 59.925 71.6) (end 59.5 71.6) (width 0.1524) (layer TOP-L1) (net 119))
+  (segment (start 58.925 72.175) (end 58.925 72.575) (width 0.1524) (layer TOP-L1) (net 119) (tstamp 519B20F1))
+  (segment (start 59.5 71.6) (end 58.925 72.175) (width 0.1524) (layer TOP-L1) (net 119) (tstamp 519B20F0))
+  (segment (start 62.125 71.6) (end 59.925 71.6) (width 0.1524) (layer TOP-L1) (net 119))
+  (segment (start 59.2 76) (end 59.2 76.45) (width 0.1524) (layer TOP-L1) (net 119) (tstamp 519B20E6))
+  (segment (start 58.925 75.725) (end 59.2 76) (width 0.1524) (layer TOP-L1) (net 119) (tstamp 519B20E4))
+  (segment (start 58.925 72.575) (end 58.925 75.725) (width 0.1524) (layer TOP-L1) (net 119) (tstamp 519B20F4))
+  (segment (start 63.15 71.6) (end 62.125 71.6) (width 0.1524) (layer TOP-L1) (net 119))
+  (segment (start 59.2 76.45) (end 59.2 78) (width 0.1524) (layer TOP-L1) (net 119) (tstamp 519B20E9))
+  (segment (start 68.2 71.6) (end 63.15 71.6) (width 0.1524) (layer TOP-L1) (net 119))
+  (segment (start 59.2 78) (end 59.6 78.4) (width 0.1524) (layer TOP-L1) (net 119) (tstamp 519B1585))
+  (segment (start 57.85 63.225) (end 57.85 64.625) (width 0.1524) (layer Signal-L3) (net 120))
+  (segment (start 59.65 65.525) (end 60 65.875) (width 0.1524) (layer TOP-L1) (net 120) (tstamp 51A162D4))
+  (segment (start 59.65 65.325) (end 59.65 65.525) (width 0.1524) (layer TOP-L1) (net 120) (tstamp 51A162D3))
+  (segment (start 59.45 65.125) (end 59.65 65.325) (width 0.1524) (layer TOP-L1) (net 120) (tstamp 51A162D1))
+  (segment (start 58.975 65.125) (end 59.45 65.125) (width 0.1524) (layer TOP-L1) (net 120) (tstamp 51A162D0))
+  (segment (start 58.85 65.25) (end 58.975 65.125) (width 0.1524) (layer TOP-L1) (net 120) (tstamp 51A162CD))
+  (segment (start 58.85 66.025) (end 58.85 65.25) (width 0.1524) (layer TOP-L1) (net 120) (tstamp 51A162CB))
+  (segment (start 58.725 66.15) (end 58.85 66.025) (width 0.1524) (layer TOP-L1) (net 120) (tstamp 51A162C7))
+  (segment (start 58.425 66.15) (end 58.725 66.15) (width 0.1524) (layer TOP-L1) (net 120) (tstamp 51A162C3))
+  (segment (start 57.85 65.575) (end 58.425 66.15) (width 0.1524) (layer TOP-L1) (net 120) (tstamp 51A162B6))
+  (segment (start 57.85 65.325) (end 57.85 65.575) (width 0.1524) (layer TOP-L1) (net 120) (tstamp 51A162B3))
+  (segment (start 57.85 64.625) (end 57.85 65.325) (width 0.1524) (layer TOP-L1) (net 120) (tstamp 51A162B2))
+  (via (at 57.85 64.625) (size 0.4572) (layers TOP-L1 BOT-L6) (net 120))
+  (via (at 57.2 62) (size 0.4572) (layers TOP-L1 BOT-L6) (net 120))
+  (segment (start 57.2 62) (end 56.8 61.6) (width 0.1524) (layer TOP-L1) (net 120) (tstamp 519C714B))
+  (segment (start 57.425 62.225) (end 57.2 62) (width 0.1524) (layer Signal-L3) (net 120) (tstamp 519C9208))
+  (segment (start 57.85 62.65) (end 57.85 63.225) (width 0.1524) (layer Signal-L3) (net 120) (tstamp 519C920A))
+  (segment (start 57.425 62.225) (end 57.85 62.65) (width 0.1524) (layer Signal-L3) (net 120))
+  (segment (start 60 65.875) (end 60.075 65.95) (width 0.1524) (layer TOP-L1) (net 120) (tstamp 51A162D8))
+  (segment (start 63.75 68.8) (end 62.05 68.8) (width 0.1524) (layer TOP-L1) (net 120))
+  (segment (start 61.775 67.65) (end 61.6 67.475) (width 0.1524) (layer TOP-L1) (net 120) (tstamp 519C9244))
+  (segment (start 61.775 68.525) (end 61.775 67.65) (width 0.1524) (layer TOP-L1) (net 120) (tstamp 519C9242))
+  (segment (start 62.05 68.8) (end 61.775 68.525) (width 0.1524) (layer TOP-L1) (net 120) (tstamp 519C9241))
+  (segment (start 60.075 65.95) (end 61.6 67.475) (width 0.1524) (layer TOP-L1) (net 120) (tstamp 519C9237))
+  (segment (start 68.6 68.8) (end 63.75 68.8) (width 0.1524) (layer TOP-L1) (net 120) (tstamp 519C712B))
+  (segment (start 69 68.4) (end 68.6 68.8) (width 0.1524) (layer TOP-L1) (net 120))
+  (segment (start 67.55 57.225) (end 67.55 56.125) (width 0.1524) (layer TOP-L1) (net 121))
+  (segment (start 58 55.875) (end 58 56.15) (width 0.1524) (layer TOP-L1) (net 121) (tstamp 519B5FA6))
+  (segment (start 58.375 55.5) (end 58 55.875) (width 0.1524) (layer TOP-L1) (net 121) (tstamp 519B5FA5))
+  (segment (start 66.925 55.5) (end 58.375 55.5) (width 0.1524) (layer TOP-L1) (net 121) (tstamp 519B5FA3))
+  (segment (start 67.55 56.125) (end 66.925 55.5) (width 0.1524) (layer TOP-L1) (net 121) (tstamp 519B5FA1))
+  (segment (start 57.6 58.4) (end 58 58) (width 0.1524) (layer TOP-L1) (net 121))
+  (segment (start 58 58) (end 58 56.15) (width 0.1524) (layer TOP-L1) (net 121) (tstamp 519B5F14))
+  (segment (start 67.875 62) (end 68.2 62) (width 0.1524) (layer TOP-L1) (net 121) (tstamp 519B5F1E))
+  (segment (start 67.55 61.675) (end 67.875 62) (width 0.1524) (layer TOP-L1) (net 121) (tstamp 519B5F1D))
+  (segment (start 67.55 57.225) (end 67.55 61.675) (width 0.1524) (layer TOP-L1) (net 121) (tstamp 519B5F9F))
+  (segment (start 65.175 77.3) (end 65.5 76.975) (width 0.1524) (layer Signal-L3) (net 122))
+  (segment (start 66.175 76.975) (end 66.4 77.2) (width 0.1524) (layer Signal-L3) (net 122) (tstamp 51A43F4F))
+  (segment (start 65.5 76.975) (end 66.175 76.975) (width 0.1524) (layer Signal-L3) (net 122) (tstamp 51A43F4E))
+  (segment (start 58.95 77.45) (end 59.2 77.2) (width 0.1524) (layer Signal-L3) (net 122))
+  (segment (start 62.2 77.2) (end 62.575 77.575) (width 0.1524) (layer Signal-L3) (net 122) (tstamp 51A43F0F))
+  (segment (start 59.2 77.2) (end 62.2 77.2) (width 0.1524) (layer Signal-L3) (net 122) (tstamp 51A43F0E))
+  (segment (start 64.95 78.125) (end 64.95 77.525) (width 0.1524) (layer Signal-L3) (net 122))
+  (segment (start 64.95 77.525) (end 65.175 77.3) (width 0.1524) (layer Signal-L3) (net 122) (tstamp 51A43E44))
+  (segment (start 62.775 78.4) (end 62.925 78.55) (width 0.1524) (layer Signal-L3) (net 122) (tstamp 51A43E37))
+  (segment (start 62.775 77.775) (end 62.775 78.4) (width 0.1524) (layer Signal-L3) (net 122) (tstamp 51A43E36))
+  (segment (start 62.575 77.575) (end 62.775 77.775) (width 0.1524) (layer Signal-L3) (net 122) (tstamp 51A43F15))
+  (segment (start 71.8 75.075) (end 71.8 76.2) (width 0.1524) (layer TOP-L1) (net 122))
+  (segment (start 66.725 78.025) (end 66.725 77.725) (width 0.1524) (layer Signal-L3) (net 122) (tstamp 51A43E1B))
+  (segment (start 67.075 78.375) (end 66.725 78.025) (width 0.1524) (layer Signal-L3) (net 122) (tstamp 51A43E12))
+  (segment (start 68.775 78.375) (end 67.075 78.375) (width 0.1524) (layer Signal-L3) (net 122) (tstamp 51A43E11))
+  (via (at 68.775 78.375) (size 0.4572) (layers TOP-L1 BOT-L6) (net 122))
+  (segment (start 69.625 78.375) (end 68.775 78.375) (width 0.1524) (layer TOP-L1) (net 122) (tstamp 51A43E09))
+  (segment (start 71.8 76.2) (end 69.625 78.375) (width 0.1524) (layer TOP-L1) (net 122) (tstamp 51A43E06))
+  (segment (start 64.95 78.2) (end 64.95 78.125) (width 0.1524) (layer Signal-L3) (net 122))
+  (segment (start 66.725 77.525) (end 66.725 77.725) (width 0.1524) (layer Signal-L3) (net 122) (tstamp 51A43A9C))
+  (segment (start 66.4 77.2) (end 66.725 77.525) (width 0.1524) (layer Signal-L3) (net 122) (tstamp 51A43F52))
+  (segment (start 58 78.4) (end 58.4 78) (width 0.1524) (layer TOP-L1) (net 122))
+  (segment (start 64.95 78.6) (end 64.95 78.2) (width 0.1524) (layer Signal-L3) (net 122) (tstamp 51A43A4C))
+  (segment (start 64.425 79.125) (end 64.95 78.6) (width 0.1524) (layer Signal-L3) (net 122) (tstamp 51A43A4B))
+  (segment (start 63.5 79.125) (end 64.425 79.125) (width 0.1524) (layer Signal-L3) (net 122) (tstamp 51A43A48))
+  (segment (start 62.925 78.55) (end 63.5 79.125) (width 0.1524) (layer Signal-L3) (net 122) (tstamp 51A43E3A))
+  (segment (start 58.4 78) (end 58.95 77.45) (width 0.1524) (layer Signal-L3) (net 122) (tstamp 51A43A33))
+  (via (at 58.4 78) (size 0.4572) (layers TOP-L1 BOT-L6) (net 122))
+  (segment (start 71.8 74.4) (end 72.2 74) (width 0.1524) (layer TOP-L1) (net 122) (tstamp 51A43A7B))
+  (segment (start 71.8 75.075) (end 71.8 74.4) (width 0.1524) (layer TOP-L1) (net 122) (tstamp 51A43E04))
+  (segment (start 65 77.05) (end 65.375 76.675) (width 0.1524) (layer Signal-L3) (net 123))
+  (segment (start 66.3 76.675) (end 66.65 77.025) (width 0.1524) (layer Signal-L3) (net 123) (tstamp 51A43F46))
+  (segment (start 65.375 76.675) (end 66.3 76.675) (width 0.1524) (layer Signal-L3) (net 123) (tstamp 51A43F44))
+  (segment (start 58.25 77.55) (end 57.9 77.55) (width 0.1524) (layer Signal-L3) (net 123))
+  (segment (start 57.6 77.85) (end 57.6 78) (width 0.1524) (layer Signal-L3) (net 123) (tstamp 51A43F27))
+  (segment (start 57.9 77.55) (end 57.6 77.85) (width 0.1524) (layer Signal-L3) (net 123) (tstamp 51A43F24))
+  (segment (start 58.675 77.3) (end 59.075 76.9) (width 0.1524) (layer Signal-L3) (net 123))
+  (segment (start 62.325 76.9) (end 62.675 77.25) (width 0.1524) (layer Signal-L3) (net 123) (tstamp 51A43F05))
+  (segment (start 59.075 76.9) (end 62.325 76.9) (width 0.1524) (layer Signal-L3) (net 123) (tstamp 51A43F02))
+  (segment (start 71.5 75.75) (end 71.5 75.55) (width 0.1524) (layer TOP-L1) (net 123))
+  (segment (start 71.275 74.925) (end 71.4 74.8) (width 0.1524) (layer TOP-L1) (net 123) (tstamp 51A43EE4))
+  (segment (start 71.275 75.325) (end 71.275 74.925) (width 0.1524) (layer TOP-L1) (net 123) (tstamp 51A43EE3))
+  (segment (start 71.5 75.55) (end 71.275 75.325) (width 0.1524) (layer TOP-L1) (net 123) (tstamp 51A43EE2))
+  (segment (start 57.2 78.4) (end 57.6 78) (width 0.1524) (layer TOP-L1) (net 123))
+  (via (at 57.6 78) (size 0.4572) (layers TOP-L1 BOT-L6) (net 123))
+  (segment (start 71.5 76.075) (end 71.5 75.75) (width 0.1524) (layer TOP-L1) (net 123) (tstamp 51A43ECB))
+  (segment (start 69.5 78.075) (end 71.5 76.075) (width 0.1524) (layer TOP-L1) (net 123) (tstamp 51A43EC6))
+  (segment (start 69.15 78.075) (end 69.5 78.075) (width 0.1524) (layer TOP-L1) (net 123) (tstamp 51A43EC1))
+  (segment (start 68.85 77.775) (end 69.15 78.075) (width 0.1524) (layer TOP-L1) (net 123) (tstamp 51A43EBF))
+  (segment (start 68.525 77.775) (end 68.85 77.775) (width 0.1524) (layer TOP-L1) (net 123) (tstamp 51A43EBE))
+  (via (at 68.525 77.775) (size 0.4572) (layers TOP-L1 BOT-L6) (net 123))
+  (segment (start 68.35 77.775) (end 68.525 77.775) (width 0.1524) (layer Signal-L3) (net 123) (tstamp 51A43EAA))
+  (segment (start 68.05 78.075) (end 68.35 77.775) (width 0.1524) (layer Signal-L3) (net 123) (tstamp 51A43EA1))
+  (segment (start 67.2 78.075) (end 68.05 78.075) (width 0.1524) (layer Signal-L3) (net 123) (tstamp 51A43EA0))
+  (segment (start 67.025 77.9) (end 67.2 78.075) (width 0.1524) (layer Signal-L3) (net 123) (tstamp 51A43E9E))
+  (segment (start 67.025 77.4) (end 67.025 77.9) (width 0.1524) (layer Signal-L3) (net 123) (tstamp 51A43E9A))
+  (segment (start 66.65 77.025) (end 67.025 77.4) (width 0.1524) (layer Signal-L3) (net 123) (tstamp 51A43F4A))
+  (segment (start 64.65 77.4) (end 65 77.05) (width 0.1524) (layer Signal-L3) (net 123) (tstamp 51A43E8A))
+  (segment (start 64.65 78.475) (end 64.65 77.4) (width 0.1524) (layer Signal-L3) (net 123) (tstamp 51A43E85))
+  (segment (start 64.3 78.825) (end 64.65 78.475) (width 0.1524) (layer Signal-L3) (net 123) (tstamp 51A43E81))
+  (segment (start 63.625 78.825) (end 64.3 78.825) (width 0.1524) (layer Signal-L3) (net 123) (tstamp 51A43E7E))
+  (segment (start 63.075 78.275) (end 63.625 78.825) (width 0.1524) (layer Signal-L3) (net 123) (tstamp 51A43E7B))
+  (segment (start 63.075 77.65) (end 63.075 78.275) (width 0.1524) (layer Signal-L3) (net 123) (tstamp 51A43E78))
+  (segment (start 62.675 77.25) (end 63.075 77.65) (width 0.1524) (layer Signal-L3) (net 123) (tstamp 51A43F0A))
+  (segment (start 58.425 77.55) (end 58.675 77.3) (width 0.1524) (layer Signal-L3) (net 123) (tstamp 51A43E62))
+  (segment (start 58.25 77.55) (end 58.425 77.55) (width 0.1524) (layer Signal-L3) (net 123) (tstamp 51A43F22))
+  (segment (start 61.125 81.125) (end 61.7 81.125) (width 0.1524) (layer Signal-L3) (net 124))
+  (segment (start 61.85 80.775) (end 61.6 80.525) (width 0.1524) (layer TOP-L1) (net 124) (tstamp 51A44243))
+  (segment (start 61.85 80.975) (end 61.85 80.775) (width 0.1524) (layer TOP-L1) (net 124) (tstamp 51A44242))
+  (segment (start 61.7 81.125) (end 61.85 80.975) (width 0.1524) (layer TOP-L1) (net 124) (tstamp 51A44241))
+  (via (at 61.7 81.125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 124))
+  (segment (start 60.4 81.6) (end 60.4 81.225) (width 0.1524) (layer TOP-L1) (net 124))
+  (segment (start 60.5 81.125) (end 61.125 81.125) (width 0.1524) (layer Signal-L3) (net 124) (tstamp 51A44230))
+  (segment (start 60.475 81.15) (end 60.5 81.125) (width 0.1524) (layer Signal-L3) (net 124) (tstamp 51A4422F))
+  (via (at 60.475 81.15) (size 0.4572) (layers TOP-L1 BOT-L6) (net 124))
+  (segment (start 60.4 81.225) (end 60.475 81.15) (width 0.1524) (layer TOP-L1) (net 124) (tstamp 51A4422A))
+  (segment (start 68.1 73.9) (end 68.2 74) (width 0.1524) (layer TOP-L1) (net 124) (tstamp 51A44208))
+  (segment (start 63 73.9) (end 68.1 73.9) (width 0.1524) (layer TOP-L1) (net 124) (tstamp 51A44202))
+  (segment (start 62.7 74.2) (end 63 73.9) (width 0.1524) (layer TOP-L1) (net 124) (tstamp 51A441FC))
+  (segment (start 62.7 74.925) (end 62.7 74.2) (width 0.1524) (layer TOP-L1) (net 124) (tstamp 51A441F9))
+  (segment (start 63.4 75.625) (end 62.7 74.925) (width 0.1524) (layer TOP-L1) (net 124) (tstamp 51A441F5))
+  (segment (start 63.4 78.45) (end 63.4 75.625) (width 0.1524) (layer TOP-L1) (net 124) (tstamp 51A441F1))
+  (segment (start 62.375 79.475) (end 63.4 78.45) (width 0.1524) (layer TOP-L1) (net 124) (tstamp 51A441EB))
+  (segment (start 61.675 79.475) (end 62.375 79.475) (width 0.1524) (layer TOP-L1) (net 124) (tstamp 51A441E9))
+  (segment (start 61.5 79.65) (end 61.675 79.475) (width 0.1524) (layer TOP-L1) (net 124) (tstamp 51A441E7))
+  (segment (start 61.5 80.425) (end 61.5 79.65) (width 0.1524) (layer TOP-L1) (net 124) (tstamp 51A441E4))
+  (segment (start 61.6 80.525) (end 61.5 80.425) (width 0.1524) (layer TOP-L1) (net 124) (tstamp 51A44246))
+  (segment (start 63.5 73.6) (end 62.85 73.6) (width 0.1524) (layer TOP-L1) (net 125))
+  (segment (start 62.4 75.075) (end 62.6 75.275) (width 0.1524) (layer TOP-L1) (net 125) (tstamp 519B39A4))
+  (segment (start 62.4 74.05) (end 62.4 75.075) (width 0.1524) (layer TOP-L1) (net 125) (tstamp 519B39A3))
+  (segment (start 62.85 73.6) (end 62.4 74.05) (width 0.1524) (layer TOP-L1) (net 125) (tstamp 519B39A2))
+  (segment (start 62.6 75.275) (end 62.725 75.4) (width 0.1524) (layer TOP-L1) (net 125) (tstamp 519B39A8))
+  (segment (start 62.725 75.4) (end 63.1 75.775) (width 0.1524) (layer TOP-L1) (net 125))
+  (segment (start 63.1 78.3) (end 62.6 78.8) (width 0.1524) (layer TOP-L1) (net 125) (tstamp 519B2629))
+  (segment (start 63.1 75.775) (end 63.1 78.3) (width 0.1524) (layer TOP-L1) (net 125) (tstamp 519B2627))
+  (segment (start 65.05 73.6) (end 63.5 73.6) (width 0.1524) (layer TOP-L1) (net 125))
+  (segment (start 61.2 79.475) (end 61.2 80.55) (width 0.1524) (layer TOP-L1) (net 125) (tstamp 519B2613))
+  (segment (start 61.5 79.175) (end 61.2 79.475) (width 0.1524) (layer TOP-L1) (net 125) (tstamp 519B2612))
+  (segment (start 62.225 79.175) (end 61.5 79.175) (width 0.1524) (layer TOP-L1) (net 125) (tstamp 519B2611))
+  (segment (start 62.6 78.8) (end 62.225 79.175) (width 0.1524) (layer TOP-L1) (net 125) (tstamp 519B2630))
+  (segment (start 61.2 81.6) (end 61.2 80.55) (width 0.1524) (layer TOP-L1) (net 125))
+  (segment (start 68.6 73.6) (end 69 73.2) (width 0.1524) (layer TOP-L1) (net 125) (tstamp 519B1BCE))
+  (segment (start 65.05 73.6) (end 68.6 73.6) (width 0.1524) (layer TOP-L1) (net 125) (tstamp 519B2603))
+  (segment (start 59.95 65.075) (end 59.95 64.9) (width 0.1524) (layer TOP-L1) (net 126))
+  (segment (start 59.725 64.675) (end 59.725 63.925) (width 0.1524) (layer Signal-L3) (net 126) (tstamp 51A1636A))
+  (via (at 59.725 64.675) (size 0.4572) (layers TOP-L1 BOT-L6) (net 126))
+  (segment (start 59.95 64.9) (end 59.725 64.675) (width 0.1524) (layer TOP-L1) (net 126) (tstamp 51A16364))
+  (segment (start 60.175 65.65) (end 59.95 65.425) (width 0.1524) (layer TOP-L1) (net 126))
+  (segment (start 59.95 65.425) (end 59.95 65.075) (width 0.1524) (layer TOP-L1) (net 126) (tstamp 519C917B))
+  (segment (start 59.725 63.775) (end 59.5 63.55) (width 0.1524) (layer Signal-L3) (net 126) (tstamp 519C9138))
+  (via (at 55.7 59.875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 126))
+  (segment (start 55.7 59.875) (end 55.7 60.175) (width 0.1524) (layer Signal-L3) (net 126) (tstamp 519C8B34))
+  (segment (start 55.7 60.175) (end 55.825 60.3) (width 0.1524) (layer Signal-L3) (net 126) (tstamp 519C8B35))
+  (segment (start 55.825 60.3) (end 56.25 60.3) (width 0.1524) (layer Signal-L3) (net 126) (tstamp 519C8B3A))
+  (segment (start 56.25 60.3) (end 59.425 63.475) (width 0.1524) (layer Signal-L3) (net 126) (tstamp 519C8B3D))
+  (segment (start 56 58.9) (end 56.25 59.15) (width 0.1524) (layer TOP-L1) (net 126) (tstamp 519C8C01))
+  (segment (start 56.25 59.15) (end 56.25 59.55) (width 0.1524) (layer TOP-L1) (net 126) (tstamp 519C8C05))
+  (segment (start 56.25 59.55) (end 56.1 59.7) (width 0.1524) (layer TOP-L1) (net 126) (tstamp 519C8C06))
+  (segment (start 56.1 59.7) (end 55.875 59.7) (width 0.1524) (layer TOP-L1) (net 126) (tstamp 519C8C07))
+  (segment (start 55.875 59.7) (end 55.7 59.875) (width 0.1524) (layer TOP-L1) (net 126) (tstamp 519C8C0B))
+  (segment (start 56 58.4) (end 56 58.9) (width 0.1524) (layer TOP-L1) (net 126))
+  (segment (start 59.5 63.55) (end 59.425 63.475) (width 0.1524) (layer Signal-L3) (net 126) (tstamp 519C913E))
+  (segment (start 59.725 63.925) (end 59.725 63.775) (width 0.1524) (layer Signal-L3) (net 126) (tstamp 51A1636D))
+  (segment (start 60.95 66.425) (end 60.175 65.65) (width 0.1524) (layer TOP-L1) (net 126))
+  (segment (start 68.125 68.325) (end 68.2 68.4) (width 0.1524) (layer TOP-L1) (net 126) (tstamp 519C8B63))
+  (segment (start 62.85 68.325) (end 68.125 68.325) (width 0.1524) (layer TOP-L1) (net 126) (tstamp 519C8B56))
+  (segment (start 60.95 66.425) (end 62.85 68.325) (width 0.1524) (layer TOP-L1) (net 126) (tstamp 519C8F93))
+  (segment (start 60.275 65) (end 60.275 64.4) (width 0.1524) (layer TOP-L1) (net 127))
+  (segment (start 60.025 63.625) (end 59.85 63.45) (width 0.1524) (layer Signal-L3) (net 127) (tstamp 51A1637D))
+  (segment (start 60.025 64.15) (end 60.025 63.625) (width 0.1524) (layer Signal-L3) (net 127) (tstamp 51A1637B))
+  (segment (start 60.275 64.4) (end 60.025 64.15) (width 0.1524) (layer Signal-L3) (net 127) (tstamp 51A1637A))
+  (via (at 60.275 64.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 127))
+  (segment (start 59.675 63.275) (end 59.85 63.45) (width 0.1524) (layer Signal-L3) (net 127))
+  (segment (start 55.625 58.825) (end 55.2 58.4) (width 0.1524) (layer TOP-L1) (net 127) (tstamp 519C8AA8))
+  (segment (start 55.625 59.225) (end 55.625 58.825) (width 0.1524) (layer TOP-L1) (net 127) (tstamp 519C8AA7))
+  (via (at 55.625 59.225) (size 0.4572) (layers TOP-L1 BOT-L6) (net 127))
+  (segment (start 57.15 60.75) (end 56.125 59.725) (width 0.1524) (layer Signal-L3) (net 127))
+  (segment (start 57.15 60.75) (end 59.675 63.275) (width 0.1524) (layer Signal-L3) (net 127) (tstamp 519C8A8A))
+  (segment (start 56.125 59.725) (end 55.625 59.225) (width 0.1524) (layer Signal-L3) (net 127))
+  (segment (start 60.5 65.525) (end 60.275 65.3) (width 0.1524) (layer TOP-L1) (net 127))
+  (segment (start 60.275 65.3) (end 60.275 65) (width 0.1524) (layer TOP-L1) (net 127) (tstamp 519C9182))
+  (segment (start 61.425 66.45) (end 60.5 65.525) (width 0.1524) (layer TOP-L1) (net 127))
+  (segment (start 63.975 68) (end 62.975 68) (width 0.1524) (layer TOP-L1) (net 127))
+  (segment (start 62.975 68) (end 61.425 66.45) (width 0.1524) (layer TOP-L1) (net 127) (tstamp 519C8ABA))
+  (segment (start 68.6 68) (end 69 67.6) (width 0.1524) (layer TOP-L1) (net 127) (tstamp 519C89E9))
+  (segment (start 63.975 68) (end 68.6 68) (width 0.1524) (layer TOP-L1) (net 127) (tstamp 519C8AB8))
+  (segment (start 64.45 59.65) (end 64.825 59.65) (width 0.1524) (layer TOP-L1) (net 128))
+  (segment (start 65.6 60.425) (end 65.6 60.7) (width 0.1524) (layer TOP-L1) (net 128) (tstamp 519B667C))
+  (segment (start 64.825 59.65) (end 65.6 60.425) (width 0.1524) (layer TOP-L1) (net 128) (tstamp 519B667B))
+  (segment (start 65.6 60.7) (end 65.6 62.925) (width 0.1524) (layer TOP-L1) (net 128) (tstamp 519B667F))
+  (segment (start 59.325 59.625) (end 58.1 59.625) (width 0.1524) (layer TOP-L1) (net 128))
+  (segment (start 58.075 60.6) (end 58.65 60.6) (width 0.1524) (layer TOP-L1) (net 128) (tstamp 519B6628))
+  (segment (start 57.8 60.325) (end 58.075 60.6) (width 0.1524) (layer TOP-L1) (net 128) (tstamp 519B6627))
+  (segment (start 57.8 59.925) (end 57.8 60.325) (width 0.1524) (layer TOP-L1) (net 128) (tstamp 519B6625))
+  (segment (start 58.1 59.625) (end 57.8 59.925) (width 0.1524) (layer TOP-L1) (net 128) (tstamp 519B6624))
+  (segment (start 64.35 59.65) (end 64.45 59.65) (width 0.1524) (layer TOP-L1) (net 128))
+  (segment (start 62.725 59.65) (end 64.35 59.65) (width 0.1524) (layer TOP-L1) (net 128) (tstamp 519B6490))
+  (segment (start 58.4 61.6) (end 58.8 62) (width 0.1524) (layer TOP-L1) (net 128))
+  (segment (start 58.8 62) (end 59.425 62) (width 0.1524) (layer TOP-L1) (net 128) (tstamp 519B644B))
+  (segment (start 59.425 62) (end 59.6 61.825) (width 0.1524) (layer TOP-L1) (net 128) (tstamp 519B644E))
+  (segment (start 59.6 61.825) (end 59.6 61.425) (width 0.1524) (layer TOP-L1) (net 128) (tstamp 519B6456))
+  (segment (start 59.6 61.425) (end 58.775 60.6) (width 0.1524) (layer TOP-L1) (net 128) (tstamp 519B6459))
+  (segment (start 58.775 60.6) (end 58.65 60.6) (width 0.1524) (layer TOP-L1) (net 128) (tstamp 519B6462))
+  (segment (start 62.05 58.975) (end 62.725 59.65) (width 0.1524) (layer TOP-L1) (net 128) (tstamp 519B648F))
+  (segment (start 61.575 58.975) (end 62.05 58.975) (width 0.1524) (layer TOP-L1) (net 128) (tstamp 519B648C))
+  (segment (start 60.925 59.625) (end 61.575 58.975) (width 0.1524) (layer TOP-L1) (net 128) (tstamp 519B6489))
+  (segment (start 59.325 59.625) (end 60.925 59.625) (width 0.1524) (layer TOP-L1) (net 128) (tstamp 519B6622))
+  (segment (start 68.15 64.35) (end 68.2 64.4) (width 0.1524) (layer TOP-L1) (net 128) (tstamp 519B64B2))
+  (segment (start 67.025 64.35) (end 68.15 64.35) (width 0.1524) (layer TOP-L1) (net 128) (tstamp 519B64A4))
+  (segment (start 65.6 62.925) (end 67.025 64.35) (width 0.1524) (layer TOP-L1) (net 128) (tstamp 519B649E))
+  (segment (start 64.625 59.3) (end 65.175 59.3) (width 0.1524) (layer TOP-L1) (net 129))
+  (segment (start 65.925 60.05) (end 65.925 60.3) (width 0.1524) (layer TOP-L1) (net 129) (tstamp 519B6706))
+  (segment (start 65.175 59.3) (end 65.925 60.05) (width 0.1524) (layer TOP-L1) (net 129) (tstamp 519B6705))
+  (segment (start 65.925 60.3) (end 65.925 60.425) (width 0.1524) (layer TOP-L1) (net 129) (tstamp 519B6709))
+  (segment (start 64.4 59.3) (end 64.625 59.3) (width 0.1524) (layer TOP-L1) (net 129))
+  (segment (start 65.925 60.425) (end 65.925 60.525) (width 0.1524) (layer TOP-L1) (net 129) (tstamp 519B6688))
+  (segment (start 57.45 60.225) (end 57.45 60.475) (width 0.1524) (layer TOP-L1) (net 129))
+  (segment (start 57.9 60.925) (end 58.175 60.925) (width 0.1524) (layer TOP-L1) (net 129) (tstamp 519B661B))
+  (segment (start 57.45 60.475) (end 57.9 60.925) (width 0.1524) (layer TOP-L1) (net 129) (tstamp 519B661A))
+  (segment (start 58.275 59.3) (end 57.875 59.3) (width 0.1524) (layer TOP-L1) (net 129))
+  (segment (start 57.45 59.725) (end 57.45 59.975) (width 0.1524) (layer TOP-L1) (net 129) (tstamp 519B6611))
+  (segment (start 57.875 59.3) (end 57.45 59.725) (width 0.1524) (layer TOP-L1) (net 129) (tstamp 519B6610))
+  (segment (start 58.9 59.3) (end 58.275 59.3) (width 0.1524) (layer TOP-L1) (net 129))
+  (segment (start 58.175 60.925) (end 58.4 60.925) (width 0.1524) (layer TOP-L1) (net 129) (tstamp 519B661E))
+  (segment (start 57.45 59.975) (end 57.45 60.225) (width 0.1524) (layer TOP-L1) (net 129) (tstamp 519B6614))
+  (segment (start 64.25 59.3) (end 64.4 59.3) (width 0.1524) (layer TOP-L1) (net 129))
+  (segment (start 62.85 59.3) (end 62.2 58.65) (width 0.1524) (layer TOP-L1) (net 129) (tstamp 519B641F))
+  (segment (start 64.25 59.3) (end 62.85 59.3) (width 0.1524) (layer TOP-L1) (net 129) (tstamp 519B65E7))
+  (segment (start 58.4 60.925) (end 58.65 60.925) (width 0.1524) (layer TOP-L1) (net 129) (tstamp 519B6608))
+  (segment (start 59.2 61.475) (end 59.2 61.6) (width 0.1524) (layer TOP-L1) (net 129) (tstamp 519B64D0))
+  (segment (start 58.65 60.925) (end 59.2 61.475) (width 0.1524) (layer TOP-L1) (net 129) (tstamp 519B64CF))
+  (segment (start 69 63.6) (end 68.6 64) (width 0.1524) (layer TOP-L1) (net 129))
+  (segment (start 68.6 64) (end 67.175 64) (width 0.1524) (layer TOP-L1) (net 129) (tstamp 519B6400))
+  (segment (start 67.175 64) (end 65.925 62.75) (width 0.1524) (layer TOP-L1) (net 129) (tstamp 519B6403))
+  (segment (start 65.925 62.75) (end 65.925 60.525) (width 0.1524) (layer TOP-L1) (net 129) (tstamp 519B6412))
+  (segment (start 60.775 59.3) (end 58.9 59.3) (width 0.1524) (layer TOP-L1) (net 129) (tstamp 519B6426))
+  (segment (start 61.425 58.65) (end 60.775 59.3) (width 0.1524) (layer TOP-L1) (net 129) (tstamp 519B6425))
+  (segment (start 62.2 58.65) (end 61.425 58.65) (width 0.1524) (layer TOP-L1) (net 129) (tstamp 519B6421))
+  (segment (start 53.525 80.65) (end 54.775 80.65) (width 0.1524) (layer TOP-L1) (net 130))
+  (segment (start 55.15 79.475) (end 55.275 79.35) (width 0.1524) (layer TOP-L1) (net 130) (tstamp 51A86935))
+  (segment (start 55.15 80.275) (end 55.15 79.475) (width 0.1524) (layer TOP-L1) (net 130) (tstamp 51A86934))
+  (segment (start 54.775 80.65) (end 55.15 80.275) (width 0.1524) (layer TOP-L1) (net 130) (tstamp 51A86933))
+  (segment (start 50.4 76.525) (end 50.4 76.75) (width 0.1524) (layer Signal-L3) (net 130))
+  (segment (start 49.15 80.975) (end 48.65 80.975) (width 0.1524) (layer Signal-L3) (net 130) (tstamp 51A32AB3))
+  (segment (start 49.95 81.775) (end 49.15 80.975) (width 0.1524) (layer Signal-L3) (net 130) (tstamp 51A32AB0))
+  (segment (start 51.35 81.775) (end 49.95 81.775) (width 0.1524) (layer Signal-L3) (net 130) (tstamp 51A32AAE))
+  (segment (start 51.65 81.475) (end 51.35 81.775) (width 0.1524) (layer Signal-L3) (net 130) (tstamp 51A32AAD))
+  (segment (start 51.65 80.95) (end 51.65 81.475) (width 0.1524) (layer Signal-L3) (net 130) (tstamp 51A32AAC))
+  (segment (start 51.975 80.625) (end 51.65 80.95) (width 0.1524) (layer Signal-L3) (net 130) (tstamp 51A32AAA))
+  (segment (start 51.975 78.325) (end 51.975 80.625) (width 0.1524) (layer Signal-L3) (net 130) (tstamp 51A32AA5))
+  (segment (start 50.4 76.75) (end 51.975 78.325) (width 0.1524) (layer Signal-L3) (net 130) (tstamp 51A32AA3))
+  (segment (start 47.325 59.1) (end 47.325 59.35) (width 0.1524) (layer Signal-L3) (net 130))
+  (segment (start 48.225 58.3) (end 48.525 58.3) (width 0.1524) (layer Signal-L3) (net 130) (tstamp 51A3290B))
+  (segment (start 48.025 58.5) (end 48.225 58.3) (width 0.1524) (layer Signal-L3) (net 130) (tstamp 51A3290A))
+  (segment (start 48.025 59.375) (end 48.025 58.5) (width 0.1524) (layer Signal-L3) (net 130) (tstamp 51A32909))
+  (segment (start 47.825 59.575) (end 48.025 59.375) (width 0.1524) (layer Signal-L3) (net 130) (tstamp 51A32908))
+  (segment (start 47.55 59.575) (end 47.825 59.575) (width 0.1524) (layer Signal-L3) (net 130) (tstamp 51A32907))
+  (segment (start 47.325 59.35) (end 47.55 59.575) (width 0.1524) (layer Signal-L3) (net 130) (tstamp 51A32906))
+  (segment (start 46 59.3) (end 46 58.6) (width 0.1524) (layer Signal-L3) (net 130))
+  (segment (start 47.325 58.575) (end 47.325 59.1) (width 0.1524) (layer Signal-L3) (net 130) (tstamp 51A328FF))
+  (segment (start 47.1 58.35) (end 47.325 58.575) (width 0.1524) (layer Signal-L3) (net 130) (tstamp 51A328FC))
+  (segment (start 46.25 58.35) (end 47.1 58.35) (width 0.1524) (layer Signal-L3) (net 130) (tstamp 51A328FB))
+  (segment (start 46 58.6) (end 46.25 58.35) (width 0.1524) (layer Signal-L3) (net 130) (tstamp 51A328FA))
+  (segment (start 47.9 60.65) (end 46.425 60.65) (width 0.1524) (layer TOP-L1) (net 130))
+  (segment (start 46 60.225) (end 46 59.3) (width 0.1524) (layer Signal-L3) (net 130) (tstamp 51A328EE))
+  (segment (start 46.175 60.4) (end 46 60.225) (width 0.1524) (layer Signal-L3) (net 130) (tstamp 51A328ED))
+  (via (at 46.175 60.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 130))
+  (segment (start 46.425 60.65) (end 46.175 60.4) (width 0.1524) (layer TOP-L1) (net 130) (tstamp 51A328EB))
+  (segment (start 50.1 68.075) (end 50.1 67.825) (width 0.1524) (layer Signal-L3) (net 130))
+  (segment (start 49.95 65.85) (end 49.8 65.7) (width 0.1524) (layer Signal-L3) (net 130) (tstamp 51A15AD8))
+  (segment (start 49.95 67.675) (end 49.95 65.85) (width 0.1524) (layer Signal-L3) (net 130) (tstamp 51A15AD7))
+  (segment (start 50.1 67.825) (end 49.95 67.675) (width 0.1524) (layer Signal-L3) (net 130) (tstamp 51A15AD4))
+  (segment (start 48.775 58.3) (end 48.525 58.3) (width 0.1524) (layer Signal-L3) (net 130) (tstamp 519F6250))
+  (segment (start 49.2 58.725) (end 48.775 58.3) (width 0.1524) (layer Signal-L3) (net 130) (tstamp 519F624F))
+  (segment (start 49.2 62.225) (end 49.2 58.725) (width 0.1524) (layer Signal-L3) (net 130) (tstamp 519F624D))
+  (segment (start 48.4 63.025) (end 49.2 62.225) (width 0.1524) (layer Signal-L3) (net 130) (tstamp 519F6241))
+  (segment (start 48.4 64.3) (end 48.4 63.025) (width 0.1524) (layer Signal-L3) (net 130) (tstamp 519F623F))
+  (segment (start 49.8 65.7) (end 48.4 64.3) (width 0.1524) (layer Signal-L3) (net 130) (tstamp 51A15ADC))
+  (segment (start 50.1 68.975) (end 50.1 68.075) (width 0.1524) (layer Signal-L3) (net 130) (tstamp 519F6235))
+  (segment (start 49.675 69.4) (end 50.1 68.975) (width 0.1524) (layer Signal-L3) (net 130) (tstamp 519F6234))
+  (segment (start 49.675 69.725) (end 49.675 69.4) (width 0.1524) (layer Signal-L3) (net 130) (tstamp 519F6233))
+  (segment (start 49.95 70) (end 49.925 69.975) (width 0.1524) (layer Signal-L3) (net 130) (tstamp 51A00CC3))
+  (segment (start 49.925 69.975) (end 49.675 69.725) (width 0.1524) (layer Signal-L3) (net 130) (tstamp 519F62B4))
+  (segment (start 49.95 70) (end 69.8 70) (width 0.1524) (layer Signal-L5) (net 130))
+  (segment (start 70.2 69.6) (end 70.6 69.2) (width 0.1524) (layer TOP-L1) (net 130) (tstamp 51A307A2))
+  (via (at 70.2 69.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 130))
+  (segment (start 69.8 70) (end 70.2 69.6) (width 0.1524) (layer Signal-L5) (net 130) (tstamp 51A3079A))
+  (segment (start 53.45 80.65) (end 53.525 80.65) (width 0.1524) (layer TOP-L1) (net 130))
+  (segment (start 55.6 79.025) (end 55.6 78.75) (width 0.1524) (layer TOP-L1) (net 130) (tstamp 51A16702))
+  (segment (start 55.275 79.35) (end 55.6 79.025) (width 0.1524) (layer TOP-L1) (net 130) (tstamp 51A86938))
+  (segment (start 48.875 80.65) (end 48.45 80.65) (width 0.1524) (layer TOP-L1) (net 130))
+  (segment (start 48.275 80.475) (end 47.825 80.475) (width 0.1524) (layer TOP-L1) (net 130) (tstamp 51A166DD))
+  (segment (start 48.45 80.65) (end 48.275 80.475) (width 0.1524) (layer TOP-L1) (net 130) (tstamp 51A166DC))
+  (segment (start 47.725 81.4) (end 47.575 81.4) (width 0.1524) (layer Signal-L3) (net 130))
+  (segment (start 49.7 70.275) (end 49.7 71.325) (width 0.1524) (layer Signal-L3) (net 130) (tstamp 51A00DFC))
+  (segment (start 49.7 71.325) (end 50.175 71.8) (width 0.1524) (layer Signal-L3) (net 130) (tstamp 51A00DFD))
+  (segment (start 50.175 71.8) (end 50.175 74.25) (width 0.1524) (layer Signal-L3) (net 130) (tstamp 51A00E04))
+  (segment (start 50.175 74.25) (end 50.625 74.7) (width 0.1524) (layer Signal-L3) (net 130) (tstamp 51A00E06))
+  (segment (start 50.625 74.7) (end 50.625 75.5) (width 0.1524) (layer Signal-L3) (net 130) (tstamp 51A00E0A))
+  (segment (start 50.625 75.5) (end 50.4 75.725) (width 0.1524) (layer Signal-L3) (net 130) (tstamp 51A00E0B))
+  (segment (start 50.4 75.725) (end 50.4 76.525) (width 0.1524) (layer Signal-L3) (net 130) (tstamp 51A00E0C))
+  (segment (start 49.95 70.025) (end 49.7 70.275) (width 0.1524) (layer Signal-L3) (net 130))
+  (via (at 47.825 80.475) (size 0.4572) (layers TOP-L1 BOT-L6) (net 130))
+  (segment (start 48.65 80.975) (end 48.375 80.975) (width 0.1524) (layer Signal-L3) (net 130) (tstamp 51A32AB8))
+  (segment (start 48.375 80.975) (end 47.95 81.4) (width 0.1524) (layer Signal-L3) (net 130) (tstamp 51A166A5))
+  (segment (start 47.95 81.4) (end 47.725 81.4) (width 0.1524) (layer Signal-L3) (net 130) (tstamp 51A166A7))
+  (segment (start 47.175 81) (end 47.175 80.65) (width 0.1524) (layer Signal-L3) (net 130) (tstamp 51A166CB))
+  (segment (start 47.175 80.65) (end 47.35 80.475) (width 0.1524) (layer Signal-L3) (net 130) (tstamp 51A166CC))
+  (segment (start 47.35 80.475) (end 47.825 80.475) (width 0.1524) (layer Signal-L3) (net 130) (tstamp 51A166CD))
+  (segment (start 47.575 81.4) (end 47.175 81) (width 0.1524) (layer Signal-L3) (net 130) (tstamp 51A166CA))
+  (segment (start 48.875 80.65) (end 53.45 80.65) (width 0.1524) (layer TOP-L1) (net 130) (tstamp 51A166DA))
+  (segment (start 55.6 78.75) (end 55.6 78.4) (width 0.1524) (layer TOP-L1) (net 130) (tstamp 51A16705))
+  (segment (start 50.025 70) (end 49.95 70) (width 0.1524) (layer TOP-L1) (net 130))
+  (segment (start 49.95 70) (end 49.95 70.025) (width 0.1524) (layer Signal-L3) (net 130) (tstamp 519F6232))
+  (segment (start 49.95 70.025) (end 49.95 70) (width 0.1524) (layer Signal-L3) (net 130) (tstamp 51A00DFA))
+  (via (at 49.95 70) (size 0.4572) (layers TOP-L1 BOT-L6) (net 130))
+  (segment (start 53.6 59) (end 51.95 60.65) (width 0.1524) (layer TOP-L1) (net 130) (tstamp 519DB464))
+  (segment (start 53.6 58.4) (end 53.6 59) (width 0.1524) (layer TOP-L1) (net 130))
+  (segment (start 51.95 60.65) (end 47.9 60.65) (width 0.1524) (layer TOP-L1) (net 130) (tstamp 519DB465))
+  (segment (start 72.2 73.2) (end 71.8 72.8) (width 0.1524) (layer TOP-L1) (net 131))
+  (segment (start 70.175 74.425) (end 69.9 74.425) (width 0.1524) (layer Signal-L5) (net 131) (tstamp 51AD7A65))
+  (segment (start 70.6 74) (end 70.175 74.425) (width 0.1524) (layer Signal-L5) (net 131) (tstamp 51AD7A62))
+  (segment (start 70.6 73.175) (end 70.6 74) (width 0.1524) (layer Signal-L5) (net 131) (tstamp 51AD7A5F))
+  (segment (start 70.975 72.8) (end 70.6 73.175) (width 0.1524) (layer Signal-L5) (net 131) (tstamp 51AD7A5E))
+  (segment (start 71 72.8) (end 70.975 72.8) (width 0.1524) (layer Signal-L5) (net 131) (tstamp 51AD7A5D))
+  (via (at 71 72.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 131))
+  (segment (start 71.8 72.8) (end 71 72.8) (width 0.1524) (layer TOP-L1) (net 131) (tstamp 51AD7A52))
+  (segment (start 48.175 74.35) (end 40.5 74.35) (width 0.1524) (layer Signal-L5) (net 131))
+  (segment (start 40.125 77.325) (end 40.9 77.325) (width 0.1524) (layer TOP-L1) (net 131) (tstamp 51A331CA))
+  (segment (start 39.85 77.05) (end 40.125 77.325) (width 0.1524) (layer TOP-L1) (net 131) (tstamp 51A331C9))
+  (segment (start 39.85 76) (end 39.85 77.05) (width 0.1524) (layer TOP-L1) (net 131) (tstamp 51A331C8))
+  (via (at 39.85 76) (size 0.4572) (layers TOP-L1 BOT-L6) (net 131))
+  (segment (start 39.85 75) (end 39.85 76) (width 0.1524) (layer Signal-L5) (net 131) (tstamp 51A331C2))
+  (segment (start 40.5 74.35) (end 39.85 75) (width 0.1524) (layer Signal-L5) (net 131) (tstamp 51A331C1))
+  (segment (start 48.225 74.4) (end 48.175 74.35) (width 0.1524) (layer Signal-L5) (net 131))
+  (segment (start 48.175 74.35) (end 48.25 74.425) (width 0.1524) (layer Signal-L5) (net 131) (tstamp 51A331BF))
+  (segment (start 48.25 74.425) (end 69.9 74.425) (width 0.1524) (layer Signal-L5) (net 131) (tstamp 51A33119))
+  (segment (start 45.62 63.745) (end 45.06 63.185) (width 0.1524) (layer Signal-L3) (net 131))
+  (segment (start 44.75 57.89) (end 44.75 57.52) (width 0.1524) (layer Signal-L3) (net 131) (tstamp 51A15832))
+  (segment (start 45.06 58.2) (end 44.75 57.89) (width 0.1524) (layer Signal-L3) (net 131) (tstamp 51A1582F))
+  (segment (start 45.06 63.185) (end 45.06 58.2) (width 0.1524) (layer Signal-L3) (net 131) (tstamp 51A1582E))
+  (segment (start 44.75 57.04) (end 44.75 56.81) (width 0.1524) (layer Signal-L3) (net 131))
+  (segment (start 45.11 56.45) (end 45.65 56.45) (width 0.1524) (layer TOP-L1) (net 131) (tstamp 51A154D2))
+  (segment (start 44.9 56.66) (end 45.11 56.45) (width 0.1524) (layer TOP-L1) (net 131) (tstamp 51A154D1))
+  (via (at 44.9 56.66) (size 0.4572) (layers TOP-L1 BOT-L6) (net 131))
+  (segment (start 44.75 56.81) (end 44.9 56.66) (width 0.1524) (layer Signal-L3) (net 131) (tstamp 51A154CE))
+  (segment (start 48.625 76.7) (end 43.15 76.7) (width 0.1524) (layer TOP-L1) (net 131) (tstamp 519F1A31))
+  (segment (start 43.025 76.7) (end 43.15 76.7) (width 0.1524) (layer TOP-L1) (net 131) (tstamp 51A054D8))
+  (segment (start 42.4 77.325) (end 43.025 76.7) (width 0.1524) (layer TOP-L1) (net 131) (tstamp 51A054D7))
+  (segment (start 40.9 77.325) (end 42.4 77.325) (width 0.1524) (layer TOP-L1) (net 131) (tstamp 51A331CD))
+  (segment (start 49.18 77.255) (end 48.625 76.7) (width 0.1524) (layer TOP-L1) (net 131))
+  (segment (start 49.525 77.6) (end 49.2 77.275) (width 0.1524) (layer TOP-L1) (net 131) (tstamp 519DC31D))
+  (segment (start 50 77.6) (end 49.525 77.6) (width 0.1524) (layer TOP-L1) (net 131))
+  (segment (start 49.2 77.275) (end 49.18 77.255) (width 0.1524) (layer TOP-L1) (net 131))
+  (segment (start 44.75 57.52) (end 44.75 57.04) (width 0.1524) (layer Signal-L3) (net 131) (tstamp 51A15837))
+  (segment (start 46.675 64.8) (end 45.62 63.745) (width 0.1524) (layer Signal-L3) (net 131) (tstamp 51A0544F))
+  (segment (start 46.675 66.225) (end 46.675 64.8) (width 0.1524) (layer Signal-L3) (net 131) (tstamp 51A0544D))
+  (segment (start 48.325 67.875) (end 46.675 66.225) (width 0.1524) (layer Signal-L3) (net 131) (tstamp 51A05449))
+  (segment (start 48.325 73.425) (end 48.325 67.875) (width 0.1524) (layer Signal-L3) (net 131) (tstamp 51A05448))
+  (segment (start 47.925 73.825) (end 48.325 73.425) (width 0.1524) (layer Signal-L3) (net 131) (tstamp 51A05447))
+  (segment (start 47.925 74.1) (end 47.925 73.825) (width 0.1524) (layer Signal-L3) (net 131) (tstamp 51A05446))
+  (segment (start 48.225 74.4) (end 47.925 74.1) (width 0.1524) (layer Signal-L3) (net 131) (tstamp 51A05445))
+  (via (at 48.225 74.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 131))
+  (segment (start 47.18 57.17) (end 46.46 56.45) (width 0.1524) (layer TOP-L1) (net 131))
+  (segment (start 46.46 56.45) (end 45.65 56.45) (width 0.1524) (layer TOP-L1) (net 131) (tstamp 519F16E2))
+  (segment (start 47.2 57.19) (end 47.18 57.17) (width 0.1524) (layer TOP-L1) (net 131))
+  (segment (start 48 57.6) (end 47.61 57.6) (width 0.1524) (layer TOP-L1) (net 131))
+  (segment (start 47.61 57.6) (end 47.2 57.19) (width 0.1524) (layer TOP-L1) (net 131) (tstamp 519F161F))
+  (segment (start 52.7 79.325) (end 52.7 79.15) (width 0.1524) (layer TOP-L1) (net 132))
+  (segment (start 52.975 78.875) (end 53.075 78.875) (width 0.1524) (layer TOP-L1) (net 132) (tstamp 51A868A9))
+  (segment (start 52.7 79.15) (end 52.975 78.875) (width 0.1524) (layer TOP-L1) (net 132) (tstamp 51A868A8))
+  (segment (start 52.7 79.325) (end 52.7 79.625) (width 0.1524) (layer TOP-L1) (net 132) (tstamp 51A868A6))
+  (segment (start 52.225 80.1) (end 51.3 80.1) (width 0.1524) (layer TOP-L1) (net 132) (tstamp 51A8688F))
+  (segment (start 52.7 79.625) (end 52.225 80.1) (width 0.1524) (layer TOP-L1) (net 132) (tstamp 51A8688E))
+  (segment (start 54 78.4) (end 54.4 78.8) (width 0.1524) (layer TOP-L1) (net 132))
+  (segment (start 54.4 78.8) (end 54.4 79.225) (width 0.1524) (layer TOP-L1) (net 132) (tstamp 51A8681B))
+  (segment (start 54.4 79.225) (end 54.025 79.6) (width 0.1524) (layer TOP-L1) (net 132) (tstamp 51A8681E))
+  (segment (start 54.025 79.6) (end 53.7 79.6) (width 0.1524) (layer TOP-L1) (net 132) (tstamp 51A86823))
+  (segment (start 53.7 79.6) (end 53.45 79.35) (width 0.1524) (layer TOP-L1) (net 132) (tstamp 51A86833))
+  (segment (start 53.45 79.35) (end 53.45 79.125) (width 0.1524) (layer TOP-L1) (net 132) (tstamp 51A86834))
+  (segment (start 53.45 79.125) (end 53.2 78.875) (width 0.1524) (layer TOP-L1) (net 132) (tstamp 51A86836))
+  (segment (start 53.2 78.875) (end 53.075 78.875) (width 0.1524) (layer TOP-L1) (net 132) (tstamp 51A86837))
+  (segment (start 51.3 80.1) (end 49 80.1) (width 0.1524) (layer TOP-L1) (net 132) (tstamp 51A86895))
+  (segment (start 48.85 79.95) (end 48.575 79.675) (width 0.1524) (layer TOP-L1) (net 132))
+  (segment (start 49 80.1) (end 48.85 79.95) (width 0.1524) (layer TOP-L1) (net 132) (tstamp 519DC1E8))
+  (segment (start 48.575 79.675) (end 45.725 79.675) (width 0.1524) (layer TOP-L1) (net 132) (tstamp 519DDBD0))
+  (segment (start 44.775 69.6) (end 44.875 69.6) (width 0.1524) (layer Signal-L5) (net 132))
+  (segment (start 49.125 69.6) (end 49.875 69.6) (width 0.1524) (layer Signal-L5) (net 132) (tstamp 51A30780))
+  (segment (start 48.625 70.1) (end 49.125 69.6) (width 0.1524) (layer Signal-L5) (net 132) (tstamp 51A3077F))
+  (segment (start 48.275 70.1) (end 48.625 70.1) (width 0.1524) (layer Signal-L5) (net 132) (tstamp 51A3077D))
+  (segment (start 47.65 69.475) (end 48.275 70.1) (width 0.1524) (layer Signal-L5) (net 132) (tstamp 51A3077C))
+  (segment (start 47.275 69.475) (end 47.65 69.475) (width 0.1524) (layer Signal-L5) (net 132) (tstamp 51A3077B))
+  (segment (start 47.075 69.675) (end 47.275 69.475) (width 0.1524) (layer Signal-L5) (net 132) (tstamp 51A3077A))
+  (segment (start 47.075 69.85) (end 47.075 69.675) (width 0.1524) (layer Signal-L5) (net 132) (tstamp 51A30779))
+  (segment (start 46.85 70.075) (end 47.075 69.85) (width 0.1524) (layer Signal-L5) (net 132) (tstamp 51A30775))
+  (segment (start 46.4 70.075) (end 46.85 70.075) (width 0.1524) (layer Signal-L5) (net 132) (tstamp 51A30774))
+  (segment (start 46.225 69.9) (end 46.4 70.075) (width 0.1524) (layer Signal-L5) (net 132) (tstamp 51A30773))
+  (segment (start 46.225 69.425) (end 46.225 69.9) (width 0.1524) (layer Signal-L5) (net 132) (tstamp 51A30772))
+  (segment (start 45.9 69.1) (end 46.225 69.425) (width 0.1524) (layer Signal-L5) (net 132) (tstamp 51A3076F))
+  (segment (start 45.375 69.1) (end 45.9 69.1) (width 0.1524) (layer Signal-L5) (net 132) (tstamp 51A3076E))
+  (segment (start 44.875 69.6) (end 45.375 69.1) (width 0.1524) (layer Signal-L5) (net 132) (tstamp 51A3076D))
+  (segment (start 44.55 76.425) (end 44.55 76.875) (width 0.1524) (layer Signal-L3) (net 132))
+  (segment (start 45.15 79.675) (end 45.725 79.675) (width 0.1524) (layer TOP-L1) (net 132) (tstamp 51A016AE))
+  (via (at 45.15 79.675) (size 0.4572) (layers TOP-L1 BOT-L6) (net 132))
+  (segment (start 44.95 79.475) (end 45.15 79.675) (width 0.1524) (layer Signal-L3) (net 132) (tstamp 51A016A7))
+  (segment (start 44.95 77.275) (end 44.95 79.475) (width 0.1524) (layer Signal-L3) (net 132) (tstamp 51A016A6))
+  (segment (start 44.55 76.875) (end 44.95 77.275) (width 0.1524) (layer Signal-L3) (net 132) (tstamp 51A016A5))
+  (segment (start 44.5 69.6) (end 44.2 69.6) (width 0.1524) (layer Signal-L3) (net 132))
+  (segment (start 44.55 72.475) (end 44.55 76.425) (width 0.1524) (layer Signal-L3) (net 132) (tstamp 519F5B2E))
+  (segment (start 44.825 72.2) (end 44.55 72.475) (width 0.1524) (layer Signal-L3) (net 132) (tstamp 519F5B2C))
+  (segment (start 44.825 70.875) (end 44.825 72.2) (width 0.1524) (layer Signal-L3) (net 132) (tstamp 519F5B29))
+  (segment (start 44.025 70.075) (end 44.825 70.875) (width 0.1524) (layer Signal-L3) (net 132) (tstamp 519F5B28))
+  (segment (start 44.025 69.775) (end 44.025 70.075) (width 0.1524) (layer Signal-L3) (net 132) (tstamp 519F5B27))
+  (segment (start 44.2 69.6) (end 44.025 69.775) (width 0.1524) (layer Signal-L3) (net 132) (tstamp 519F5B26))
+  (segment (start 49.875 69.6) (end 69.4 69.6) (width 0.1524) (layer Signal-L5) (net 132) (tstamp 51A30784))
+  (segment (start 44.8 69.6) (end 44.775 69.6) (width 0.1524) (layer Signal-L5) (net 132))
+  (segment (start 44.5 68.225) (end 44.5 68.075) (width 0.1524) (layer Signal-L3) (net 132))
+  (segment (start 44.575 59.6) (end 46.675 59.6) (width 0.1524) (layer TOP-L1) (net 132) (tstamp 51A01763))
+  (segment (start 47.175 60.1) (end 47.75 60.1) (width 0.1524) (layer TOP-L1) (net 132) (tstamp 519DB67C))
+  (segment (start 46.675 59.6) (end 47.175 60.1) (width 0.1524) (layer TOP-L1) (net 132) (tstamp 519DB67B))
+  (segment (start 52 58.4) (end 52 59.3) (width 0.1524) (layer TOP-L1) (net 132))
+  (segment (start 51.2 60.1) (end 47.75 60.1) (width 0.1524) (layer TOP-L1) (net 132) (tstamp 519DB491))
+  (segment (start 52 59.3) (end 51.2 60.1) (width 0.1524) (layer TOP-L1) (net 132) (tstamp 519DB48D))
+  (segment (start 44.5 69.6) (end 44.275 69.375) (width 0.1524) (layer Signal-L3) (net 132) (tstamp 519F54D2))
+  (segment (start 44.275 69.375) (end 44.275 69.025) (width 0.1524) (layer Signal-L3) (net 132) (tstamp 519F54D3))
+  (segment (start 44.275 69.025) (end 44.5 68.8) (width 0.1524) (layer Signal-L3) (net 132) (tstamp 519F54D4))
+  (segment (start 44.5 68.8) (end 44.5 68.225) (width 0.1524) (layer Signal-L3) (net 132) (tstamp 519F54D5))
+  (segment (start 44.5 68.075) (end 44.1 67.675) (width 0.1524) (layer Signal-L3) (net 132) (tstamp 51A0173F))
+  (segment (start 44.1 67.675) (end 44.1 65.775) (width 0.1524) (layer Signal-L3) (net 132) (tstamp 51A01740))
+  (segment (start 44.1 65.775) (end 42.55 64.225) (width 0.1524) (layer Signal-L3) (net 132) (tstamp 51A01742))
+  (segment (start 42.55 64.225) (end 42.55 63.125) (width 0.1524) (layer Signal-L3) (net 132) (tstamp 51A01746))
+  (segment (start 42.55 63.125) (end 41.925 62.5) (width 0.1524) (layer Signal-L3) (net 132) (tstamp 51A0174A))
+  (segment (start 41.925 62.5) (end 41.925 59.775) (width 0.1524) (layer Signal-L3) (net 132) (tstamp 51A01759))
+  (segment (start 41.925 59.775) (end 42.1 59.6) (width 0.1524) (layer Signal-L3) (net 132) (tstamp 51A0175A))
+  (via (at 42.1 59.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 132))
+  (segment (start 42.1 59.6) (end 44.575 59.6) (width 0.1524) (layer TOP-L1) (net 132) (tstamp 51A01760))
+  (segment (start 44.5 69.6) (end 44.8 69.6) (width 0.1524) (layer Signal-L5) (net 132))
+  (segment (start 69.4 69.6) (end 69.8 69.2) (width 0.1524) (layer TOP-L1) (net 132) (tstamp 51A30666))
+  (via (at 69.4 69.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 132))
+  (segment (start 44.6 69.6) (end 44.5 69.6) (width 0.1524) (layer TOP-L1) (net 132))
+  (via (at 44.5 69.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 132))
+  (segment (start 78.59958 47.875) (end 79.575 47.875) (width 0.1524) (layer BOT-L6) (net 133))
+  (segment (start 80.675 48.975) (end 80.675 49) (width 0.1524) (layer TOP-L1) (net 133) (tstamp 51A827C6))
+  (via (at 80.675 48.975) (size 0.4572) (layers TOP-L1 BOT-L6) (net 133))
+  (segment (start 79.575 47.875) (end 80.675 48.975) (width 0.1524) (layer BOT-L6) (net 133) (tstamp 51A827C0))
+  (segment (start 79.4 51.4) (end 79.4 50.275) (width 0.1524) (layer TOP-L1) (net 133))
+  (segment (start 81.85 48.4) (end 82.05 48.2) (width 0.1524) (layer TOP-L1) (net 133) (tstamp 51A827BA))
+  (segment (start 81.275 48.4) (end 81.85 48.4) (width 0.1524) (layer TOP-L1) (net 133) (tstamp 51A827B8))
+  (segment (start 79.4 50.275) (end 80.675 49) (width 0.1524) (layer TOP-L1) (net 133) (tstamp 51A827B6))
+  (segment (start 80.675 49) (end 81.275 48.4) (width 0.1524) (layer TOP-L1) (net 133) (tstamp 51A827C7))
+  (segment (start 79.4 57.2) (end 79.4 51.4) (width 0.1524) (layer TOP-L1) (net 133))
+  (segment (start 82.2776 47.9724) (end 82.2776 47.53332) (width 0.1524) (layer TOP-L1) (net 133) (tstamp 51A82703))
+  (segment (start 82.05 48.2) (end 82.2776 47.9724) (width 0.1524) (layer TOP-L1) (net 133) (tstamp 51A827BD))
+  (segment (start 78.59958 48.9) (end 79.6 48.9) (width 0.1524) (layer BOT-L6) (net 134))
+  (segment (start 79.675 48.975) (end 79.675 48.95) (width 0.1524) (layer TOP-L1) (net 134) (tstamp 51A827A5))
+  (via (at 79.675 48.975) (size 0.4572) (layers TOP-L1 BOT-L6) (net 134))
+  (segment (start 79.6 48.9) (end 79.675 48.975) (width 0.1524) (layer BOT-L6) (net 134) (tstamp 51A827A0))
+  (segment (start 79 51.15) (end 79 49.625) (width 0.1524) (layer TOP-L1) (net 134))
+  (segment (start 81.09168 47.53332) (end 81.77722 47.53332) (width 0.1524) (layer TOP-L1) (net 134) (tstamp 51A82789))
+  (segment (start 79 49.625) (end 79.675 48.95) (width 0.1524) (layer TOP-L1) (net 134) (tstamp 51A8277C))
+  (segment (start 79.675 48.95) (end 81.09168 47.53332) (width 0.1524) (layer TOP-L1) (net 134) (tstamp 51A827A6))
+  (segment (start 79 57.6) (end 79.4 58) (width 0.1524) (layer TOP-L1) (net 134) (tstamp 51A8270D))
+  (segment (start 79 51.15) (end 79 57.6) (width 0.1524) (layer TOP-L1) (net 134) (tstamp 51A8277A))
+  (segment (start 73 58) (end 73.4 57.6) (width 0.1524) (layer TOP-L1) (net 135))
+  (segment (start 73.4 57.6) (end 74.025 57.6) (width 0.1524) (layer TOP-L1) (net 135) (tstamp 51A72830))
+  (segment (start 73.95 54.05) (end 73.95 53.95) (width 0.1524) (layer Signal-L3) (net 135) (tstamp 51A7287B))
+  (segment (start 73.35 54.65) (end 73.95 54.05) (width 0.1524) (layer Signal-L3) (net 135) (tstamp 51A7287A))
+  (segment (start 73.35 55.625) (end 73.35 54.65) (width 0.1524) (layer Signal-L3) (net 135) (tstamp 51A72877))
+  (segment (start 74.2 56.475) (end 73.35 55.625) (width 0.1524) (layer Signal-L3) (net 135) (tstamp 51A72876))
+  (via (at 74.2 56.475) (size 0.4572) (layers TOP-L1 BOT-L6) (net 135))
+  (segment (start 74.2 57.425) (end 74.2 56.475) (width 0.1524) (layer TOP-L1) (net 135) (tstamp 51A7283A))
+  (segment (start 74.025 57.6) (end 74.2 57.425) (width 0.1524) (layer TOP-L1) (net 135) (tstamp 51A72836))
+  (segment (start 72.3 27.98) (end 71.24 26.92) (width 0.1524) (layer Signal-L3) (net 135) (tstamp 51A727D5))
+  (segment (start 72.3 35.675) (end 72.3 27.98) (width 0.1524) (layer Signal-L3) (net 135) (tstamp 51A727D1))
+  (segment (start 73.95 37.325) (end 72.3 35.675) (width 0.1524) (layer Signal-L3) (net 135) (tstamp 51A727BC))
+  (segment (start 73.95 53.95) (end 73.95 37.325) (width 0.1524) (layer Signal-L3) (net 135) (tstamp 51A7287F))
+  (segment (start 73 57.2) (end 73 56.575) (width 0.1524) (layer TOP-L1) (net 136))
+  (segment (start 72.65 25.79) (end 71.24 24.38) (width 0.1524) (layer Signal-L3) (net 136) (tstamp 51A728A8))
+  (segment (start 72.65 35.55) (end 72.65 25.79) (width 0.1524) (layer Signal-L3) (net 136) (tstamp 51A728A5))
+  (segment (start 74.275 37.175) (end 72.65 35.55) (width 0.1524) (layer Signal-L3) (net 136) (tstamp 51A728A2))
+  (segment (start 74.275 55.3) (end 74.275 37.175) (width 0.1524) (layer Signal-L3) (net 136) (tstamp 51A7289B))
+  (segment (start 74.05 55.525) (end 74.275 55.3) (width 0.1524) (layer Signal-L3) (net 136) (tstamp 51A7289A))
+  (via (at 74.05 55.525) (size 0.4572) (layers TOP-L1 BOT-L6) (net 136))
+  (segment (start 73 56.575) (end 74.05 55.525) (width 0.1524) (layer TOP-L1) (net 136) (tstamp 51A72885))
+  (segment (start 72.6 56.975) (end 72.6 55.7) (width 0.1524) (layer TOP-L1) (net 137))
+  (segment (start 75.5 52.8) (end 75.5 52.45) (width 0.1524) (layer TOP-L1) (net 137) (tstamp 51A7225A))
+  (segment (start 72.6 55.7) (end 75.5 52.8) (width 0.1524) (layer TOP-L1) (net 137) (tstamp 51A72259))
+  (segment (start 75.5 35.925) (end 75.5 35.475) (width 0.1524) (layer TOP-L1) (net 137))
+  (segment (start 78.455 32.52) (end 78.86 32.52) (width 0.1524) (layer TOP-L1) (net 137) (tstamp 51A721C7))
+  (segment (start 75.5 35.475) (end 78.455 32.52) (width 0.1524) (layer TOP-L1) (net 137) (tstamp 51A721C6))
+  (segment (start 75.5 52.45) (end 75.5 35.925) (width 0.1524) (layer TOP-L1) (net 137) (tstamp 51A7225D))
+  (segment (start 75.5 35.925) (end 75.5 35.775) (width 0.1524) (layer TOP-L1) (net 137) (tstamp 51A721C4))
+  (segment (start 72.2 58) (end 72.6 57.6) (width 0.1524) (layer TOP-L1) (net 137))
+  (segment (start 72.6 57.6) (end 72.6 56.975) (width 0.1524) (layer TOP-L1) (net 137) (tstamp 51A2EF26))
+  (segment (start 72.2 56.8) (end 72.2 55.55) (width 0.1524) (layer TOP-L1) (net 138))
+  (segment (start 75.2 52.55) (end 75.2 50.55) (width 0.1524) (layer TOP-L1) (net 138) (tstamp 51A72250))
+  (segment (start 72.2 55.55) (end 75.2 52.55) (width 0.1524) (layer TOP-L1) (net 138) (tstamp 51A7224F))
+  (segment (start 75.2 36.2) (end 75.2 35.2) (width 0.1524) (layer TOP-L1) (net 138))
+  (segment (start 77.375 31.465) (end 78.86 29.98) (width 0.1524) (layer TOP-L1) (net 138) (tstamp 51A721BE))
+  (segment (start 77.375 33.025) (end 77.375 31.465) (width 0.1524) (layer TOP-L1) (net 138) (tstamp 51A721BC))
+  (segment (start 75.2 35.2) (end 77.375 33.025) (width 0.1524) (layer TOP-L1) (net 138) (tstamp 51A721BA))
+  (segment (start 75.2 50.55) (end 75.2 36.2) (width 0.1524) (layer TOP-L1) (net 138) (tstamp 51A72255))
+  (segment (start 75.2 36.2) (end 75.2 35.95) (width 0.1524) (layer TOP-L1) (net 138) (tstamp 51A721B8))
+  (segment (start 72.2 57.2) (end 72.2 56.8) (width 0.1524) (layer TOP-L1) (net 138))
+  (segment (start 71.8 56.975) (end 71.8 55.5) (width 0.1524) (layer TOP-L1) (net 139))
+  (segment (start 74.9 52.4) (end 74.9 52.075) (width 0.1524) (layer TOP-L1) (net 139) (tstamp 51A72248))
+  (segment (start 71.8 55.5) (end 74.9 52.4) (width 0.1524) (layer TOP-L1) (net 139) (tstamp 51A72244))
+  (segment (start 74.9 36.55) (end 74.9 34.875) (width 0.1524) (layer TOP-L1) (net 139))
+  (segment (start 76.32 33.455) (end 76.32 32.52) (width 0.1524) (layer TOP-L1) (net 139) (tstamp 51A72196))
+  (segment (start 74.9 34.875) (end 76.32 33.455) (width 0.1524) (layer TOP-L1) (net 139) (tstamp 51A72194))
+  (segment (start 71.4 58.8) (end 71.8 58.4) (width 0.1524) (layer TOP-L1) (net 139))
+  (segment (start 74.9 52.075) (end 74.9 36.55) (width 0.1524) (layer TOP-L1) (net 139) (tstamp 51A7224B))
+  (segment (start 74.9 36.55) (end 74.9 36.425) (width 0.1524) (layer TOP-L1) (net 139) (tstamp 51A72192))
+  (segment (start 71.8 58.4) (end 71.8 56.975) (width 0.1524) (layer TOP-L1) (net 139) (tstamp 51A2F01F))
+  (segment (start 71 57.1) (end 71 55.825) (width 0.1524) (layer TOP-L1) (net 140))
+  (segment (start 74.625 52.2) (end 74.625 51.4) (width 0.1524) (layer TOP-L1) (net 140) (tstamp 51A7223C))
+  (segment (start 71 55.825) (end 74.625 52.2) (width 0.1524) (layer TOP-L1) (net 140) (tstamp 51A7223B))
+  (segment (start 74.625 38.125) (end 74.625 34.6) (width 0.1524) (layer TOP-L1) (net 140))
+  (segment (start 75.325 30.975) (end 76.32 29.98) (width 0.1524) (layer TOP-L1) (net 140) (tstamp 51A72183))
+  (segment (start 75.325 33.9) (end 75.325 30.975) (width 0.1524) (layer TOP-L1) (net 140) (tstamp 51A72181))
+  (segment (start 74.625 34.6) (end 75.325 33.9) (width 0.1524) (layer TOP-L1) (net 140) (tstamp 51A72180))
+  (segment (start 71.4 58) (end 71 57.6) (width 0.1524) (layer TOP-L1) (net 140))
+  (segment (start 74.625 51.4) (end 74.625 38.125) (width 0.1524) (layer TOP-L1) (net 140) (tstamp 51A72240))
+  (segment (start 74.625 38.125) (end 74.625 37.95) (width 0.1524) (layer TOP-L1) (net 140) (tstamp 51A7217E))
+  (segment (start 71 57.6) (end 71 57.1) (width 0.1524) (layer TOP-L1) (net 140) (tstamp 51A2F037))
+  (segment (start 70.2 57.1) (end 70.2 55.7) (width 0.1524) (layer TOP-L1) (net 141))
+  (segment (start 74.025 51.875) (end 74.025 51.4) (width 0.1524) (layer TOP-L1) (net 141) (tstamp 51A7222B))
+  (segment (start 70.2 55.7) (end 74.025 51.875) (width 0.1524) (layer TOP-L1) (net 141) (tstamp 51A72229))
+  (segment (start 73.78 32.52) (end 73.78 33.78) (width 0.1524) (layer TOP-L1) (net 141))
+  (segment (start 73.78 33.78) (end 74.025 34.025) (width 0.1524) (layer TOP-L1) (net 141) (tstamp 51A7216A))
+  (segment (start 74.025 34.025) (end 74.025 51.4) (width 0.1524) (layer TOP-L1) (net 141) (tstamp 51A7216E))
+  (segment (start 70.2 57.6) (end 70.6 58) (width 0.1524) (layer TOP-L1) (net 141) (tstamp 51A72179))
+  (segment (start 70.2 57.1) (end 70.2 57.6) (width 0.1524) (layer TOP-L1) (net 141) (tstamp 51A72227))
+  (segment (start 70.6 56.875) (end 70.6 55.75) (width 0.1524) (layer TOP-L1) (net 142))
+  (segment (start 74.325 52.025) (end 74.325 51.2) (width 0.1524) (layer TOP-L1) (net 142) (tstamp 51A72233))
+  (segment (start 70.6 55.75) (end 74.325 52.025) (width 0.1524) (layer TOP-L1) (net 142) (tstamp 51A72232))
+  (segment (start 74.325 37.45) (end 74.325 34.35) (width 0.1524) (layer TOP-L1) (net 142))
+  (segment (start 74.975 31.175) (end 73.78 29.98) (width 0.1524) (layer TOP-L1) (net 142) (tstamp 51A72165))
+  (segment (start 74.975 33.7) (end 74.975 31.175) (width 0.1524) (layer TOP-L1) (net 142) (tstamp 51A72163))
+  (segment (start 74.325 34.35) (end 74.975 33.7) (width 0.1524) (layer TOP-L1) (net 142) (tstamp 51A72162))
+  (segment (start 74.325 39.125) (end 74.325 37.45) (width 0.1524) (layer TOP-L1) (net 142))
+  (segment (start 74.325 51.2) (end 74.325 39.125) (width 0.1524) (layer TOP-L1) (net 142) (tstamp 51A72237))
+  (segment (start 74.325 39.125) (end 74.325 38.425) (width 0.1524) (layer TOP-L1) (net 142) (tstamp 51A7213F))
+  (segment (start 70.6 56.875) (end 70.6 56.875) (width 0.1524) (layer TOP-L1) (net 142) (tstamp 51A2F052))
+  (segment (start 70.6 57.2) (end 70.6 56.875) (width 0.1524) (layer TOP-L1) (net 142))
+  (segment (start 101.05 54.175) (end 102.35 55.475) (width 0.1524) (layer Signal-L5) (net 143))
+  (segment (start 85.65 65.95) (end 85.325 66.275) (width 0.1524) (layer Signal-L5) (net 143) (tstamp 51A5AAED))
+  (segment (start 101.4 65.95) (end 85.65 65.95) (width 0.1524) (layer Signal-L5) (net 143) (tstamp 51A5AAE9))
+  (segment (start 102.35 65) (end 101.4 65.95) (width 0.1524) (layer Signal-L5) (net 143) (tstamp 51A5AAE2))
+  (segment (start 102.35 55.475) (end 102.35 65) (width 0.1524) (layer Signal-L5) (net 143) (tstamp 51A5AADA))
+  (segment (start 83.8 67.2) (end 83.4 67.6) (width 0.1524) (layer TOP-L1) (net 143) (tstamp 51A5A398))
+  (via (at 83.8 67.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 143))
+  (segment (start 83.825 67.2) (end 83.8 67.2) (width 0.1524) (layer Signal-L5) (net 143) (tstamp 51A5A38C))
+  (segment (start 84.225 66.8) (end 83.825 67.2) (width 0.1524) (layer Signal-L5) (net 143) (tstamp 51A5A387))
+  (segment (start 84.8 66.8) (end 84.225 66.8) (width 0.1524) (layer Signal-L5) (net 143) (tstamp 51A5A386))
+  (segment (start 85.325 66.275) (end 84.8 66.8) (width 0.1524) (layer Signal-L5) (net 143) (tstamp 51A5AAF4))
+  (segment (start 85.45 66.65) (end 85.8 66.3) (width 0.1524) (layer Signal-L5) (net 144))
+  (segment (start 102.725 53.825) (end 101.025 52.125) (width 0.1524) (layer Signal-L5) (net 144) (tstamp 51A5AAD4))
+  (segment (start 102.725 65.175) (end 102.725 53.825) (width 0.1524) (layer Signal-L5) (net 144) (tstamp 51A5AAC0))
+  (segment (start 101.6 66.3) (end 102.725 65.175) (width 0.1524) (layer Signal-L5) (net 144) (tstamp 51A5AABA))
+  (segment (start 85.8 66.3) (end 101.6 66.3) (width 0.1524) (layer Signal-L5) (net 144) (tstamp 51A5AAB7))
+  (segment (start 84.2 67.6) (end 84.6 67.2) (width 0.1524) (layer TOP-L1) (net 144))
+  (segment (start 84.9 67.2) (end 85.45 66.65) (width 0.1524) (layer Signal-L5) (net 144) (tstamp 51A5A34A))
+  (segment (start 84.6 67.2) (end 84.9 67.2) (width 0.1524) (layer Signal-L5) (net 144) (tstamp 51A5A349))
+  (via (at 84.6 67.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 144))
+  (segment (start 100.725 66.775) (end 101.75 66.775) (width 0.1524) (layer Signal-L5) (net 145))
+  (segment (start 104.875 57.15) (end 104.875 56.825) (width 0.1524) (layer Signal-L5) (net 145) (tstamp 51A743BA))
+  (segment (start 103.325 58.7) (end 104.875 57.15) (width 0.1524) (layer Signal-L5) (net 145) (tstamp 51A743B8))
+  (segment (start 103.325 65.2) (end 103.325 58.7) (width 0.1524) (layer Signal-L5) (net 145) (tstamp 51A743B4))
+  (segment (start 101.75 66.775) (end 103.325 65.2) (width 0.1524) (layer Signal-L5) (net 145) (tstamp 51A743B2))
+  (segment (start 95.55 66.775) (end 100.725 66.775) (width 0.1524) (layer Signal-L5) (net 145))
+  (segment (start 103.725 54.15) (end 104.875 55.3) (width 0.1524) (layer Signal-L5) (net 145))
+  (segment (start 104.875 55.3) (end 104.875 56.825) (width 0.1524) (layer Signal-L5) (net 145) (tstamp 51A5A2D7))
+  (segment (start 83.8 68) (end 83.4 68.4) (width 0.1524) (layer TOP-L1) (net 145) (tstamp 51A5A310))
+  (via (at 83.8 68) (size 0.4572) (layers TOP-L1 BOT-L6) (net 145))
+  (segment (start 84.2 67.6) (end 83.8 68) (width 0.1524) (layer Signal-L5) (net 145) (tstamp 51A5A307))
+  (segment (start 85 67.6) (end 84.2 67.6) (width 0.1524) (layer Signal-L5) (net 145) (tstamp 51A5A306))
+  (segment (start 85.825 66.775) (end 85 67.6) (width 0.1524) (layer Signal-L5) (net 145) (tstamp 51A5A2F9))
+  (segment (start 95.55 66.775) (end 85.825 66.775) (width 0.1524) (layer Signal-L5) (net 145) (tstamp 51A5AA76))
+  (segment (start 105.225 56.5) (end 105.225 57.325) (width 0.1524) (layer Signal-L5) (net 146))
+  (segment (start 101.975 67.1) (end 101.525 67.1) (width 0.1524) (layer Signal-L5) (net 146) (tstamp 51A743D0))
+  (segment (start 103.7 65.375) (end 101.975 67.1) (width 0.1524) (layer Signal-L5) (net 146) (tstamp 51A743C9))
+  (segment (start 103.7 58.85) (end 103.7 65.375) (width 0.1524) (layer Signal-L5) (net 146) (tstamp 51A743C5))
+  (segment (start 105.225 57.325) (end 103.7 58.85) (width 0.1524) (layer Signal-L5) (net 146) (tstamp 51A743C3))
+  (segment (start 95.45 67.1) (end 101.525 67.1) (width 0.1524) (layer Signal-L5) (net 146))
+  (segment (start 84.2 68.4) (end 84.6 68) (width 0.1524) (layer TOP-L1) (net 146))
+  (segment (start 85.95 67.1) (end 95.45 67.1) (width 0.1524) (layer Signal-L5) (net 146) (tstamp 51A5A322))
+  (segment (start 85.05 68) (end 85.95 67.1) (width 0.1524) (layer Signal-L5) (net 146) (tstamp 51A5A31C))
+  (segment (start 84.6 68) (end 85.05 68) (width 0.1524) (layer Signal-L5) (net 146) (tstamp 51A5A31B))
+  (via (at 84.6 68) (size 0.4572) (layers TOP-L1 BOT-L6) (net 146))
+  (segment (start 105.225 53.61) (end 103.735 52.12) (width 0.1524) (layer Signal-L5) (net 146) (tstamp 51A5A332))
+  (segment (start 105.225 56.5) (end 105.225 53.61) (width 0.1524) (layer Signal-L5) (net 146) (tstamp 51A743C1))
+  (segment (start 82.02 82.9) (end 83.04 83.92) (width 0.1524) (layer TOP-L1) (net 147))
+  (segment (start 99.4833 85.1833) (end 99.4833 85.7546) (width 0.1524) (layer BOT-L6) (net 147) (tstamp 51A5D9AC))
+  (segment (start 98.22 83.92) (end 99.4833 85.1833) (width 0.1524) (layer BOT-L6) (net 147) (tstamp 51A5D9AB))
+  (via (at 98.22 83.92) (size 0.4572) (layers TOP-L1 BOT-L6) (net 147))
+  (segment (start 83.04 83.92) (end 98.22 83.92) (width 0.1524) (layer TOP-L1) (net 147) (tstamp 51A5D9A3))
+  (segment (start 80.2 74) (end 80.6 74.4) (width 0.1524) (layer TOP-L1) (net 147))
+  (segment (start 80.6 81.48) (end 82.02 82.9) (width 0.1524) (layer TOP-L1) (net 147) (tstamp 51A5D972))
+  (segment (start 80.6 74.4) (end 80.6 81.48) (width 0.1524) (layer TOP-L1) (net 147) (tstamp 51A5D96D))
+  (segment (start 81.84 83.27) (end 82.99 84.42) (width 0.1524) (layer TOP-L1) (net 148))
+  (segment (start 100.4347 84.42) (end 101.7693 85.7546) (width 0.1524) (layer TOP-L1) (net 148) (tstamp 51A5D99C))
+  (segment (start 82.99 84.42) (end 100.4347 84.42) (width 0.1524) (layer TOP-L1) (net 148) (tstamp 51A5D997))
+  (segment (start 80.2 78.86) (end 80.2 81.63) (width 0.1524) (layer TOP-L1) (net 148))
+  (segment (start 80.2 81.63) (end 81.84 83.27) (width 0.1524) (layer TOP-L1) (net 148) (tstamp 51A5D95D))
+  (segment (start 80.2 74.8) (end 80.2 78.86) (width 0.1524) (layer TOP-L1) (net 148))
+  (segment (start 81 79.02) (end 81 81.29) (width 0.1524) (layer TOP-L1) (net 149))
+  (segment (start 101.62578 83.32) (end 104.06038 85.7546) (width 0.1524) (layer TOP-L1) (net 149) (tstamp 51A5D9BD))
+  (segment (start 83.03 83.32) (end 101.62578 83.32) (width 0.1524) (layer TOP-L1) (net 149) (tstamp 51A5D9B9))
+  (segment (start 81 81.29) (end 83.03 83.32) (width 0.1524) (layer TOP-L1) (net 149) (tstamp 51A5D9B7))
+  (segment (start 81 74.8) (end 81 79.02) (width 0.1524) (layer TOP-L1) (net 149))
+  (segment (start 84.2 73.2) (end 84.6 72.8) (width 0.1524) (layer TOP-L1) (net 150))
+  (segment (start 108.65 78.8) (end 108.65 77.595) (width 0.1524) (layer Signal-L5) (net 150) (tstamp 51A83921))
+  (segment (start 107.625 79.825) (end 108.65 78.8) (width 0.1524) (layer Signal-L5) (net 150) (tstamp 51A8391F))
+  (segment (start 100.1 79.825) (end 107.625 79.825) (width 0.1524) (layer Signal-L5) (net 150) (tstamp 51A8391D))
+  (segment (start 95.025 74.75) (end 100.1 79.825) (width 0.1524) (layer Signal-L5) (net 150) (tstamp 51A8391A))
+  (segment (start 88.125 74.75) (end 95.025 74.75) (width 0.1524) (layer Signal-L5) (net 150) (tstamp 51A83918))
+  (segment (start 86.175 72.8) (end 88.125 74.75) (width 0.1524) (layer Signal-L5) (net 150) (tstamp 51A8390A))
+  (segment (start 84.6 72.8) (end 86.175 72.8) (width 0.1524) (layer Signal-L5) (net 150) (tstamp 51A83909))
+  (via (at 84.6 72.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 150))
+  (segment (start 83.4 71.6) (end 83.8 72) (width 0.1524) (layer TOP-L1) (net 151))
+  (segment (start 104.89 76.275) (end 106.11 75.055) (width 0.1524) (layer Signal-L5) (net 151) (tstamp 51A839B0))
+  (segment (start 99.375 76.275) (end 104.89 76.275) (width 0.1524) (layer Signal-L5) (net 151) (tstamp 51A839AE))
+  (segment (start 96.9 73.8) (end 99.375 76.275) (width 0.1524) (layer Signal-L5) (net 151) (tstamp 51A839AB))
+  (segment (start 88.525 73.8) (end 96.9 73.8) (width 0.1524) (layer Signal-L5) (net 151) (tstamp 51A839A4))
+  (segment (start 86.725 72) (end 88.525 73.8) (width 0.1524) (layer Signal-L5) (net 151) (tstamp 51A8399F))
+  (segment (start 84.75 72) (end 86.725 72) (width 0.1524) (layer Signal-L5) (net 151) (tstamp 51A8399E))
+  (segment (start 83.8 72) (end 84.75 72) (width 0.1524) (layer Signal-L5) (net 151) (tstamp 51A8399D))
+  (via (at 83.8 72) (size 0.4572) (layers TOP-L1 BOT-L6) (net 151))
+  (segment (start 83 71.725) (end 83 71.95) (width 0.1524) (layer Signal-L5) (net 152))
+  (segment (start 83 71.8) (end 83 71.725) (width 0.1524) (layer Signal-L5) (net 152) (tstamp 51A83976))
+  (segment (start 86.575 72.4) (end 87.1 72.925) (width 0.1524) (layer Signal-L5) (net 152) (tstamp 51A83986))
+  (segment (start 83.45 72.4) (end 86.575 72.4) (width 0.1524) (layer Signal-L5) (net 152) (tstamp 51A83984))
+  (segment (start 83 71.95) (end 83.45 72.4) (width 0.1524) (layer Signal-L5) (net 152) (tstamp 51A83983))
+  (segment (start 83 71.8) (end 83 71.2) (width 0.1524) (layer Signal-L5) (net 152))
+  (segment (start 83 71.2) (end 83.4 70.8) (width 0.1524) (layer TOP-L1) (net 152) (tstamp 51A8397A))
+  (via (at 83 71.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 152))
+  (segment (start 106.11 77.595) (end 106.11 78.39) (width 0.1524) (layer Signal-L5) (net 152))
+  (segment (start 88.45 74.275) (end 87.1 72.925) (width 0.1524) (layer Signal-L5) (net 152) (tstamp 51A83932))
+  (segment (start 95.425 74.275) (end 88.45 74.275) (width 0.1524) (layer Signal-L5) (net 152) (tstamp 51A8392F))
+  (segment (start 100.45 79.3) (end 95.425 74.275) (width 0.1524) (layer Signal-L5) (net 152) (tstamp 51A8392D))
+  (segment (start 105.2 79.3) (end 100.45 79.3) (width 0.1524) (layer Signal-L5) (net 152) (tstamp 51A8392B))
+  (segment (start 106.11 78.39) (end 105.2 79.3) (width 0.1524) (layer Signal-L5) (net 152) (tstamp 51A83929))
+  (segment (start 103.57 75.055) (end 99.08 75.055) (width 0.1524) (layer Signal-L5) (net 153))
+  (segment (start 84.6 71.2) (end 84.2 71.6) (width 0.1524) (layer TOP-L1) (net 153) (tstamp 51A839BE))
+  (via (at 84.6 71.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 153))
+  (segment (start 86.6 71.2) (end 84.6 71.2) (width 0.1524) (layer Signal-L5) (net 153) (tstamp 51A839BA))
+  (segment (start 88.7 73.3) (end 86.6 71.2) (width 0.1524) (layer Signal-L5) (net 153) (tstamp 51A839B8))
+  (segment (start 97.325 73.3) (end 88.7 73.3) (width 0.1524) (layer Signal-L5) (net 153) (tstamp 51A839B6))
+  (segment (start 99.08 75.055) (end 97.325 73.3) (width 0.1524) (layer Signal-L5) (net 153) (tstamp 51A839B4))
+  (segment (start 60.075 34.287) (end 60.075 35.8525) (width 0.8) (layer TOP-L1) (net 154))
+  (segment (start 60.075 35.8525) (end 59.9525 35.975) (width 0.8) (layer TOP-L1) (net 154) (tstamp 51A6FADC))
+  (segment (start 60.675 39.85) (end 60.675 37.475) (width 0.5) (layer BOT-L6) (net 154))
+  (segment (start 61.12544 40.30044) (end 60.675 39.85) (width 0.3) (layer TOP-L1) (net 154) (tstamp 51A6F9BB))
+  (via (at 60.675 39.85) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 154))
+  (segment (start 62.09918 40.30044) (end 61.12544 40.30044) (width 0.3) (layer TOP-L1) (net 154))
+  (segment (start 60.575 35.975) (end 59.9525 35.975) (width 0.5) (layer TOP-L1) (net 154) (tstamp 51A6FA23))
+  (segment (start 61.175 36.575) (end 60.575 35.975) (width 0.5) (layer TOP-L1) (net 154) (tstamp 51A6FA22))
+  (via (at 61.175 36.575) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 154))
+  (segment (start 61.175 36.975) (end 61.175 36.575) (width 0.5) (layer BOT-L6) (net 154) (tstamp 51A6FA1B))
+  (segment (start 60.675 37.475) (end 61.175 36.975) (width 0.5) (layer BOT-L6) (net 154) (tstamp 51A6FA14))
+  (segment (start 53.875 43.7) (end 53.875 47.425) (width 0.2) (layer BOT-L6) (net 155))
+  (segment (start 61.825 48.775) (end 62.475 48.775) (width 0.2) (layer BOT-L6) (net 155) (tstamp 51A82F9C))
+  (segment (start 60.975 49.625) (end 61.825 48.775) (width 0.2) (layer BOT-L6) (net 155) (tstamp 51A82F9B))
+  (segment (start 56.075 49.625) (end 60.975 49.625) (width 0.2) (layer BOT-L6) (net 155) (tstamp 51A82F99))
+  (segment (start 53.875 47.425) (end 56.075 49.625) (width 0.2) (layer BOT-L6) (net 155) (tstamp 51A82F90))
+  (segment (start 66.09968 48.49956) (end 66.09968 49.45032) (width 0.2) (layer TOP-L1) (net 155))
+  (segment (start 66.09968 49.45032) (end 66.025 49.525) (width 0.2) (layer TOP-L1) (net 155) (tstamp 51A6FD11))
+  (via (at 66.025 49.525) (size 0.4572) (layers TOP-L1 BOT-L6) (net 155))
+  (segment (start 66.025 49.525) (end 65.275 48.775) (width 0.2) (layer BOT-L6) (net 155) (tstamp 51A6FD26))
+  (segment (start 65.275 48.775) (end 62.475 48.775) (width 0.2) (layer BOT-L6) (net 155) (tstamp 51A6FD27))
+  (segment (start 53.05 25.775) (end 53.05 25.75) (width 0.2) (layer BOT-L6) (net 155) (tstamp 51A6FD56))
+  (segment (start 53.05 29.025) (end 53.05 25.775) (width 0.2) (layer BOT-L6) (net 155) (tstamp 51A6FD52))
+  (segment (start 50.95 31.125) (end 53.05 29.025) (width 0.2) (layer BOT-L6) (net 155) (tstamp 51A6FD50))
+  (segment (start 50.95 34.25) (end 50.95 31.125) (width 0.2) (layer BOT-L6) (net 155) (tstamp 51A6FD42))
+  (segment (start 53.875 37.175) (end 50.95 34.25) (width 0.2) (layer BOT-L6) (net 155) (tstamp 51A6FD3E))
+  (segment (start 53.875 43.7) (end 53.875 37.175) (width 0.2) (layer BOT-L6) (net 155) (tstamp 51A82F8E))
+  (segment (start 53.42542 25.75) (end 53.05 25.75) (width 0.2) (layer BOT-L6) (net 155))
+  (segment (start 53.05 25.75) (end 52.59958 25.75) (width 0.2) (layer BOT-L6) (net 155) (tstamp 51A6FD57))
+  (segment (start 52.59958 25.75) (end 52.57458 25.775) (width 0.2) (layer BOT-L6) (net 155) (tstamp 51A6F71E))
+  (segment (start 68.94956 46.15006) (end 67.92506 46.15006) (width 0.2) (layer TOP-L1) (net 156))
+  (segment (start 65.275 43.5) (end 64.275 43.5) (width 0.2) (layer Signal-L3) (net 156) (tstamp 51A6FC38))
+  (segment (start 67.575 45.8) (end 65.275 43.5) (width 0.2) (layer Signal-L3) (net 156) (tstamp 51A6FC37))
+  (via (at 67.575 45.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 156))
+  (segment (start 67.92506 46.15006) (end 67.575 45.8) (width 0.2) (layer TOP-L1) (net 156) (tstamp 51A6FC28))
+  (segment (start 45.05 31.67) (end 41.055 27.675) (width 0.2) (layer Signal-L3) (net 156) (tstamp 51A6F74F))
+  (segment (start 45.05 35.15) (end 45.05 31.67) (width 0.2) (layer Signal-L3) (net 156) (tstamp 51A6F74D))
+  (segment (start 41.525 38.675) (end 45.05 35.15) (width 0.2) (layer Signal-L3) (net 156) (tstamp 51A6F74B))
+  (segment (start 41.525 42.875) (end 41.525 38.675) (width 0.2) (layer Signal-L3) (net 156) (tstamp 51A6F749))
+  (segment (start 42.95 44.3) (end 41.525 42.875) (width 0.2) (layer Signal-L3) (net 156) (tstamp 51A6F747))
+  (segment (start 59.75 44.3) (end 42.95 44.3) (width 0.2) (layer Signal-L3) (net 156) (tstamp 51A6F745))
+  (segment (start 60.55 43.5) (end 59.75 44.3) (width 0.2) (layer Signal-L3) (net 156) (tstamp 51A6F744))
+  (segment (start 64.275 43.5) (end 60.55 43.5) (width 0.2) (layer Signal-L3) (net 156) (tstamp 51A6FC43))
+  (segment (start 41.055 27.675) (end 39.10042 27.675) (width 0.2) (layer BOT-L6) (net 156))
+  (segment (start 39.10042 27.675) (end 39.1 27.67542) (width 0.2) (layer BOT-L6) (net 156) (tstamp 51A6F736))
+  (segment (start 63.875 38.575) (end 63.875 37.015) (width 0.3) (layer Signal-L5) (net 157))
+  (segment (start 64.17 36.72) (end 64.17 36.34958) (width 0.3) (layer TOP-L1) (net 157) (tstamp 51A836FD))
+  (segment (start 63.88 37.01) (end 64.17 36.72) (width 0.3) (layer TOP-L1) (net 157) (tstamp 51A836FC))
+  (via (at 63.88 37.01) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 157))
+  (segment (start 63.875 37.015) (end 63.88 37.01) (width 0.3) (layer Signal-L5) (net 157) (tstamp 51A836FA))
+  (segment (start 65.208 36.74) (end 64.56042 36.74) (width 0.3) (layer TOP-L1) (net 157))
+  (segment (start 64.56042 36.74) (end 64.17 36.34958) (width 0.3) (layer TOP-L1) (net 157) (tstamp 51A836EF))
+  (segment (start 64.17 36.34958) (end 62.89708 36.34958) (width 0.3) (layer TOP-L1) (net 157))
+  (segment (start 62.89708 36.34958) (end 62.72 36.1725) (width 0.3) (layer TOP-L1) (net 157) (tstamp 51A836EC))
+  (segment (start 48.315 41.465) (end 48.315 42.315) (width 0.3) (layer Signal-L3) (net 157))
+  (segment (start 63.875 38.6) (end 63.875 38.575) (width 0.3) (layer Signal-L3) (net 157) (tstamp 51A6F5C7))
+  (segment (start 63.45 38.175) (end 63.875 38.6) (width 0.3) (layer Signal-L3) (net 157) (tstamp 51A6F5C4))
+  (segment (start 56.75 38.175) (end 63.45 38.175) (width 0.3) (layer Signal-L3) (net 157) (tstamp 51A6F5C0))
+  (segment (start 51.725 43.2) (end 56.75 38.175) (width 0.3) (layer Signal-L3) (net 157) (tstamp 51A6F5BE))
+  (segment (start 49.2 43.2) (end 51.725 43.2) (width 0.3) (layer Signal-L3) (net 157) (tstamp 51A6F5BD))
+  (segment (start 48.315 42.315) (end 49.2 43.2) (width 0.3) (layer Signal-L3) (net 157) (tstamp 51A6F5AA))
+  (segment (start 64.1007 40.30044) (end 64.1007 38.8007) (width 0.2) (layer TOP-L1) (net 157))
+  (segment (start 64.1007 38.8007) (end 63.875 38.575) (width 0.2) (layer TOP-L1) (net 157) (tstamp 51A59BD2))
+  (via (at 63.875 38.575) (size 0.4572) (layers TOP-L1 BOT-L6) (net 157))
+  (segment (start 47.045 38.925) (end 47.045 40.195) (width 0.3) (layer TOP-L1) (net 157))
+  (segment (start 47.045 40.195) (end 48.315 41.465) (width 0.3) (layer TOP-L1) (net 157) (tstamp 51A59B81))
+  (segment (start 49.585 38.925) (end 49.585 38.765) (width 0.1524) (layer TOP-L1) (net 158))
+  (segment (start 64.60108 38.42608) (end 64.60108 40.30044) (width 0.1524) (layer TOP-L1) (net 158) (tstamp 51A59B67))
+  (segment (start 64.1 37.925) (end 64.60108 38.42608) (width 0.1524) (layer TOP-L1) (net 158) (tstamp 51A59B65))
+  (segment (start 53.45 37.925) (end 64.1 37.925) (width 0.1524) (layer TOP-L1) (net 158) (tstamp 51A59B60))
+  (segment (start 52.95 37.425) (end 53.45 37.925) (width 0.1524) (layer TOP-L1) (net 158) (tstamp 51A59B5D))
+  (segment (start 50.925 37.425) (end 52.95 37.425) (width 0.1524) (layer TOP-L1) (net 158) (tstamp 51A59B5B))
+  (segment (start 49.585 38.765) (end 50.925 37.425) (width 0.1524) (layer TOP-L1) (net 158) (tstamp 51A59B56))
+  (segment (start 65.09892 40.30044) (end 65.09892 38.39892) (width 0.1524) (layer TOP-L1) (net 159))
+  (segment (start 45.775 38.025) (end 45.775 41.465) (width 0.1524) (layer TOP-L1) (net 159) (tstamp 51A59B7D))
+  (segment (start 46.725 37.075) (end 45.775 38.025) (width 0.1524) (layer TOP-L1) (net 159) (tstamp 51A59B72))
+  (segment (start 53.125 37.075) (end 46.725 37.075) (width 0.1524) (layer TOP-L1) (net 159) (tstamp 51A59B71))
+  (segment (start 53.65 37.6) (end 53.125 37.075) (width 0.1524) (layer TOP-L1) (net 159) (tstamp 51A59B70))
+  (segment (start 64.3 37.6) (end 53.65 37.6) (width 0.1524) (layer TOP-L1) (net 159) (tstamp 51A59B6E))
+  (segment (start 65.09892 38.39892) (end 64.3 37.6) (width 0.1524) (layer TOP-L1) (net 159) (tstamp 51A59B6A))
+  (segment (start 52.125 38.925) (end 62.8 38.925) (width 0.1524) (layer TOP-L1) (net 160))
+  (segment (start 63.09994 39.22494) (end 63.09994 40.30044) (width 0.1524) (layer TOP-L1) (net 160) (tstamp 51A59B34))
+  (segment (start 62.8 38.925) (end 63.09994 39.22494) (width 0.1524) (layer TOP-L1) (net 160) (tstamp 51A59B33))
+  (segment (start 50.855 41.465) (end 50.855 38.42) (width 0.1524) (layer TOP-L1) (net 161))
+  (segment (start 63.60032 39.20032) (end 63.60032 40.30044) (width 0.1524) (layer TOP-L1) (net 161) (tstamp 51A59B47))
+  (segment (start 62.95 38.55) (end 63.60032 39.20032) (width 0.1524) (layer TOP-L1) (net 161) (tstamp 51A59B43))
+  (segment (start 53.425 38.55) (end 62.95 38.55) (width 0.1524) (layer TOP-L1) (net 161) (tstamp 51A59B41))
+  (segment (start 52.675 37.8) (end 53.425 38.55) (width 0.1524) (layer TOP-L1) (net 161) (tstamp 51A59B3F))
+  (segment (start 51.475 37.8) (end 52.675 37.8) (width 0.1524) (layer TOP-L1) (net 161) (tstamp 51A59B3D))
+  (segment (start 50.855 38.42) (end 51.475 37.8) (width 0.1524) (layer TOP-L1) (net 161) (tstamp 51A59B3B))
+  (segment (start 77.4 63.2) (end 77.4 62.5) (width 0.5) (layer BOT-L6) (net 162))
+  (segment (start 77.425 62.475) (end 77.425 62.375) (width 0.5) (layer BOT-L6) (net 162) (tstamp 51A839F4))
+  (segment (start 77.4 62.5) (end 77.425 62.475) (width 0.5) (layer BOT-L6) (net 162) (tstamp 51A839F2))
+  (segment (start 78.2 63.2) (end 78.2 62.375) (width 0.5) (layer BOT-L6) (net 162))
+  (segment (start 78.2 62.375) (end 78.2 62.4) (width 0.5) (layer BOT-L6) (net 162) (tstamp 51A839EF))
+  (segment (start 80.52 62.18) (end 81.05 61.65) (width 0.8) (layer BOT-L6) (net 162))
+  (segment (start 78.2 62.4) (end 80.3 62.4) (width 0.5) (layer BOT-L6) (net 162) (tstamp 51A839F0))
+  (segment (start 80.3 62.4) (end 80.52 62.18) (width 0.8) (layer BOT-L6) (net 162))
+  (segment (start 86.65 56.2) (end 86.65 59.09) (width 0.8) (layer BOT-L6) (net 162) (tstamp 51A831B8))
+  (segment (start 87.45 55.4) (end 86.65 56.2) (width 0.8) (layer BOT-L6) (net 162) (tstamp 51A831B7))
+  (segment (start 87.45 53.575) (end 87.45 55.4) (width 0.8) (layer BOT-L6) (net 162))
+  (segment (start 81.05 61.65) (end 83.58 61.65) (width 0.8) (layer BOT-L6) (net 162) (tstamp 51A83426))
+  (segment (start 83.58 61.65) (end 84.22 61.01) (width 0.8) (layer BOT-L6) (net 162) (tstamp 51A83427))
+  (segment (start 84.22 61.01) (end 85.59 61.01) (width 0.8) (layer BOT-L6) (net 162) (tstamp 51A83429))
+  (segment (start 85.59 61.01) (end 86.64 59.96) (width 0.8) (layer BOT-L6) (net 162) (tstamp 51A8342D))
+  (segment (start 86.64 59.96) (end 86.64 59.09) (width 0.8) (layer BOT-L6) (net 162) (tstamp 51A83431))
+  (segment (start 86.64 59.09) (end 86.65 59.09) (width 0.8) (layer BOT-L6) (net 162) (tstamp 51A83437))
+  (segment (start 87.45 53.575) (end 88.1225 53.575) (width 0.5) (layer TOP-L1) (net 162))
+  (segment (start 88.1225 53.575) (end 89.4725 52.225) (width 0.5) (layer TOP-L1) (net 162) (tstamp 51A831B4))
+  (segment (start 85.053 53.4) (end 87.275 53.4) (width 0.5) (layer TOP-L1) (net 162))
+  (segment (start 87.275 53.4) (end 87.45 53.575) (width 0.5) (layer TOP-L1) (net 162) (tstamp 51A831B1))
+  (segment (start 89.4975 53.95) (end 87.825 53.95) (width 0.5) (layer TOP-L1) (net 162))
+  (segment (start 87.825 53.95) (end 87.45 53.575) (width 0.5) (layer TOP-L1) (net 162) (tstamp 51A831AE))
+  (segment (start 89.4725 52.225) (end 89.4725 53.925) (width 0.5) (layer TOP-L1) (net 162))
+  (segment (start 89.4725 53.925) (end 89.4975 53.95) (width 0.5) (layer TOP-L1) (net 162) (tstamp 51A831AB))
+  (segment (start 86.2781 50.675) (end 86.2781 50.6969) (width 0.5) (layer TOP-L1) (net 162))
+  (segment (start 85.053 51.922) (end 85.053 53.4) (width 0.5) (layer TOP-L1) (net 162) (tstamp 51A830D3))
+  (segment (start 86.2781 50.6969) (end 85.053 51.922) (width 0.5) (layer TOP-L1) (net 162) (tstamp 51A830D1))
+  (segment (start 76.6 63.2) (end 77.425 62.375) (width 0.5) (layer BOT-L6) (net 162))
+  (segment (start 77.425 62.375) (end 77.4 62.4) (width 0.5) (layer BOT-L6) (net 162) (tstamp 51A839F5))
+  (segment (start 77.4 62.4) (end 78.2 62.4) (width 0.5) (layer BOT-L6) (net 162) (tstamp 51A600B8))
+  (segment (start 87.14042 50.19) (end 86.34 50.19) (width 0.3) (layer TOP-L1) (net 162))
+  (segment (start 86.34 50.19) (end 86.2781 50.19) (width 0.3) (layer TOP-L1) (net 162) (tstamp 51A5D648))
+  (segment (start 86.2781 48.32) (end 86.2781 50.19) (width 0.5) (layer TOP-L1) (net 162))
+  (segment (start 86.2781 50.19) (end 86.2781 50.675) (width 0.5) (layer TOP-L1) (net 162) (tstamp 51A5D649))
+  (segment (start 86.2781 47.53332) (end 86.2781 48.32) (width 0.2) (layer TOP-L1) (net 162))
+  (segment (start 86.2781 48.32) (end 86.2781 48.3081) (width 0.2) (layer TOP-L1) (net 162) (tstamp 51A5D628))
+  (segment (start 86.2781 48.3081) (end 86.28 48.31) (width 0.2) (layer TOP-L1) (net 162) (tstamp 51A5D625))
+  (segment (start 78.6 62.8) (end 78.2 63.2) (width 0.1524) (layer TOP-L1) (net 162))
+  (segment (start 78.2 63.2) (end 77.8 62.8) (width 0.1524) (layer TOP-L1) (net 162))
+  (segment (start 77.55 63.87542) (end 77.55 63.35) (width 0.1524) (layer BOT-L6) (net 162))
+  (via (at 77.4 63.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 162))
+  (segment (start 77.55 63.35) (end 77.4 63.2) (width 0.1524) (layer BOT-L6) (net 162) (tstamp 51887492))
+  (segment (start 77.8 63.6) (end 77.4 63.2) (width 0.1524) (layer TOP-L1) (net 162))
+  (segment (start 77.4 63.2) (end 77 63.6) (width 0.1524) (layer TOP-L1) (net 162))
+  (segment (start 77 62.8) (end 76.6 63.2) (width 0.1524) (layer TOP-L1) (net 162))
+  (segment (start 76.2 62.8) (end 76.6 63.2) (width 0.1524) (layer TOP-L1) (net 162))
+  (segment (start 76.6 63.2) (end 76.6 63.2) (width 0.1524) (layer BOT-L6) (net 162) (tstamp 5188743E))
+  (segment (start 76.6 63.2) (end 76.6 63.2) (width 0.1524) (layer BOT-L6) (net 162) (tstamp 51A600B6))
+  (segment (start 76.6 63.2) (end 76.6 63.425) (width 0.1524) (layer BOT-L6) (net 162) (tstamp 5190755E))
+  (via (at 76.6 63.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 162))
+  (segment (start 76.6 63.425) (end 76.6 63.87542) (width 0.1524) (layer BOT-L6) (net 162) (tstamp 518874A7))
+  (segment (start 78.5 63.87542) (end 78.5 63.5) (width 0.1524) (layer BOT-L6) (net 162))
+  (via (at 78.2 63.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 162))
+  (segment (start 78.5 63.5) (end 78.2 63.2) (width 0.1524) (layer BOT-L6) (net 162) (tstamp 5188749B))
+  (segment (start 74.6 65.2) (end 74.2 64.8) (width 0.1524) (layer TOP-L1) (net 163))
+  (segment (start 74.2 64.75) (end 74.2 64.32042) (width 0.1524) (layer BOT-L6) (net 163))
+  (segment (start 74.2 64.32042) (end 74.42042 64.1) (width 0.1524) (layer BOT-L6) (net 163) (tstamp 51A8790E))
+  (segment (start 80.675 63.975) (end 79.825 63.975) (width 0.3) (layer BOT-L6) (net 163))
+  (via (at 79.8 64) (size 0.4572) (layers TOP-L1 BOT-L6) (net 163))
+  (segment (start 79.825 63.975) (end 79.8 64) (width 0.3) (layer BOT-L6) (net 163) (tstamp 51A83A17))
+  (segment (start 94.78 50.318) (end 98.218 50.318) (width 0.8) (layer TOP-L1) (net 163))
+  (segment (start 98.218 50.318) (end 98.325 50.425) (width 0.8) (layer TOP-L1) (net 163) (tstamp 51A739F7))
+  (segment (start 95.69 44.875) (end 96.95 44.875) (width 0.8) (layer TOP-L1) (net 163))
+  (segment (start 98 50.1) (end 98.325 50.425) (width 0.8) (layer TOP-L1) (net 163) (tstamp 51A739F1))
+  (segment (start 98 45.925) (end 98 50.1) (width 0.8) (layer TOP-L1) (net 163) (tstamp 51A739EF))
+  (segment (start 96.95 44.875) (end 98 45.925) (width 0.8) (layer TOP-L1) (net 163) (tstamp 51A739EE))
+  (segment (start 97.81 53.6) (end 97.81 53.265) (width 1.5) (layer BOT-L6) (net 163))
+  (segment (start 97.81 66.35) (end 94.18 69.98) (width 1.5) (layer BOT-L6) (net 163) (tstamp 51A5D8F1))
+  (segment (start 94.18 69.98) (end 82.625 69.98) (width 1.5) (layer BOT-L6) (net 163) (tstamp 51A5D8F2))
+  (segment (start 97.81 53.6) (end 97.81 66.35) (width 1.5) (layer BOT-L6) (net 163) (tstamp 51A739CE))
+  (segment (start 97.81 53.265) (end 98.325 52.75) (width 1.5) (layer BOT-L6) (net 163) (tstamp 51A739D0))
+  (segment (start 98.325 52.75) (end 98.325 50.425) (width 1.5) (layer BOT-L6) (net 163) (tstamp 51A739D6))
+  (segment (start 80.27458 64.7) (end 80.29958 64.725) (width 0.3) (layer BOT-L6) (net 163))
+  (segment (start 80.65 64.725) (end 80.675 64.725) (width 0.3) (layer BOT-L6) (net 163) (tstamp 51A600F0))
+  (segment (start 80.29958 64.725) (end 80.65 64.725) (width 0.3) (layer BOT-L6) (net 163) (tstamp 51A600EE))
+  (segment (start 80.77542 63.3) (end 80.675 63.40042) (width 0.3) (layer BOT-L6) (net 163))
+  (segment (start 80.675 63.40042) (end 80.675 63.975) (width 0.3) (layer BOT-L6) (net 163) (tstamp 51A600EB))
+  (segment (start 80.675 63.975) (end 80.675 63.975) (width 0.3) (layer BOT-L6) (net 163) (tstamp 51A83A15))
+  (segment (start 80.425 68.8086) (end 80.675 68.5586) (width 0.5) (layer BOT-L6) (net 163))
+  (segment (start 80.59 63.99) (end 80.66 63.99) (width 0.1524) (layer TOP-L1) (net 163) (tstamp 51A6009C))
+  (via (at 80.66 63.99) (size 0.4572) (layers TOP-L1 BOT-L6) (net 163))
+  (segment (start 80.59 63.99) (end 80.2 63.6) (width 0.1524) (layer TOP-L1) (net 163))
+  (segment (start 80.675 63.975) (end 80.66 63.99) (width 0.5) (layer BOT-L6) (net 163) (tstamp 51A600EA))
+  (segment (start 80.675 68.5586) (end 80.675 64.725) (width 0.5) (layer BOT-L6) (net 163) (tstamp 51A600E8))
+  (segment (start 80.675 64.725) (end 80.675 63.975) (width 0.5) (layer BOT-L6) (net 163) (tstamp 51A600F1))
+  (segment (start 79.4 63.6) (end 80.2 63.6) (width 0.1524) (layer TOP-L1) (net 163))
+  (segment (start 79.85 68.8086) (end 80.425 68.8086) (width 0.8) (layer BOT-L6) (net 163))
+  (segment (start 80.425 68.8086) (end 80.2486 68.8086) (width 0.8) (layer BOT-L6) (net 163) (tstamp 51A600E6))
+  (segment (start 82.49 69.92) (end 82.49 69.84) (width 0.8) (layer BOT-L6) (net 163) (tstamp 51A5FE43))
+  (segment (start 81.36 69.92) (end 82.49 69.92) (width 0.8) (layer BOT-L6) (net 163) (tstamp 51A5FE41))
+  (segment (start 80.2486 68.8086) (end 81.36 69.92) (width 0.8) (layer BOT-L6) (net 163) (tstamp 51A5FE40))
+  (segment (start 75.025 68.8086) (end 79.85 68.8086) (width 0.8) (layer BOT-L6) (net 163))
+  (segment (start 82.625 69.975) (end 82.625 69.98) (width 0.1524) (layer BOT-L6) (net 163) (tstamp 51A5EC1E))
+  (segment (start 82.49 69.84) (end 82.625 69.975) (width 0.8) (layer BOT-L6) (net 163) (tstamp 51A5FE44))
+  (segment (start 80.2 64.4) (end 79.8 64) (width 0.1524) (layer TOP-L1) (net 163))
+  (segment (start 79.8 64) (end 79.4 64.4) (width 0.1524) (layer TOP-L1) (net 163))
+  (segment (start 73.5 68.8086) (end 73.5 68.09738) (width 0.3) (layer BOT-L6) (net 163))
+  (segment (start 73.5 68.09738) (end 73.57618 68.0212) (width 0.3) (layer BOT-L6) (net 163) (tstamp 51A5EC74))
+  (segment (start 73.57618 68.0212) (end 73.57618 67.1576) (width 0.3) (layer BOT-L6) (net 163))
+  (segment (start 73.59958 65.6) (end 73.59958 67.1342) (width 0.3) (layer BOT-L6) (net 163))
+  (segment (start 73.59958 67.1342) (end 73.57618 67.1576) (width 0.3) (layer BOT-L6) (net 163) (tstamp 51A5EC6D))
+  (segment (start 74.2 67.175) (end 74.2 65.575) (width 0.3) (layer BOT-L6) (net 163))
+  (segment (start 74.175 65.55) (end 74.175 65.6) (width 0.3) (layer BOT-L6) (net 163) (tstamp 51A5EC6A))
+  (segment (start 74.2 65.575) (end 74.175 65.55) (width 0.3) (layer BOT-L6) (net 163) (tstamp 51A5EC68))
+  (segment (start 74.2 67.95) (end 74.2 67.175) (width 0.3) (layer BOT-L6) (net 163))
+  (segment (start 74.2 67.175) (end 74.2 67.1576) (width 0.3) (layer BOT-L6) (net 163) (tstamp 51A5EC64))
+  (segment (start 74.2 68.8086) (end 74.2 67.95) (width 0.3) (layer BOT-L6) (net 163))
+  (segment (start 74.2 67.95) (end 74.2 68) (width 0.3) (layer BOT-L6) (net 163) (tstamp 51A5EC61))
+  (segment (start 75 68.17458) (end 74.39958 68.17458) (width 0.3) (layer BOT-L6) (net 163))
+  (segment (start 74.39958 68.17458) (end 74.2 67.975) (width 0.3) (layer BOT-L6) (net 163) (tstamp 51A5EC54))
+  (segment (start 74.2 67.975) (end 74.2 68) (width 0.3) (layer BOT-L6) (net 163) (tstamp 51A5EC56))
+  (segment (start 74.2 68) (end 74.2 67.9958) (width 0.3) (layer BOT-L6) (net 163) (tstamp 51A5EC5D))
+  (segment (start 75 68.17458) (end 75 68.8) (width 0.3) (layer BOT-L6) (net 163))
+  (segment (start 74.175 68.8086) (end 74.2 68.8086) (width 0.1524) (layer BOT-L6) (net 163))
+  (segment (start 74.2 68.8086) (end 75.025 68.8086) (width 0.1524) (layer BOT-L6) (net 163) (tstamp 51A5EC59))
+  (segment (start 75.025 68.8086) (end 74.9914 68.8086) (width 0.1524) (layer BOT-L6) (net 163) (tstamp 51A5EC19))
+  (via (at 75 68.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 163))
+  (segment (start 74.9914 68.8086) (end 75 68.8) (width 0.1524) (layer BOT-L6) (net 163) (tstamp 51A5EC16))
+  (segment (start 75.4 68.4) (end 75 68.8) (width 0.1524) (layer TOP-L1) (net 163))
+  (segment (start 75 68.8) (end 74.6 68.4) (width 0.1524) (layer TOP-L1) (net 163))
+  (segment (start 82.625 69.98) (end 82.75 69.98) (width 1.5) (layer BOT-L6) (net 163) (tstamp 51A5EC1F))
+  (segment (start 74.1934 68.8086) (end 74.175 68.8086) (width 0.3) (layer BOT-L6) (net 163))
+  (segment (start 74.175 68.8086) (end 73.5 68.8086) (width 0.3) (layer BOT-L6) (net 163) (tstamp 51A5EC14))
+  (segment (start 73.5 68.8086) (end 73.5584 68.8086) (width 0.3) (layer BOT-L6) (net 163) (tstamp 51A5EC72))
+  (via (at 74.1934 68.8086) (size 0.4572) (layers TOP-L1 BOT-L6) (net 163))
+  (segment (start 73.5584 68.8086) (end 73.57618 68.8086) (width 0.3) (layer BOT-L6) (net 163) (tstamp 51A5EB5D))
+  (segment (start 73.57618 68.8086) (end 73.57618 69.3674) (width 0.3) (layer BOT-L6) (net 163) (tstamp 51A5EB5E))
+  (segment (start 73.57618 69.3674) (end 73.62698 69.4182) (width 0.3) (layer BOT-L6) (net 163) (tstamp 51A5EB59))
+  (segment (start 73.8 69.2) (end 73.802 69.2) (width 0.1524) (layer TOP-L1) (net 163))
+  (segment (start 74.6 68.402) (end 74.1934 68.8086) (width 0.1524) (layer TOP-L1) (net 163) (tstamp 51A5EB52))
+  (segment (start 74.6 68.402) (end 74.6 68.4) (width 0.1524) (layer TOP-L1) (net 163))
+  (segment (start 73.802 69.2) (end 74.1934 68.8086) (width 0.1524) (layer TOP-L1) (net 163) (tstamp 51A5EB56))
+  (segment (start 74.1934 67.9958) (end 74.2 67.9958) (width 0.3) (layer BOT-L6) (net 163))
+  (via (at 74.1934 67.9958) (size 0.4572) (layers TOP-L1 BOT-L6) (net 163))
+  (segment (start 74.2 67.9958) (end 73.60158 67.9958) (width 0.3) (layer BOT-L6) (net 163) (tstamp 51A5EC57))
+  (segment (start 73.60158 67.9958) (end 73.57618 68.0212) (width 0.3) (layer BOT-L6) (net 163) (tstamp 51A5E90C))
+  (segment (start 74.6 68.4) (end 74.5976 68.4) (width 0.1524) (layer TOP-L1) (net 163))
+  (segment (start 74.5892 67.6) (end 74.1934 67.9958) (width 0.1524) (layer TOP-L1) (net 163) (tstamp 51A5E735))
+  (segment (start 74.5892 67.6) (end 74.6 67.6) (width 0.1524) (layer TOP-L1) (net 163))
+  (segment (start 74.5976 68.4) (end 74.1934 67.9958) (width 0.1524) (layer TOP-L1) (net 163) (tstamp 51A5E73A))
+  (segment (start 73.57618 67.1576) (end 74.2 67.1576) (width 0.3) (layer BOT-L6) (net 163))
+  (segment (start 74.2 67.1576) (end 74.168 67.1576) (width 0.3) (layer BOT-L6) (net 163) (tstamp 51A5EC65))
+  (via (at 74.2 67.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 163))
+  (segment (start 74.2442 67.2338) (end 74.2 67.2) (width 0.3) (layer BOT-L6) (net 163) (tstamp 51A5E6C5))
+  (segment (start 74.168 67.1576) (end 74.2442 67.2338) (width 0.3) (layer BOT-L6) (net 163) (tstamp 51A5E6C3))
+  (segment (start 73.59958 64.75) (end 73.59958 65.6) (width 0.3) (layer BOT-L6) (net 163))
+  (segment (start 74.2 65.6) (end 74.175 65.6) (width 0.3) (layer BOT-L6) (net 163))
+  (via (at 74.2 65.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 163))
+  (segment (start 74.175 65.6) (end 73.59958 65.6) (width 0.3) (layer BOT-L6) (net 163) (tstamp 51A5EC6B))
+  (segment (start 73.59958 64.75) (end 74.2 64.75) (width 0.3) (layer BOT-L6) (net 163))
+  (segment (start 74.2 64.75) (end 74.125 64.75) (width 0.3) (layer BOT-L6) (net 163) (tstamp 51A8790C))
+  (segment (start 74.125 64.75) (end 74.2 64.825) (width 0.3) (layer BOT-L6) (net 163) (tstamp 51A5E626))
+  (segment (start 74.2 64.825) (end 74.2 64.8) (width 0.3) (layer BOT-L6) (net 163) (tstamp 51A5E628))
+  (via (at 74.2 64.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 163))
+  (segment (start 74.6 65.2) (end 74.2 65.6) (width 0.1524) (layer TOP-L1) (net 163))
+  (segment (start 74.2 65.6) (end 73.8 66) (width 0.1524) (layer TOP-L1) (net 163))
+  (segment (start 74.6 64.4) (end 74.2 64.8) (width 0.1524) (layer TOP-L1) (net 163))
+  (segment (start 74.2 64.8) (end 73.8 65.2) (width 0.1524) (layer TOP-L1) (net 163))
+  (segment (start 95.62 43.7925) (end 95.62 44.8) (width 0.3) (layer TOP-L1) (net 163))
+  (segment (start 95.69 44.87) (end 95.69 44.875) (width 0.3) (layer TOP-L1) (net 163) (tstamp 51A5D3FA))
+  (segment (start 95.69 44.875) (end 95.69 44.8841) (width 0.3) (layer TOP-L1) (net 163) (tstamp 51A739EC))
+  (segment (start 95.62 44.8) (end 95.69 44.87) (width 0.3) (layer TOP-L1) (net 163) (tstamp 51A5D3F8))
+  (segment (start 93.79 43.7925) (end 93.79 44.82) (width 0.3) (layer TOP-L1) (net 163))
+  (segment (start 93.83 44.86) (end 93.83 44.8841) (width 0.3) (layer TOP-L1) (net 163) (tstamp 51A5D3F5))
+  (segment (start 93.79 44.82) (end 93.83 44.86) (width 0.3) (layer TOP-L1) (net 163) (tstamp 51A5D3F3))
+  (segment (start 92.4 44.04958) (end 92.4 44.83) (width 0.3) (layer TOP-L1) (net 163))
+  (segment (start 92.39 44.84) (end 92.39 44.8841) (width 0.3) (layer TOP-L1) (net 163) (tstamp 51A5D3F0))
+  (segment (start 92.4 44.83) (end 92.39 44.84) (width 0.3) (layer TOP-L1) (net 163) (tstamp 51A5D3EE))
+  (segment (start 93.46 44.8841) (end 93.83 44.8841) (width 0.8) (layer TOP-L1) (net 163))
+  (segment (start 93.83 44.8841) (end 95.69 44.8841) (width 0.8) (layer TOP-L1) (net 163) (tstamp 51A5D3F6))
+  (segment (start 88.66 44.8841) (end 92.39 44.8841) (width 0.8) (layer TOP-L1) (net 163))
+  (segment (start 92.39 44.8841) (end 93.46 44.8841) (width 0.8) (layer TOP-L1) (net 163) (tstamp 51A5D3F1))
+  (segment (start 87.92656 44.8841) (end 88.66 44.8841) (width 0.3) (layer TOP-L1) (net 163))
+  (segment (start 88.66 44.8841) (end 88.6659 44.8841) (width 0.3) (layer TOP-L1) (net 163) (tstamp 51A5D23A))
+  (segment (start 88.6659 44.8841) (end 88.67 44.88) (width 0.3) (layer TOP-L1) (net 163) (tstamp 51A5D237))
+  (segment (start 74.6 66.8) (end 74.2 66.4) (width 0.1524) (layer TOP-L1) (net 163))
+  (segment (start 73.8 66) (end 73.8 65.2) (width 0.1524) (layer TOP-L1) (net 163))
+  (segment (start 74.2 66.4) (end 73.8 66) (width 0.1524) (layer TOP-L1) (net 163))
+  (segment (start 74.6 64.4) (end 75.4 64.4) (width 0.1524) (layer TOP-L1) (net 163))
+  (segment (start 74.6 67.6) (end 74.2 67.2) (width 0.1524) (layer TOP-L1) (net 163))
+  (segment (start 74.2 67.2) (end 74.6 66.8) (width 0.1524) (layer TOP-L1) (net 163))
+  (segment (start 79.625 71.39958) (end 79.60042 71.39958) (width 0.2) (layer BOT-L6) (net 164))
+  (segment (start 79.60042 71.39958) (end 79 72) (width 0.2) (layer BOT-L6) (net 164) (tstamp 51A83A81))
+  (segment (start 78.675 71.39958) (end 78.675 71.675) (width 0.2) (layer BOT-L6) (net 164))
+  (segment (start 78.675 71.675) (end 79 72) (width 0.2) (layer BOT-L6) (net 164) (tstamp 51A83A7A))
+  (via (at 79 72) (size 0.4572) (layers TOP-L1 BOT-L6) (net 164))
+  (segment (start 79.4 71.6) (end 79 72) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 79 72) (end 78.6 71.6) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 77.4 72) (end 77.4 71.77458) (width 0.3) (layer BOT-L6) (net 164))
+  (via (at 77.4 72) (size 0.4572) (layers TOP-L1 BOT-L6) (net 164))
+  (segment (start 77.4 71.77458) (end 77.75 71.42458) (width 0.3) (layer BOT-L6) (net 164) (tstamp 51A83A5B))
+  (segment (start 77.8 71.6) (end 77.4 72) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 77.4 72) (end 77 71.6) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 82.2 72.775) (end 82.2 72.15) (width 0.1524) (layer TOP-L1) (net 164))
+  (via (at 80.775 70.725) (size 0.4572) (layers TOP-L1 BOT-L6) (net 164))
+  (segment (start 80.775 70.725) (end 80.775 70.7) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 80.775 70.7) (end 80.75 70.7) (width 0.1524) (layer TOP-L1) (net 164) (tstamp 51A5EF3D))
+  (segment (start 81.425 71.375) (end 80.775 70.725) (width 0.1524) (layer TOP-L1) (net 164) (tstamp 51A8395A))
+  (segment (start 81.425 71.875) (end 81.425 71.375) (width 0.1524) (layer TOP-L1) (net 164) (tstamp 51A83959))
+  (segment (start 81.55 72) (end 81.425 71.875) (width 0.1524) (layer TOP-L1) (net 164) (tstamp 51A83958))
+  (segment (start 82.05 72) (end 81.55 72) (width 0.1524) (layer TOP-L1) (net 164) (tstamp 51A83956))
+  (segment (start 82.2 72.15) (end 82.05 72) (width 0.1524) (layer TOP-L1) (net 164) (tstamp 51A83955))
+  (segment (start 101.625 35.55) (end 96.975 35.55) (width 1.5) (layer BOT-L6) (net 164))
+  (segment (start 95.925 36.6) (end 91.325 36.6) (width 1.5) (layer BOT-L6) (net 164) (tstamp 51A8335D))
+  (segment (start 96.975 35.55) (end 95.925 36.6) (width 1.5) (layer BOT-L6) (net 164) (tstamp 51A8335C))
+  (segment (start 105.2 26.0275) (end 105.2 27.775) (width 1.5) (layer BOT-L6) (net 164))
+  (segment (start 105.625 28.2) (end 105.625 28.125) (width 1.5) (layer BOT-L6) (net 164) (tstamp 51A82E39))
+  (segment (start 105.2 27.775) (end 105.625 28.2) (width 1.5) (layer BOT-L6) (net 164) (tstamp 51A82E36))
+  (segment (start 72.625 39.95) (end 71.625 39.95) (width 1.5) (layer BOT-L6) (net 164))
+  (segment (start 70.225 36.05) (end 68.975 34.8) (width 1.5) (layer BOT-L6) (net 164) (tstamp 51A82DAC))
+  (segment (start 70.225 38.55) (end 70.225 36.05) (width 1.5) (layer BOT-L6) (net 164) (tstamp 51A82DAB))
+  (segment (start 71.625 39.95) (end 70.225 38.55) (width 1.5) (layer BOT-L6) (net 164) (tstamp 51A82DA9))
+  (segment (start 103.6 27.5) (end 105 27.5) (width 1.5) (layer BOT-L6) (net 164))
+  (segment (start 105 27.5) (end 105.625 28.125) (width 1.5) (layer BOT-L6) (net 164) (tstamp 51A82D69))
+  (segment (start 105.625 28.125) (end 106.825 29.325) (width 1.5) (layer BOT-L6) (net 164) (tstamp 51A82E3A))
+  (segment (start 106.825 29.325) (end 106.825 31.875) (width 1.5) (layer BOT-L6) (net 164) (tstamp 51A82D6B))
+  (segment (start 106.825 31.875) (end 103.15 35.55) (width 1.5) (layer BOT-L6) (net 164) (tstamp 51A82D6C))
+  (segment (start 103.15 35.55) (end 101.625 35.55) (width 1.5) (layer BOT-L6) (net 164) (tstamp 51A82D6D))
+  (segment (start 91.325 36.6) (end 82.258558 36.6) (width 1.5) (layer BOT-L6) (net 164) (tstamp 51A83363))
+  (segment (start 82.258558 36.6) (end 81.258558 35.6) (width 1.5) (layer BOT-L6) (net 164) (tstamp 51A82D77))
+  (segment (start 81.258558 35.6) (end 69.775 35.6) (width 1.5) (layer BOT-L6) (net 164) (tstamp 51A82D90))
+  (segment (start 69.775 35.6) (end 68.975 34.8) (width 1.5) (layer BOT-L6) (net 164) (tstamp 51A82D9B))
+  (segment (start 67.6 33.425) (end 67.6 31.675) (width 1.5) (layer BOT-L6) (net 164) (tstamp 51A82D9F))
+  (segment (start 68.975 34.8) (end 67.6 33.425) (width 1.5) (layer BOT-L6) (net 164) (tstamp 51A82DB0))
+  (segment (start 67.6 31.95) (end 67.6 31.675) (width 1.5) (layer BOT-L6) (net 164))
+  (segment (start 67.6 30.125) (end 67.6 31.95) (width 1.5) (layer BOT-L6) (net 164) (tstamp 51A70159))
+  (via (at 67.6 30.125) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 164))
+  (segment (start 67.625 30.1) (end 67.6 30.125) (width 1.5) (layer TOP-L1) (net 164) (tstamp 51A70141))
+  (segment (start 67.625 27.728) (end 67.625 30.1) (width 1.5) (layer TOP-L1) (net 164))
+  (segment (start 75.6 45.35) (end 75.6 44.525) (width 1.5) (layer BOT-L6) (net 164) (tstamp 51A7017F))
+  (segment (start 72.625 39.95) (end 73.7 39.95) (width 1.5) (layer BOT-L6) (net 164) (tstamp 51A82DA7))
+  (segment (start 73.7 39.95) (end 75.5 41.75) (width 1.5) (layer BOT-L6) (net 164) (tstamp 51A82890))
+  (segment (start 75.5 41.75) (end 75.5 44.525) (width 1.5) (layer BOT-L6) (net 164) (tstamp 51A82891))
+  (segment (start 75.5 44.525) (end 75.6 44.525) (width 1.5) (layer BOT-L6) (net 164) (tstamp 51A8289B))
+  (segment (start 77.70042 48.9) (end 75.875 48.9) (width 0.1524) (layer BOT-L6) (net 164))
+  (segment (start 75.675 48.7) (end 75.6 48.7) (width 0.1524) (layer BOT-L6) (net 164) (tstamp 51A82772))
+  (segment (start 75.875 48.9) (end 75.675 48.7) (width 0.1524) (layer BOT-L6) (net 164) (tstamp 51A82770))
+  (segment (start 77.70042 47.875) (end 75.75 47.875) (width 0.1524) (layer BOT-L6) (net 164))
+  (segment (start 75.7 47.925) (end 75.6 47.925) (width 0.1524) (layer BOT-L6) (net 164) (tstamp 51A82760))
+  (segment (start 75.75 47.875) (end 75.7 47.925) (width 0.1524) (layer BOT-L6) (net 164) (tstamp 51A8275E))
+  (segment (start 81.9 67) (end 81.375 67) (width 0.3) (layer TOP-L1) (net 164))
+  (segment (start 81.35 66.975) (end 81.32 66.975) (width 0.3) (layer TOP-L1) (net 164) (tstamp 51A822BF))
+  (segment (start 81.375 67) (end 81.35 66.975) (width 0.3) (layer TOP-L1) (net 164) (tstamp 51A822BC))
+  (segment (start 78.675 71.39958) (end 77.775 71.39958) (width 0.3) (layer BOT-L6) (net 164))
+  (segment (start 77.775 71.39958) (end 77.75 71.42458) (width 0.3) (layer BOT-L6) (net 164) (tstamp 51A82283))
+  (segment (start 79.625 71.39958) (end 78.675 71.39958) (width 0.3) (layer BOT-L6) (net 164))
+  (segment (start 58.15 33.67542) (end 58.15 33.375) (width 0.5) (layer TOP-L1) (net 164))
+  (segment (start 58.775 32.75) (end 58.775 32.763) (width 0.5) (layer TOP-L1) (net 164) (tstamp 51A738AA))
+  (segment (start 58.15 33.375) (end 58.775 32.75) (width 0.5) (layer TOP-L1) (net 164) (tstamp 51A738A8))
+  (segment (start 57.6 27.975) (end 57.6 31.525) (width 0.5) (layer TOP-L1) (net 164))
+  (segment (start 58.838 32.763) (end 58.775 32.763) (width 0.5) (layer TOP-L1) (net 164) (tstamp 51A738A5))
+  (segment (start 58.775 32.763) (end 60.075 32.763) (width 0.5) (layer TOP-L1) (net 164) (tstamp 51A738AB))
+  (segment (start 57.6 31.525) (end 58.838 32.763) (width 0.5) (layer TOP-L1) (net 164) (tstamp 51A738A4))
+  (segment (start 57.6 27.975) (end 54.605 27.975) (width 0.8) (layer BOT-L6) (net 164))
+  (segment (start 54.605 27.975) (end 54.305 27.675) (width 0.8) (layer BOT-L6) (net 164) (tstamp 51A7389C))
+  (segment (start 57.8 31.55) (end 57.8 28.175) (width 0.8) (layer BOT-L6) (net 164))
+  (segment (start 57.8 28.175) (end 57.6 27.975) (width 0.8) (layer BOT-L6) (net 164) (tstamp 51A73898))
+  (segment (start 60.7 27.94958) (end 62.14792 27.94958) (width 0.3) (layer TOP-L1) (net 164))
+  (segment (start 62.14792 27.94958) (end 62.25 27.8475) (width 0.3) (layer TOP-L1) (net 164) (tstamp 51A72A45))
+  (segment (start 64 27.8225) (end 62.275 27.8225) (width 0.5) (layer TOP-L1) (net 164))
+  (segment (start 62.275 27.8225) (end 62.25 27.8475) (width 0.5) (layer TOP-L1) (net 164) (tstamp 51A72A42))
+  (segment (start 59.675 27.94958) (end 57.62542 27.94958) (width 0.3) (layer TOP-L1) (net 164))
+  (segment (start 57.62542 27.94958) (end 57.6 27.975) (width 0.3) (layer TOP-L1) (net 164) (tstamp 51A72A30))
+  (segment (start 60.7 27.94958) (end 59.675 27.94958) (width 0.3) (layer TOP-L1) (net 164))
+  (segment (start 64 27.8225) (end 67.5305 27.8225) (width 0.5) (layer TOP-L1) (net 164))
+  (segment (start 67.5305 27.8225) (end 67.625 27.728) (width 0.5) (layer TOP-L1) (net 164) (tstamp 51A72A24))
+  (segment (start 65.4 28.45042) (end 66.90258 28.45042) (width 0.3) (layer TOP-L1) (net 164))
+  (segment (start 66.90258 28.45042) (end 67.625 27.728) (width 0.5) (layer TOP-L1) (net 164) (tstamp 51A72A21))
+  (segment (start 78.6 56.4) (end 78.6 52.75) (width 1.5) (layer BOT-L6) (net 164))
+  (segment (start 75.6 49.75) (end 75.6 48.7) (width 1.5) (layer BOT-L6) (net 164) (tstamp 51A7228E))
+  (segment (start 75.6 48.7) (end 75.6 47.925) (width 1.5) (layer BOT-L6) (net 164) (tstamp 51A82773))
+  (segment (start 75.6 47.925) (end 75.6 48.65) (width 1.5) (layer BOT-L6) (net 164) (tstamp 51A82761))
+  (segment (start 78.6 52.75) (end 75.6 49.75) (width 1.5) (layer BOT-L6) (net 164) (tstamp 51A7228C))
+  (segment (start 67.45 46.775) (end 70.3 46.775) (width 0.5) (layer BOT-L6) (net 164))
+  (segment (start 75.475 45.35) (end 75.6 45.35) (width 0.5) (layer BOT-L6) (net 164) (tstamp 51A7017E))
+  (segment (start 71.725 45.35) (end 75.475 45.35) (width 0.5) (layer BOT-L6) (net 164) (tstamp 51A7017B))
+  (segment (start 70.3 46.775) (end 71.725 45.35) (width 0.5) (layer BOT-L6) (net 164) (tstamp 51A70176))
+  (segment (start 68.94956 46.65044) (end 67.57456 46.65044) (width 0.2) (layer TOP-L1) (net 164))
+  (segment (start 67.425 46.8) (end 67.45 46.775) (width 0.2) (layer BOT-L6) (net 164) (tstamp 51A6FC60))
+  (via (at 67.425 46.8) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 164))
+  (segment (start 67.57456 46.65044) (end 67.425 46.8) (width 0.2) (layer TOP-L1) (net 164) (tstamp 51A6FC53))
+  (segment (start 55.575 45.825) (end 55.575 47.95) (width 0.5) (layer BOT-L6) (net 164))
+  (segment (start 60.65 48.8) (end 60.65 48.825) (width 0.5) (layer BOT-L6) (net 164) (tstamp 51A6F863))
+  (segment (start 56.425 48.8) (end 60.65 48.8) (width 0.5) (layer BOT-L6) (net 164) (tstamp 51A6F861))
+  (segment (start 55.575 47.95) (end 56.425 48.8) (width 0.5) (layer BOT-L6) (net 164) (tstamp 51A6F860))
+  (segment (start 55.575 45.825) (end 55.575 45.825) (width 0.8) (layer BOT-L6) (net 164))
+  (segment (start 55.575 45.825) (end 55.575 40.4) (width 0.8) (layer BOT-L6) (net 164) (tstamp 51A6F85E))
+  (segment (start 57.8 38.175) (end 57.8 31.55) (width 0.8) (layer BOT-L6) (net 164) (tstamp 51A6F7FB))
+  (segment (start 55.575 40.4) (end 57.8 38.175) (width 0.8) (layer BOT-L6) (net 164) (tstamp 51A6F7EE))
+  (segment (start 54.32458 25.75) (end 54.32458 24.825) (width 0.2) (layer BOT-L6) (net 164))
+  (segment (start 54.32458 24.825) (end 54.29958 24.8) (width 0.2) (layer BOT-L6) (net 164) (tstamp 51A6F71B))
+  (segment (start 54.305 27.675) (end 54.305 25.76958) (width 0.2) (layer BOT-L6) (net 164))
+  (segment (start 54.305 25.76958) (end 54.32458 25.75) (width 0.2) (layer BOT-L6) (net 164) (tstamp 51A6F718))
+  (segment (start 60.75044 47.15082) (end 60.75044 48.69956) (width 0.3) (layer TOP-L1) (net 164))
+  (segment (start 60.75044 48.69956) (end 60.625 48.825) (width 0.3) (layer TOP-L1) (net 164) (tstamp 51A6F574))
+  (via (at 60.625 48.825) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 164))
+  (segment (start 60.625 48.825) (end 60.65 48.825) (width 0.3) (layer BOT-L6) (net 164) (tstamp 51A6F579))
+  (segment (start 55.575 45.825) (end 55.575 45.975) (width 0.3) (layer TOP-L1) (net 164) (tstamp 51A6F559))
+  (via (at 55.575 45.825) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 164))
+  (segment (start 68.94956 46.65044) (end 70.30002 46.65044) (width 0.3) (layer TOP-L1) (net 164))
+  (segment (start 70.30002 46.65044) (end 70.325 46.67542) (width 0.3) (layer TOP-L1) (net 164) (tstamp 51A6F52F))
+  (segment (start 56.97542 43.6) (end 55.825 43.6) (width 0.3) (layer TOP-L1) (net 164))
+  (segment (start 55.825 43.6) (end 55.575 43.85) (width 0.3) (layer TOP-L1) (net 164) (tstamp 51A6F4C3))
+  (segment (start 55.575 43.85) (end 55.575 45.975) (width 0.3) (layer TOP-L1) (net 164) (tstamp 51A6F4C4))
+  (segment (start 55.85 46.25) (end 57.00042 46.25) (width 0.3) (layer TOP-L1) (net 164) (tstamp 51A6F4C7))
+  (segment (start 55.575 45.975) (end 55.85 46.25) (width 0.3) (layer TOP-L1) (net 164) (tstamp 51A6F55A))
+  (segment (start 55.575 45.975) (end 55.575 45.975) (width 0.3) (layer TOP-L1) (net 164) (tstamp 51A6F4C5))
+  (segment (start 56.95042 40) (end 56.95042 40.9) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 56.97542 41.825) (end 56.97542 40.925) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 56.97542 40.925) (end 56.95042 40.9) (width 0.1524) (layer TOP-L1) (net 164) (tstamp 51A6ECD1))
+  (segment (start 56.97542 42.725) (end 56.97542 41.825) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 56.97542 43.6) (end 56.97542 42.725) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 82.6 61.2) (end 82.225 60.825) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 82.2 60.825) (end 82.2 60.8) (width 0.1524) (layer TOP-L1) (net 164) (tstamp 51A5F641))
+  (segment (start 82.225 60.825) (end 82.2 60.825) (width 0.1524) (layer TOP-L1) (net 164) (tstamp 51A5F63F))
+  (segment (start 80.8 61.3) (end 80.9 61.2) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 80.9 61.2) (end 82.6 61.2) (width 0.1524) (layer TOP-L1) (net 164) (tstamp 51A602EC))
+  (segment (start 81.8 60.4) (end 80.9 61.3) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 79.825 61.3) (end 79.775 61.3) (width 0.1524) (layer TOP-L1) (net 164) (tstamp 51A602E7))
+  (segment (start 80.9 61.3) (end 80.8 61.3) (width 0.1524) (layer TOP-L1) (net 164) (tstamp 51A602E4))
+  (segment (start 80.8 61.3) (end 79.825 61.3) (width 0.1524) (layer TOP-L1) (net 164) (tstamp 51A602EA))
+  (segment (start 83.60042 63.15) (end 83.55042 63.2) (width 0.1524) (layer BOT-L6) (net 164))
+  (segment (start 83.55042 63.2) (end 83 63.2) (width 0.1524) (layer BOT-L6) (net 164) (tstamp 51A602D3))
+  (via (at 83 63.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 164))
+  (segment (start 82.6 66.8) (end 82.4 67) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 81.9 66.37458) (end 81.9 67) (width 0.1524) (layer BOT-L6) (net 164) (tstamp 51A5F8CB))
+  (via (at 81.9 67) (size 0.4572) (layers TOP-L1 BOT-L6) (net 164))
+  (segment (start 81.9 66.37458) (end 81.925 66.34958) (width 0.1524) (layer BOT-L6) (net 164))
+  (segment (start 81.875 67) (end 81.9 67) (width 0.1524) (layer TOP-L1) (net 164) (tstamp 51A600F5))
+  (segment (start 82.4 67) (end 81.875 67) (width 0.1524) (layer TOP-L1) (net 164) (tstamp 51A600F3))
+  (segment (start 75.75 62.47458) (end 75.77542 62.47458) (width 0.5) (layer BOT-L6) (net 164))
+  (segment (start 78.625 60.45) (end 78.6 60.45) (width 0.5) (layer BOT-L6) (net 164) (tstamp 51A600B3))
+  (segment (start 77.8 60.45) (end 78.625 60.45) (width 0.5) (layer BOT-L6) (net 164) (tstamp 51A600B1))
+  (segment (start 75.77542 62.47458) (end 77.8 60.45) (width 0.5) (layer BOT-L6) (net 164) (tstamp 51A600B0))
+  (segment (start 80.2 65.2) (end 81.3 65.2) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 81.3 65.2) (end 81.32 65.2) (width 0.1524) (layer TOP-L1) (net 164) (tstamp 51A6008C))
+  (segment (start 80.2 67.6) (end 80.23 67.63) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 81.23 67.63) (end 81.32 67.63) (width 0.1524) (layer TOP-L1) (net 164) (tstamp 51A60088))
+  (segment (start 80.23 67.63) (end 81.23 67.63) (width 0.1524) (layer TOP-L1) (net 164) (tstamp 51A60086))
+  (segment (start 81.12 62.62) (end 81.32 62.82) (width 0.5) (layer TOP-L1) (net 164))
+  (segment (start 81.32 62.82) (end 81.32 65.2) (width 0.5) (layer TOP-L1) (net 164) (tstamp 51A6007D))
+  (segment (start 81.32 65.2) (end 81.32 66.975) (width 0.5) (layer TOP-L1) (net 164) (tstamp 51A6008D))
+  (segment (start 81.32 66.975) (end 81.32 67.63) (width 0.5) (layer TOP-L1) (net 164) (tstamp 51A822C0))
+  (segment (start 80.77 70.7) (end 80.77 70.68) (width 0.5) (layer TOP-L1) (net 164) (tstamp 51A60080))
+  (segment (start 81.32 67.63) (end 81.32 70.15) (width 0.5) (layer TOP-L1) (net 164) (tstamp 51A60089))
+  (segment (start 81.32 70.15) (end 80.77 70.7) (width 0.5) (layer TOP-L1) (net 164) (tstamp 51A6007E))
+  (segment (start 74.925 62.47458) (end 75.75 62.47458) (width 0.5) (layer BOT-L6) (net 164))
+  (segment (start 78.6 60.45) (end 78.6 60.4) (width 0.5) (layer BOT-L6) (net 164) (tstamp 51A600B4))
+  (segment (start 82.2 75.2) (end 82.2 74.67458) (width 0.1524) (layer BOT-L6) (net 164))
+  (segment (start 82.2 74.67458) (end 82.125 74.59958) (width 0.1524) (layer BOT-L6) (net 164) (tstamp 51A5FD93))
+  (segment (start 79.79958 67.225) (end 79.8 67.22542) (width 0.1524) (layer BOT-L6) (net 164))
+  (segment (start 79.8 68) (end 80.2 67.6) (width 0.1524) (layer TOP-L1) (net 164) (tstamp 51A5FCC6))
+  (via (at 79.8 68) (size 0.4572) (layers TOP-L1 BOT-L6) (net 164))
+  (segment (start 79.8 67.22542) (end 79.8 68) (width 0.1524) (layer BOT-L6) (net 164) (tstamp 51A5FCC4))
+  (segment (start 79.8 65.6) (end 79.82458 65.62458) (width 0.1524) (layer BOT-L6) (net 164))
+  (via (at 79.8 65.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 164))
+  (segment (start 79.82458 65.62458) (end 79.82458 66.3) (width 0.1524) (layer BOT-L6) (net 164) (tstamp 51A5F88B))
+  (segment (start 82.6 62.8) (end 81.25 62.8) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 81.25 62.8) (end 81.15 62.8) (width 0.1524) (layer TOP-L1) (net 164) (tstamp 51A5F845))
+  (segment (start 82.6 63.6) (end 83 63.2) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 83 63.2) (end 82.6 62.8) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 82.2 60.8) (end 82.10042 60.70042) (width 0.1524) (layer BOT-L6) (net 164))
+  (via (at 82.2 60.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 164))
+  (segment (start 82.10042 60.70042) (end 82.10042 60.1) (width 0.1524) (layer BOT-L6) (net 164) (tstamp 51A5F83B))
+  (segment (start 81.8 60.4) (end 82.2 60.8) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 82.2 60.8) (end 82.2 60.8) (width 0.1524) (layer TOP-L1) (net 164) (tstamp 51A5F642))
+  (segment (start 80.2 65.2) (end 79.8 65.6) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 79.8 65.6) (end 79.4 65.2) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 80.75 70.7) (end 80.77 70.68) (width 0.5) (layer TOP-L1) (net 164))
+  (segment (start 80.77 70.68) (end 80.525 70.925) (width 0.5) (layer TOP-L1) (net 164) (tstamp 51A60081))
+  (segment (start 80.525 70.925) (end 80.2 70.925) (width 0.5) (layer TOP-L1) (net 164) (tstamp 51A5EFB5))
+  (segment (start 80.2 71.6) (end 80.2 70.975) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 80.2 70.975) (end 80.2 70.925) (width 0.1524) (layer TOP-L1) (net 164) (tstamp 51A5EFC8))
+  (segment (start 79.4 71.6) (end 79.4 70.975) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 79.4 70.975) (end 79.4 70.925) (width 0.1524) (layer TOP-L1) (net 164) (tstamp 51A5EFC4))
+  (segment (start 78.6 71.6) (end 78.625 71.575) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 78.625 71.575) (end 78.625 70.975) (width 0.1524) (layer TOP-L1) (net 164) (tstamp 51A5EFBE))
+  (segment (start 78.625 70.975) (end 78.625 70.925) (width 0.1524) (layer TOP-L1) (net 164) (tstamp 51A5EFC0))
+  (segment (start 77 71.6) (end 77 70.95) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 77 70.95) (end 77 70.925) (width 0.1524) (layer TOP-L1) (net 164) (tstamp 51A5EFBB))
+  (segment (start 80.2 70.925) (end 79.4 70.925) (width 0.5) (layer TOP-L1) (net 164) (tstamp 51A5EFC9))
+  (segment (start 79.4 70.925) (end 78.625 70.925) (width 0.5) (layer TOP-L1) (net 164) (tstamp 51A5EFC5))
+  (segment (start 78.625 70.925) (end 77 70.925) (width 0.5) (layer TOP-L1) (net 164) (tstamp 51A5EFC1))
+  (segment (start 77 70.925) (end 77.025 70.925) (width 0.5) (layer TOP-L1) (net 164) (tstamp 51A5EFBC))
+  (segment (start 79.4 62.8) (end 79.4 61.7) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 79.4 61.7) (end 79.775 61.325) (width 0.1524) (layer TOP-L1) (net 164) (tstamp 51A5EF56))
+  (segment (start 79.775 61.325) (end 79.775 61.3) (width 0.1524) (layer TOP-L1) (net 164) (tstamp 51A5EF58))
+  (segment (start 79.775 61.3) (end 79.775 61.275) (width 0.1524) (layer TOP-L1) (net 164) (tstamp 51A602E8))
+  (segment (start 79.8 61.3) (end 78.9 60.4) (width 0.5) (layer BOT-L6) (net 164))
+  (segment (start 79.775 61.275) (end 79.8 61.3) (width 0.5) (layer TOP-L1) (net 164) (tstamp 51A5EF59))
+  (via (at 79.8 61.3) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 164))
+  (segment (start 78.9 60.4) (end 78.6 60.4) (width 0.5) (layer BOT-L6) (net 164) (tstamp 51A5EF46))
+  (segment (start 78.6 60.4) (end 78.6 60.4) (width 0.5) (layer BOT-L6) (net 164) (tstamp 51A5FE75))
+  (segment (start 81.15 62.65) (end 81.12 62.62) (width 0.5) (layer TOP-L1) (net 164) (tstamp 51A5EF40))
+  (segment (start 81.12 62.62) (end 79.775 61.275) (width 0.5) (layer TOP-L1) (net 164) (tstamp 51A6007B))
+  (segment (start 80.75 70.7) (end 80.75 70.7) (width 0.5) (layer TOP-L1) (net 164))
+  (segment (start 74.025 62.47458) (end 74.925 62.47458) (width 0.3) (layer BOT-L6) (net 164))
+  (segment (start 75 63.2) (end 74.925 63.125) (width 0.3) (layer BOT-L6) (net 164))
+  (segment (start 74.925 63.125) (end 74.925 62.47458) (width 0.3) (layer BOT-L6) (net 164) (tstamp 51A5DF01))
+  (via (at 75 63.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 164))
+  (segment (start 75.4 62.8) (end 75 63.2) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 75 63.2) (end 74.975 63.225) (width 0.1524) (layer TOP-L1) (net 164) (tstamp 51A5DEF9))
+  (segment (start 75.4 63.6) (end 74.975 63.175) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 74.975 63.175) (end 74.975 63.225) (width 0.1524) (layer TOP-L1) (net 164) (tstamp 51A5DEF8))
+  (segment (start 74.2 63.2) (end 74.025 63.025) (width 0.3) (layer BOT-L6) (net 164))
+  (via (at 74.2 63.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 164))
+  (segment (start 74.025 63.025) (end 74.025 62.47458) (width 0.3) (layer BOT-L6) (net 164) (tstamp 51A5DEDD))
+  (segment (start 74.6 62.8) (end 74.2 63.2) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 74.2 63.2) (end 73.8 62.8) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 73.8 63.6) (end 74.2 63.2) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 78.6 60.4) (end 78.6 56.4) (width 1.5) (layer BOT-L6) (net 164) (tstamp 51A5EF47))
+  (segment (start 75.6 48.65) (end 75.6 45.35) (width 1.5) (layer BOT-L6) (net 164) (tstamp 51A72296))
+  (segment (start 74.6 63.6) (end 74.975 63.225) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 77.8 69.2) (end 77.8 71.6) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 97.92458 27.5) (end 99.61146 27.5) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 99.61146 27.5) (end 99.62082 27.50936) (width 0.1524) (layer TOP-L1) (net 164) (tstamp 5188C3A7))
+  (segment (start 103.65 27.5) (end 103.6 27.5) (width 0.3) (layer BOT-L6) (net 164))
+  (segment (start 103.6 27.5) (end 102.675 27.5) (width 0.3) (layer BOT-L6) (net 164) (tstamp 51A82D67))
+  (segment (start 102.675 27.5) (end 102.69958 27.5) (width 0.3) (layer BOT-L6) (net 164) (tstamp 51889303))
+  (segment (start 99.62082 27.50936) (end 103.64064 27.50936) (width 0.3) (layer TOP-L1) (net 164))
+  (via (at 103.65 27.5) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 164))
+  (segment (start 103.64064 27.50936) (end 103.65 27.5) (width 0.3) (layer TOP-L1) (net 164) (tstamp 518892D1))
+  (segment (start 102.69958 28.625) (end 102.69958 27.5) (width 0.3) (layer BOT-L6) (net 164))
+  (segment (start 102.69958 27.5) (end 102.69958 26.5) (width 0.3) (layer BOT-L6) (net 164) (tstamp 51889304))
+  (segment (start 102.69958 26.5) (end 102.62458 26.425) (width 0.3) (layer BOT-L6) (net 164) (tstamp 518892FC))
+  (segment (start 102.62458 26.425) (end 102.62458 25.325) (width 0.1524) (layer BOT-L6) (net 164) (tstamp 518892FD))
+  (segment (start 102.62458 25.325) (end 102.59958 25.3) (width 0.1524) (layer BOT-L6) (net 164) (tstamp 518892FE))
+  (segment (start 102.59958 25.3) (end 102.59958 24.2) (width 0.1524) (layer BOT-L6) (net 164) (tstamp 518892FF))
+  (segment (start 102.69958 31.9) (end 102.69958 30.825) (width 0.1524) (layer BOT-L6) (net 164))
+  (segment (start 102.69958 30.825) (end 102.69958 28.625) (width 0.3) (layer BOT-L6) (net 164) (tstamp 518892F9))
+  (segment (start 82.2 75.2) (end 82.6 74.8) (width 0.1524) (layer TOP-L1) (net 164) (tstamp 518886CC))
+  (via (at 82.2 75.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 164))
+  (segment (start 82.6 74.8) (end 82.2 74.4) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 82.2 74.4) (end 82.2 72.775) (width 0.1524) (layer TOP-L1) (net 164) (tstamp 5188868C))
+  (segment (start 80.2 72.4) (end 80.2 71.6) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 79.4 62.8) (end 79 63.2) (width 0.1524) (layer TOP-L1) (net 164))
+  (via (at 79 63.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 164))
+  (segment (start 79 63.2) (end 78.6 63.6) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 103.7 72.73958) (end 111.29042 72.73958) (width 0.3) (layer BOT-L6) (net 165))
+  (segment (start 112.17 68.32) (end 112.175 68.3) (width 0.3) (layer BOT-L6) (net 165) (tstamp 51ADCE61))
+  (segment (start 112.62 68.77) (end 112.17 68.32) (width 0.3) (layer BOT-L6) (net 165) (tstamp 51ADCE5F))
+  (segment (start 112.62 71.41) (end 112.62 68.77) (width 0.3) (layer BOT-L6) (net 165) (tstamp 51ADCE5D))
+  (segment (start 111.29042 72.73958) (end 112.62 71.41) (width 0.3) (layer BOT-L6) (net 165) (tstamp 51ADCE56))
+  (segment (start 102.76 72.72958) (end 103.69 72.72958) (width 0.1524) (layer BOT-L6) (net 165))
+  (segment (start 103.69 72.72958) (end 103.7 72.73958) (width 0.1524) (layer BOT-L6) (net 165) (tstamp 51ADCE4D))
+  (segment (start 54.575 90.2) (end 54.575 90.65) (width 0.3) (layer BOT-L6) (net 165))
+  (segment (start 37.25 86.05) (end 37.225 86.05) (width 0.3) (layer BOT-L6) (net 165) (tstamp 51AD7267))
+  (segment (start 39.95 86.05) (end 37.25 86.05) (width 0.3) (layer BOT-L6) (net 165) (tstamp 51AD7264))
+  (segment (start 41.525 87.625) (end 39.95 86.05) (width 0.3) (layer BOT-L6) (net 165) (tstamp 51AD7263))
+  (segment (start 41.525 89.775) (end 41.525 87.625) (width 0.3) (layer BOT-L6) (net 165) (tstamp 51AD7261))
+  (segment (start 43.275 91.525) (end 41.525 89.775) (width 0.3) (layer BOT-L6) (net 165) (tstamp 51AD725F))
+  (segment (start 53.7 91.525) (end 43.275 91.525) (width 0.3) (layer BOT-L6) (net 165) (tstamp 51AD725E))
+  (segment (start 54.575 90.65) (end 53.7 91.525) (width 0.3) (layer BOT-L6) (net 165) (tstamp 51AD725D))
+  (segment (start 107.74478 40.575) (end 114.35 40.575) (width 0.8) (layer BOT-L6) (net 165))
+  (segment (start 114.35 40.575) (end 114.75 40.575) (width 0.8) (layer BOT-L6) (net 165) (tstamp 51A9E169))
+  (segment (start 107.74478 40.575) (end 105.49478 38.325) (width 0.3) (layer BOT-L6) (net 165))
+  (segment (start 105.49478 38.325) (end 104.79958 38.325) (width 0.3) (layer BOT-L6) (net 165) (tstamp 51A9E158))
+  (segment (start 84.625 76.52542) (end 86.21238 76.52542) (width 0.3) (layer TOP-L1) (net 165))
+  (segment (start 86.21238 76.52542) (end 86.3092 76.4286) (width 0.3) (layer TOP-L1) (net 165) (tstamp 51A83758))
+  (segment (start 39.05 51.42) (end 37.46 51.42) (width 1.5) (layer BOT-L6) (net 165))
+  (segment (start 37.41 51.47) (end 37.225 51.47) (width 1.5) (layer BOT-L6) (net 165) (tstamp 51A83387))
+  (segment (start 37.46 51.42) (end 37.41 51.47) (width 1.5) (layer BOT-L6) (net 165) (tstamp 51A83385))
+  (segment (start 92.45 28.375) (end 92.45 21.45) (width 1.5) (layer BOT-L6) (net 165))
+  (segment (start 92.4 21.4) (end 92.4 21.275) (width 1.5) (layer BOT-L6) (net 165) (tstamp 51A8330D))
+  (segment (start 92.45 21.45) (end 92.4 21.4) (width 1.5) (layer BOT-L6) (net 165) (tstamp 51A83307))
+  (segment (start 37.225 29.075) (end 37.225 24.05) (width 1.5) (layer BOT-L6) (net 165))
+  (segment (start 37.225 32.825) (end 37.225 51.47) (width 1.5) (layer BOT-L6) (net 165) (tstamp 51A59076))
+  (segment (start 37.225 51.47) (end 37.225 51.1302) (width 1.5) (layer BOT-L6) (net 165) (tstamp 51A83388))
+  (segment (start 37.225 51.1302) (end 37.225 86.025) (width 1.5) (layer BOT-L6) (net 165) (tstamp 51A5DB59))
+  (segment (start 37.225 86.025) (end 37.225 86.05) (width 1.5) (layer BOT-L6) (net 165) (tstamp 51A47DF2))
+  (segment (start 37.225 29.075) (end 37.225 32.825) (width 1.5) (layer BOT-L6) (net 165) (tstamp 51A82E61))
+  (segment (start 37.225 24.05) (end 40 21.275) (width 1.5) (layer BOT-L6) (net 165) (tstamp 51A82E63))
+  (segment (start 40 21.275) (end 92.4 21.275) (width 1.5) (layer BOT-L6) (net 165) (tstamp 51A82E69))
+  (segment (start 114.75 25.55) (end 114.75 29.825) (width 1.5) (layer BOT-L6) (net 165) (tstamp 51A82E6F))
+  (segment (start 114.75 40.6) (end 114.75 29.825) (width 1.5) (layer BOT-L6) (net 165) (tstamp 51A73913))
+  (segment (start 114.75 40.575) (end 114.75 40.6) (width 1.5) (layer BOT-L6) (net 165) (tstamp 51A9E16A))
+  (segment (start 110.475 21.275) (end 114.75 25.55) (width 1.5) (layer BOT-L6) (net 165) (tstamp 51A82E6A))
+  (segment (start 92.4 21.275) (end 110.475 21.275) (width 1.5) (layer BOT-L6) (net 165) (tstamp 51A8330E))
+  (segment (start 83.425 39.325) (end 83.425 36.325) (width 0.8) (layer TOP-L1) (net 165))
+  (segment (start 86.975 32.775) (end 86.975 31.275) (width 1.5) (layer BOT-L6) (net 165) (tstamp 51A82D49))
+  (segment (start 85.2 34.55) (end 86.975 32.775) (width 1.5) (layer BOT-L6) (net 165) (tstamp 51A82D48))
+  (via (at 85.2 34.55) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 165))
+  (segment (start 83.425 36.325) (end 85.2 34.55) (width 0.8) (layer TOP-L1) (net 165) (tstamp 51A82D3C))
+  (segment (start 113.24958 46.575) (end 114.75 46.575) (width 0.3) (layer BOT-L6) (net 165))
+  (segment (start 112.6 56.7) (end 112.6 65.55) (width 0.5) (layer BOT-L6) (net 165))
+  (segment (start 112.6 65.55) (end 112.6 67.875) (width 0.5) (layer BOT-L6) (net 165) (tstamp 51A74483))
+  (segment (start 112.6 67.875) (end 112.175 68.3) (width 0.5) (layer BOT-L6) (net 165) (tstamp 51A743F2))
+  (segment (start 112.17 68.305) (end 110.85 68.305) (width 0.2) (layer TOP-L1) (net 165) (tstamp 51A7440F))
+  (via (at 112.175 68.3) (size 0.4572) (layers TOP-L1 BOT-L6) (net 165))
+  (segment (start 112.175 68.3) (end 112.17 68.305) (width 0.2) (layer TOP-L1) (net 165) (tstamp 51A7440E))
+  (segment (start 86.975 27.175) (end 91.95 27.175) (width 0.5) (layer BOT-L6) (net 165))
+  (segment (start 92.45 28.35) (end 92.45 28.375) (width 0.5) (layer BOT-L6) (net 165) (tstamp 51A741B7))
+  (segment (start 92.45 28.375) (end 92.45 28.375) (width 0.5) (layer BOT-L6) (net 165) (tstamp 51A83305))
+  (segment (start 92.45 27.675) (end 92.45 28.35) (width 0.5) (layer BOT-L6) (net 165) (tstamp 51A741B5))
+  (segment (start 91.95 27.175) (end 92.45 27.675) (width 0.5) (layer BOT-L6) (net 165) (tstamp 51A741B4))
+  (segment (start 92.45048 26.58438) (end 92.45048 28.37452) (width 0.5) (layer TOP-L1) (net 165))
+  (segment (start 92.45048 28.37452) (end 92.45 28.375) (width 0.5) (layer TOP-L1) (net 165) (tstamp 51A74199))
+  (via (at 92.45 28.375) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 165))
+  (segment (start 92.45 28.375) (end 92.45 28.375) (width 0.5) (layer BOT-L6) (net 165) (tstamp 51A741A3))
+  (segment (start 86.9 22.2) (end 86.9 22.125) (width 1.5) (layer BOT-L6) (net 165) (tstamp 51A74136))
+  (segment (start 86.975 22.275) (end 86.9 22.2) (width 1.5) (layer BOT-L6) (net 165) (tstamp 51A74132))
+  (segment (start 86.975 31.275) (end 86.975 27.175) (width 1.5) (layer BOT-L6) (net 165) (tstamp 51A82D53))
+  (segment (start 86.975 27.175) (end 86.975 27.625) (width 1.5) (layer BOT-L6) (net 165) (tstamp 51A741B2))
+  (segment (start 86.975 27.625) (end 86.975 22.275) (width 1.5) (layer BOT-L6) (net 165) (tstamp 51A741B0))
+  (segment (start 83.42542 35.7) (end 83.42542 36.05) (width 0.8) (layer TOP-L1) (net 165))
+  (segment (start 83.42542 36.05) (end 83.42542 34.52292) (width 0.8) (layer TOP-L1) (net 165) (tstamp 51A7410E))
+  (segment (start 83.425 38.975) (end 83.425 39.325) (width 0.8) (layer TOP-L1) (net 165) (tstamp 51A5CF41))
+  (segment (start 83.425 39.325) (end 83.425 39.325) (width 0.8) (layer TOP-L1) (net 165) (tstamp 51A5CEF2))
+  (segment (start 83.425 39.325) (end 83.42542 35.7) (width 0.8) (layer TOP-L1) (net 165) (tstamp 51A82D3A))
+  (segment (start 83.425 39.625) (end 83.425 39.625) (width 0.5) (layer TOP-L1) (net 165) (tstamp 51A5CB15))
+  (segment (start 83.425 39.625) (end 83.425 38.975) (width 0.8) (layer TOP-L1) (net 165))
+  (segment (start 83.42542 34.52292) (end 83.1775 34.275) (width 0.8) (layer TOP-L1) (net 165) (tstamp 51A5CE94))
+  (segment (start 108.85 57.92542) (end 108.85 56.825) (width 0.5) (layer BOT-L6) (net 165))
+  (segment (start 108.85 56.825) (end 108.85 56.7) (width 0.5) (layer BOT-L6) (net 165) (tstamp 51A73919))
+  (segment (start 59.9525 24.475) (end 59.9525 22.8525) (width 0.5) (layer TOP-L1) (net 165))
+  (segment (start 59.95 22.85) (end 59.95 22.125) (width 0.5) (layer BOT-L6) (net 165) (tstamp 51A729C8))
+  (via (at 59.95 22.85) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 165))
+  (segment (start 59.9525 22.8525) (end 59.95 22.85) (width 0.5) (layer TOP-L1) (net 165) (tstamp 51A729B9))
+  (segment (start 61.88 24.1475) (end 60.28 24.1475) (width 0.3) (layer TOP-L1) (net 165))
+  (segment (start 60.28 24.1475) (end 59.9525 24.475) (width 0.3) (layer TOP-L1) (net 165) (tstamp 51A729A0))
+  (segment (start 71.24 29.98) (end 71.105 29.98) (width 0.3) (layer BOT-L6) (net 165))
+  (segment (start 69.975 22.1) (end 69.975 22.125) (width 0.3) (layer BOT-L6) (net 165) (tstamp 51A7298D))
+  (segment (start 69.95 22.125) (end 69.975 22.1) (width 0.3) (layer BOT-L6) (net 165) (tstamp 51A72988))
+  (segment (start 69.95 28.825) (end 69.95 22.125) (width 0.3) (layer BOT-L6) (net 165) (tstamp 51A72987))
+  (segment (start 71.105 29.98) (end 69.95 28.825) (width 0.3) (layer BOT-L6) (net 165) (tstamp 51A72986))
+  (segment (start 78.86 24.38) (end 78.86 22.34) (width 0.3) (layer BOT-L6) (net 165))
+  (segment (start 78.875 22.325) (end 78.875 22.125) (width 0.3) (layer BOT-L6) (net 165) (tstamp 51A72983))
+  (segment (start 78.86 22.34) (end 78.875 22.325) (width 0.3) (layer BOT-L6) (net 165) (tstamp 51A72981))
+  (segment (start 91.3892 78.3844) (end 86.3346 78.3844) (width 0.5) (layer TOP-L1) (net 165))
+  (segment (start 86.3346 78.3844) (end 86.3092 78.4098) (width 0.5) (layer TOP-L1) (net 165) (tstamp 51A5DB0E))
+  (segment (start 86.3092 81.7626) (end 85.8266 81.28) (width 0.5) (layer TOP-L1) (net 165))
+  (segment (start 85.8266 81.28) (end 85.8266 79.5782) (width 0.5) (layer TOP-L1) (net 165) (tstamp 51A5DAFF))
+  (segment (start 85.8266 79.5782) (end 86.3092 79.0956) (width 0.5) (layer TOP-L1) (net 165) (tstamp 51A5DB04))
+  (segment (start 86.3092 79.0956) (end 86.3092 78.4098) (width 0.5) (layer TOP-L1) (net 165) (tstamp 51A5DB06))
+  (segment (start 86.3092 78.4098) (end 86.3092 76.4286) (width 0.5) (layer TOP-L1) (net 165) (tstamp 51A5DB11))
+  (segment (start 103.725 56.7) (end 103.725 66.0486) (width 0.5) (layer BOT-L6) (net 165))
+  (segment (start 91.3892 78.3844) (end 91.3892 78.3844) (width 0.5) (layer TOP-L1) (net 165) (tstamp 51A5DADD))
+  (segment (start 91.3892 78.3844) (end 91.3892 81.7626) (width 0.5) (layer TOP-L1) (net 165) (tstamp 51A5DB0C))
+  (via (at 91.3892 78.3844) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 165))
+  (segment (start 103.725 66.0486) (end 91.3892 78.3844) (width 0.5) (layer BOT-L6) (net 165) (tstamp 51A5DAC0))
+  (segment (start 103.725 56.7) (end 101.031 56.7) (width 0.8) (layer TOP-L1) (net 165))
+  (segment (start 101.031 56.7) (end 101.025 56.706) (width 0.8) (layer TOP-L1) (net 165) (tstamp 51A5D6D2))
+  (segment (start 84.35 39.49958) (end 84.20042 39.49958) (width 0.2) (layer TOP-L1) (net 165))
+  (segment (start 83.77366 39.92634) (end 83.77366 40.73374) (width 0.2) (layer TOP-L1) (net 165) (tstamp 51A5CFF5))
+  (segment (start 84.20042 39.49958) (end 83.77366 39.92634) (width 0.2) (layer TOP-L1) (net 165) (tstamp 51A5CFF4))
+  (segment (start 83.77366 40.125) (end 83.77366 39.97366) (width 0.2) (layer TOP-L1) (net 165))
+  (segment (start 83.625 39.825) (end 83.425 39.825) (width 0.2) (layer TOP-L1) (net 165) (tstamp 51A5CF2B))
+  (segment (start 83.77366 39.97366) (end 83.625 39.825) (width 0.2) (layer TOP-L1) (net 165) (tstamp 51A5CF29))
+  (segment (start 83.77366 40.73374) (end 83.77366 40.125) (width 0.2) (layer TOP-L1) (net 165))
+  (segment (start 83.77366 40.125) (end 83.77366 40.07366) (width 0.2) (layer TOP-L1) (net 165) (tstamp 51A5CF27))
+  (segment (start 83.27328 40.73628) (end 83.27328 39.97672) (width 0.2) (layer TOP-L1) (net 165))
+  (segment (start 83.27328 39.97672) (end 83.425 39.825) (width 0.2) (layer TOP-L1) (net 165) (tstamp 51A5CABD))
+  (segment (start 83.425 39.825) (end 83.42542 39.82458) (width 0.2) (layer TOP-L1) (net 165) (tstamp 51A5CF2F))
+  (segment (start 37.225 86.05) (end 37.225 86.3) (width 1.5) (layer BOT-L6) (net 165) (tstamp 51AD7268))
+  (segment (start 83.45 22.125) (end 78.875 22.125) (width 1.5) (layer BOT-L6) (net 165) (tstamp 51A5CB4B))
+  (segment (start 86.9 22.125) (end 83.45 22.125) (width 1.5) (layer BOT-L6) (net 165) (tstamp 51A74137))
+  (segment (start 78.875 22.125) (end 69.975 22.125) (width 1.5) (layer BOT-L6) (net 165) (tstamp 51A72984))
+  (segment (start 69.975 22.125) (end 59.95 22.125) (width 1.5) (layer BOT-L6) (net 165) (tstamp 51A7298E))
+  (segment (start 37.5475 84.475) (end 37.2 84.8225) (width 0.8) (layer TOP-L1) (net 165))
+  (segment (start 37.2 86.125) (end 37.225 86.125) (width 0.8) (layer TOP-L1) (net 165) (tstamp 51A486B4))
+  (segment (start 37.2 84.8225) (end 37.2 86.125) (width 0.8) (layer TOP-L1) (net 165) (tstamp 51A486AE))
+  (segment (start 37.225 86.099615) (end 37.225 86.125) (width 0.8) (layer TOP-L1) (net 165))
+  (segment (start 37.225 86.125) (end 37.225 86.1) (width 0.8) (layer TOP-L1) (net 165) (tstamp 51A486B5))
+  (via (at 37.225 86.1) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 165))
+  (segment (start 41.188 85.462) (end 42.30966 85.462) (width 0.5) (layer TOP-L1) (net 165) (tstamp 51A47DBD))
+  (segment (start 40.525 86.125) (end 41.188 85.462) (width 0.5) (layer TOP-L1) (net 165) (tstamp 51A47DB8))
+  (segment (start 37.275 86.1) (end 37.225 86.099615) (width 0.8) (layer TOP-L1) (net 165) (tstamp 51A47DB7))
+  (segment (start 37.225 86.099615) (end 40.525 86.125) (width 0.8) (layer TOP-L1) (net 165) (tstamp 51A4868D))
+  (segment (start 92.775 22.125) (end 92.425 22.125) (width 1.5) (layer BOT-L6) (net 165))
+  (segment (start 92.425 22.125) (end 86.9 22.125) (width 1.5) (layer BOT-L6) (net 165) (tstamp 51A73E74))
+  (segment (start 103.725 56.7) (end 108.85 56.7) (width 1.5) (layer BOT-L6) (net 165))
+  (segment (start 108.85 56.7) (end 112.6 56.7) (width 1.5) (layer BOT-L6) (net 165) (tstamp 51A7391A))
+  (segment (start 112.6 56.7) (end 113.375 56.7) (width 1.5) (layer BOT-L6) (net 165) (tstamp 51A743F0))
+  (segment (start 92.775 22.125) (end 92.45 22.125) (width 1.5) (layer BOT-L6) (net 165) (tstamp 51A2E786))
+  (segment (start 114.75 55.325) (end 114.75 46.575) (width 1.5) (layer BOT-L6) (net 165) (tstamp 51A2E75D))
+  (segment (start 114.75 46.575) (end 114.75 40.575) (width 1.5) (layer BOT-L6) (net 165) (tstamp 51A8221B))
+  (segment (start 113.375 56.7) (end 114.75 55.325) (width 1.5) (layer BOT-L6) (net 165) (tstamp 51A2E754))
+  (segment (start 45.125 88.175) (end 45.125 90.275) (width 0.3) (layer Signal-L5) (net 166))
+  (segment (start 73.475 90.85) (end 73.475 90.845) (width 0.2) (layer Signal-L5) (net 166) (tstamp 51AD7270))
+  (segment (start 72.8 91.525) (end 73.475 90.85) (width 0.2) (layer Signal-L5) (net 166) (tstamp 51AD726E))
+  (segment (start 46.375 91.525) (end 72.8 91.525) (width 0.2) (layer Signal-L5) (net 166) (tstamp 51AD726D))
+  (segment (start 45.125 90.275) (end 46.375 91.525) (width 0.3) (layer Signal-L5) (net 166) (tstamp 51AD726B))
+  (segment (start 73.61 89.175) (end 73.61 87.665) (width 0.2) (layer Signal-L5) (net 166))
+  (segment (start 81.36 68.9) (end 80.3 69.96) (width 0.2) (layer Signal-L5) (net 166) (tstamp 51A5FE49))
+  (segment (start 82.05 68.9) (end 81.36 68.9) (width 0.2) (layer Signal-L5) (net 166) (tstamp 51A5FE48))
+  (segment (start 80.3 69.96) (end 80.3 76.2) (width 0.2) (layer Signal-L5) (net 166) (tstamp 51A5FE4A))
+  (segment (start 73.61 89.175) (end 73.61 90.71) (width 0.2) (layer Signal-L5) (net 166) (tstamp 51AD6A2D))
+  (segment (start 73.61 90.71) (end 73.475 90.845) (width 0.2) (layer Signal-L5) (net 166) (tstamp 51A5FE52))
+  (segment (start 73.61 87.665) (end 80.3 80.975) (width 0.2) (layer Signal-L5) (net 166) (tstamp 51AD6A2F))
+  (segment (start 80.3 80.975) (end 80.3 76.2) (width 0.2) (layer Signal-L5) (net 166) (tstamp 51AD6A31))
+  (segment (start 85.52 62.6) (end 85.52 61.055) (width 0.2) (layer Signal-L3) (net 166))
+  (segment (start 87.55 48.475) (end 88.625 48.475) (width 0.2) (layer Signal-L3) (net 166) (tstamp 51A8313E))
+  (segment (start 86.125 49.9) (end 87.55 48.475) (width 0.2) (layer Signal-L3) (net 166) (tstamp 51A83139))
+  (segment (start 86.125 60.45) (end 86.125 49.9) (width 0.2) (layer Signal-L3) (net 166) (tstamp 51A83138))
+  (segment (start 85.52 61.055) (end 86.125 60.45) (width 0.2) (layer Signal-L3) (net 166) (tstamp 51A83137))
+  (segment (start 85.52 67.875) (end 85.52 62.6) (width 0.2) (layer Signal-L3) (net 166))
+  (segment (start 88.625 48.475) (end 88.63 48.48) (width 0.2) (layer Signal-L3) (net 166) (tstamp 51A8306F))
+  (via (at 88.63 48.48) (size 0.4572) (layers TOP-L1 BOT-L6) (net 166))
+  (segment (start 88.63 48.48) (end 88.63 48.49) (width 0.2) (layer TOP-L1) (net 166) (tstamp 51A5FE64))
+  (segment (start 82.05 68.9) (end 85.03 68.9) (width 0.2) (layer Signal-L3) (net 166))
+  (segment (start 85.52 68.41) (end 85.52 67.875) (width 0.2) (layer Signal-L3) (net 166) (tstamp 51A5FE5B))
+  (segment (start 85.03 68.9) (end 85.52 68.41) (width 0.2) (layer Signal-L3) (net 166) (tstamp 51A5FE5A))
+  (segment (start 82.6 69.2) (end 82.3 68.9) (width 0.2) (layer TOP-L1) (net 166))
+  (segment (start 44.84966 87.89966) (end 45.125 88.175) (width 0.2) (layer TOP-L1) (net 166) (tstamp 51A47C9D))
+  (via (at 45.125 88.175) (size 0.4572) (layers TOP-L1 BOT-L6) (net 166))
+  (segment (start 44.84966 87.89966) (end 44.84966 87.367) (width 0.2) (layer TOP-L1) (net 166))
+  (via (at 82.05 68.9) (size 0.4572) (layers TOP-L1 BOT-L6) (net 166))
+  (segment (start 82.3 68.9) (end 82.05 68.9) (width 0.2) (layer TOP-L1) (net 166) (tstamp 51A5FE46))
+  (segment (start 89.82042 48.49) (end 89.82042 49.60242) (width 0.3) (layer TOP-L1) (net 166))
+  (segment (start 89.82042 49.60242) (end 89.938 49.72) (width 0.3) (layer TOP-L1) (net 166) (tstamp 51A5D51A))
+  (segment (start 89.82042 48.49) (end 88.63 48.49) (width 0.3) (layer TOP-L1) (net 166))
+  (segment (start 88.63 48.49) (end 88.63 48.49) (width 0.3) (layer TOP-L1) (net 166) (tstamp 51A5FE65))
+  (segment (start 87.67332 47.53332) (end 87.27632 47.53332) (width 0.3) (layer TOP-L1) (net 166) (tstamp 51A5D511))
+  (segment (start 88.63 48.49) (end 87.67332 47.53332) (width 0.3) (layer TOP-L1) (net 166) (tstamp 51A5D510))
+  (segment (start 72.6 72.8) (end 72.35 73.05) (width 0.8) (layer Signal-L5) (net 167))
+  (segment (start 72.35 73.05) (end 72.35 84.25) (width 0.8) (layer Signal-L5) (net 167) (tstamp 51AEE94C))
+  (segment (start 70.2 62.4) (end 67.225 62.4) (width 0.8) (layer Signal-L3) (net 167))
+  (segment (start 55.175 54.775) (end 55.175 54.75) (width 0.8) (layer Signal-L3) (net 167) (tstamp 51AD8119))
+  (segment (start 59.6 54.775) (end 55.175 54.775) (width 1.5) (layer Signal-L3) (net 167) (tstamp 51AD8116))
+  (segment (start 67.225 62.4) (end 59.6 54.775) (width 1.5) (layer Signal-L3) (net 167) (tstamp 51AD8114))
+  (segment (start 70.2 62.4) (end 70.2 61.87542) (width 0.3) (layer BOT-L6) (net 167))
+  (via (at 70.2 62.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 167))
+  (segment (start 70.2 61.87542) (end 70.65042 61.425) (width 0.3) (layer BOT-L6) (net 167) (tstamp 51AD8102))
+  (segment (start 70.6 62.8) (end 70.2 62.4) (width 0.1524) (layer TOP-L1) (net 167))
+  (segment (start 70.2 62.4) (end 69.8 62.8) (width 0.1524) (layer TOP-L1) (net 167))
+  (segment (start 70.45 76.575) (end 71.025 76.575) (width 0.8) (layer Signal-L5) (net 167))
+  (via (at 71 72) (size 0.4572) (layers TOP-L1 BOT-L6) (net 167))
+  (segment (start 71 72) (end 71.4 72.4) (width 0.1524) (layer TOP-L1) (net 167))
+  (segment (start 71.15 71.9) (end 71 72) (width 0.8) (layer Signal-L5) (net 167) (tstamp 51AD7C30))
+  (segment (start 71.775 72.525) (end 71.15 71.9) (width 0.8) (layer Signal-L5) (net 167) (tstamp 51AD7C29))
+  (segment (start 71.775 75.825) (end 71.775 72.525) (width 0.8) (layer Signal-L5) (net 167) (tstamp 51AD7C23))
+  (segment (start 71.025 76.575) (end 71.775 75.825) (width 0.8) (layer Signal-L5) (net 167) (tstamp 51AD7C1F))
+  (segment (start 73.375 73.49958) (end 73.375 74.20042) (width 0.3) (layer BOT-L6) (net 167))
+  (segment (start 73.375 74.20042) (end 73.4 74.22542) (width 0.3) (layer BOT-L6) (net 167) (tstamp 51AD7B65))
+  (segment (start 73.375 73.49958) (end 72.82458 73.49958) (width 0.3) (layer BOT-L6) (net 167))
+  (segment (start 72.6 72.775) (end 72.6 72.8) (width 0.3) (layer BOT-L6) (net 167) (tstamp 51AD7B06))
+  (segment (start 72.6 73.275) (end 72.6 72.775) (width 0.3) (layer BOT-L6) (net 167) (tstamp 51AD7B04))
+  (segment (start 72.82458 73.49958) (end 72.6 73.275) (width 0.3) (layer BOT-L6) (net 167) (tstamp 51AD7AFE))
+  (segment (start 37.225 59.225) (end 38.825 59.225) (width 0.8) (layer Signal-L3) (net 167))
+  (segment (start 41.55 61.1) (end 39.75 59.3) (width 0.8) (layer Signal-L5) (net 167) (tstamp 51AD740D))
+  (segment (start 39.75 59.3) (end 38.85 59.3) (width 0.8) (layer Signal-L5) (net 167) (tstamp 51AD740E))
+  (via (at 38.85 59.3) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 167))
+  (segment (start 41.55 61.1) (end 41.55 61.125) (width 0.8) (layer Signal-L5) (net 167))
+  (segment (start 38.9 59.3) (end 38.85 59.3) (width 0.8) (layer Signal-L3) (net 167) (tstamp 51AD742A))
+  (segment (start 38.825 59.225) (end 38.9 59.3) (width 0.8) (layer Signal-L3) (net 167) (tstamp 51AD7428))
+  (segment (start 37.225 60.8) (end 37.525 60.8) (width 0.8) (layer Signal-L3) (net 167))
+  (segment (start 37.55 60.825) (end 37.225 60.825) (width 0.8) (layer Signal-L3) (net 167) (tstamp 51AD73E1))
+  (segment (start 37.525 60.8) (end 37.55 60.825) (width 0.8) (layer Signal-L3) (net 167) (tstamp 51AD73DE))
+  (segment (start 37.225 60.75) (end 41.175 60.75) (width 0.8) (layer Signal-L5) (net 167))
+  (segment (start 41.175 60.75) (end 41.55 61.125) (width 0.8) (layer Signal-L5) (net 167) (tstamp 51AD737B))
+  (segment (start 49.95 61.15) (end 50.8 62) (width 0.8) (layer Signal-L5) (net 167) (tstamp 51AD739C))
+  (segment (start 41.55 61.125) (end 41.9 61.475) (width 0.8) (layer Signal-L5) (net 167) (tstamp 51AD740B))
+  (segment (start 41.9 61.475) (end 43.1 61.475) (width 0.8) (layer Signal-L5) (net 167) (tstamp 51AD7387))
+  (segment (start 43.1 61.475) (end 43.675 60.9) (width 0.8) (layer Signal-L5) (net 167) (tstamp 51AD738B))
+  (segment (start 43.675 60.9) (end 44.875 60.9) (width 0.8) (layer Signal-L5) (net 167) (tstamp 51AD738C))
+  (segment (start 44.875 60.9) (end 45.25 61.275) (width 0.8) (layer Signal-L5) (net 167) (tstamp 51AD7391))
+  (segment (start 45.25 61.275) (end 47.775 61.275) (width 0.8) (layer Signal-L5) (net 167) (tstamp 51AD7392))
+  (segment (start 47.775 61.275) (end 47.9 61.15) (width 0.8) (layer Signal-L5) (net 167) (tstamp 51AD7397))
+  (segment (start 47.9 61.15) (end 49.95 61.15) (width 0.8) (layer Signal-L5) (net 167) (tstamp 51AD739B))
+  (segment (start 38.9 79.05) (end 38.7 79.05) (width 1.5) (layer Signal-L5) (net 167))
+  (segment (start 53.075 53.85) (end 53.45 54.225) (width 1.5) (layer Signal-L5) (net 167) (tstamp 51AD735E))
+  (segment (start 39.95 53.85) (end 53.075 53.85) (width 1.5) (layer Signal-L5) (net 167) (tstamp 51AD735D))
+  (segment (start 37.225 56.575) (end 39.95 53.85) (width 1.5) (layer Signal-L5) (net 167) (tstamp 51AD735C))
+  (segment (start 37.225 77.575) (end 37.225 60.75) (width 1.5) (layer Signal-L5) (net 167) (tstamp 51AD735B))
+  (segment (start 37.225 60.75) (end 37.225 56.575) (width 1.5) (layer Signal-L5) (net 167) (tstamp 51AD7379))
+  (segment (start 38.7 79.05) (end 37.225 77.575) (width 1.5) (layer Signal-L5) (net 167) (tstamp 51AD735A))
+  (segment (start 53.45 54.225) (end 53.075 53.85) (width 1.5) (layer Signal-L3) (net 167))
+  (segment (start 37.225 56.6) (end 37.225 59.225) (width 1.5) (layer Signal-L3) (net 167) (tstamp 51AD7354))
+  (segment (start 37.225 59.225) (end 37.225 60.825) (width 1.5) (layer Signal-L3) (net 167) (tstamp 51AD7426))
+  (segment (start 39.975 53.85) (end 37.225 56.6) (width 1.5) (layer Signal-L3) (net 167) (tstamp 51AD7353))
+  (segment (start 53.075 53.85) (end 39.975 53.85) (width 1.5) (layer Signal-L3) (net 167) (tstamp 51AD7352))
+  (segment (start 37.225 60.8) (end 37.225 77.425) (width 1.5) (layer Signal-L3) (net 167) (tstamp 51AD73DC))
+  (segment (start 37.225 77.425) (end 38.925 79.125) (width 1.5) (layer Signal-L3) (net 167) (tstamp 51AD7355))
+  (segment (start 38.925 79.125) (end 38.9 79.125) (width 1.5) (layer Signal-L3) (net 167) (tstamp 51AD7357))
+  (segment (start 55.225 54.8) (end 55.175 54.75) (width 1.5) (layer Signal-L3) (net 167))
+  (segment (start 54.4 56.275) (end 54.4 55.125) (width 0.8) (layer BOT-L6) (net 167) (tstamp 51A481A6))
+  (segment (start 54.4 55.125) (end 54.75 54.775) (width 0.8) (layer BOT-L6) (net 167) (tstamp 51A4558F))
+  (via (at 55.15 54.775) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 167))
+  (segment (start 54.75 54.775) (end 55.15 54.775) (width 0.8) (layer BOT-L6) (net 167) (tstamp 51A45599))
+  (segment (start 55.15 54.775) (end 55.2 54.775) (width 0.8) (layer Signal-L3) (net 167) (tstamp 51A455A4))
+  (segment (start 55.2 54.775) (end 55.225 54.8) (width 1.5) (layer Signal-L3) (net 167))
+  (segment (start 55.175 54.75) (end 54.65 54.225) (width 1.5) (layer Signal-L3) (net 167) (tstamp 51AD811A))
+  (segment (start 54.65 54.225) (end 53.45 54.225) (width 1.5) (layer Signal-L3) (net 167) (tstamp 51AD734F))
+  (segment (start 55.09958 76.1) (end 56.15 76.1) (width 0.3) (layer BOT-L6) (net 167))
+  (segment (start 56.15 76.1) (end 56.4 76.35) (width 0.3) (layer BOT-L6) (net 167) (tstamp 51AD7320))
+  (segment (start 56.8 76.975) (end 56.8 76.725) (width 0.3) (layer Signal-L5) (net 167))
+  (segment (start 56.8 76.725) (end 56.4 76.325) (width 0.3) (layer Signal-L5) (net 167) (tstamp 51AD7318))
+  (segment (start 56.4 76.325) (end 56.4 76.35) (width 0.3) (layer Signal-L5) (net 167) (tstamp 51AD731A))
+  (segment (start 56.825 79.7) (end 56.8 79.675) (width 0.8) (layer Signal-L5) (net 167))
+  (segment (start 56.8 79.675) (end 56.8 76.975) (width 0.8) (layer Signal-L5) (net 167) (tstamp 51AD7313))
+  (segment (start 56.8 76.975) (end 56.8 76.975) (width 0.8) (layer Signal-L5) (net 167) (tstamp 51AD7316))
+  (segment (start 59.25 79.7) (end 59.25 79.725) (width 0.8) (layer Signal-L5) (net 167) (tstamp 51AD730B))
+  (segment (start 56.825 79.7) (end 59.25 79.7) (width 0.8) (layer Signal-L5) (net 167) (tstamp 51AD7311))
+  (segment (start 63.125 79.825) (end 62.675 80.275) (width 0.8) (layer Signal-L5) (net 167))
+  (segment (start 66.35 76.575) (end 68.5 76.575) (width 0.8) (layer Signal-L5) (net 167) (tstamp 51A454A7))
+  (segment (start 63.1 79.825) (end 66.35 76.575) (width 0.8) (layer Signal-L5) (net 167) (tstamp 51A454A2))
+  (segment (start 63.125 79.825) (end 63.1 79.825) (width 0.5) (layer Signal-L5) (net 167) (tstamp 51A45674))
+  (segment (start 70.45 76.575) (end 68.5 76.575) (width 0.8) (layer Signal-L5) (net 167) (tstamp 51AD7C1D))
+  (segment (start 62.675 80.275) (end 59.775 80.275) (width 0.8) (layer Signal-L5) (net 167) (tstamp 51A45676))
+  (segment (start 59.775 80.275) (end 59.225 79.725) (width 0.8) (layer Signal-L5) (net 167) (tstamp 51A45678))
+  (segment (start 59.225 79.725) (end 59.25 79.725) (width 0.1524) (layer Signal-L5) (net 167) (tstamp 51A4567B))
+  (segment (start 52.8 82) (end 52.8 82.475) (width 0.3) (layer Signal-L5) (net 167))
+  (segment (start 52.45 82.825) (end 52.45 82.85) (width 0.3) (layer Signal-L5) (net 167) (tstamp 51AD72D6))
+  (segment (start 52.8 82.475) (end 52.45 82.825) (width 0.3) (layer Signal-L5) (net 167) (tstamp 51AD72D4))
+  (segment (start 47.7 85.225) (end 47.7 83.475) (width 0.8) (layer Signal-L5) (net 167))
+  (segment (start 47.7 83.475) (end 48.6 82.575) (width 0.8) (layer Signal-L5) (net 167) (tstamp 51AD72C2))
+  (segment (start 48.6 82.575) (end 50.6 82.575) (width 0.8) (layer Signal-L5) (net 167) (tstamp 51AD72C3))
+  (segment (start 50.6 82.575) (end 50.875 82.85) (width 0.8) (layer Signal-L5) (net 167) (tstamp 51AD72C4))
+  (segment (start 50.875 82.85) (end 52.45 82.85) (width 0.8) (layer Signal-L5) (net 167) (tstamp 51AD72C5))
+  (segment (start 52.45 82.85) (end 52.4 82.85) (width 0.8) (layer Signal-L5) (net 167) (tstamp 51AD72D7))
+  (segment (start 52.8 82) (end 52.8 81.975) (width 0.1524) (layer Signal-L5) (net 167))
+  (segment (start 52.8 81.975) (end 52.8 85.175) (width 0.1524) (layer Signal-L5) (net 167) (tstamp 51AD72CA))
+  (segment (start 52.8 85.175) (end 52.8 85.225) (width 0.1524) (layer Signal-L5) (net 167) (tstamp 51AD72BC))
+  (segment (start 63.7 85.225) (end 63.7 80.65) (width 1.5) (layer Signal-L5) (net 167))
+  (segment (start 62.9 79.85) (end 61.9 79.85) (width 1.5) (layer Signal-L5) (net 167) (tstamp 51AD72B5))
+  (segment (start 63.7 80.65) (end 62.9 79.85) (width 1.5) (layer Signal-L5) (net 167) (tstamp 51AD72B1))
+  (via (at 72.6 72.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 167))
+  (segment (start 38.9 79.125) (end 38.9 79.05) (width 1.5) (layer Signal-L5) (net 167))
+  (segment (start 38.9 79.05) (end 38.9 84.1) (width 1.5) (layer Signal-L5) (net 167) (tstamp 51AD7358))
+  (segment (start 38.9 84.1) (end 40.025 85.225) (width 1.5) (layer Signal-L5) (net 167) (tstamp 51AD72A3))
+  (segment (start 40.025 85.225) (end 47.7 85.225) (width 1.5) (layer Signal-L5) (net 167) (tstamp 51AD72A4))
+  (segment (start 39.10042 79.32542) (end 38.9 79.125) (width 0.1524) (layer BOT-L6) (net 167) (tstamp 51AD6AE2))
+  (segment (start 39.10042 80.325) (end 39.10042 79.32542) (width 0.1524) (layer BOT-L6) (net 167))
+  (via (at 38.9 79.125) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 167))
+  (segment (start 47.7 85.225) (end 52.8 85.225) (width 1.5) (layer Signal-L5) (net 167) (tstamp 51AD72C0))
+  (segment (start 52.8 85.225) (end 63.7 85.225) (width 1.5) (layer Signal-L5) (net 167) (tstamp 51AD72BD))
+  (segment (start 39.10042 81.225) (end 39.10042 80.325) (width 0.3) (layer BOT-L6) (net 167))
+  (segment (start 39.12542 82.125) (end 39.12542 81.25) (width 0.3) (layer BOT-L6) (net 167))
+  (segment (start 39.12542 81.25) (end 39.10042 81.225) (width 0.3) (layer BOT-L6) (net 167) (tstamp 51A83792))
+  (segment (start 39.12542 83) (end 39.12542 82.125) (width 0.3) (layer BOT-L6) (net 167))
+  (segment (start 49.75 61.92542) (end 49.775 61.90042) (width 0.1524) (layer BOT-L6) (net 167))
+  (segment (start 49.775 60.95) (end 49.75 60.95) (width 0.1524) (layer BOT-L6) (net 167) (tstamp 51A484E0))
+  (segment (start 49.775 61.90042) (end 49.775 60.95) (width 0.3) (layer BOT-L6) (net 167) (tstamp 51A484DB))
+  (segment (start 49.75 61.92542) (end 49.82458 62) (width 0.1524) (layer BOT-L6) (net 167))
+  (segment (start 49.82458 62) (end 50.8 62) (width 0.1524) (layer BOT-L6) (net 167) (tstamp 51A484D3))
+  (segment (start 53.54958 56.15) (end 54.275 56.15) (width 0.3) (layer BOT-L6) (net 167))
+  (segment (start 54.275 56.15) (end 54.425 56.3) (width 0.3) (layer BOT-L6) (net 167) (tstamp 51A4819F))
+  (segment (start 54.425 56.3) (end 54.4 56.275) (width 0.3) (layer BOT-L6) (net 167) (tstamp 51A852D1))
+  (segment (start 49.75 60.95) (end 49.75 61) (width 0.3) (layer BOT-L6) (net 167) (tstamp 51A484E1))
+  (segment (start 49.75 61) (end 49.75 60.95) (width 0.3) (layer BOT-L6) (net 167) (tstamp 51A48101))
+  (segment (start 52.8 82) (end 52.8 82.72458) (width 0.3) (layer BOT-L6) (net 167))
+  (segment (start 52.8 82.72458) (end 52.74958 82.775) (width 0.3) (layer BOT-L6) (net 167) (tstamp 51A4802F))
+  (segment (start 56.375 76.375) (end 56.4 76.35) (width 0.8) (layer BOT-L6) (net 167) (tstamp 51A33E80))
+  (segment (start 56.4 76.375) (end 56.375 76.375) (width 0.8) (layer BOT-L6) (net 167) (tstamp 51A44E8B))
+  (segment (start 54.4 56.25) (end 54.4 56.275) (width 0.8) (layer BOT-L6) (net 167))
+  (segment (start 73.8 73.2) (end 73.4 72.8) (width 0.1524) (layer TOP-L1) (net 167))
+  (segment (start 73.4 72.8) (end 72.6 72.8) (width 0.1524) (layer TOP-L1) (net 167) (tstamp 51A45515))
+  (via (at 52.8 82) (size 0.4572) (layers TOP-L1 BOT-L6) (net 167))
+  (segment (start 52.8 82) (end 53.2 82.4) (width 0.1524) (layer TOP-L1) (net 167))
+  (segment (start 49.75 60.95) (end 49.75 60.95) (width 0.3) (layer BOT-L6) (net 167) (tstamp 51A4517C))
+  (segment (start 54.4 56.25) (end 54.4 56.25) (width 0.8) (layer BOT-L6) (net 167) (tstamp 51A4558D))
+  (segment (start 56.4 76.35) (end 56.4 76.8) (width 0.1524) (layer TOP-L1) (net 167))
+  (via (at 56.4 76.35) (size 0.4572) (layers TOP-L1 BOT-L6) (net 167))
+  (segment (start 54.4 56.25) (end 54.4 56.8) (width 0.1524) (layer TOP-L1) (net 167))
+  (via (at 54.4 56.25) (size 0.4572) (layers TOP-L1 BOT-L6) (net 167))
+  (via (at 50.8 62) (size 0.4572) (layers TOP-L1 BOT-L6) (net 167))
+  (segment (start 51.2 62.4) (end 50.8 62) (width 0.1524) (layer TOP-L1) (net 167))
+  (segment (start 71 65.6) (end 71.725 66.325) (width 0.3) (layer BOT-L6) (net 168))
+  (segment (start 71 62.4) (end 71.60042 62.4) (width 0.3) (layer BOT-L6) (net 168))
+  (segment (start 72.60042 71.325) (end 72.60042 70.95042) (width 0.3) (layer BOT-L6) (net 168))
+  (segment (start 72.60042 70.95042) (end 72.15 70.5) (width 0.3) (layer BOT-L6) (net 168) (tstamp 51AD7F11))
+  (segment (start 71.4 69.2) (end 71.8 68.8) (width 0.1524) (layer TOP-L1) (net 168))
+  (via (at 71.8 68.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 71.8 68.8) (end 71.4 68.4) (width 0.1524) (layer TOP-L1) (net 168))
+  (segment (start 72.6 72.025) (end 72.6 71.32542) (width 0.3) (layer BOT-L6) (net 168))
+  (segment (start 72.6 71.32542) (end 72.60042 71.325) (width 0.3) (layer BOT-L6) (net 168) (tstamp 51AD7864))
+  (segment (start 50.7 90.575) (end 51.675 90.575) (width 0.8) (layer TOP-L1) (net 168))
+  (via (at 51.675 90.575) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 50.05 90.6) (end 42.9 90.6) (width 0.8) (layer TOP-L1) (net 168))
+  (segment (start 42.9 90.6) (end 42.8 90.5) (width 0.8) (layer TOP-L1) (net 168) (tstamp 51AD727E))
+  (segment (start 50.7 86.247) (end 50.7 90.575) (width 0.8) (layer TOP-L1) (net 168) (tstamp 51A6F2B5))
+  (segment (start 50.7 90.575) (end 50.7 90.325) (width 0.8) (layer TOP-L1) (net 168) (tstamp 51AD7292))
+  (segment (start 50.7 90.325) (end 50.425 90.6) (width 0.8) (layer TOP-L1) (net 168) (tstamp 51A6F2B6))
+  (segment (start 50.7 86.247) (end 50.578 86.125) (width 0.8) (layer TOP-L1) (net 168))
+  (via (at 49.975 90.6) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 50.05 90.6) (end 49.975 90.6) (width 0.2) (layer TOP-L1) (net 168) (tstamp 51AD727C))
+  (segment (start 50.425 90.6) (end 50.05 90.6) (width 0.2) (layer TOP-L1) (net 168))
+  (segment (start 52.5 86.875) (end 52.5 86.825) (width 1.5) (layer BOT-L6) (net 168))
+  (segment (start 51.525 85.85) (end 51.525 85.075) (width 1.5) (layer BOT-L6) (net 168) (tstamp 51AD7259))
+  (segment (start 52.5 86.825) (end 51.525 85.85) (width 1.5) (layer BOT-L6) (net 168) (tstamp 51AD7258))
+  (segment (start 52.5 86.875) (end 51.328 86.875) (width 0.8) (layer TOP-L1) (net 168))
+  (segment (start 51.328 86.875) (end 50.578 86.125) (width 0.8) (layer TOP-L1) (net 168) (tstamp 51AD7254))
+  (segment (start 50.67458 84.425) (end 50.67458 83.89958) (width 0.3) (layer BOT-L6) (net 168))
+  (via (at 50.4 83.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 50.4 83.6) (end 50.8 83.2) (width 0.1524) (layer TOP-L1) (net 168))
+  (segment (start 50.375 83.6) (end 50.4 83.6) (width 0.3) (layer BOT-L6) (net 168) (tstamp 51A86EFC))
+  (segment (start 50.67458 83.89958) (end 50.375 83.6) (width 0.3) (layer BOT-L6) (net 168) (tstamp 51A86EF4))
+  (segment (start 73 71.6) (end 72.6 72) (width 0.1524) (layer TOP-L1) (net 168))
+  (segment (start 72.2 72.4) (end 72.6 72) (width 0.1524) (layer TOP-L1) (net 168))
+  (segment (start 72.575 72.025) (end 72.6 72.025) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 51A83AFD))
+  (segment (start 72.6 72) (end 72.575 72.025) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 51A83AFC))
+  (via (at 72.6 72) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 72.388 80.075) (end 72.388 79.863) (width 0.5) (layer BOT-L6) (net 168))
+  (segment (start 62.025 76.375) (end 62.025 76.325) (width 0.5) (layer BOT-L6) (net 168) (tstamp 51A6F3EF))
+  (segment (start 68.9 76.375) (end 62.025 76.375) (width 0.5) (layer BOT-L6) (net 168) (tstamp 51A6F3EB))
+  (segment (start 72.388 79.863) (end 68.9 76.375) (width 0.5) (layer BOT-L6) (net 168) (tstamp 51A6F3E7))
+  (segment (start 49.2775 89.025) (end 50.6725 89.025) (width 0.5) (layer TOP-L1) (net 168))
+  (segment (start 50.6725 89.025) (end 50.6975 89) (width 0.5) (layer TOP-L1) (net 168) (tstamp 51A6F2E5))
+  (segment (start 50.578 86.125) (end 50.578 88.8805) (width 0.5) (layer TOP-L1) (net 168))
+  (segment (start 50.578 88.8805) (end 50.6975 89) (width 0.5) (layer TOP-L1) (net 168) (tstamp 51A6F2E1))
+  (segment (start 50.6725 89.025) (end 50.6975 89) (width 0.5) (layer TOP-L1) (net 168) (tstamp 51A6F2D7))
+  (segment (start 71.025 71.17458) (end 70.65042 70.8) (width 0.1524) (layer BOT-L6) (net 168))
+  (segment (start 70.65042 70.8) (end 68.425 70.8) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 51A5DE2A))
+  (segment (start 71 68.8) (end 71 68.825) (width 0.1524) (layer BOT-L6) (net 168))
+  (segment (start 71 68.825) (end 71 68.17458) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 51AD7BAE))
+  (segment (start 71 68.8) (end 70.6 69.2) (width 0.1524) (layer BOT-L6) (net 168))
+  (segment (start 70.6 69.2) (end 68.425 69.2) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 51A5DE15))
+  (segment (start 71 68.17458) (end 70.77458 68.4) (width 0.1524) (layer BOT-L6) (net 168))
+  (segment (start 70.77458 68.4) (end 68.45 68.4) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 51A5DE0A))
+  (segment (start 68.45 68.4) (end 68.425 68.4) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 51A5DE0D))
+  (segment (start 68.425 65.175) (end 68.45 65.2) (width 0.1524) (layer BOT-L6) (net 168))
+  (segment (start 64.025 74.325) (end 67.825 74.325) (width 0.2) (layer BOT-L6) (net 168) (tstamp 51A5DDE0))
+  (segment (start 67.825 74.325) (end 68.425 73.725) (width 0.2) (layer BOT-L6) (net 168) (tstamp 51A5DDE6))
+  (segment (start 68.425 73.725) (end 68.425 70.8) (width 0.2) (layer BOT-L6) (net 168) (tstamp 51A5DDE8))
+  (segment (start 62.025 76.325) (end 62.025 76.325) (width 0.2) (layer BOT-L6) (net 168))
+  (segment (start 62.025 76.325) (end 64.025 74.325) (width 0.2) (layer BOT-L6) (net 168) (tstamp 51A6F3F0))
+  (segment (start 68.425 70.8) (end 68.425 69.2) (width 0.2) (layer BOT-L6) (net 168) (tstamp 51A5DE2F))
+  (segment (start 68.425 69.2) (end 68.425 68.4) (width 0.2) (layer BOT-L6) (net 168) (tstamp 51A5DE19))
+  (segment (start 68.425 68.4) (end 68.425 65.175) (width 0.2) (layer BOT-L6) (net 168) (tstamp 51A5DE0E))
+  (segment (start 70.77458 65.2) (end 71 64.97458) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 51A5DE04))
+  (segment (start 68.45 65.2) (end 70.77458 65.2) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 51A5DDFF))
+  (segment (start 58.32542 77.1) (end 58.32542 77.22542) (width 0.1524) (layer BOT-L6) (net 168))
+  (segment (start 59.1 78) (end 60 78) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 51A49913))
+  (segment (start 58.32542 77.22542) (end 59.1 78) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 51A49912))
+  (segment (start 60 78) (end 60.79958 78) (width 0.1524) (layer BOT-L6) (net 168))
+  (segment (start 60.79958 78) (end 60.85 77.94958) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 51A4990F))
+  (via (at 60 78) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 59.6 77.6) (end 60 78) (width 0.1524) (layer TOP-L1) (net 168))
+  (segment (start 58 76.325) (end 58 76.77458) (width 0.1524) (layer BOT-L6) (net 168))
+  (via (at 58 76.325) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 58 76.8) (end 58 76.325) (width 0.1524) (layer TOP-L1) (net 168))
+  (segment (start 58 76.77458) (end 58.32542 77.1) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 51A49907))
+  (segment (start 71.825 71.2) (end 71.875 71.2) (width 0.1524) (layer BOT-L6) (net 168))
+  (segment (start 71.875 71.2) (end 71.05042 71.2) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 51AD7B9F))
+  (segment (start 71.05042 71.2) (end 71.025 71.17458) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 51A49700))
+  (segment (start 71.825 71.6) (end 71.825 71.2) (width 0.1524) (layer TOP-L1) (net 168))
+  (segment (start 71.825 71.2) (end 71.825 71.175) (width 0.1524) (layer Signal-L5) (net 168) (tstamp 51A496EF))
+  (via (at 71.825 71.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 71.4 71.6) (end 71.825 71.6) (width 0.1524) (layer TOP-L1) (net 168))
+  (segment (start 71.825 71.6) (end 72.2 71.6) (width 0.1524) (layer TOP-L1) (net 168) (tstamp 51A496EB))
+  (segment (start 71 64.97458) (end 71 65.6) (width 0.2) (layer BOT-L6) (net 168))
+  (segment (start 71 65.6) (end 71.025 65.625) (width 0.1524) (layer TOP-L1) (net 168) (tstamp 51A45B65))
+  (via (at 71 65.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (via (at 71 62.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 52.4 83.65) (end 52.42542 83.67542) (width 0.2) (layer BOT-L6) (net 168))
+  (via (at 52.4 83.65) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 52.4 83.2) (end 52.4 83.65) (width 0.1524) (layer TOP-L1) (net 168))
+  (segment (start 52.42542 83.67542) (end 52.42542 84.4) (width 0.2) (layer BOT-L6) (net 168) (tstamp 51A4929F))
+  (segment (start 55.2 82.8) (end 55.14958 82.85042) (width 0.2) (layer BOT-L6) (net 168))
+  (via (at 55.2 82.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 54.8 82.4) (end 55.2 82.8) (width 0.1524) (layer TOP-L1) (net 168))
+  (segment (start 55.14958 82.85042) (end 55.14958 83.875) (width 0.2) (layer BOT-L6) (net 168) (tstamp 51A4927F))
+  (segment (start 56.025 83.575) (end 55.725 83.875) (width 0.2) (layer BOT-L6) (net 168))
+  (via (at 56.025 83.575) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 56.4 83.2) (end 56.025 83.575) (width 0.2) (layer TOP-L1) (net 168))
+  (segment (start 55.725 83.875) (end 55.14958 83.875) (width 0.2) (layer BOT-L6) (net 168) (tstamp 51A4927C))
+  (segment (start 60.025 83.575) (end 60.05 83.6) (width 0.2) (layer BOT-L6) (net 168))
+  (via (at 60.025 83.575) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 60.025 83.2) (end 60.025 83.575) (width 0.1524) (layer TOP-L1) (net 168))
+  (segment (start 60.05 83.6) (end 60.67542 83.6) (width 0.2) (layer BOT-L6) (net 168) (tstamp 51A49165))
+  (segment (start 59.2 83.55) (end 59.14958 83.60042) (width 0.2) (layer BOT-L6) (net 168))
+  (segment (start 59.2 83.425) (end 59.2 83.525) (width 0.1524) (layer TOP-L1) (net 168) (tstamp 51A48726))
+  (segment (start 59.2 83.525) (end 59.2 83.55) (width 0.1524) (layer TOP-L1) (net 168) (tstamp 51A48727))
+  (via (at 59.2 83.55) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 59.2 83.2) (end 59.2 83.425) (width 0.1524) (layer TOP-L1) (net 168))
+  (segment (start 59.14958 83.60042) (end 59.14958 84.225) (width 0.2) (layer BOT-L6) (net 168) (tstamp 51A4914F))
+  (segment (start 62.5 82.4) (end 62.50042 82.40042) (width 0.2) (layer BOT-L6) (net 168))
+  (via (at 62.5 82.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 62 82.4) (end 62.5 82.4) (width 0.1524) (layer TOP-L1) (net 168))
+  (segment (start 62.50042 82.40042) (end 63.2 82.40042) (width 0.2) (layer BOT-L6) (net 168) (tstamp 51A49126))
+  (segment (start 56 78) (end 55.82542 78.17458) (width 0.2) (layer BOT-L6) (net 168))
+  (via (at 56 78) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 55.82542 78.17458) (end 55.325 78.17458) (width 0.2) (layer BOT-L6) (net 168) (tstamp 51A490F9))
+  (segment (start 61.6 78) (end 60.90042 78) (width 0.3) (layer BOT-L6) (net 168))
+  (via (at 61.6 78) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 61.2 77.6) (end 61.6 78) (width 0.1524) (layer TOP-L1) (net 168))
+  (segment (start 60.90042 78) (end 60.85 77.94958) (width 0.3) (layer BOT-L6) (net 168) (tstamp 51A490D4))
+  (segment (start 62 76.35) (end 62.025 76.325) (width 0.3) (layer BOT-L6) (net 168))
+  (segment (start 62.025 76.325) (end 62.09958 76.25042) (width 0.3) (layer BOT-L6) (net 168) (tstamp 51A5DDDE))
+  (via (at 62 76.35) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 62 76.8) (end 62 76.35) (width 0.1524) (layer TOP-L1) (net 168))
+  (segment (start 62.09958 76.25042) (end 62.09958 75.625) (width 0.3) (layer BOT-L6) (net 168) (tstamp 51A49091))
+  (segment (start 60.4 76.35) (end 60.39958 76.34958) (width 0.3) (layer BOT-L6) (net 168))
+  (via (at 60.4 76.35) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 60.4 76.8) (end 60.4 76.35) (width 0.1524) (layer TOP-L1) (net 168))
+  (segment (start 60.39958 76.34958) (end 60.39958 75.65) (width 0.3) (layer BOT-L6) (net 168) (tstamp 51A49079))
+  (segment (start 50.8 76.35) (end 50.8 75.72542) (width 0.3) (layer BOT-L6) (net 168))
+  (via (at 50.8 76.35) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 50.8 76.8) (end 50.8 76.35) (width 0.1524) (layer TOP-L1) (net 168))
+  (segment (start 50.8 75.72542) (end 50.74958 75.675) (width 0.3) (layer BOT-L6) (net 168) (tstamp 51A49053))
+  (segment (start 52.47458 75.675) (end 52.47458 76.27542) (width 0.3) (layer BOT-L6) (net 168))
+  (via (at 52.4 76.35) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 52.4 76.35) (end 52.4 76.8) (width 0.1524) (layer TOP-L1) (net 168))
+  (segment (start 52.375 76.375) (end 52.4 76.35) (width 0.3) (layer BOT-L6) (net 168) (tstamp 51A49051))
+  (segment (start 52.47458 76.27542) (end 52.375 76.375) (width 0.3) (layer BOT-L6) (net 168) (tstamp 51A4904F))
+  (segment (start 52.4 62) (end 52.4 61.39958) (width 0.2) (layer BOT-L6) (net 168))
+  (via (at 52.4 62) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 52.8 62.4) (end 52.4 62) (width 0.1524) (layer TOP-L1) (net 168))
+  (segment (start 52.4 61.39958) (end 52.425 61.37458) (width 0.2) (layer BOT-L6) (net 168) (tstamp 51A48F22))
+  (segment (start 50.4 63.65) (end 50.4 64.39958) (width 0.2) (layer BOT-L6) (net 168))
+  (via (at 50.4 63.65) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 50.4 63.2) (end 50.4 63.65) (width 0.1524) (layer TOP-L1) (net 168))
+  (segment (start 50.4 64.39958) (end 50.40042 64.4) (width 0.2) (layer BOT-L6) (net 168) (tstamp 51A48EE9))
+  (segment (start 48.8 63.65) (end 48.8 64.37458) (width 0.2) (layer BOT-L6) (net 168))
+  (via (at 48.8 63.65) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 48.8 63.2) (end 48.8 63.65) (width 0.1524) (layer TOP-L1) (net 168))
+  (segment (start 48.8 64.37458) (end 48.79958 64.375) (width 0.2) (layer BOT-L6) (net 168) (tstamp 51A48EA1))
+  (segment (start 55.2 61.15) (end 55.225 61.125) (width 0.2) (layer BOT-L6) (net 168))
+  (via (at 55.2 61.15) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 55.2 61.6) (end 55.2 61.15) (width 0.1524) (layer TOP-L1) (net 168))
+  (segment (start 55.225 61.125) (end 55.82542 61.125) (width 0.2) (layer BOT-L6) (net 168) (tstamp 51A48E44))
+  (segment (start 54.4 63.65) (end 54.69958 63.35042) (width 0.2) (layer BOT-L6) (net 168))
+  (via (at 54.4 63.65) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 54.4 63.2) (end 54.4 63.65) (width 0.1524) (layer TOP-L1) (net 168))
+  (segment (start 54.69958 63.35042) (end 54.69958 62.9) (width 0.2) (layer BOT-L6) (net 168) (tstamp 51A48DF0))
+  (segment (start 57.2 63.7) (end 57.27542 63.62458) (width 0.2) (layer BOT-L6) (net 168))
+  (segment (start 57.27542 63.62458) (end 58 63.62458) (width 0.2) (layer BOT-L6) (net 168) (tstamp 51A48D77))
+  (segment (start 58.8 63.6) (end 58.77542 63.62458) (width 0.2) (layer BOT-L6) (net 168))
+  (segment (start 58.77542 63.62458) (end 58 63.62458) (width 0.2) (layer BOT-L6) (net 168) (tstamp 51A48D74))
+  (segment (start 58.4 63.2) (end 58.8 63.6) (width 0.1524) (layer TOP-L1) (net 168))
+  (via (at 58.8 63.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 60.45 62.4) (end 60.49958 62.35042) (width 0.2) (layer BOT-L6) (net 168))
+  (via (at 60.45 62.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 60 62.4) (end 60.45 62.4) (width 0.1524) (layer TOP-L1) (net 168))
+  (segment (start 60.49958 62.35042) (end 61.125 62.35042) (width 0.2) (layer BOT-L6) (net 168) (tstamp 51A48D32))
+  (segment (start 58.4 56.325) (end 57.85042 56.87458) (width 0.2) (layer BOT-L6) (net 168))
+  (segment (start 58.4 56.8) (end 58.4 56.325) (width 0.1524) (layer TOP-L1) (net 168))
+  (via (at 58.4 56.325) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 57.85042 56.87458) (end 57.85042 57.275) (width 0.2) (layer BOT-L6) (net 168) (tstamp 51A48CC3))
+  (segment (start 59.6 57.2) (end 59.67458 57.27458) (width 0.2) (layer BOT-L6) (net 168))
+  (via (at 59.6 57.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 59.67458 57.27458) (end 60.3 57.27458) (width 0.2) (layer BOT-L6) (net 168) (tstamp 51A48CBF))
+  (segment (start 57.2 58) (end 57.85042 57.34958) (width 0.2) (layer BOT-L6) (net 168))
+  (via (at 57.2 58) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 57.6 57.6) (end 57.2 58) (width 0.1524) (layer TOP-L1) (net 168))
+  (segment (start 57.85042 57.34958) (end 57.85042 57.275) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 51A48C76))
+  (segment (start 56.12542 55.675) (end 56.12542 56.19958) (width 0.1524) (layer BOT-L6) (net 168))
+  (via (at 56 56.35) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 56 56.35) (end 56 56.8) (width 0.1524) (layer TOP-L1) (net 168))
+  (segment (start 55.975 56.35) (end 56 56.35) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 51A48C05))
+  (segment (start 56.12542 56.19958) (end 55.975 56.35) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 51A48C02))
+  (segment (start 54 58) (end 53.97542 58.02458) (width 0.1524) (layer BOT-L6) (net 168))
+  (via (at 54 58) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 54.4 57.6) (end 54 58) (width 0.1524) (layer TOP-L1) (net 168))
+  (segment (start 53.97542 58.02458) (end 53.3 58.02458) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 51A48B63))
+  (segment (start 52.4 58) (end 52.42458 58.02458) (width 0.1524) (layer BOT-L6) (net 168))
+  (via (at 52.4 58) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 52.8 57.6) (end 52.4 58) (width 0.1524) (layer TOP-L1) (net 168))
+  (segment (start 52.42458 58.02458) (end 53.3 58.02458) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 51A48B60))
+  (segment (start 50.57458 55.575) (end 50.4 55.74958) (width 0.3) (layer BOT-L6) (net 168))
+  (via (at 50.4 56.35) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 50.4 56.35) (end 50.4 56.8) (width 0.1524) (layer TOP-L1) (net 168))
+  (segment (start 50.4 55.74958) (end 50.4 56.35) (width 0.3) (layer BOT-L6) (net 168) (tstamp 51A48B22))
+  (segment (start 48.79958 55.475) (end 48.8 55.47542) (width 0.3) (layer BOT-L6) (net 168))
+  (via (at 48.8 56.175) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 48.8 56.175) (end 48.8 56.8) (width 0.1524) (layer TOP-L1) (net 168))
+  (segment (start 48.8 55.47542) (end 48.8 56.175) (width 0.3) (layer BOT-L6) (net 168) (tstamp 51A48A89))
+  (segment (start 71.4 62.8) (end 71 62.4) (width 0.1524) (layer TOP-L1) (net 168))
+  (segment (start 71 62.4) (end 71.4 62) (width 0.1524) (layer TOP-L1) (net 168))
+  (segment (start 71.4 65.2) (end 71.025 65.575) (width 0.1524) (layer TOP-L1) (net 168))
+  (segment (start 71.025 65.575) (end 71.025 65.625) (width 0.1524) (layer TOP-L1) (net 168) (tstamp 51A45B64))
+  (segment (start 71.4 66) (end 71.025 65.625) (width 0.1524) (layer TOP-L1) (net 168))
+  (segment (start 71 68.8) (end 71 68.8) (width 0.1524) (layer BOT-L6) (net 168))
+  (segment (start 42.52458 89.625) (end 42.52458 90.525) (width 0.3) (layer TOP-L1) (net 168))
+  (segment (start 42.52458 88.725) (end 42.52458 89.625) (width 0.3) (layer TOP-L1) (net 168))
+  (segment (start 60.4 83.2) (end 60.025 83.2) (width 0.1524) (layer TOP-L1) (net 168))
+  (segment (start 60.025 83.2) (end 59.6 83.2) (width 0.1524) (layer TOP-L1) (net 168) (tstamp 51A48741))
+  (segment (start 58.8 83.2) (end 59.2 83.2) (width 0.1524) (layer TOP-L1) (net 168))
+  (segment (start 59.2 83.2) (end 59.6 83.2) (width 0.1524) (layer TOP-L1) (net 168) (tstamp 51A48724))
+  (segment (start 59.2 57.6) (end 59.6 57.2) (width 0.1524) (layer TOP-L1) (net 168))
+  (segment (start 59.6 57.2) (end 60 56.8) (width 0.1524) (layer TOP-L1) (net 168))
+  (segment (start 54.8 77.6) (end 55.2 78) (width 0.1524) (layer TOP-L1) (net 168))
+  (segment (start 56 78) (end 56.4 77.6) (width 0.1524) (layer TOP-L1) (net 168) (tstamp 519EF24E))
+  (segment (start 55.2 78) (end 56 78) (width 0.1524) (layer TOP-L1) (net 168) (tstamp 519EF243))
+  (segment (start 57.2 81.6) (end 57.2 81.175) (width 0.1524) (layer TOP-L1) (net 168))
+  (via (at 57.2 81.175) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 57.2 63.2) (end 57.2 63.7) (width 0.1524) (layer TOP-L1) (net 168))
+  (segment (start 57.2 63.7) (end 57.2 63.675) (width 0.1524) (layer Signal-L3) (net 168) (tstamp 519C48F0))
+  (via (at 57.2 63.7) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 57.6 63.2) (end 57.2 63.2) (width 0.1524) (layer TOP-L1) (net 168))
+  (segment (start 57.2 63.2) (end 56.8 63.2) (width 0.1524) (layer TOP-L1) (net 168) (tstamp 519C48E5))
+  (segment (start 66.7 49.39) (end 66.7 47.62) (width 0.1524) (layer Signal-L3) (net 169))
+  (segment (start 64.04 46.37) (end 64.04 46.375) (width 0.1524) (layer Signal-L3) (net 169) (tstamp 51A83570))
+  (segment (start 65.45 46.37) (end 64.04 46.37) (width 0.1524) (layer Signal-L3) (net 169) (tstamp 51A8356C))
+  (segment (start 66.7 47.62) (end 65.45 46.37) (width 0.1524) (layer Signal-L3) (net 169) (tstamp 51A8356A))
+  (segment (start 76.525 58.325) (end 75.425 57.225) (width 0.1524) (layer Signal-L3) (net 169))
+  (segment (start 62.1 48.49874) (end 62.09918 48.49956) (width 0.1524) (layer TOP-L1) (net 169) (tstamp 51A726A0))
+  (segment (start 62.1 47.475) (end 62.1 48.49874) (width 0.1524) (layer TOP-L1) (net 169) (tstamp 51A7269C))
+  (segment (start 63.2 46.375) (end 62.1 47.475) (width 0.1524) (layer TOP-L1) (net 169) (tstamp 51A72697))
+  (segment (start 63.7 46.375) (end 63.2 46.375) (width 0.1524) (layer TOP-L1) (net 169) (tstamp 51A72696))
+  (via (at 63.7 46.375) (size 0.4572) (layers TOP-L1 BOT-L6) (net 169))
+  (segment (start 64.04 46.375) (end 63.7 46.375) (width 0.1524) (layer Signal-L3) (net 169) (tstamp 51A83571))
+  (segment (start 66.7 50.425) (end 66.7 49.39) (width 0.1524) (layer Signal-L3) (net 169) (tstamp 51A72685))
+  (segment (start 73.5 57.225) (end 66.7 50.425) (width 0.1524) (layer Signal-L3) (net 169) (tstamp 51A7267A))
+  (segment (start 75.425 57.225) (end 73.5 57.225) (width 0.1524) (layer Signal-L3) (net 169) (tstamp 51A72676))
+  (segment (start 77.8 59.6) (end 77.4 59.2) (width 0.1524) (layer TOP-L1) (net 169))
+  (segment (start 77.4 59.2) (end 76.525 58.325) (width 0.1524) (layer Signal-L3) (net 169) (tstamp 51A59800))
+  (via (at 77.4 59.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 169))
+  (segment (start 75.625 57.35) (end 75.625 55.9) (width 0.1524) (layer Signal-L5) (net 170))
+  (segment (start 74.225 54.5) (end 74.225 54.125) (width 0.1524) (layer Signal-L5) (net 170) (tstamp 51A72364))
+  (segment (start 75.625 55.9) (end 74.225 54.5) (width 0.1524) (layer Signal-L5) (net 170) (tstamp 51A72361))
+  (segment (start 74.225 46.475) (end 74.225 42.9) (width 0.1524) (layer Signal-L5) (net 170))
+  (segment (start 70.9 42.15) (end 70.9 42.14956) (width 0.1524) (layer TOP-L1) (net 170) (tstamp 51A5B23F))
+  (segment (start 73.475 42.15) (end 70.9 42.15) (width 0.1524) (layer TOP-L1) (net 170) (tstamp 51A5B23D))
+  (segment (start 73.525 42.2) (end 73.475 42.15) (width 0.1524) (layer TOP-L1) (net 170) (tstamp 51A5B23C))
+  (via (at 73.525 42.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 170))
+  (segment (start 74.225 42.9) (end 73.525 42.2) (width 0.1524) (layer Signal-L5) (net 170) (tstamp 51A5B239))
+  (segment (start 74.225 54.125) (end 74.225 46.475) (width 0.1524) (layer Signal-L5) (net 170) (tstamp 51A72367))
+  (segment (start 77 60.4) (end 76.6 60) (width 0.1524) (layer TOP-L1) (net 170))
+  (segment (start 70.9 42.14956) (end 68.94956 42.14956) (width 0.1524) (layer TOP-L1) (net 170) (tstamp 51A5B240))
+  (segment (start 75.625 58) (end 75.625 57.35) (width 0.1524) (layer Signal-L5) (net 170) (tstamp 51A597A0))
+  (segment (start 76.2 58.575) (end 75.625 58) (width 0.1524) (layer Signal-L5) (net 170) (tstamp 51A5979F))
+  (segment (start 76.2 59.6) (end 76.2 58.575) (width 0.1524) (layer Signal-L5) (net 170) (tstamp 51A5979E))
+  (segment (start 76.6 60) (end 76.2 59.6) (width 0.1524) (layer Signal-L5) (net 170) (tstamp 51A5979D))
+  (via (at 76.6 60) (size 0.4572) (layers TOP-L1 BOT-L6) (net 170))
+  (segment (start 73.1 54.25) (end 73.1 56.725) (width 0.1524) (layer Signal-L5) (net 171))
+  (segment (start 74.6 58.225) (end 74.6 58.6) (width 0.1524) (layer Signal-L5) (net 171) (tstamp 51A726E9))
+  (segment (start 73.1 56.725) (end 74.6 58.225) (width 0.1524) (layer Signal-L5) (net 171) (tstamp 51A726E7))
+  (segment (start 73.1 54.25) (end 73.1 54.3) (width 0.1524) (layer Signal-L5) (net 171) (tstamp 51A726E5))
+  (segment (start 73.1 47.575) (end 73.1 45.95) (width 0.1524) (layer Signal-L5) (net 171))
+  (segment (start 69.275 39.15) (end 68.9 39.15) (width 0.1524) (layer Signal-L5) (net 171) (tstamp 51A5B188))
+  (segment (start 72.475 42.35) (end 69.275 39.15) (width 0.1524) (layer Signal-L5) (net 171) (tstamp 51A5B185))
+  (segment (start 72.475 45.325) (end 72.475 42.35) (width 0.1524) (layer Signal-L5) (net 171) (tstamp 51A5B181))
+  (segment (start 73.1 45.95) (end 72.475 45.325) (width 0.1524) (layer Signal-L5) (net 171) (tstamp 51A5B17C))
+  (segment (start 75.4 60.4) (end 75 60) (width 0.1524) (layer TOP-L1) (net 171))
+  (segment (start 74.6 59.6) (end 74.6 58.6) (width 0.1524) (layer Signal-L5) (net 171) (tstamp 51A59714))
+  (segment (start 75 60) (end 74.6 59.6) (width 0.1524) (layer Signal-L5) (net 171) (tstamp 51A59713))
+  (via (at 75 60) (size 0.4572) (layers TOP-L1 BOT-L6) (net 171))
+  (segment (start 67.60082 39.74918) (end 67.60082 40.30044) (width 0.1524) (layer TOP-L1) (net 171) (tstamp 51A59721))
+  (segment (start 68.2 39.15) (end 67.60082 39.74918) (width 0.1524) (layer TOP-L1) (net 171) (tstamp 51A59720))
+  (via (at 68.2 39.15) (size 0.4572) (layers TOP-L1 BOT-L6) (net 171))
+  (segment (start 68.9 39.15) (end 68.2 39.15) (width 0.1524) (layer Signal-L5) (net 171) (tstamp 51A5B18B))
+  (segment (start 73.1 54.3) (end 73.1 47.575) (width 0.1524) (layer Signal-L5) (net 171) (tstamp 51A72383))
+  (segment (start 67.10044 39.42) (end 67.10044 39.18956) (width 0.1524) (layer TOP-L1) (net 172))
+  (segment (start 67.74 38.55) (end 68.21 38.55) (width 0.1524) (layer Signal-L5) (net 172) (tstamp 51A835C9))
+  (segment (start 67.49 38.8) (end 67.74 38.55) (width 0.1524) (layer Signal-L5) (net 172) (tstamp 51A835C8))
+  (via (at 67.49 38.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 172))
+  (segment (start 67.10044 39.18956) (end 67.49 38.8) (width 0.1524) (layer TOP-L1) (net 172) (tstamp 51A835C4))
+  (segment (start 75.3 57.675) (end 75.3 56.075) (width 0.1524) (layer Signal-L5) (net 172))
+  (segment (start 73.875 54.65) (end 73.875 54) (width 0.1524) (layer Signal-L5) (net 172) (tstamp 51A7236C))
+  (segment (start 75.3 56.075) (end 73.875 54.65) (width 0.1524) (layer Signal-L5) (net 172) (tstamp 51A7236B))
+  (segment (start 73.875 45.925) (end 73.875 45.4) (width 0.1524) (layer Signal-L5) (net 172))
+  (segment (start 69.25 38.55) (end 68.4 38.55) (width 0.1524) (layer Signal-L5) (net 172) (tstamp 51A5B1E3))
+  (segment (start 73.425 42.725) (end 69.25 38.55) (width 0.1524) (layer Signal-L5) (net 172) (tstamp 51A5B1E0))
+  (segment (start 73.425 44.95) (end 73.425 42.725) (width 0.1524) (layer Signal-L5) (net 172) (tstamp 51A5B1DB))
+  (segment (start 73.875 45.4) (end 73.425 44.95) (width 0.1524) (layer Signal-L5) (net 172) (tstamp 51A5B1DA))
+  (segment (start 68.4 38.55) (end 68.21 38.55) (width 0.1524) (layer Signal-L5) (net 172) (tstamp 51A5B1E8))
+  (segment (start 73.875 54) (end 73.875 45.925) (width 0.1524) (layer Signal-L5) (net 172) (tstamp 51A72370))
+  (segment (start 76.2 59.6) (end 75.8 59.2) (width 0.1524) (layer TOP-L1) (net 172))
+  (segment (start 75.3 58.175) (end 75.3 57.675) (width 0.1524) (layer Signal-L5) (net 172) (tstamp 51A59780))
+  (segment (start 75.8 58.675) (end 75.3 58.175) (width 0.1524) (layer Signal-L5) (net 172) (tstamp 51A5977F))
+  (segment (start 75.8 59.2) (end 75.8 58.675) (width 0.1524) (layer Signal-L5) (net 172) (tstamp 51A5977E))
+  (via (at 75.8 59.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 172))
+  (segment (start 67.10044 39.42) (end 67.10044 40.30044) (width 0.1524) (layer TOP-L1) (net 172) (tstamp 51A835C2))
+  (segment (start 72.575 54.475) (end 72.575 56.85) (width 0.1524) (layer Signal-L5) (net 173))
+  (segment (start 74.2 58.475) (end 74.2 58.675) (width 0.1524) (layer Signal-L5) (net 173) (tstamp 51A726DD))
+  (segment (start 72.575 56.85) (end 74.2 58.475) (width 0.1524) (layer Signal-L5) (net 173) (tstamp 51A726DA))
+  (segment (start 72.575 54.475) (end 72.575 54.225) (width 0.1524) (layer Signal-L5) (net 173) (tstamp 51A726D8))
+  (segment (start 74.6 59.6) (end 74.2 59.2) (width 0.1524) (layer TOP-L1) (net 173))
+  (segment (start 74.2 59.2) (end 74.2 58.675) (width 0.1524) (layer Signal-L5) (net 173) (tstamp 51A59706))
+  (via (at 74.2 59.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 173))
+  (segment (start 71.72468 45.64968) (end 68.94956 45.64968) (width 0.1524) (layer TOP-L1) (net 173) (tstamp 51A5970D))
+  (segment (start 72.575 46.5) (end 71.72468 45.64968) (width 0.1524) (layer TOP-L1) (net 173) (tstamp 51A5970C))
+  (via (at 72.575 46.5) (size 0.4572) (layers TOP-L1 BOT-L6) (net 173))
+  (segment (start 72.575 54.225) (end 72.575 46.5) (width 0.1524) (layer Signal-L5) (net 173) (tstamp 51A7238C))
+  (segment (start 71.4 46.775) (end 71.4 44.475) (width 0.1524) (layer Signal-L5) (net 174))
+  (segment (start 70.82468 43.15032) (end 68.94956 43.15032) (width 0.1524) (layer TOP-L1) (net 174) (tstamp 51A596E9))
+  (segment (start 70.825 43.15) (end 70.82468 43.15032) (width 0.1524) (layer TOP-L1) (net 174) (tstamp 51A596E8))
+  (via (at 70.825 43.15) (size 0.4572) (layers TOP-L1 BOT-L6) (net 174))
+  (segment (start 70.975 43.3) (end 70.825 43.15) (width 0.1524) (layer Signal-L5) (net 174) (tstamp 51A596E6))
+  (segment (start 70.975 44.05) (end 70.975 43.3) (width 0.1524) (layer Signal-L5) (net 174) (tstamp 51A596E5))
+  (segment (start 71.4 44.475) (end 70.975 44.05) (width 0.1524) (layer Signal-L5) (net 174) (tstamp 51A596E4))
+  (segment (start 71.4 46.8) (end 71.4 46.775) (width 0.1524) (layer Signal-L5) (net 174))
+  (segment (start 72.2 60.4) (end 71.8 60) (width 0.1524) (layer TOP-L1) (net 174))
+  (segment (start 71.4 59.6) (end 71.4 46.8) (width 0.1524) (layer Signal-L5) (net 174) (tstamp 51A593FC))
+  (segment (start 71.8 60) (end 71.4 59.6) (width 0.1524) (layer Signal-L5) (net 174) (tstamp 51A593FB))
+  (via (at 71.8 60) (size 0.4572) (layers TOP-L1 BOT-L6) (net 174))
+  (segment (start 71.8 45.5) (end 71.8 43.975) (width 0.1524) (layer Signal-L5) (net 175))
+  (segment (start 71.4743 43.6507) (end 68.94956 43.6507) (width 0.1524) (layer TOP-L1) (net 175) (tstamp 51A596DE))
+  (segment (start 71.475 43.65) (end 71.4743 43.6507) (width 0.1524) (layer TOP-L1) (net 175) (tstamp 51A596DD))
+  (via (at 71.475 43.65) (size 0.4572) (layers TOP-L1 BOT-L6) (net 175))
+  (segment (start 71.8 43.975) (end 71.475 43.65) (width 0.1524) (layer Signal-L5) (net 175) (tstamp 51A596DB))
+  (segment (start 72.2 59.6) (end 71.8 59.2) (width 0.1524) (layer TOP-L1) (net 175))
+  (via (at 71.8 59.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 175))
+  (segment (start 71.8 59.2) (end 71.8 58.65) (width 0.1524) (layer Signal-L5) (net 175) (tstamp 51A59430))
+  (segment (start 71.8 58.65) (end 71.8 45.5) (width 0.1524) (layer Signal-L5) (net 175) (tstamp 51A59431))
+  (segment (start 71.8 45.5) (end 71.8 45.425) (width 0.1524) (layer Signal-L5) (net 175) (tstamp 51A596D9))
+  (segment (start 70.6 46.825) (end 70.6 45.275) (width 0.1524) (layer Signal-L5) (net 176))
+  (segment (start 70.19892 44.15108) (end 68.94956 44.15108) (width 0.1524) (layer TOP-L1) (net 176) (tstamp 51A59700))
+  (segment (start 70.2 44.15) (end 70.19892 44.15108) (width 0.1524) (layer TOP-L1) (net 176) (tstamp 51A596FF))
+  (via (at 70.2 44.15) (size 0.4572) (layers TOP-L1 BOT-L6) (net 176))
+  (segment (start 70.35 44.3) (end 70.2 44.15) (width 0.1524) (layer Signal-L5) (net 176) (tstamp 51A596FD))
+  (segment (start 70.35 45.025) (end 70.35 44.3) (width 0.1524) (layer Signal-L5) (net 176) (tstamp 51A596FC))
+  (segment (start 70.6 45.275) (end 70.35 45.025) (width 0.1524) (layer Signal-L5) (net 176) (tstamp 51A596FB))
+  (segment (start 71.4 60.4) (end 71 60) (width 0.1524) (layer TOP-L1) (net 176))
+  (via (at 71 60) (size 0.4572) (layers TOP-L1 BOT-L6) (net 176))
+  (segment (start 70.6 59.6) (end 70.6 48.425) (width 0.1524) (layer Signal-L5) (net 176) (tstamp 51A59382))
+  (segment (start 71 60) (end 70.6 59.6) (width 0.1524) (layer Signal-L5) (net 176) (tstamp 51A59381))
+  (segment (start 70.6 48.425) (end 70.6 46.825) (width 0.1524) (layer Signal-L5) (net 176))
+  (segment (start 71 47.2) (end 71 44.825) (width 0.1524) (layer Signal-L5) (net 177))
+  (segment (start 70.82392 44.64892) (end 68.94956 44.64892) (width 0.1524) (layer TOP-L1) (net 177) (tstamp 51A596F4))
+  (segment (start 70.825 44.65) (end 70.82392 44.64892) (width 0.1524) (layer TOP-L1) (net 177) (tstamp 51A596F3))
+  (via (at 70.825 44.65) (size 0.4572) (layers TOP-L1 BOT-L6) (net 177))
+  (segment (start 71 44.825) (end 70.825 44.65) (width 0.1524) (layer Signal-L5) (net 177) (tstamp 51A596F1))
+  (segment (start 71 56.675) (end 71 47.2) (width 0.1524) (layer Signal-L5) (net 177) (tstamp 51A593C7))
+  (segment (start 71.4 59.6) (end 71 59.2) (width 0.1524) (layer TOP-L1) (net 177))
+  (via (at 71 59.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 177))
+  (segment (start 71 59.2) (end 71 56.675) (width 0.1524) (layer Signal-L5) (net 177) (tstamp 51A593C6))
+  (segment (start 73.525 54.35) (end 73.525 56.625) (width 0.1524) (layer Signal-L5) (net 178))
+  (segment (start 75 58.1) (end 75 58.5) (width 0.1524) (layer Signal-L5) (net 178) (tstamp 51A72799))
+  (segment (start 73.525 56.625) (end 75 58.1) (width 0.1524) (layer Signal-L5) (net 178) (tstamp 51A72798))
+  (segment (start 73.525 54.35) (end 73.525 54.2) (width 0.1524) (layer Signal-L5) (net 178) (tstamp 51A72796))
+  (segment (start 73.525 46.425) (end 73.525 45.65) (width 0.1524) (layer Signal-L5) (net 178))
+  (segment (start 72.925 43) (end 72.725 42.8) (width 0.1524) (layer TOP-L1) (net 178) (tstamp 51A5B194))
+  (via (at 72.925 43) (size 0.4572) (layers TOP-L1 BOT-L6) (net 178))
+  (segment (start 72.925 45.05) (end 72.925 43) (width 0.1524) (layer Signal-L5) (net 178) (tstamp 51A5B191))
+  (segment (start 73.525 45.65) (end 72.925 45.05) (width 0.1524) (layer Signal-L5) (net 178) (tstamp 51A5B18F))
+  (segment (start 75.4 59.6) (end 75 59.2) (width 0.1524) (layer TOP-L1) (net 178))
+  (segment (start 75 59.2) (end 75 58.5) (width 0.1524) (layer Signal-L5) (net 178) (tstamp 51A59726))
+  (via (at 75 59.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 178))
+  (segment (start 72.57494 42.64994) (end 68.94956 42.64994) (width 0.1524) (layer TOP-L1) (net 178) (tstamp 51A5972F))
+  (segment (start 72.725 42.8) (end 72.57494 42.64994) (width 0.1524) (layer TOP-L1) (net 178) (tstamp 51A5B197))
+  (segment (start 73.525 54.2) (end 73.525 46.425) (width 0.1524) (layer Signal-L5) (net 178) (tstamp 51A7237A))
+  (segment (start 72.125 54.05) (end 72.125 56.95) (width 0.1524) (layer Signal-L5) (net 179))
+  (segment (start 73.8 58.625) (end 73.8 58.8) (width 0.1524) (layer Signal-L5) (net 179) (tstamp 51A726D0))
+  (segment (start 72.125 56.95) (end 73.8 58.625) (width 0.1524) (layer Signal-L5) (net 179) (tstamp 51A726CE))
+  (segment (start 74.6 60.4) (end 74.2 60) (width 0.1524) (layer TOP-L1) (net 179))
+  (segment (start 73.8 59.6) (end 73.8 58.8) (width 0.1524) (layer Signal-L5) (net 179) (tstamp 51A596C8))
+  (segment (start 74.2 60) (end 73.8 59.6) (width 0.1524) (layer Signal-L5) (net 179) (tstamp 51A596C7))
+  (via (at 74.2 60) (size 0.4572) (layers TOP-L1 BOT-L6) (net 179))
+  (segment (start 70.94918 41.64918) (end 68.94956 41.64918) (width 0.1524) (layer TOP-L1) (net 179) (tstamp 51A596D0))
+  (segment (start 70.95 41.65) (end 70.94918 41.64918) (width 0.1524) (layer TOP-L1) (net 179) (tstamp 51A596CF))
+  (via (at 70.95 41.65) (size 0.4572) (layers TOP-L1 BOT-L6) (net 179))
+  (segment (start 72.125 42.825) (end 70.95 41.65) (width 0.1524) (layer Signal-L5) (net 179) (tstamp 51A596CC))
+  (segment (start 72.125 54.05) (end 72.125 42.825) (width 0.1524) (layer Signal-L5) (net 179) (tstamp 51A726CC))
+  (segment (start 66.025 50.325) (end 65.45 49.75) (width 0.1524) (layer Signal-L3) (net 180))
+  (segment (start 65.225 49.75) (end 65.1 49.625) (width 0.1524) (layer Signal-L3) (net 180) (tstamp 51A7266C))
+  (segment (start 65.45 49.75) (end 65.225 49.75) (width 0.1524) (layer Signal-L3) (net 180) (tstamp 51A7266B))
+  (segment (start 75.725 58.325) (end 75.05 57.65) (width 0.1524) (layer Signal-L3) (net 180))
+  (segment (start 73.35 57.65) (end 66.025 50.325) (width 0.1524) (layer Signal-L3) (net 180) (tstamp 51A7265E))
+  (segment (start 75.05 57.65) (end 73.35 57.65) (width 0.1524) (layer Signal-L3) (net 180) (tstamp 51A7265C))
+  (segment (start 77 59.6) (end 76.6 59.2) (width 0.1524) (layer TOP-L1) (net 180))
+  (segment (start 65.09892 49.62392) (end 65.09892 48.49956) (width 0.1524) (layer TOP-L1) (net 180) (tstamp 51A597E5))
+  (via (at 65.1 49.625) (size 0.4572) (layers TOP-L1 BOT-L6) (net 180))
+  (segment (start 65.1 49.625) (end 65.09892 49.62392) (width 0.1524) (layer TOP-L1) (net 180) (tstamp 51A597E4))
+  (segment (start 76.6 59.2) (end 75.725 58.325) (width 0.1524) (layer Signal-L3) (net 180) (tstamp 51A597D1))
+  (via (at 76.6 59.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 180))
+  (segment (start 73 59.25) (end 73 58.775) (width 0.1524) (layer Signal-L3) (net 181))
+  (segment (start 71.025 56.8) (end 70.5 56.8) (width 0.1524) (layer Signal-L3) (net 181) (tstamp 51A724F6))
+  (segment (start 73 58.775) (end 71.025 56.8) (width 0.1524) (layer Signal-L3) (net 181) (tstamp 51A724F5))
+  (segment (start 64.60108 50.3) (end 64.60108 51.07392) (width 0.1524) (layer TOP-L1) (net 181))
+  (segment (start 70.025 56.8) (end 70.5 56.8) (width 0.1524) (layer Signal-L3) (net 181) (tstamp 51A7247A))
+  (segment (start 64.6 51.375) (end 70.025 56.8) (width 0.1524) (layer Signal-L3) (net 181) (tstamp 51A72479))
+  (segment (start 64.6 51.075) (end 64.6 51.375) (width 0.1524) (layer Signal-L3) (net 181) (tstamp 51A72478))
+  (via (at 64.6 51.075) (size 0.4572) (layers TOP-L1 BOT-L6) (net 181))
+  (segment (start 64.60108 51.07392) (end 64.6 51.075) (width 0.1524) (layer TOP-L1) (net 181) (tstamp 51A72474))
+  (segment (start 64.60108 50.3) (end 64.60108 48.49956) (width 0.1524) (layer TOP-L1) (net 181) (tstamp 51A72472))
+  (segment (start 73.8 60.4) (end 73.4 60) (width 0.1524) (layer TOP-L1) (net 181))
+  (segment (start 73 59.6) (end 73 59.25) (width 0.1524) (layer Signal-L3) (net 181) (tstamp 51A5952E))
+  (segment (start 73.4 60) (end 73 59.6) (width 0.1524) (layer Signal-L3) (net 181) (tstamp 51A5952D))
+  (via (at 73.4 60) (size 0.4572) (layers TOP-L1 BOT-L6) (net 181))
+  (segment (start 64.95 50.675) (end 65.175 50.675) (width 0.1524) (layer Signal-L3) (net 182))
+  (segment (start 71.075 56.375) (end 71.175 56.475) (width 0.1524) (layer Signal-L3) (net 182) (tstamp 51A7263B))
+  (segment (start 70.875 56.375) (end 71.075 56.375) (width 0.1524) (layer Signal-L3) (net 182) (tstamp 51A72636))
+  (segment (start 65.175 50.675) (end 70.875 56.375) (width 0.1524) (layer Signal-L3) (net 182) (tstamp 51A72635))
+  (segment (start 64.95 50.675) (end 64.475 50.675) (width 0.1524) (layer Signal-L3) (net 182) (tstamp 51A72633))
+  (segment (start 64.475 50.675) (end 64.1 50.3) (width 0.1524) (layer Signal-L3) (net 182) (tstamp 51A59A06))
+  (segment (start 73.4 58.7) (end 71.175 56.475) (width 0.1524) (layer Signal-L3) (net 182) (tstamp 51A724FE))
+  (via (at 73.4 59.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 182))
+  (segment (start 73.4 59.2) (end 73.4 58.85) (width 0.1524) (layer Signal-L3) (net 182) (tstamp 51A59576))
+  (segment (start 73.8 59.6) (end 73.4 59.2) (width 0.1524) (layer TOP-L1) (net 182))
+  (segment (start 73.4 58.85) (end 73.4 58.7) (width 0.1524) (layer Signal-L3) (net 182))
+  (segment (start 64.1007 50.2993) (end 64.1007 48.49956) (width 0.1524) (layer TOP-L1) (net 182) (tstamp 51A59A0E))
+  (segment (start 64.1 50.3) (end 64.1007 50.2993) (width 0.1524) (layer TOP-L1) (net 182) (tstamp 51A59A0D))
+  (via (at 64.1 50.3) (size 0.4572) (layers TOP-L1 BOT-L6) (net 182))
+  (segment (start 72.2 59.275) (end 72.2 58.925) (width 0.1524) (layer Signal-L3) (net 183))
+  (segment (start 70.85 57.575) (end 70.425 57.575) (width 0.1524) (layer Signal-L3) (net 183) (tstamp 51A724E3))
+  (segment (start 72.2 58.925) (end 70.85 57.575) (width 0.1524) (layer Signal-L3) (net 183) (tstamp 51A724E2))
+  (segment (start 63.65 50.575) (end 63.65 51.75) (width 0.1524) (layer TOP-L1) (net 183))
+  (segment (start 63.65 50.575) (end 63.65 49.875) (width 0.1524) (layer TOP-L1) (net 183) (tstamp 51A723F6))
+  (segment (start 63.65 49.875) (end 63.60032 49.82532) (width 0.1524) (layer TOP-L1) (net 183) (tstamp 51A59A8E))
+  (segment (start 63.60032 49.82532) (end 63.60032 48.49956) (width 0.1524) (layer TOP-L1) (net 183) (tstamp 51A59A8F))
+  (segment (start 70.2 57.575) (end 70.425 57.575) (width 0.1524) (layer Signal-L3) (net 183) (tstamp 51A72401))
+  (via (at 63.65 51.75) (size 0.4572) (layers TOP-L1 BOT-L6) (net 183))
+  (segment (start 63.65 51.75) (end 69.475 57.575) (width 0.1524) (layer Signal-L3) (net 183) (tstamp 51A723FA))
+  (segment (start 69.475 57.575) (end 70.2 57.575) (width 0.1524) (layer Signal-L3) (net 183) (tstamp 51A723FB))
+  (segment (start 73 60.4) (end 72.6 60) (width 0.1524) (layer TOP-L1) (net 183))
+  (segment (start 72.2 59.6) (end 72.2 59.275) (width 0.1524) (layer Signal-L3) (net 183) (tstamp 51A59477))
+  (segment (start 72.6 60) (end 72.2 59.6) (width 0.1524) (layer Signal-L3) (net 183) (tstamp 51A59476))
+  (via (at 72.6 60) (size 0.4572) (layers TOP-L1 BOT-L6) (net 183))
+  (segment (start 72.6 58.875) (end 72.6 58.85) (width 0.1524) (layer Signal-L3) (net 184))
+  (segment (start 70.9 57.15) (end 70.1 57.15) (width 0.1524) (layer Signal-L3) (net 184) (tstamp 51A724EC))
+  (segment (start 72.6 58.85) (end 70.9 57.15) (width 0.1524) (layer Signal-L3) (net 184) (tstamp 51A724EB))
+  (segment (start 63.09994 49.675) (end 63.09994 50.39994) (width 0.1524) (layer TOP-L1) (net 184))
+  (segment (start 69.85 57.15) (end 70.1 57.15) (width 0.1524) (layer Signal-L3) (net 184) (tstamp 51A7240F))
+  (segment (start 63.1 50.4) (end 69.85 57.15) (width 0.1524) (layer Signal-L3) (net 184) (tstamp 51A7240E))
+  (via (at 63.1 50.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 184))
+  (segment (start 63.09994 50.39994) (end 63.1 50.4) (width 0.1524) (layer TOP-L1) (net 184) (tstamp 51A7240B))
+  (segment (start 63.09994 49.675) (end 63.09994 48.49956) (width 0.1524) (layer TOP-L1) (net 184) (tstamp 51A72409))
+  (segment (start 73 59.6) (end 72.6 59.2) (width 0.1524) (layer TOP-L1) (net 184))
+  (segment (start 72.6 59.2) (end 72.6 58.875) (width 0.1524) (layer Signal-L3) (net 184) (tstamp 51A594C3))
+  (via (at 72.6 59.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 184))
+  (segment (start 65.05 50.325) (end 65.275 50.325) (width 0.1524) (layer Signal-L3) (net 185))
+  (segment (start 71.175 55.975) (end 71.25 56.05) (width 0.1524) (layer Signal-L3) (net 185) (tstamp 51A72650))
+  (segment (start 70.925 55.975) (end 71.175 55.975) (width 0.1524) (layer Signal-L3) (net 185) (tstamp 51A72649))
+  (segment (start 65.275 50.325) (end 70.925 55.975) (width 0.1524) (layer Signal-L3) (net 185) (tstamp 51A72648))
+  (segment (start 75.4 59.325) (end 75.4 58.75) (width 0.1524) (layer Signal-L3) (net 185))
+  (segment (start 75.4 58.75) (end 74.7 58.05) (width 0.1524) (layer Signal-L3) (net 185) (tstamp 51A725A7))
+  (segment (start 74.7 58.05) (end 73.25 58.05) (width 0.1524) (layer Signal-L3) (net 185) (tstamp 51A725A8))
+  (segment (start 73.25 58.05) (end 71.25 56.05) (width 0.1524) (layer Signal-L3) (net 185) (tstamp 51A725AA))
+  (segment (start 65.05 50.325) (end 64.875 50.325) (width 0.1524) (layer Signal-L3) (net 185) (tstamp 51A72646))
+  (segment (start 62.59956 49.59956) (end 62.59956 48.49956) (width 0.1524) (layer TOP-L1) (net 185) (tstamp 51A599F2))
+  (segment (start 62.6 49.6) (end 62.59956 49.59956) (width 0.1524) (layer TOP-L1) (net 185) (tstamp 51A599F1))
+  (via (at 62.6 49.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 185))
+  (segment (start 62.85 49.85) (end 62.6 49.6) (width 0.1524) (layer Signal-L3) (net 185) (tstamp 51A599ED))
+  (segment (start 64.4 49.85) (end 62.85 49.85) (width 0.1524) (layer Signal-L3) (net 185) (tstamp 51A599E8))
+  (segment (start 64.875 50.325) (end 64.4 49.85) (width 0.1524) (layer Signal-L3) (net 185) (tstamp 51A599E7))
+  (segment (start 76.2 60.4) (end 75.8 60) (width 0.1524) (layer TOP-L1) (net 185))
+  (segment (start 75.4 59.6) (end 75.4 59.325) (width 0.1524) (layer Signal-L3) (net 185) (tstamp 51A59738))
+  (segment (start 75.4 59.325) (end 75.4 58.75) (width 0.1524) (layer Signal-L3) (net 185) (tstamp 51A725A5))
+  (segment (start 75.8 60) (end 75.4 59.6) (width 0.1524) (layer Signal-L3) (net 185) (tstamp 51A59737))
+  (via (at 75.8 60) (size 0.4572) (layers TOP-L1 BOT-L6) (net 185))
+  (segment (start 67.075 49.93) (end 67.075 47.375) (width 0.1524) (layer Signal-L3) (net 186))
+  (segment (start 64.86 44.15) (end 62.37 44.15) (width 0.1524) (layer Signal-L3) (net 186) (tstamp 51A8355F))
+  (segment (start 66.76 46.05) (end 64.86 44.15) (width 0.1524) (layer Signal-L3) (net 186) (tstamp 51A8355C))
+  (segment (start 66.76 47.06) (end 66.76 46.05) (width 0.1524) (layer Signal-L3) (net 186) (tstamp 51A8355B))
+  (segment (start 67.075 47.375) (end 66.76 47.06) (width 0.1524) (layer Signal-L3) (net 186) (tstamp 51A83550))
+  (segment (start 76.6 57.6) (end 75.9 56.9) (width 0.1524) (layer Signal-L3) (net 186))
+  (segment (start 62.37 44.15) (end 62.375 44.15) (width 0.1524) (layer Signal-L3) (net 186) (tstamp 51A83563))
+  (segment (start 67.075 50.25) (end 67.075 49.93) (width 0.1524) (layer Signal-L3) (net 186) (tstamp 51A726B1))
+  (segment (start 73.725 56.9) (end 67.075 50.25) (width 0.1524) (layer Signal-L3) (net 186) (tstamp 51A726B0))
+  (segment (start 75.9 56.9) (end 73.725 56.9) (width 0.1524) (layer Signal-L3) (net 186) (tstamp 51A726AE))
+  (segment (start 58.525 44.15108) (end 58.42566 44.15108) (width 0.1524) (layer TOP-L1) (net 186))
+  (segment (start 58.42566 44.15108) (end 57.87458 43.6) (width 0.1524) (layer TOP-L1) (net 186) (tstamp 51A6ECCA))
+  (segment (start 60.75044 44.15108) (end 58.525 44.15108) (width 0.1524) (layer TOP-L1) (net 186))
+  (segment (start 58.525 44.15108) (end 58.2485 44.15108) (width 0.1524) (layer TOP-L1) (net 186) (tstamp 51A6ECC8))
+  (segment (start 58.2485 44.15108) (end 57.89958 44.5) (width 0.1524) (layer TOP-L1) (net 186) (tstamp 51A6ECC5))
+  (segment (start 77.8 58.8) (end 77.4 58.4) (width 0.1524) (layer TOP-L1) (net 186))
+  (segment (start 77.4 58.4) (end 76.6 57.6) (width 0.1524) (layer Signal-L3) (net 186) (tstamp 51A59ABA))
+  (via (at 77.4 58.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 186))
+  (segment (start 61.77392 44.15108) (end 60.75044 44.15108) (width 0.1524) (layer TOP-L1) (net 186) (tstamp 51A59ACA))
+  (segment (start 61.775 44.15) (end 61.77392 44.15108) (width 0.1524) (layer TOP-L1) (net 186) (tstamp 51A59AC9))
+  (via (at 61.775 44.15) (size 0.4572) (layers TOP-L1 BOT-L6) (net 186))
+  (segment (start 62.375 44.15) (end 61.775 44.15) (width 0.1524) (layer Signal-L3) (net 186) (tstamp 51A726BF))
+  (segment (start 110.175 68.68) (end 110.175 70.795) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 108.86 72.11) (end 108.35958 72.11) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51ADCDD1))
+  (segment (start 110.175 70.795) (end 108.86 72.11) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51ADCDCF))
+  (segment (start 77.8 64.4) (end 78.6 64.4) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 77 64.4) (end 77.8 64.4) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 76.2 64.4) (end 77 64.4) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 75.8 68) (end 76.2 68.4) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 77.8 67.6) (end 77.825 67.6) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 78.225 68) (end 78.225 67.975) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51AD8133))
+  (segment (start 77.825 67.6) (end 78.225 68) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51AD8131))
+  (segment (start 77 66.8) (end 77.4 67.2) (width 0.1524) (layer TOP-L1) (net 187))
+  (via (at 77.4 67.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 77.4 67.2) (end 77.8 66.8) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 77 67.6) (end 77.4 67.2) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 76.6 66.4) (end 77 66.8) (width 0.1524) (layer TOP-L1) (net 187))
+  (via (at 76.6 66.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 71.925 64.025) (end 71.925 64.57542) (width 0.3) (layer BOT-L6) (net 187))
+  (via (at 71.925 64.025) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 71.4 64.025) (end 71.925 64.025) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 71.925 64.57542) (end 71.85 64.65042) (width 0.3) (layer BOT-L6) (net 187) (tstamp 51AD813E))
+  (segment (start 70.6 62) (end 69.8 62) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 69.8 62) (end 69.4 61.6) (width 0.1524) (layer TOP-L1) (net 187))
+  (via (at 69.4 61.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 73.275 61.57542) (end 72.59958 61.57542) (width 0.3) (layer BOT-L6) (net 187))
+  (via (at 72.5 61.7) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 72.225 61.425) (end 72.5 61.7) (width 0.3) (layer BOT-L6) (net 187) (tstamp 51AD8105))
+  (segment (start 71.54958 61.425) (end 72.225 61.425) (width 0.3) (layer BOT-L6) (net 187))
+  (segment (start 72.5 61.7) (end 72.5 61.7) (width 0.3) (layer BOT-L6) (net 187) (tstamp 51AD810D))
+  (segment (start 72.49958 61.70042) (end 72.49958 62.4) (width 0.3) (layer BOT-L6) (net 187))
+  (segment (start 72.49958 61.70042) (end 72.5 61.7) (width 0.3) (layer BOT-L6) (net 187) (tstamp 51AD7F22))
+  (segment (start 72.5 61.675) (end 72.5 61.7) (width 0.3) (layer BOT-L6) (net 187) (tstamp 51AD810C))
+  (segment (start 72.59958 61.57542) (end 72.5 61.675) (width 0.3) (layer BOT-L6) (net 187) (tstamp 51AD810A))
+  (segment (start 71 70.4) (end 71 69.72458) (width 0.3) (layer BOT-L6) (net 187))
+  (via (at 71 70.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 71 69.72458) (end 70.975 69.69958) (width 0.3) (layer BOT-L6) (net 187) (tstamp 51AD7F0E))
+  (segment (start 71.4 70.8) (end 71 70.4) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 71 70.4) (end 71.4 70) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 73.912 80.075) (end 73.912 75.537) (width 0.8) (layer BOT-L6) (net 187))
+  (segment (start 73.49958 75.12458) (end 73.4 75.12458) (width 0.3) (layer BOT-L6) (net 187) (tstamp 51AD7B5C))
+  (segment (start 73.912 75.537) (end 73.49958 75.12458) (width 0.3) (layer BOT-L6) (net 187) (tstamp 51AD7B4F))
+  (segment (start 74.62542 70.35) (end 74.47458 70.35) (width 0.3) (layer BOT-L6) (net 187))
+  (segment (start 74.47458 70.35) (end 73.49958 71.325) (width 0.3) (layer BOT-L6) (net 187) (tstamp 51AD7B16))
+  (segment (start 73.49958 71.325) (end 73.49958 70.62458) (width 0.3) (layer BOT-L6) (net 187))
+  (segment (start 73.49958 70.62458) (end 73.075 70.2) (width 0.3) (layer BOT-L6) (net 187) (tstamp 51AD7B0F))
+  (segment (start 73.075 70.2) (end 72.7 70.2) (width 0.3) (layer BOT-L6) (net 187) (tstamp 51AD7B10))
+  (segment (start 72.7 70.2) (end 72.72782 70.2) (width 0.3) (layer BOT-L6) (net 187) (tstamp 51AD7B13))
+  (segment (start 73.425 71.975) (end 73.425 72.55042) (width 0.3) (layer BOT-L6) (net 187))
+  (segment (start 73.425 72.55042) (end 73.375 72.60042) (width 0.3) (layer BOT-L6) (net 187) (tstamp 51AD7B09))
+  (segment (start 73.4 72) (end 73.425 71.975) (width 0.3) (layer BOT-L6) (net 187))
+  (segment (start 73.425 71.975) (end 73.49958 71.90042) (width 0.3) (layer BOT-L6) (net 187) (tstamp 51AD7B07))
+  (segment (start 73.49958 71.90042) (end 73.49958 71.325) (width 0.3) (layer BOT-L6) (net 187) (tstamp 51AD7868))
+  (segment (start 60.825 54.52458) (end 60.87542 54.575) (width 0.1524) (layer TOP-L1) (net 187))
+  (via (at 61.475 54.575) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 60.87542 54.575) (end 61.475 54.575) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51AD733A))
+  (segment (start 100.4 59.825) (end 100.725 59.5) (width 0.8) (layer TOP-L1) (net 187))
+  (segment (start 100.725 59.5) (end 106.675 59.5) (width 0.8) (layer TOP-L1) (net 187) (tstamp 51A9E1D1))
+  (segment (start 100.35 46.55) (end 101.47606 45.42394) (width 0.8) (layer TOP-L1) (net 187))
+  (segment (start 101.47606 45.42394) (end 101.47606 43.29534) (width 0.8) (layer TOP-L1) (net 187) (tstamp 51A9E1C7))
+  (segment (start 97.7 41.8875) (end 99.10784 43.29534) (width 0.8) (layer TOP-L1) (net 187))
+  (segment (start 95.62 41.8875) (end 97.7 41.8875) (width 0.8) (layer TOP-L1) (net 187))
+  (segment (start 99.10784 43.29534) (end 101.47606 43.29534) (width 0.8) (layer TOP-L1) (net 187) (tstamp 51A9E190))
+  (segment (start 101.47606 37.85466) (end 101.4714 37.85) (width 0.8) (layer TOP-L1) (net 187))
+  (segment (start 97.6 37.075) (end 97.6 37.0786) (width 0.8) (layer TOP-L1) (net 187) (tstamp 51A9E188))
+  (segment (start 98.375 37.85) (end 97.6 37.075) (width 0.8) (layer TOP-L1) (net 187) (tstamp 51A9E185))
+  (segment (start 101.4714 37.85) (end 98.375 37.85) (width 0.8) (layer TOP-L1) (net 187) (tstamp 51A9E182))
+  (segment (start 101.7453 40.575) (end 101.47606 40.30576) (width 0.8) (layer BOT-L6) (net 187))
+  (segment (start 101.47606 40.30576) (end 101.47606 37.85466) (width 0.8) (layer BOT-L6) (net 187) (tstamp 51A9E16F))
+  (segment (start 101.7453 40.575) (end 101.47606 40.84424) (width 0.8) (layer BOT-L6) (net 187))
+  (segment (start 101.47606 40.84424) (end 101.47606 43.29534) (width 0.8) (layer BOT-L6) (net 187) (tstamp 51A9E16C))
+  (segment (start 103.90042 38.325) (end 103.43008 37.85466) (width 0.3) (layer BOT-L6) (net 187))
+  (segment (start 103.43008 37.85466) (end 101.47606 37.85466) (width 0.3) (layer BOT-L6) (net 187) (tstamp 51A9E14C))
+  (via (at 76.6 67.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 76.6 67.2) (end 76.2 67.6) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51A879F7))
+  (segment (start 77 66.8) (end 76.6 67.2) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 76.2 71.6) (end 76.2 71.15) (width 0.1524) (layer TOP-L1) (net 187))
+  (via (at 76.2 71.15) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 76.2 69.2) (end 76.2 69.75) (width 0.1524) (layer TOP-L1) (net 187))
+  (via (at 76.2 69.75) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 75.4 65.2) (end 75.8 65.6) (width 0.1524) (layer TOP-L1) (net 187))
+  (via (at 75.8 65.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 75.4 66.8) (end 75.425 66.8) (width 0.1524) (layer TOP-L1) (net 187))
+  (via (at 75.8 66.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 75.8 66.4) (end 75.8 66.4) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51A87971))
+  (segment (start 75.4 66) (end 75.8 66.4) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 75.8 66.425) (end 75.8 66.4) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51A87970))
+  (segment (start 75.425 66.8) (end 75.8 66.425) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51A8796B))
+  (segment (start 76.2 65.2) (end 75.8 64.8) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 76.2 64.4) (end 76.2 64.41) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 75.81 64.8) (end 75.81 64.79) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51A8792A))
+  (segment (start 76.2 64.41) (end 75.81 64.8) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51A87927))
+  (segment (start 75.4 65.2) (end 75.81 64.79) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 75.81 64.79) (end 75.8 64.8) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51A8792B))
+  (segment (start 75.31958 64.31958) (end 75.31958 64.1) (width 0.1524) (layer BOT-L6) (net 187))
+  (segment (start 75.31958 64.31958) (end 75.8 64.8) (width 0.1524) (layer BOT-L6) (net 187) (tstamp 51A87911))
+  (via (at 75.8 64.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 49.77542 84.425) (end 49.6 84.24958) (width 0.3) (layer BOT-L6) (net 187))
+  (via (at 49.6 83.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 49.6 83.6) (end 50 83.2) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 49.6 83.575) (end 49.6 83.6) (width 0.3) (layer BOT-L6) (net 187) (tstamp 51A86EF1))
+  (segment (start 49.6 84.24958) (end 49.6 83.575) (width 0.3) (layer BOT-L6) (net 187) (tstamp 51A86EE8))
+  (segment (start 41.62542 90.525) (end 41.175 90.525) (width 0.3) (layer TOP-L1) (net 187))
+  (via (at 40.75 88.05) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 40.45042 87.75042) (end 40.75 88.05) (width 0.3) (layer TOP-L1) (net 187) (tstamp 51A8528B))
+  (segment (start 40.45042 87.35) (end 40.45042 87.75042) (width 0.3) (layer TOP-L1) (net 187))
+  (segment (start 40.75 88.05) (end 40.725 88.05) (width 0.3) (layer TOP-L1) (net 187) (tstamp 51A852E5))
+  (segment (start 40.725 88.05) (end 40.75 88.05) (width 0.3) (layer TOP-L1) (net 187) (tstamp 51A852E7))
+  (segment (start 40.95 88.25) (end 40.75 88.05) (width 0.3) (layer TOP-L1) (net 187) (tstamp 51A852E4))
+  (segment (start 40.95 90.3) (end 40.95 88.25) (width 0.3) (layer TOP-L1) (net 187) (tstamp 51A852E3))
+  (segment (start 41.175 90.525) (end 40.95 90.3) (width 0.3) (layer TOP-L1) (net 187) (tstamp 51A852E2))
+  (segment (start 41.62542 90.525) (end 41.62542 91.04958) (width 0.3) (layer TOP-L1) (net 187))
+  (via (at 41.35 91.325) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 41.62542 91.04958) (end 41.35 91.325) (width 0.3) (layer TOP-L1) (net 187) (tstamp 51A85286))
+  (segment (start 91.52458 56.45) (end 92.33 57.25542) (width 0.3) (layer TOP-L1) (net 187))
+  (segment (start 92.33 57.25542) (end 92.33 57.89) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51A83B71))
+  (segment (start 105.475 70.175) (end 105.45 70.2) (width 0.1524) (layer TOP-L1) (net 187))
+  (via (at 104.65 70.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 105.45 70.2) (end 104.65 70.2) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51A83B63))
+  (segment (start 105.525 66.825) (end 104.7 66.825) (width 0.1524) (layer TOP-L1) (net 187))
+  (via (at 104.7 66.825) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 105.475 63.575) (end 105.45 63.55) (width 0.1524) (layer TOP-L1) (net 187))
+  (via (at 104.625 63.55) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 105.45 63.55) (end 104.625 63.55) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51A83B4C))
+  (segment (start 50.80042 62.8) (end 51.2 63.19958) (width 0.1524) (layer BOT-L6) (net 187))
+  (segment (start 51.2 63.19958) (end 51.2 63.675) (width 0.1524) (layer BOT-L6) (net 187) (tstamp 51A83B39))
+  (segment (start 49.75 62.82458) (end 49.6 62.97458) (width 0.1524) (layer BOT-L6) (net 187))
+  (via (at 49.6 63.675) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 49.6 63.675) (end 49.6 63.2) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 49.6 63.7) (end 49.6 63.675) (width 0.1524) (layer BOT-L6) (net 187) (tstamp 51A83B36))
+  (segment (start 49.6 62.97458) (end 49.6 63.7) (width 0.1524) (layer BOT-L6) (net 187) (tstamp 51A83B2D))
+  (segment (start 58.8 82.4) (end 59.2 82) (width 0.1524) (layer TOP-L1) (net 187))
+  (via (at 59.2 82) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 72.70042 64.75) (end 72.70042 64.07542) (width 0.2) (layer BOT-L6) (net 187))
+  (via (at 72.7 64.075) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 72.70042 64.07542) (end 72.7 64.075) (width 0.2) (layer BOT-L6) (net 187) (tstamp 51A83A9F))
+  (segment (start 72.72782 69.4182) (end 72.72782 70.2) (width 0.2) (layer BOT-L6) (net 187))
+  (segment (start 72.72782 70.2) (end 72.72782 70.19718) (width 0.2) (layer BOT-L6) (net 187) (tstamp 51AD7B14))
+  (segment (start 72.72782 70.19718) (end 72.725 70.2) (width 0.2) (layer BOT-L6) (net 187) (tstamp 51A83A8D))
+  (via (at 72.725 70.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 81.8 71.6) (end 81.8 71.375) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 82.375 70.8) (end 82.125 71.05) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51A83960))
+  (via (at 82.125 71.05) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 82.375 70.8) (end 82.6 70.8) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 81.8 71.375) (end 82.125 71.05) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51A8396C))
+  (segment (start 84.625 77.42458) (end 84.625 78.195) (width 0.3) (layer TOP-L1) (net 187))
+  (via (at 84.6 78.22) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 84.625 78.195) (end 84.6 78.22) (width 0.3) (layer TOP-L1) (net 187) (tstamp 51A8374A))
+  (segment (start 68.94956 45.1493) (end 68.0493 45.1493) (width 0.3) (layer TOP-L1) (net 187))
+  (via (at 67.62 44.72) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 68.0493 45.1493) (end 67.62 44.72) (width 0.3) (layer TOP-L1) (net 187) (tstamp 51A83737))
+  (segment (start 62.59956 40.30044) (end 62.59956 41.61044) (width 0.3) (layer TOP-L1) (net 187))
+  (segment (start 62.58 41.63) (end 62.58 41.64) (width 0.3) (layer Signal-L5) (net 187) (tstamp 51A8372D))
+  (via (at 62.58 41.63) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 62.59956 41.61044) (end 62.58 41.63) (width 0.3) (layer TOP-L1) (net 187) (tstamp 51A8372A))
+  (segment (start 61.96 45.64968) (end 62.24032 45.64968) (width 0.3) (layer TOP-L1) (net 187))
+  (via (at 62.6 45.29) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 62.24032 45.64968) (end 62.6 45.29) (width 0.3) (layer TOP-L1) (net 187) (tstamp 51A83725))
+  (segment (start 67.10044 48.49956) (end 67.10044 47.59044) (width 0.3) (layer TOP-L1) (net 187))
+  (segment (start 66.45 45.19) (end 66.45 45.1493) (width 0.3) (layer TOP-L1) (net 187) (tstamp 51A83720))
+  (segment (start 66.45 46.94) (end 66.45 45.19) (width 0.3) (layer TOP-L1) (net 187) (tstamp 51A8371E))
+  (segment (start 67.10044 47.59044) (end 66.45 46.94) (width 0.3) (layer TOP-L1) (net 187) (tstamp 51A8371D))
+  (segment (start 69.17458 49.65) (end 69.83 49.65) (width 0.3) (layer TOP-L1) (net 187))
+  (segment (start 69.97 49.79) (end 69.97 49.77) (width 0.3) (layer TOP-L1) (net 187) (tstamp 51A8371A))
+  (segment (start 69.83 49.65) (end 69.97 49.79) (width 0.3) (layer TOP-L1) (net 187) (tstamp 51A83718))
+  (segment (start 69.97 49.77) (end 69.975 49.775) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51A8371B))
+  (segment (start 69.975 49.775) (end 69.95 49.775) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51A6FBEC))
+  (segment (start 64.17 35.45042) (end 64.17 34.5) (width 0.3) (layer TOP-L1) (net 187))
+  (via (at 64.29 34.38) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 64.17 34.5) (end 64.29 34.38) (width 0.3) (layer TOP-L1) (net 187) (tstamp 51A836F6))
+  (segment (start 64.17 35.45042) (end 64.17 34.64) (width 0.3) (layer TOP-L1) (net 187))
+  (segment (start 63.7975 34.2675) (end 62.72 34.2675) (width 0.3) (layer TOP-L1) (net 187) (tstamp 51A836F3))
+  (segment (start 64.17 34.64) (end 63.7975 34.2675) (width 0.3) (layer TOP-L1) (net 187) (tstamp 51A836F2))
+  (segment (start 65.5993 40.30044) (end 65.5993 38.97112) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 65.5993 38.97112) (end 65.72042 38.85) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51A835DB))
+  (segment (start 97.02542 27.5) (end 96.44 27.5) (width 0.2) (layer TOP-L1) (net 187))
+  (via (at 95.96 27.98) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 96.44 27.5) (end 95.96 27.98) (width 0.2) (layer TOP-L1) (net 187) (tstamp 51A83545))
+  (segment (start 84.49958 63.15) (end 84.49958 62.50042) (width 0.2) (layer BOT-L6) (net 187))
+  (via (at 84.6 62.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 84.49958 62.50042) (end 84.6 62.4) (width 0.2) (layer BOT-L6) (net 187) (tstamp 51A83446))
+  (segment (start 43.285 41.465) (end 43.285 50.575) (width 1.5) (layer BOT-L6) (net 187))
+  (segment (start 43.285 50.575) (end 44.13 51.42) (width 1.5) (layer BOT-L6) (net 187) (tstamp 51A8338D))
+  (segment (start 89.84952 26.58438) (end 89.84952 28.22452) (width 0.5) (layer TOP-L1) (net 187))
+  (via (at 89.85 28.225) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 89.84952 28.22452) (end 89.85 28.225) (width 0.5) (layer TOP-L1) (net 187) (tstamp 51A83315))
+  (segment (start 91.3775 52.225) (end 91.3775 53.925) (width 0.5) (layer TOP-L1) (net 187))
+  (segment (start 91.3775 53.925) (end 91.4025 53.95) (width 0.5) (layer TOP-L1) (net 187) (tstamp 51A831A8))
+  (segment (start 91.462 49.72) (end 91.462 52.1405) (width 0.5) (layer TOP-L1) (net 187))
+  (segment (start 91.462 52.1405) (end 91.3775 52.225) (width 0.5) (layer TOP-L1) (net 187) (tstamp 51A831A5))
+  (segment (start 105.2 24.1225) (end 107.8775 24.1225) (width 0.8) (layer BOT-L6) (net 187))
+  (segment (start 108.55 22.18444) (end 108.55 23.45) (width 0.8) (layer TOP-L1) (net 187) (tstamp 5188A774))
+  (via (at 108.55 23.45) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 108.55 22.18444) (end 108.5794 22.15504) (width 0.8) (layer TOP-L1) (net 187))
+  (segment (start 107.8775 24.1225) (end 108.55 23.45) (width 0.8) (layer BOT-L6) (net 187) (tstamp 51A82E3C))
+  (segment (start 78.97542 43.375) (end 78.97542 42.80042) (width 0.3) (layer TOP-L1) (net 187))
+  (via (at 78.85 42.675) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 78.97542 42.80042) (end 78.85 42.675) (width 0.3) (layer TOP-L1) (net 187) (tstamp 51A82CB7))
+  (segment (start 78.975 45.937) (end 78.975 46.575) (width 0.3) (layer TOP-L1) (net 187))
+  (via (at 78.725 46.825) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 78.975 46.575) (end 78.725 46.825) (width 0.3) (layer TOP-L1) (net 187) (tstamp 51A82CA6))
+  (segment (start 80.05 40.35042) (end 80.05 40.025) (width 0.5) (layer TOP-L1) (net 187))
+  (segment (start 79.4475 39.4225) (end 77.975 39.4225) (width 0.5) (layer TOP-L1) (net 187) (tstamp 51A82C54))
+  (segment (start 80.05 40.025) (end 79.4475 39.4225) (width 0.5) (layer TOP-L1) (net 187) (tstamp 51A82C53))
+  (segment (start 77.975 39.4225) (end 77.975 38.325) (width 0.5) (layer TOP-L1) (net 187))
+  (segment (start 77.975 38.325) (end 79.175 37.125) (width 0.5) (layer TOP-L1) (net 187) (tstamp 51A82C4F))
+  (segment (start 79.5775 36.7225) (end 81.025 36.7225) (width 0.5) (layer TOP-L1) (net 187))
+  (via (at 79.175 37.125) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 79.5775 36.7225) (end 79.175 37.125) (width 0.5) (layer TOP-L1) (net 187) (tstamp 51A82C43))
+  (segment (start 80.05 40.35042) (end 79.32542 40.35042) (width 0.2) (layer TOP-L1) (net 187))
+  (via (at 79.325 40.35) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 79.32542 40.35042) (end 79.325 40.35) (width 0.2) (layer TOP-L1) (net 187) (tstamp 51A82B97))
+  (segment (start 89.8 36.063) (end 89.8 35.425) (width 0.5) (layer TOP-L1) (net 187))
+  (segment (start 88.3 35.9) (end 89.275 34.925) (width 0.5) (layer TOP-L1) (net 187) (tstamp 51A82A75))
+  (via (at 89.275 34.925) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 88.3 35.9) (end 88.3 36.063) (width 0.5) (layer TOP-L1) (net 187))
+  (segment (start 89.3 34.925) (end 89.275 34.925) (width 0.5) (layer TOP-L1) (net 187) (tstamp 51A82A90))
+  (segment (start 89.8 35.425) (end 89.3 34.925) (width 0.5) (layer TOP-L1) (net 187) (tstamp 51A82A86))
+  (segment (start 99.62082 29.71154) (end 101.66346 29.71154) (width 0.5) (layer TOP-L1) (net 187))
+  (via (at 101.675 29.7) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 101.66346 29.71154) (end 101.675 29.7) (width 0.5) (layer TOP-L1) (net 187) (tstamp 51A829BB))
+  (segment (start 81.025 36.3225) (end 81.025 35.1225) (width 0.5) (layer TOP-L1) (net 187))
+  (segment (start 81.025 35.1225) (end 81.5725 34.575) (width 0.5) (layer TOP-L1) (net 187) (tstamp 51A828EB))
+  (segment (start 94.937 39.95) (end 95.62 40.633) (width 0.5) (layer TOP-L1) (net 187))
+  (segment (start 95.62 40.633) (end 95.62 41.8875) (width 0.5) (layer TOP-L1) (net 187) (tstamp 51A82834))
+  (segment (start 78.975 45.937) (end 79.02714 45.88486) (width 0.3) (layer TOP-L1) (net 187))
+  (segment (start 79.02714 45.88486) (end 81.12698 45.88486) (width 0.3) (layer TOP-L1) (net 187) (tstamp 51A82800))
+  (segment (start 92.475 35.9225) (end 96.4439 35.9225) (width 0.8) (layer TOP-L1) (net 187))
+  (segment (start 96.4439 35.9225) (end 97.6 37.0786) (width 0.8) (layer TOP-L1) (net 187) (tstamp 51A825A7))
+  (segment (start 97.6 37.0786) (end 98.42606 37.90466) (width 0.8) (layer TOP-L1) (net 187) (tstamp 51A9E189))
+  (segment (start 91 36.00042) (end 92.39708 36.00042) (width 0.3) (layer TOP-L1) (net 187))
+  (segment (start 92.39708 36.00042) (end 92.475 35.9225) (width 0.3) (layer TOP-L1) (net 187) (tstamp 51A82599))
+  (segment (start 91 36.00042) (end 89.86258 36.00042) (width 0.3) (layer TOP-L1) (net 187))
+  (segment (start 89.86258 36.00042) (end 89.8 36.063) (width 0.3) (layer TOP-L1) (net 187) (tstamp 51A82596))
+  (segment (start 82.5 38.30042) (end 82.5 37.05) (width 0.3) (layer TOP-L1) (net 187))
+  (segment (start 81.7725 36.3225) (end 81.025 36.3225) (width 0.3) (layer TOP-L1) (net 187) (tstamp 51A8237D))
+  (segment (start 82.5 37.05) (end 81.7725 36.3225) (width 0.3) (layer TOP-L1) (net 187) (tstamp 51A82378))
+  (segment (start 79.625 70.50042) (end 78.675 70.50042) (width 0.3) (layer BOT-L6) (net 187))
+  (segment (start 78.675 70.50042) (end 77.775 70.50042) (width 0.3) (layer BOT-L6) (net 187))
+  (segment (start 77.775 70.50042) (end 77.75 70.52542) (width 0.3) (layer BOT-L6) (net 187) (tstamp 51A82268))
+  (segment (start 77.75 70.52542) (end 77.62542 70.52542) (width 0.5) (layer BOT-L6) (net 187))
+  (segment (start 77.62542 70.52542) (end 77 69.9) (width 0.5) (layer BOT-L6) (net 187) (tstamp 51A82256))
+  (via (at 77 69.9) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 77 69.9) (end 77 69.2) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51A8225D))
+  (segment (start 112.35042 46.575) (end 106.725 46.575) (width 0.5) (layer BOT-L6) (net 187))
+  (segment (start 106.725 46.575) (end 106.7 46.55) (width 0.5) (layer BOT-L6) (net 187) (tstamp 51A8221D))
+  (segment (start 106.375 70.175) (end 107.25 70.175) (width 0.1524) (layer TOP-L1) (net 187))
+  (via (at 107.325 70.1) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 107.25 70.175) (end 107.325 70.1) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51A7450F))
+  (segment (start 114.275 69.75) (end 110.975 69.75) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 110.975 69.75) (end 110.925 69.8) (width 0.2) (layer TOP-L1) (net 187) (tstamp 51A7441F))
+  (segment (start 114.275 63.6) (end 110.925 63.6) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 106.375 63.575) (end 105.475 63.575) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 106.425 66.825) (end 105.525 66.825) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 106.375 70.175) (end 105.475 70.175) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 108.85 58.82458) (end 107.35042 58.82458) (width 0.5) (layer BOT-L6) (net 187))
+  (segment (start 107.35042 58.82458) (end 106.675 59.5) (width 0.5) (layer BOT-L6) (net 187) (tstamp 51A73915))
+  (segment (start 110.175 68.1) (end 109.5 68.1) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 110.175 66.9) (end 109.5 66.9) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 109.5 65.7) (end 110.15 65.7) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 110.15 65.7) (end 110.175 65.7) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51A73233))
+  (segment (start 110.85 66.305) (end 110.205 66.305) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 110.2 66.3) (end 110.175 66.3) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51A7322F))
+  (segment (start 110.205 66.305) (end 110.2 66.3) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51A7322B))
+  (segment (start 110.85 65.105) (end 110.205 65.105) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 110.175 69.05) (end 110.925 69.8) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51A73224))
+  (segment (start 110.175 65.135) (end 110.175 65.7) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51A73221))
+  (segment (start 110.175 65.7) (end 110.175 66.3) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51A73234))
+  (segment (start 110.175 66.3) (end 110.175 66.9) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51A73230))
+  (segment (start 110.175 66.9) (end 110.175 67.525) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51A73236))
+  (segment (start 110.175 67.525) (end 110.175 68.1) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51A7323B))
+  (segment (start 110.175 68.1) (end 110.175 68.68) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51A73240))
+  (segment (start 110.175 68.68) (end 110.175 69.05) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51ADCDCD))
+  (segment (start 110.205 65.105) (end 110.175 65.135) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51A7321D))
+  (segment (start 109.5 66.9) (end 106.5 66.9) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 106.5 66.9) (end 106.425 66.825) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51A73217))
+  (segment (start 110.85 65.105) (end 110.85 63.675) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 110.85 63.675) (end 110.925 63.6) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51A7320A))
+  (segment (start 62.25 29.7525) (end 60.7975 29.7525) (width 0.5) (layer TOP-L1) (net 187))
+  (via (at 60.775 29.775) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 60.7975 29.7525) (end 60.775 29.775) (width 0.5) (layer TOP-L1) (net 187) (tstamp 51A72ABE))
+  (segment (start 64 29.7275) (end 62.275 29.7275) (width 0.5) (layer TOP-L1) (net 187))
+  (segment (start 62.275 29.7275) (end 62.25 29.7525) (width 0.5) (layer TOP-L1) (net 187) (tstamp 51A72AB2))
+  (segment (start 58.125 26.075) (end 58.125 24.5525) (width 0.5) (layer TOP-L1) (net 187))
+  (segment (start 58.125 24.5525) (end 58.0475 24.475) (width 0.5) (layer TOP-L1) (net 187) (tstamp 51A72AAD))
+  (segment (start 59.75042 26.075) (end 58.125 26.075) (width 0.5) (layer TOP-L1) (net 187))
+  (segment (start 58.125 26.075) (end 58.275 26.075) (width 0.5) (layer TOP-L1) (net 187) (tstamp 51A72AAB))
+  (via (at 58.1 25.9) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 58.275 26.075) (end 58.1 25.9) (width 0.5) (layer TOP-L1) (net 187) (tstamp 51A72A9C))
+  (segment (start 64.42 25.1) (end 63.175 25.1) (width 0.5) (layer TOP-L1) (net 187))
+  (via (at 63.175 25.1) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 64 29.7275) (end 65.02208 29.7275) (width 0.5) (layer TOP-L1) (net 187))
+  (segment (start 65.02208 29.7275) (end 65.4 29.34958) (width 0.5) (layer TOP-L1) (net 187) (tstamp 51A72A1E))
+  (segment (start 67.3 53.49958) (end 67.3 53.51) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 67.85 54.06) (end 67.86 54.06) (width 0.1524) (layer Signal-L3) (net 187) (tstamp 51A72605))
+  (via (at 67.85 54.06) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 67.3 53.51) (end 67.85 54.06) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51A725FE))
+  (segment (start 70.525 47.54958) (end 70.525 48.59958) (width 0.3) (layer TOP-L1) (net 187))
+  (segment (start 70.525 48.59958) (end 70.39958 48.725) (width 0.3) (layer TOP-L1) (net 187) (tstamp 51A6FBD2))
+  (segment (start 69.95 49.775) (end 69.95 49.775) (width 0.3) (layer TOP-L1) (net 187))
+  (segment (start 69.95 49.775) (end 69.95 49.87458) (width 0.3) (layer TOP-L1) (net 187) (tstamp 51A6FBED))
+  (segment (start 69.95 49.87458) (end 69.29958 50.525) (width 0.3) (layer TOP-L1) (net 187) (tstamp 51A6FBC1))
+  (segment (start 70.525 49.325) (end 70.4 49.325) (width 0.3) (layer TOP-L1) (net 187))
+  (segment (start 69.95 49.775) (end 69.975 49.75) (width 0.3) (layer Signal-L5) (net 187) (tstamp 51A6FBBD))
+  (via (at 69.95 49.775) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 70.4 49.325) (end 69.95 49.775) (width 0.3) (layer TOP-L1) (net 187) (tstamp 51A6FBBB))
+  (segment (start 70.525 47.54958) (end 70.525 49.325) (width 0.3) (layer TOP-L1) (net 187))
+  (segment (start 58.15 34.57458) (end 58.15 35.8725) (width 0.5) (layer TOP-L1) (net 187))
+  (segment (start 58.15 35.8725) (end 58.0475 35.975) (width 0.8) (layer TOP-L1) (net 187) (tstamp 51A6FAE1))
+  (segment (start 58.0475 35.975) (end 55.775 35.975) (width 0.5) (layer TOP-L1) (net 187))
+  (segment (start 55.775 35.975) (end 55.425 35.625) (width 0.5) (layer TOP-L1) (net 187) (tstamp 51A6FA10))
+  (segment (start 65.5993 42.425) (end 65.6 42.425) (width 0.3) (layer TOP-L1) (net 187))
+  (via (at 65.65 42.475) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 65.6 42.425) (end 65.65 42.475) (width 0.3) (layer TOP-L1) (net 187) (tstamp 51A6F8BC))
+  (segment (start 65.5993 40.30044) (end 65.5993 42.425) (width 0.3) (layer TOP-L1) (net 187))
+  (segment (start 65.5993 42.425) (end 65.5993 44.7493) (width 0.3) (layer TOP-L1) (net 187) (tstamp 51A6F8BA))
+  (segment (start 66.3 45.15) (end 66.3 45.1493) (width 0.3) (layer TOP-L1) (net 187) (tstamp 51A6F8B3))
+  (segment (start 66 45.15) (end 66.3 45.15) (width 0.3) (layer TOP-L1) (net 187) (tstamp 51A6F8B0))
+  (segment (start 65.5993 44.7493) (end 66 45.15) (width 0.3) (layer TOP-L1) (net 187) (tstamp 51A6F8AD))
+  (segment (start 60.75044 45.64968) (end 61.96 45.64968) (width 0.3) (layer TOP-L1) (net 187))
+  (segment (start 61.96 45.64968) (end 65.225 45.64968) (width 0.3) (layer TOP-L1) (net 187) (tstamp 51A83723))
+  (segment (start 65.225 45.64968) (end 65.22532 45.64968) (width 0.3) (layer TOP-L1) (net 187) (tstamp 51A6FC51))
+  (segment (start 65.22532 45.64968) (end 65.7257 45.1493) (width 0.3) (layer TOP-L1) (net 187) (tstamp 51A6F899))
+  (segment (start 65.7257 45.1493) (end 66.3 45.1493) (width 0.3) (layer TOP-L1) (net 187) (tstamp 51A6F8A1))
+  (segment (start 66.3 45.1493) (end 66.45 45.1493) (width 0.3) (layer TOP-L1) (net 187) (tstamp 51A6F8B4))
+  (segment (start 66.45 45.1493) (end 68.94956 45.1493) (width 0.3) (layer TOP-L1) (net 187) (tstamp 51A83721))
+  (segment (start 57.00042 47.175) (end 56.4 47.175) (width 0.2) (layer TOP-L1) (net 187))
+  (via (at 56.4 47.175) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 39.1 28.57458) (end 39.1 34.83) (width 0.2) (layer BOT-L6) (net 187))
+  (segment (start 39.1 34.83) (end 39.895 35.625) (width 0.2) (layer BOT-L6) (net 187) (tstamp 51A6F73B))
+  (segment (start 57.00042 44.95) (end 56.3 44.95) (width 0.3) (layer TOP-L1) (net 187))
+  (via (at 56.3 44.95) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 69.17542 81.975) (end 68.925 81.975) (width 0.3) (layer TOP-L1) (net 187))
+  (via (at 68.475 82.425) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 68.925 81.975) (end 68.475 82.425) (width 0.3) (layer TOP-L1) (net 187) (tstamp 51A6F3FD))
+  (segment (start 73.912 80.075) (end 82.28 80.075) (width 0.5) (layer BOT-L6) (net 187))
+  (segment (start 82.28 80.075) (end 82.65 80.445) (width 0.5) (layer BOT-L6) (net 187) (tstamp 51A6F3D4))
+  (segment (start 52.6025 89) (end 53.775 89) (width 0.5) (layer TOP-L1) (net 187))
+  (segment (start 53.775 89) (end 54.575 88.2) (width 0.5) (layer TOP-L1) (net 187) (tstamp 51A6F2EE))
+  (segment (start 46.175 89.125) (end 47.2725 89.125) (width 0.5) (layer TOP-L1) (net 187))
+  (segment (start 47.2725 89.125) (end 47.3725 89.025) (width 0.5) (layer TOP-L1) (net 187) (tstamp 51A6F2CD))
+  (segment (start 55.05 50.09958) (end 55.25 50.29958) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 55.25 50.29958) (end 55.25 51.4) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51A6F1B1))
+  (segment (start 57.40042 52.95) (end 56.625 52.17458) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 56.625 52.17458) (end 56.625 51.4) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51A6F19A))
+  (segment (start 56.625 51.4) (end 56.625 51.15) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 58.325 49.45) (end 58.325 49.2) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51A6F14B))
+  (segment (start 56.625 51.15) (end 58.325 49.45) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51A6F14A))
+  (segment (start 56.625 51.4) (end 55.25 51.4) (width 0.3) (layer TOP-L1) (net 187))
+  (segment (start 55.25 51.4) (end 55.225 51.4) (width 0.3) (layer TOP-L1) (net 187) (tstamp 51A6F1B6))
+  (via (at 55.225 51.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 57.00042 44.5) (end 57.00042 44.95) (width 0.3) (layer TOP-L1) (net 187))
+  (segment (start 57.00042 44.95) (end 57.00042 45.375) (width 0.3) (layer TOP-L1) (net 187) (tstamp 51A6F4B8))
+  (segment (start 82.99958 60.1) (end 83 60.10042) (width 0.1524) (layer BOT-L6) (net 187))
+  (via (at 83 60.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 83 60.10042) (end 83 60.8) (width 0.1524) (layer BOT-L6) (net 187) (tstamp 51A602F0))
+  (segment (start 81.67458 63.3) (end 82 63.62542) (width 0.2) (layer BOT-L6) (net 187))
+  (via (at 82 64.025) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 82 63.62542) (end 82 64.025) (width 0.2) (layer BOT-L6) (net 187) (tstamp 51A600E0))
+  (segment (start 80.2 62.8) (end 79.8 63.2) (width 0.1524) (layer TOP-L1) (net 187))
+  (via (at 79.8 63.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 74.925 61.57542) (end 75.62458 61.57542) (width 0.3) (layer BOT-L6) (net 187))
+  (via (at 75.63 61.57) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 75.62458 61.57542) (end 75.63 61.57) (width 0.3) (layer BOT-L6) (net 187) (tstamp 51A5FE77))
+  (segment (start 74.025 61.57542) (end 73.275 61.57542) (width 0.2) (layer BOT-L6) (net 187))
+  (segment (start 73.275 61.57542) (end 73.30458 61.57542) (width 0.2) (layer BOT-L6) (net 187) (tstamp 51AD8108))
+  (via (at 73.3 61.58) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 73.30458 61.57542) (end 73.3 61.58) (width 0.2) (layer BOT-L6) (net 187) (tstamp 51A5FE6C))
+  (segment (start 82.125 73.70042) (end 81.52542 73.70042) (width 0.1524) (layer BOT-L6) (net 187))
+  (via (at 81.4 73.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 81.4 73.575) (end 81.4 73.6) (width 0.1524) (layer BOT-L6) (net 187) (tstamp 51A5FD98))
+  (segment (start 81.52542 73.70042) (end 81.4 73.575) (width 0.1524) (layer BOT-L6) (net 187) (tstamp 51A5FD96))
+  (segment (start 81 72.4) (end 81 73.2) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 81.4 73.6) (end 81 73.2) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51A5FD7E))
+  (segment (start 81.8 74) (end 81.4 73.6) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 75 71.6) (end 75 71.175) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 74.62542 70.80042) (end 74.62542 70.35) (width 0.1524) (layer BOT-L6) (net 187) (tstamp 51A5FD41))
+  (segment (start 75 71.175) (end 74.62542 70.80042) (width 0.1524) (layer BOT-L6) (net 187) (tstamp 51A5FD40))
+  (via (at 75 71.175) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 75.4 71.6) (end 76.2 71.6) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 74.6 69.2) (end 74.6 69.675) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 74.6 70.25042) (end 74.55042 70.3) (width 0.1524) (layer BOT-L6) (net 187) (tstamp 51A5FD23))
+  (segment (start 74.6 69.675) (end 74.6 70.25042) (width 0.1524) (layer BOT-L6) (net 187) (tstamp 51A5FD22))
+  (via (at 74.6 69.675) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 78.90042 67.225) (end 78.87542 67.2) (width 0.1524) (layer BOT-L6) (net 187))
+  (via (at 78.2 67.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 78.2 67.2) (end 78.6 66.8) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 78.87542 67.2) (end 78.2 67.2) (width 0.1524) (layer BOT-L6) (net 187) (tstamp 51A5FCC9))
+  (segment (start 81.925 65.45042) (end 81.925 64.85) (width 0.1524) (layer BOT-L6) (net 187))
+  (via (at 81.925 64.85) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 78.92542 66.3) (end 78.82542 66.4) (width 0.1524) (layer BOT-L6) (net 187))
+  (via (at 78.2 66.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 78.82542 66.4) (end 78.2 66.4) (width 0.1524) (layer BOT-L6) (net 187) (tstamp 51A5F891))
+  (segment (start 78.92542 66.3) (end 79 66.22542) (width 0.1524) (layer BOT-L6) (net 187))
+  (segment (start 79 66.22542) (end 79 65.6) (width 0.1524) (layer BOT-L6) (net 187) (tstamp 51A5F88E))
+  (segment (start 79.37542 64.7) (end 79 65.07542) (width 0.1524) (layer BOT-L6) (net 187))
+  (via (at 79 65.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 79 65.6) (end 78.6 66) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 79 65.625) (end 79 65.6) (width 0.1524) (layer BOT-L6) (net 187) (tstamp 51A5F1E7))
+  (segment (start 79 65.07542) (end 79 65.625) (width 0.1524) (layer BOT-L6) (net 187) (tstamp 51A5F1E5))
+  (segment (start 79.37542 64.7) (end 79.30084 64.77458) (width 0.1524) (layer BOT-L6) (net 187))
+  (segment (start 79.30084 64.77458) (end 78.5 64.77458) (width 0.1524) (layer BOT-L6) (net 187) (tstamp 51A5F1E2))
+  (segment (start 76.6 65.6) (end 76.2 66) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 77.375 65.625) (end 77 66) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 78.175 65.625) (end 77.8 66) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 77.8 66.8) (end 78.2 66.4) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 78.2 66.4) (end 78.6 66) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 79.4 67.6) (end 78.6 67.6) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 75.8 68) (end 75.8 67.475) (width 0.3) (layer BOT-L6) (net 187))
+  (via (at 75.8 68) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 76.2 67.6) (end 75.8 68) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 75.60042 67.27542) (end 75 67.27542) (width 0.3) (layer BOT-L6) (net 187) (tstamp 51A5EC4F))
+  (segment (start 75.8 67.475) (end 75.60042 67.27542) (width 0.3) (layer BOT-L6) (net 187) (tstamp 51A5EC4E))
+  (segment (start 74.6 66) (end 75 65.6) (width 0.1524) (layer TOP-L1) (net 187))
+  (via (at 75 65.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 75 65.6) (end 75.4 65.2) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 72.72782 69.4182) (end 72.72782 68.81622) (width 0.3) (layer BOT-L6) (net 187))
+  (segment (start 72.644 68.7324) (end 72.67702 68.7324) (width 0.3) (layer BOT-L6) (net 187) (tstamp 51A5EB62))
+  (segment (start 72.72782 68.81622) (end 72.644 68.7324) (width 0.3) (layer BOT-L6) (net 187) (tstamp 51A5EB60))
+  (segment (start 72.67702 68.0212) (end 72.67702 68.7324) (width 0.3) (layer BOT-L6) (net 187))
+  (segment (start 72.67702 68.7324) (end 72.67702 68.72478) (width 0.3) (layer BOT-L6) (net 187) (tstamp 51A5EB63))
+  (via (at 72.6694 68.7324) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 72.67702 68.72478) (end 72.6694 68.7324) (width 0.3) (layer BOT-L6) (net 187) (tstamp 51A5E97F))
+  (segment (start 72.67702 67.1576) (end 72.67702 68.0212) (width 0.3) (layer BOT-L6) (net 187))
+  (segment (start 72.70042 66.3702) (end 72.70042 67.1342) (width 0.3) (layer BOT-L6) (net 187))
+  (segment (start 72.70042 67.1342) (end 72.67702 67.1576) (width 0.3) (layer BOT-L6) (net 187) (tstamp 51A5E6C0))
+  (segment (start 72.70042 65.6) (end 72.70042 66.3702) (width 0.3) (layer BOT-L6) (net 187))
+  (segment (start 72.70042 66.3702) (end 72.70042 66.34958) (width 0.3) (layer BOT-L6) (net 187) (tstamp 51A5E6BE))
+  (via (at 72.7 66.35) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 72.70042 66.34958) (end 72.7 66.35) (width 0.3) (layer BOT-L6) (net 187) (tstamp 51A5E685))
+  (segment (start 72.70042 64.75) (end 72.70042 65.6) (width 0.3) (layer BOT-L6) (net 187))
+  (segment (start 74.925 61.57542) (end 74.025 61.57542) (width 0.3) (layer BOT-L6) (net 187))
+  (segment (start 94.90114 85.7546) (end 94.90114 82.15034) (width 0.3) (layer BOT-L6) (net 187))
+  (segment (start 94.5134 81.7626) (end 93.9292 81.7626) (width 0.3) (layer TOP-L1) (net 187) (tstamp 51A5DAB0))
+  (segment (start 94.5896 81.8388) (end 94.5134 81.7626) (width 0.3) (layer TOP-L1) (net 187) (tstamp 51A5DAAF))
+  (via (at 94.5896 81.8388) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 94.90114 82.15034) (end 94.5896 81.8388) (width 0.3) (layer BOT-L6) (net 187) (tstamp 51A5DAA5))
+  (segment (start 100.35 46.55) (end 106.7 46.55) (width 0.8) (layer TOP-L1) (net 187))
+  (segment (start 88.03958 50.19) (end 88.3 50.19) (width 0.3) (layer TOP-L1) (net 187))
+  (via (at 88.81 50.7) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 88.3 50.19) (end 88.81 50.7) (width 0.3) (layer TOP-L1) (net 187) (tstamp 51A5D64B))
+  (segment (start 88.02958 49.18) (end 88.02958 50.18) (width 0.3) (layer TOP-L1) (net 187))
+  (segment (start 88.02958 50.18) (end 88.03958 50.19) (width 0.3) (layer TOP-L1) (net 187) (tstamp 51A5D644))
+  (segment (start 91.462 49.72) (end 91.462 48.948) (width 0.3) (layer TOP-L1) (net 187))
+  (segment (start 92.04 48.37) (end 92.0325 48.37) (width 0.3) (layer TOP-L1) (net 187) (tstamp 51A5D574))
+  (segment (start 91.462 48.948) (end 92.04 48.37) (width 0.3) (layer TOP-L1) (net 187) (tstamp 51A5D572))
+  (segment (start 92.0325 46.93) (end 92.0325 48.37) (width 0.3) (layer TOP-L1) (net 187))
+  (segment (start 92.0325 48.37) (end 92.0325 48.3775) (width 0.3) (layer TOP-L1) (net 187) (tstamp 51A5D575))
+  (segment (start 92.0325 48.3775) (end 92.03 48.38) (width 0.3) (layer TOP-L1) (net 187) (tstamp 51A5D56C))
+  (via (at 92.03 48.38) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 91.462 49.72) (end 91.462 49.23242) (width 0.3) (layer TOP-L1) (net 187))
+  (segment (start 91.462 49.23242) (end 90.71958 48.49) (width 0.3) (layer TOP-L1) (net 187) (tstamp 51A5D51E))
+  (segment (start 93.79 41.8875) (end 95.62 41.8875) (width 0.3) (layer TOP-L1) (net 187))
+  (segment (start 92.4 43.15042) (end 92.52708 43.15042) (width 0.3) (layer TOP-L1) (net 187))
+  (segment (start 92.52708 43.15042) (end 93.79 41.8875) (width 0.3) (layer TOP-L1) (net 187) (tstamp 51A5D3FD))
+  (segment (start 89.9 41.90042) (end 89.94958 41.90042) (width 0.2) (layer TOP-L1) (net 187))
+  (via (at 90.56 41.29) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 89.94958 41.90042) (end 90.56 41.29) (width 0.2) (layer TOP-L1) (net 187) (tstamp 51A5D1A0))
+  (segment (start 87.1 36.00042) (end 87.01242 36.088) (width 0.2) (layer TOP-L1) (net 187))
+  (segment (start 87.01242 36.088) (end 85.925 36.088) (width 0.2) (layer TOP-L1) (net 187) (tstamp 51A5D0AF))
+  (segment (start 88.3 36.063) (end 88.23742 36.00042) (width 0.2) (layer TOP-L1) (net 187))
+  (segment (start 88.23742 36.00042) (end 87.1 36.00042) (width 0.2) (layer TOP-L1) (net 187) (tstamp 51A5D0AC))
+  (segment (start 84.35 38.60042) (end 84.35 37.975) (width 0.2) (layer TOP-L1) (net 187))
+  (via (at 84.325 37.95) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 84.35 37.975) (end 84.325 37.95) (width 0.2) (layer TOP-L1) (net 187) (tstamp 51A5D044))
+  (segment (start 84.35 38.60042) (end 85.75 38.60042) (width 0.2) (layer TOP-L1) (net 187))
+  (segment (start 85.75 38.60042) (end 85.775 38.57542) (width 0.2) (layer TOP-L1) (net 187) (tstamp 51A5CFF8))
+  (segment (start 97.94958 63.5) (end 97.94958 62.27542) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 97.94958 62.27542) (end 100.4 59.825) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51A5AB3F))
+  (segment (start 96.04414 87.2532) (end 96.04414 86.8976) (width 0.3) (layer TOP-L1) (net 187))
+  (segment (start 96.04414 86.8976) (end 94.90114 85.7546) (width 0.3) (layer TOP-L1) (net 187) (tstamp 51A5A85C))
+  (segment (start 104.06038 88.75434) (end 104.06038 88.39874) (width 0.3) (layer TOP-L1) (net 187))
+  (segment (start 104.06038 88.39874) (end 102.91484 87.2532) (width 0.3) (layer TOP-L1) (net 187) (tstamp 51A5A859))
+  (segment (start 102.91484 87.2532) (end 105.20592 87.2532) (width 0.3) (layer TOP-L1) (net 187))
+  (segment (start 100.62376 87.2532) (end 102.91484 87.2532) (width 0.3) (layer TOP-L1) (net 187))
+  (segment (start 88.79 68.22958) (end 88.10042 68.22958) (width 0.1524) (layer TOP-L1) (net 187))
+  (via (at 88.09 68.24) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 88.10042 68.22958) (end 88.09 68.24) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51A59E21))
+  (segment (start 62.59956 40.30044) (end 62.59956 41.24956) (width 0.3) (layer TOP-L1) (net 187))
+  (segment (start 65.5993 41.2507) (end 65.5993 40.30044) (width 0.2) (layer TOP-L1) (net 187) (tstamp 51A59BF6))
+  (segment (start 65.375 41.475) (end 65.5993 41.2507) (width 0.2) (layer TOP-L1) (net 187) (tstamp 51A59BF5))
+  (segment (start 62.825 41.475) (end 63.225 41.475) (width 0.3) (layer TOP-L1) (net 187) (tstamp 51A59BF4))
+  (segment (start 63.225 41.475) (end 65.375 41.475) (width 0.3) (layer TOP-L1) (net 187) (tstamp 51A6F455))
+  (segment (start 62.59956 41.24956) (end 62.825 41.475) (width 0.3) (layer TOP-L1) (net 187) (tstamp 51A59BF2))
+  (segment (start 71.4 66.8) (end 71.4 67.6) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 71 67.27542) (end 71 66.4) (width 0.2) (layer BOT-L6) (net 187))
+  (via (at 71 66.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 71 66.4) (end 71.4 66.8) (width 0.2) (layer TOP-L1) (net 187))
+  (segment (start 71.4 64.4) (end 71.4 64.025) (width 0.2) (layer TOP-L1) (net 187))
+  (segment (start 71.4 64.025) (end 71.4 63.6) (width 0.2) (layer TOP-L1) (net 187) (tstamp 51AD8137))
+  (segment (start 53.225 83.675) (end 53.32458 83.77458) (width 0.2) (layer BOT-L6) (net 187))
+  (segment (start 53.32458 83.77458) (end 53.32458 84.4) (width 0.2) (layer BOT-L6) (net 187) (tstamp 51A492A4))
+  (segment (start 53.2 83.65) (end 53.225 83.675) (width 0.2) (layer BOT-L6) (net 187))
+  (segment (start 53.225 83.675) (end 53.425 83.875) (width 0.2) (layer BOT-L6) (net 187) (tstamp 51A492A2))
+  (via (at 53.2 83.65) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 53.2 83.2) (end 53.2 83.65) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 53.425 83.875) (end 54.25042 83.875) (width 0.2) (layer BOT-L6) (net 187) (tstamp 51A49283))
+  (segment (start 62.5 83.2) (end 62.1 83.6) (width 0.2) (layer BOT-L6) (net 187))
+  (segment (start 62.1 83.6) (end 61.57458 83.6) (width 0.2) (layer BOT-L6) (net 187) (tstamp 51A49168))
+  (segment (start 57.6 83.5) (end 58.25042 84.15042) (width 0.2) (layer BOT-L6) (net 187))
+  (via (at 57.6 83.5) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 57.6 83.2) (end 57.6 83.5) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 58.25042 84.15042) (end 58.25042 84.225) (width 0.2) (layer BOT-L6) (net 187) (tstamp 51A4914B))
+  (segment (start 62.5 83.2) (end 62.59958 83.29958) (width 0.2) (layer BOT-L6) (net 187))
+  (via (at 62.5 83.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 62 83.2) (end 62.5 83.2) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 62.59958 83.29958) (end 63.2 83.29958) (width 0.2) (layer BOT-L6) (net 187) (tstamp 51A49123))
+  (segment (start 56 77.2) (end 55.92458 77.27542) (width 0.2) (layer BOT-L6) (net 187))
+  (via (at 56 77.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 55.6 77.6) (end 56 77.2) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 55.92458 77.27542) (end 55.325 77.27542) (width 0.2) (layer BOT-L6) (net 187) (tstamp 51A490FC))
+  (segment (start 61.2 76.35) (end 61.2 76.70042) (width 0.3) (layer BOT-L6) (net 187))
+  (segment (start 61.2 76.70042) (end 60.85 77.05042) (width 0.3) (layer BOT-L6) (net 187) (tstamp 51A490D0))
+  (segment (start 61.2 76.35) (end 61.20042 76.34958) (width 0.3) (layer BOT-L6) (net 187))
+  (via (at 61.2 76.35) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 61.2 76.8) (end 61.2 76.35) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 61.20042 76.34958) (end 61.20042 75.625) (width 0.3) (layer BOT-L6) (net 187) (tstamp 51A4908E))
+  (segment (start 59.6 76.35) (end 59.50042 76.25042) (width 0.3) (layer BOT-L6) (net 187))
+  (segment (start 59.50042 76.25042) (end 59.50042 75.65) (width 0.3) (layer BOT-L6) (net 187) (tstamp 51A4907E))
+  (segment (start 59.6 76.35) (end 59.6 76.35) (width 0.3) (layer BOT-L6) (net 187))
+  (segment (start 59.6 76.35) (end 59.22458 76.72542) (width 0.3) (layer BOT-L6) (net 187) (tstamp 51A4907C))
+  (via (at 59.6 76.35) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 59.6 76.8) (end 59.6 76.35) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 59.22458 76.72542) (end 59.22458 77.1) (width 0.3) (layer BOT-L6) (net 187) (tstamp 51A49069))
+  (segment (start 51.57542 75.675) (end 51.57542 76.32542) (width 0.3) (layer BOT-L6) (net 187))
+  (segment (start 51.57542 76.32542) (end 51.6 76.35) (width 0.3) (layer BOT-L6) (net 187) (tstamp 51A4904C))
+  (segment (start 51.6 76.35) (end 51.6 76.8) (width 0.1524) (layer TOP-L1) (net 187))
+  (via (at 51.6 76.35) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 50 76.35) (end 49.85042 76.20042) (width 0.3) (layer BOT-L6) (net 187))
+  (via (at 50 76.35) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 50 76.8) (end 50 76.35) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 49.85042 76.20042) (end 49.85042 75.675) (width 0.3) (layer BOT-L6) (net 187) (tstamp 51A49056))
+  (segment (start 52.425 60.47542) (end 53.12458 60.47542) (width 0.2) (layer BOT-L6) (net 187))
+  (via (at 53.125 60.475) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 53.12458 60.47542) (end 53.125 60.475) (width 0.2) (layer BOT-L6) (net 187) (tstamp 51A48F25))
+  (segment (start 51.2 63.65) (end 51.2 63.675) (width 0.2) (layer BOT-L6) (net 187))
+  (segment (start 51.2 63.2) (end 51.2 63.65) (width 0.1524) (layer TOP-L1) (net 187))
+  (via (at 51.2 63.65) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 51.2 63.675) (end 51.2 64.30042) (width 0.2) (layer BOT-L6) (net 187) (tstamp 51A83B3D))
+  (segment (start 51.2 64.30042) (end 51.29958 64.4) (width 0.2) (layer BOT-L6) (net 187) (tstamp 51A48EE6))
+  (segment (start 48 63.65) (end 48 64.27542) (width 0.2) (layer BOT-L6) (net 187))
+  (via (at 48 63.65) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 48 63.2) (end 48 63.65) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 48 64.27542) (end 47.90042 64.375) (width 0.2) (layer BOT-L6) (net 187) (tstamp 51A48E9E))
+  (segment (start 56.72458 61.125) (end 56.72458 61.67542) (width 0.2) (layer BOT-L6) (net 187))
+  (segment (start 56.4 62) (end 56.8 62.4) (width 0.2) (layer TOP-L1) (net 187) (tstamp 51A48E58))
+  (via (at 56.4 62) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 56.72458 61.67542) (end 56.4 62) (width 0.2) (layer BOT-L6) (net 187) (tstamp 51A48E4C))
+  (segment (start 53.2 62.8) (end 53.3 62.9) (width 0.2) (layer BOT-L6) (net 187))
+  (via (at 53.2 62.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 53.3 62.9) (end 53.80042 62.9) (width 0.2) (layer BOT-L6) (net 187) (tstamp 51A48DED))
+  (segment (start 57.2 62.8) (end 57.27458 62.72542) (width 0.2) (layer BOT-L6) (net 187))
+  (via (at 57.2 62.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 57.2 62.4) (end 57.2 62.8) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 57.27458 62.72542) (end 58 62.72542) (width 0.2) (layer BOT-L6) (net 187) (tstamp 51A48D7B))
+  (segment (start 60.45 63.2) (end 60.49958 63.24958) (width 0.2) (layer BOT-L6) (net 187))
+  (via (at 60.45 63.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 60 63.2) (end 60.45 63.2) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 60.49958 63.24958) (end 61.125 63.24958) (width 0.2) (layer BOT-L6) (net 187) (tstamp 51A48D35))
+  (segment (start 60.3 56.37542) (end 60.24958 56.325) (width 0.2) (layer BOT-L6) (net 187))
+  (segment (start 59.2 56.325) (end 59.2 56.8) (width 0.1524) (layer TOP-L1) (net 187))
+  (via (at 59.2 56.325) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 59.175 56.325) (end 59.2 56.325) (width 0.2) (layer BOT-L6) (net 187) (tstamp 51A48CBE))
+  (segment (start 60.24958 56.325) (end 59.175 56.325) (width 0.2) (layer BOT-L6) (net 187) (tstamp 51A48CB8))
+  (segment (start 58.8 58) (end 58.74958 57.94958) (width 0.1524) (layer BOT-L6) (net 187))
+  (via (at 58.8 58) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 59.2 58.4) (end 58.8 58) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 58.74958 57.94958) (end 58.74958 57.275) (width 0.1524) (layer BOT-L6) (net 187) (tstamp 51A48C79))
+  (segment (start 57.225 56.375) (end 57.225 55.87542) (width 0.1524) (layer BOT-L6) (net 187))
+  (via (at 57.225 56.375) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 57.225 55.87542) (end 57.02458 55.675) (width 0.1524) (layer BOT-L6) (net 187) (tstamp 51A48C07))
+  (segment (start 52.65042 56.15) (end 52.65042 56.85042) (width 0.1524) (layer BOT-L6) (net 187))
+  (segment (start 52.92542 57.12542) (end 53.3 57.12542) (width 0.1524) (layer BOT-L6) (net 187) (tstamp 51A48BAB))
+  (segment (start 52.65042 56.85042) (end 52.92542 57.12542) (width 0.1524) (layer BOT-L6) (net 187) (tstamp 51A48BA7))
+  (segment (start 53.3 57.12542) (end 53.37458 57.2) (width 0.1524) (layer BOT-L6) (net 187))
+  (segment (start 53.37458 57.2) (end 54 57.2) (width 0.1524) (layer BOT-L6) (net 187) (tstamp 51A48BA3))
+  (via (at 54 57.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 54 57.2) (end 54.7 57.2) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 53.6 57.6) (end 54 57.2) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 54.8 57.2) (end 55.2 56.8) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51A48B9C))
+  (segment (start 54.7 57.2) (end 54.8 57.2) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51A48B9A))
+  (segment (start 49.67542 55.575) (end 49.625 55.62542) (width 0.3) (layer BOT-L6) (net 187))
+  (via (at 49.6 56.35) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 49.6 56.35) (end 49.6 56.8) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 49.625 56.375) (end 49.6 56.35) (width 0.3) (layer BOT-L6) (net 187) (tstamp 51A48B21))
+  (segment (start 49.625 55.62542) (end 49.625 56.375) (width 0.3) (layer BOT-L6) (net 187) (tstamp 51A48B1F))
+  (segment (start 47.90042 55.475) (end 47.9 55.47542) (width 0.3) (layer BOT-L6) (net 187))
+  (via (at 48 56.35) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 48 56.35) (end 48 56.8) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 48 56.375) (end 48 56.35) (width 0.3) (layer BOT-L6) (net 187) (tstamp 51A48A88))
+  (segment (start 47.9 56.275) (end 48 56.375) (width 0.3) (layer BOT-L6) (net 187) (tstamp 51A48A86))
+  (segment (start 47.9 55.47542) (end 47.9 56.275) (width 0.3) (layer BOT-L6) (net 187) (tstamp 51A48A85))
+  (segment (start 57.2 83.2) (end 56.8 82.8) (width 0.1524) (layer TOP-L1) (net 187))
+  (via (at 56.8 82.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 56.8 82.8) (end 56 82.8) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 55.99958 82) (end 56 82.00042) (width 0.1524) (layer BOT-L6) (net 187))
+  (segment (start 56 82.00042) (end 56 82.775) (width 0.1524) (layer BOT-L6) (net 187) (tstamp 51A485B4))
+  (segment (start 56 82.775) (end 56 82.8) (width 0.1524) (layer BOT-L6) (net 187) (tstamp 51A485B7))
+  (via (at 56 82.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 56 82.8) (end 55.6 82.4) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 49.75 62.82458) (end 49.77458 62.8) (width 0.1524) (layer BOT-L6) (net 187))
+  (segment (start 49.77458 62.8) (end 50.80042 62.8) (width 0.1524) (layer BOT-L6) (net 187) (tstamp 51A4852B))
+  (segment (start 73.4 72.4) (end 73.4 72) (width 0.1524) (layer TOP-L1) (net 187))
+  (via (at 73.4 72) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 73 72.4) (end 73.4 72.4) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 73.4 72.4) (end 73.8 72.4) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51A482B4))
+  (segment (start 77 68.4) (end 77 69.2) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 82.6 70) (end 82.6 70.8) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 74.6 71.6) (end 75 71.6) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 75 71.6) (end 75.4 71.6) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51A5FD3C))
+  (segment (start 77 68.4) (end 77.425 67.975) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 77.8 67.6) (end 77.425 67.975) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 77.425 67.975) (end 77.4 68) (width 0.3) (layer TOP-L1) (net 187) (tstamp 51884807))
+  (via (at 77.4 68) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 77.8 66) (end 77.4 66.4) (width 0.1524) (layer TOP-L1) (net 187))
+  (via (at 77.4 66.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 52.65042 56.15) (end 51.4 56.15) (width 0.3) (layer BOT-L6) (net 187))
+  (via (at 51.2 56.35) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 51.2 56.35) (end 51.2 56.8) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 51.4 56.15) (end 51.2 56.35) (width 0.3) (layer BOT-L6) (net 187) (tstamp 51A481A8))
+  (segment (start 51.85042 82.775) (end 51.6 83.02542) (width 0.3) (layer BOT-L6) (net 187))
+  (via (at 51.6 83.65) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 51.6 83.65) (end 51.6 83.2) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 51.6 83.675) (end 51.6 83.65) (width 0.3) (layer BOT-L6) (net 187) (tstamp 51A48037))
+  (segment (start 51.6 83.02542) (end 51.6 83.675) (width 0.3) (layer BOT-L6) (net 187) (tstamp 51A48033))
+  (segment (start 54.20042 76.1) (end 53.45 76.1) (width 0.3) (layer BOT-L6) (net 187))
+  (via (at 53.2 76.35) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 53.2 76.35) (end 53.2 76.8) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 53.45 76.1) (end 53.2 76.35) (width 0.3) (layer BOT-L6) (net 187) (tstamp 51A47FF1))
+  (segment (start 40.02458 83) (end 40.02458 82.125) (width 0.3) (layer BOT-L6) (net 187))
+  (segment (start 41 83.775) (end 40.79958 83.775) (width 0.3) (layer BOT-L6) (net 187))
+  (segment (start 40.3 84.475) (end 41 83.775) (width 0.3) (layer TOP-L1) (net 187) (tstamp 51A47E3A))
+  (via (at 41 83.775) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 39.4525 84.475) (end 40.3 84.475) (width 0.3) (layer TOP-L1) (net 187))
+  (segment (start 40.79958 83.775) (end 40.02458 83) (width 0.3) (layer BOT-L6) (net 187) (tstamp 51A47E46))
+  (segment (start 43.9105 86.4145) (end 43.65 86.675) (width 0.8) (layer TOP-L1) (net 187) (tstamp 51A47CB5))
+  (segment (start 43.65 86.675) (end 43.65 88.55) (width 0.8) (layer TOP-L1) (net 187) (tstamp 51A47CBA))
+  (segment (start 43.65 88.55) (end 44.25 89.15) (width 0.8) (layer TOP-L1) (net 187) (tstamp 51A47CBB))
+  (segment (start 44.25 89.15) (end 46.175 89.15) (width 0.8) (layer TOP-L1) (net 187) (tstamp 51A47CC5))
+  (via (at 46.175 89.15) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 43.9105 86.4145) (end 44.84966 86.4145) (width 0.8) (layer TOP-L1) (net 187))
+  (segment (start 46.175 89.125) (end 46.175 89.15) (width 0.8) (layer TOP-L1) (net 187) (tstamp 51A47CD9))
+  (segment (start 46.2 89.15) (end 46.175 89.125) (width 0.8) (layer TOP-L1) (net 187) (tstamp 51A47CF7))
+  (segment (start 56.3 72.6) (end 56.3 69.875) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 56.8 69.375) (end 56.8 69.1) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51A33407))
+  (segment (start 56.3 69.875) (end 56.8 69.375) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51A33401))
+  (segment (start 55.975 73.75) (end 55.975 74.1) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 55.2 77.2) (end 55.6 77.6) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51A2106F))
+  (segment (start 55.2 74.875) (end 55.2 77.2) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51A2106B))
+  (segment (start 55.975 74.1) (end 55.2 74.875) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51A21066))
+  (segment (start 58.8 82.4) (end 59.6 82.4) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 58 83.2) (end 57.6 83.2) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 57.6 83.2) (end 57.2 83.2) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51A485E1))
+  (segment (start 57.2 82.4) (end 57.2 83.2) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 57.6 56.8) (end 57.225 56.425) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 57.225 56.375) (end 56.8 56.8) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 57.225 56.425) (end 57.225 56.375) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51A16169))
+  (segment (start 56.8 67.25) (end 56.8 69.1) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51A15F11))
+  (segment (start 53.2 63.65) (end 56.8 67.25) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51A15F06))
+  (segment (start 53.6 62.4) (end 53.175 62.825) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 53.175 62.825) (end 53.2 62.8) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 519EFFA0))
+  (segment (start 53.2 62.8) (end 53.2 63.65) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 55.975 72.925) (end 55.975 73.75) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51A15F1D))
+  (segment (start 56.3 72.6) (end 55.975 72.925) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51A333FF))
+  (segment (start 56.8 57.6) (end 56.4 58) (width 0.1524) (layer TOP-L1) (net 187))
+  (via (at 56.4 58) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 55.6 63.2) (end 55.6 62.8) (width 0.1524) (layer TOP-L1) (net 187))
+  (via (at 55.6 62.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 55.6 62.8) (end 55.2 62.4) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 55.2 63.2) (end 55.2 62.4) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 55.2 63.2) (end 55.6 63.2) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 55.6 63.2) (end 56 63.2) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 519C92E5))
+  (segment (start 56.8 62.4) (end 57.2 62.4) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 57.2 62.4) (end 57.6 62.4) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 519C48C9))
+  (segment (start 59.2 63.2) (end 60 63.2) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 58.8 77.6) (end 58.8 76.8) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 57.2 76.8) (end 57.2 76.375) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 57.2 76.375) (end 57.2 76.35) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51A16023))
+  (via (at 57.2 76.35) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 61.2 78.4) (end 60.825 78.775) (width 0.1524) (layer TOP-L1) (net 187))
+  (via (at 60.825 78.775) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 58.8 76.8) (end 58.8 76.35) (width 0.1524) (layer TOP-L1) (net 187))
+  (via (at 58.8 76.35) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 61.2 83.2) (end 62 83.2) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 76.2 66) (end 76.6 66.4) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 75.4 66) (end 76.2 66) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 78.5 64.77458) (end 77.55 64.77458) (width 0.3) (layer BOT-L6) (net 187))
+  (segment (start 76.6 64.77458) (end 77.55 64.77458) (width 0.3) (layer BOT-L6) (net 187))
+  (segment (start 76.2 65.2) (end 76.6 65.6) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 76.2 68.4) (end 76.2 69.2) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 75.4 66.8) (end 76.2 66.8) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 75.4 67.6) (end 76.2 67.6) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 76.2 66.8) (end 76.6 66.4) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 76.6 66.4) (end 77 66) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 76.2 63.6) (end 76.2 64.4) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 83.27836 47.53332) (end 83.27836 48.07164) (width 0.3) (layer TOP-L1) (net 187))
+  (segment (start 83.27836 48.07164) (end 82.2225 49.1275) (width 0.3) (layer TOP-L1) (net 187) (tstamp 5188DB3D))
+  (segment (start 82.2225 49.1275) (end 82.2225 49.675) (width 0.3) (layer TOP-L1) (net 187) (tstamp 5188DB3E))
+  (segment (start 81.8 74) (end 81.8 74.8) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 79.625 70.50042) (end 79.625 69.925) (width 0.2) (layer BOT-L6) (net 187))
+  (via (at 79.625 69.925) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 78.675 70.50042) (end 78.675 70) (width 0.2) (layer BOT-L6) (net 187))
+  (via (at 78.675 70) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 78.6 65.2) (end 78.175 65.625) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 78.175 65.625) (end 78.2 65.6) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51A5ED04))
+  (segment (start 78.5 65.3) (end 78.5 64.77458) (width 0.1524) (layer BOT-L6) (net 187) (tstamp 518874C9))
+  (segment (start 78.2 65.6) (end 78.5 65.3) (width 0.1524) (layer BOT-L6) (net 187) (tstamp 518874C8))
+  (via (at 78.2 65.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 77.55 64.77458) (end 77.55 65.45) (width 0.1524) (layer BOT-L6) (net 187))
+  (segment (start 77.55 65.45) (end 77.4 65.6) (width 0.1524) (layer BOT-L6) (net 187) (tstamp 51887479))
+  (segment (start 77.4 65.6) (end 77.375 65.625) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 77.375 65.625) (end 77.8 65.2) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51A5ED08))
+  (via (at 77.4 65.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 76.6 64.77458) (end 76.6 65.6) (width 0.1524) (layer BOT-L6) (net 187))
+  (segment (start 76.6 65.6) (end 77 65.2) (width 0.1524) (layer TOP-L1) (net 187))
+  (via (at 76.6 65.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 77.8 68.4) (end 78.225 67.975) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 78.225 67.975) (end 78.225 67.975) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51AD8134))
+  (segment (start 76.2 68.4) (end 76.6 68) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 76.6 68) (end 77 67.6) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 78.6 64.4) (end 78.6 65.2) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 77.8 64.4) (end 77.8 65.2) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 77 64.4) (end 77 65.2) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 78.6 67.6) (end 78.225 67.975) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 78.225 67.975) (end 78.2 68) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 5188480C))
+  (via (at 78.2 68) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 101.18038 22.15504) (end 108.5794 22.15504) (width 0.8) (layer TOP-L1) (net 187))
+  (segment (start 85.77772 47.53332) (end 85.77772 45.38702) (width 0.3) (layer TOP-L1) (net 187))
+  (segment (start 85.77772 45.38702) (end 84.5255 44.1348) (width 0.3) (layer TOP-L1) (net 187) (tstamp 5162EBB5))
+  (segment (start 83.27836 47.53332) (end 83.27836 45.38194) (width 0.3) (layer TOP-L1) (net 187))
+  (segment (start 83.27836 45.38194) (end 84.5255 44.1348) (width 0.3) (layer TOP-L1) (net 187) (tstamp 5162EBAD))
+  (segment (start 81.12698 45.88486) (end 82.77544 45.88486) (width 0.3) (layer TOP-L1) (net 187))
+  (segment (start 82.77544 45.88486) (end 84.5255 44.1348) (width 0.3) (layer TOP-L1) (net 187) (tstamp 5162EBA9))
+  (segment (start 87.92656 45.38448) (end 85.77518 45.38448) (width 0.3) (layer TOP-L1) (net 187))
+  (segment (start 85.77518 45.38448) (end 84.5255 44.1348) (width 0.3) (layer TOP-L1) (net 187) (tstamp 5162EBA5))
+  (segment (start 87.92656 42.38474) (end 86.27556 42.38474) (width 0.3) (layer TOP-L1) (net 187))
+  (segment (start 86.27556 42.38474) (end 84.5255 44.1348) (width 0.3) (layer TOP-L1) (net 187) (tstamp 5162EBA1))
+  (segment (start 84.27404 40.73374) (end 84.27404 43.88334) (width 0.2) (layer TOP-L1) (net 187))
+  (segment (start 84.27404 43.88334) (end 84.5255 44.1348) (width 0.3) (layer TOP-L1) (net 187) (tstamp 5162EB9D))
+  (via (at 84.5255 44.1348) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 88.025 42.55) (end 88.9 42.55) (width 0.3) (layer BOT-L6) (net 188))
+  (segment (start 88.56488 42.88512) (end 88.9 42.55) (width 0.3) (layer TOP-L1) (net 188) (tstamp 51A83BA5))
+  (via (at 88.9 42.55) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 188))
+  (segment (start 88.56488 42.88512) (end 87.92656 42.88512) (width 0.3) (layer TOP-L1) (net 188))
+  (segment (start 87.92656 46.3827) (end 88.7827 46.3827) (width 0.3) (layer TOP-L1) (net 188))
+  (segment (start 88.7827 46.3827) (end 89.025 46.625) (width 0.3) (layer TOP-L1) (net 188) (tstamp 51A83B99))
+  (segment (start 79.925 42.25) (end 79.625 42.25) (width 0.3) (layer TOP-L1) (net 188))
+  (segment (start 78.7025 41.3275) (end 77.975 41.3275) (width 0.3) (layer TOP-L1) (net 188) (tstamp 51A82C89))
+  (segment (start 79.625 42.25) (end 78.7025 41.3275) (width 0.3) (layer TOP-L1) (net 188) (tstamp 51A82C84))
+  (segment (start 81.65 39.775) (end 81.65 39.2525) (width 0.2) (layer TOP-L1) (net 188))
+  (segment (start 81.65 39.2525) (end 81.025 38.6275) (width 0.2) (layer TOP-L1) (net 188) (tstamp 51A82C40))
+  (segment (start 82.5 39.19958) (end 81.59708 39.19958) (width 0.2) (layer TOP-L1) (net 188))
+  (segment (start 81.59708 39.19958) (end 81.025 38.6275) (width 0.2) (layer TOP-L1) (net 188) (tstamp 51A82C3D))
+  (segment (start 82.27506 40.73628) (end 82.27506 40.02506) (width 0.2) (layer TOP-L1) (net 188))
+  (segment (start 81.625 39.8) (end 81.625 40.375) (width 0.2) (layer BOT-L6) (net 188) (tstamp 51A82C11))
+  (segment (start 81.65 39.775) (end 81.625 39.8) (width 0.2) (layer BOT-L6) (net 188) (tstamp 51A82C10))
+  (via (at 81.65 39.775) (size 0.4572) (layers TOP-L1 BOT-L6) (net 188))
+  (segment (start 82.025 39.775) (end 81.65 39.775) (width 0.2) (layer TOP-L1) (net 188) (tstamp 51A82C0A))
+  (segment (start 82.27506 40.02506) (end 82.025 39.775) (width 0.2) (layer TOP-L1) (net 188) (tstamp 51A82C07))
+  (segment (start 81.025 42.3) (end 79.975 42.3) (width 0.2) (layer BOT-L6) (net 188))
+  (segment (start 80.55758 42.88258) (end 79.925 42.25) (width 0.2) (layer TOP-L1) (net 188) (tstamp 51A82B72))
+  (segment (start 79.925 42.25) (end 79.975 42.3) (width 0.2) (layer TOP-L1) (net 188) (tstamp 51A82C82))
+  (via (at 79.975 42.3) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 188))
+  (segment (start 80.55758 42.88258) (end 81.12698 42.88258) (width 0.2) (layer TOP-L1) (net 188))
+  (segment (start 80.975 45.125) (end 80.075 45.125) (width 0.2) (layer BOT-L6) (net 188))
+  (segment (start 80.3159 44.8841) (end 80.075 45.125) (width 0.2) (layer TOP-L1) (net 188) (tstamp 51A82B3C))
+  (via (at 80.075 45.125) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 188))
+  (segment (start 80.3159 44.8841) (end 81.12698 44.8841) (width 0.2) (layer TOP-L1) (net 188))
+  (segment (start 89.025 46.625) (end 89.025 46.635) (width 0.2) (layer BOT-L6) (net 188) (tstamp 51A82B15))
+  (segment (start 85.225 46.625) (end 89.025 46.625) (width 0.2) (layer BOT-L6) (net 188) (tstamp 51A82B10))
+  (segment (start 84.125 47.725) (end 85.225 46.625) (width 0.2) (layer BOT-L6) (net 188) (tstamp 51A82B0A))
+  (segment (start 84.125 48.475) (end 84.125 47.725) (width 0.2) (layer BOT-L6) (net 188))
+  (segment (start 89.025 46.635) (end 89.02 46.63) (width 0.8) (layer BOT-L6) (net 188) (tstamp 51A82B16))
+  (via (at 84.125 48.475) (size 0.4572) (layers TOP-L1 BOT-L6) (net 188))
+  (segment (start 87.92656 46.88308) (end 88.76692 46.88308) (width 0.2) (layer TOP-L1) (net 188))
+  (segment (start 89.025 46.625) (end 89.025 46.53) (width 0.2) (layer TOP-L1) (net 188) (tstamp 51A82ADE))
+  (segment (start 88.76692 46.88308) (end 89.025 46.625) (width 0.2) (layer TOP-L1) (net 188) (tstamp 51A82ADB))
+  (segment (start 84.77696 47.53332) (end 84.77696 48.22304) (width 0.2) (layer TOP-L1) (net 188))
+  (segment (start 84.525 48.475) (end 84.125 48.475) (width 0.2) (layer TOP-L1) (net 188) (tstamp 51A82AD0))
+  (segment (start 84.77696 48.22304) (end 84.525 48.475) (width 0.2) (layer TOP-L1) (net 188) (tstamp 51A82AC5))
+  (segment (start 92.475 37.8275) (end 92.475 39.8) (width 0.3) (layer TOP-L1) (net 188))
+  (segment (start 90.52542 42.79958) (end 89.9 42.79958) (width 0.3) (layer TOP-L1) (net 188) (tstamp 51A825A3))
+  (segment (start 91.525 41.8) (end 90.52542 42.79958) (width 0.3) (layer TOP-L1) (net 188) (tstamp 51A825A1))
+  (segment (start 91.525 40.75) (end 91.525 41.8) (width 0.3) (layer TOP-L1) (net 188) (tstamp 51A825A0))
+  (segment (start 92.475 39.8) (end 91.525 40.75) (width 0.3) (layer TOP-L1) (net 188) (tstamp 51A8259E))
+  (segment (start 89.9 42.79958) (end 88.60042 42.79958) (width 0.2) (layer TOP-L1) (net 188))
+  (segment (start 88.51488 42.88512) (end 87.92656 42.88512) (width 0.2) (layer TOP-L1) (net 188) (tstamp 51A73F04))
+  (segment (start 88.60042 42.79958) (end 88.51488 42.88512) (width 0.2) (layer TOP-L1) (net 188) (tstamp 51A73F00))
+  (segment (start 89.07 46.53) (end 89.025 46.53) (width 0.3) (layer TOP-L1) (net 188))
+  (segment (start 89.025 46.53) (end 89.7275 46.53) (width 0.3) (layer TOP-L1) (net 188) (tstamp 51A82ADF))
+  (segment (start 89.7275 46.53) (end 90.1275 46.93) (width 0.3) (layer TOP-L1) (net 188) (tstamp 51A5D351))
+  (segment (start 89.07 46.53) (end 89.02 46.63) (width 0.2) (layer TOP-L1) (net 188) (tstamp 51A5D34F))
+  (segment (start 89.01 46.62) (end 89.025 46.635) (width 0.8) (layer BOT-L6) (net 188) (tstamp 51A5D322))
+  (segment (start 89.01 46.62) (end 87.93 46.62) (width 0.8) (layer BOT-L6) (net 188))
+  (via (at 89.02 46.63) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 188))
+  (segment (start 82.27506 40.73628) (end 82.27506 39.42452) (width 0.1524) (layer TOP-L1) (net 188))
+  (segment (start 82.27506 39.42452) (end 82.5 39.19958) (width 0.1524) (layer TOP-L1) (net 188) (tstamp 5188DC0E))
+  (segment (start 82.77544 40.73628) (end 82.77544 39.47502) (width 0.1524) (layer TOP-L1) (net 188))
+  (segment (start 82.77544 39.47502) (end 82.5 39.19958) (width 0.1524) (layer TOP-L1) (net 188) (tstamp 5188DC0B))
+  (segment (start 84.1275 49.675) (end 84.1275 48.4775) (width 0.1524) (layer TOP-L1) (net 188))
+  (segment (start 84.1275 48.4775) (end 84.125 48.475) (width 0.1524) (layer TOP-L1) (net 188) (tstamp 5188DB30))
+  (segment (start 82.77544 40.73628) (end 82.27506 40.73628) (width 0.1524) (layer TOP-L1) (net 188))
+  (segment (start 76.25 61.1) (end 76.45 61.1) (width 0.2) (layer Signal-L3) (net 189))
+  (segment (start 86.5 39.175) (end 86.51 39.17) (width 0.2) (layer Signal-L3) (net 189) (tstamp 51A8266A))
+  (segment (start 86.5 40.75) (end 86.5 39.175) (width 0.2) (layer Signal-L3) (net 189) (tstamp 51A82662))
+  (segment (start 78 49.25) (end 86.5 40.75) (width 0.2) (layer Signal-L3) (net 189) (tstamp 51A8264F))
+  (segment (start 78 59.55) (end 78 49.25) (width 0.2) (layer Signal-L3) (net 189) (tstamp 51A8264C))
+  (segment (start 76.45 61.1) (end 78 59.55) (width 0.2) (layer Signal-L3) (net 189) (tstamp 51A82648))
+  (segment (start 73.8 64.4) (end 73.69 64.4) (width 0.2) (layer TOP-L1) (net 189))
+  (segment (start 86.51 39.17) (end 86.5 39.17) (width 0.2) (layer TOP-L1) (net 189) (tstamp 51A5FE90))
+  (via (at 86.51 39.17) (size 0.4572) (layers TOP-L1 BOT-L6) (net 189))
+  (segment (start 74.77 61.1) (end 76.25 61.1) (width 0.2) (layer Signal-L3) (net 189) (tstamp 51A5FE81))
+  (segment (start 73.24 62.63) (end 74.77 61.1) (width 0.2) (layer Signal-L3) (net 189) (tstamp 51A5FE80))
+  (segment (start 73.24 63.33) (end 73.24 62.63) (width 0.2) (layer Signal-L3) (net 189) (tstamp 51A5FE7F))
+  (via (at 73.24 63.33) (size 0.4572) (layers TOP-L1 BOT-L6) (net 189))
+  (segment (start 73.24 63.95) (end 73.24 63.33) (width 0.2) (layer TOP-L1) (net 189) (tstamp 51A5FE7D))
+  (segment (start 73.69 64.4) (end 73.24 63.95) (width 0.2) (layer TOP-L1) (net 189) (tstamp 51A5FE7C))
+  (segment (start 85.775 39.47458) (end 86.22542 39.47458) (width 0.2) (layer TOP-L1) (net 189))
+  (segment (start 86.22542 39.47458) (end 86.5 39.2) (width 0.2) (layer TOP-L1) (net 189) (tstamp 51A5D03F))
+  (segment (start 86.5 39.2) (end 86.5 39.17) (width 0.2) (layer TOP-L1) (net 189) (tstamp 51A5D040))
+  (segment (start 86.5 38.187) (end 85.925 37.612) (width 0.2) (layer TOP-L1) (net 189) (tstamp 51A5D041))
+  (segment (start 86.5 39.17) (end 86.5 38.187) (width 0.2) (layer TOP-L1) (net 189) (tstamp 51A5FE91))
+  (segment (start 85.77518 40.73374) (end 85.77518 39.47476) (width 0.2) (layer TOP-L1) (net 189))
+  (segment (start 85.77518 39.47476) (end 85.775 39.47458) (width 0.2) (layer TOP-L1) (net 189) (tstamp 51A5CFF1))
+  (segment (start 79.87458 43.375) (end 79.87458 44.22542) (width 0.3) (layer TOP-L1) (net 190))
+  (segment (start 79.687 44.413) (end 78.975 44.413) (width 0.3) (layer TOP-L1) (net 190) (tstamp 51A825DD))
+  (segment (start 79.87458 44.22542) (end 79.687 44.413) (width 0.3) (layer TOP-L1) (net 190) (tstamp 51A825D9))
+  (segment (start 81.12698 43.38296) (end 79.88254 43.38296) (width 0.2) (layer TOP-L1) (net 190))
+  (segment (start 79.88254 43.38296) (end 79.87458 43.375) (width 0.2) (layer TOP-L1) (net 190) (tstamp 51A8241B))
+  (segment (start 87.13042 49.18) (end 87.13042 48.39042) (width 0.2) (layer TOP-L1) (net 191))
+  (segment (start 86.77848 48.03848) (end 86.77848 47.53332) (width 0.2) (layer TOP-L1) (net 191) (tstamp 51A5D635))
+  (segment (start 87.13042 48.39042) (end 86.77848 48.03848) (width 0.2) (layer TOP-L1) (net 191) (tstamp 51A5D634))
+  (segment (start 95.75 25.85) (end 94.825 26.775) (width 0.1524) (layer Signal-L5) (net 192))
+  (segment (start 93.975 63.3) (end 90.65 63.3) (width 0.1524) (layer Signal-L5) (net 192) (tstamp 51A83036))
+  (segment (start 94.825 62.45) (end 93.975 63.3) (width 0.1524) (layer Signal-L5) (net 192) (tstamp 51A83032))
+  (segment (start 94.825 26.775) (end 94.825 62.45) (width 0.1524) (layer Signal-L5) (net 192) (tstamp 51A83030))
+  (via (at 83.8 64) (size 0.4572) (layers TOP-L1 BOT-L6) (net 192))
+  (segment (start 84.5 63.3) (end 90.65 63.3) (width 0.1524) (layer Signal-L5) (net 192) (tstamp 51A5B30A))
+  (segment (start 84.125 63.675) (end 84.5 63.3) (width 0.1524) (layer Signal-L5) (net 192))
+  (segment (start 84.125 63.675) (end 83.8 64) (width 0.1524) (layer Signal-L5) (net 192) (tstamp 51A5B308))
+  (segment (start 83.8 64) (end 83.4 64.4) (width 0.1524) (layer TOP-L1) (net 192) (tstamp 51A5AE72))
+  (via (at 96.3 25.3) (size 0.4572) (layers TOP-L1 BOT-L6) (net 192))
+  (segment (start 96.3 25.3) (end 95.75 25.85) (width 0.1524) (layer Signal-L5) (net 192) (tstamp 51A5AE5C))
+  (segment (start 97.02542 25.3) (end 96.3 25.3) (width 0.1524) (layer TOP-L1) (net 192))
+  (segment (start 96.35 29.975) (end 95.525 30.8) (width 0.1524) (layer Signal-L5) (net 193))
+  (segment (start 94.825 64.025) (end 91.125 64.025) (width 0.1524) (layer Signal-L5) (net 193) (tstamp 51A83014))
+  (segment (start 95.525 63.325) (end 94.825 64.025) (width 0.1524) (layer Signal-L5) (net 193) (tstamp 51A8300B))
+  (segment (start 95.525 30.8) (end 95.525 63.325) (width 0.1524) (layer Signal-L5) (net 193) (tstamp 51A83009))
+  (segment (start 97.025 29.3) (end 96.35 29.975) (width 0.1524) (layer Signal-L5) (net 193))
+  (segment (start 84.85 64.025) (end 91.125 64.025) (width 0.1524) (layer Signal-L5) (net 193) (tstamp 51A5B2F3))
+  (via (at 83.8 64.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 193))
+  (segment (start 83.8 64.8) (end 84.075 64.8) (width 0.1524) (layer Signal-L5) (net 193) (tstamp 51A5AE03))
+  (segment (start 84.075 64.8) (end 84.55 64.325) (width 0.1524) (layer Signal-L5) (net 193) (tstamp 51A5AE04))
+  (segment (start 83.8 64.8) (end 83.4 65.2) (width 0.1524) (layer TOP-L1) (net 193))
+  (segment (start 84.55 64.325) (end 84.85 64.025) (width 0.1524) (layer Signal-L5) (net 193))
+  (segment (start 97.02542 28.625) (end 97.02542 29.29958) (width 0.1524) (layer TOP-L1) (net 193) (tstamp 51A5AE1F))
+  (segment (start 97.025 29.3) (end 97.02542 29.29958) (width 0.1524) (layer TOP-L1) (net 193) (tstamp 51A5AE1E))
+  (via (at 97.025 29.3) (size 0.4572) (layers TOP-L1 BOT-L6) (net 193))
+  (segment (start 90.9 63.675) (end 94.7 63.675) (width 0.1524) (layer Signal-L5) (net 194))
+  (segment (start 95.2 27.725) (end 96.15 26.775) (width 0.1524) (layer Signal-L5) (net 194) (tstamp 51A8301F))
+  (segment (start 95.2 63.175) (end 95.2 27.725) (width 0.1524) (layer Signal-L5) (net 194) (tstamp 51A8301E))
+  (segment (start 94.7 63.675) (end 95.2 63.175) (width 0.1524) (layer Signal-L5) (net 194) (tstamp 51A8301D))
+  (segment (start 84.7 63.675) (end 84.425 63.95) (width 0.1524) (layer Signal-L5) (net 194) (tstamp 51A5B302))
+  (segment (start 96.15 26.775) (end 96.475 26.45) (width 0.1524) (layer Signal-L5) (net 194) (tstamp 51A8302C))
+  (via (at 96.475 26.45) (size 0.4572) (layers TOP-L1 BOT-L6) (net 194))
+  (segment (start 96.475 26.45) (end 96.525 26.4) (width 0.1524) (layer TOP-L1) (net 194) (tstamp 51A5AE51))
+  (segment (start 96.525 26.4) (end 97.02542 26.4) (width 0.1524) (layer TOP-L1) (net 194) (tstamp 51A5AE52))
+  (via (at 83 64.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 194))
+  (segment (start 83 64.8) (end 83.4 64.4) (width 0.1524) (layer Signal-L5) (net 194) (tstamp 51A5AE35))
+  (segment (start 83.4 64.4) (end 83.975 64.4) (width 0.1524) (layer Signal-L5) (net 194) (tstamp 51A5AE36))
+  (segment (start 83.975 64.4) (end 84.425 63.95) (width 0.1524) (layer Signal-L5) (net 194) (tstamp 51A5AE37))
+  (segment (start 82.6 65.2) (end 83 64.8) (width 0.1524) (layer TOP-L1) (net 194))
+  (segment (start 90.9 63.675) (end 84.7 63.675) (width 0.1524) (layer Signal-L5) (net 194) (tstamp 51A8301B))
+  (segment (start 90.275 62.975) (end 93.781158 62.975) (width 0.1524) (layer Signal-L5) (net 195))
+  (segment (start 94.475 26) (end 95.9 24.575) (width 0.1524) (layer Signal-L5) (net 195) (tstamp 51A8304A))
+  (segment (start 94.475 62.281158) (end 94.475 26) (width 0.1524) (layer Signal-L5) (net 195) (tstamp 51A83041))
+  (segment (start 93.781158 62.975) (end 94.475 62.281158) (width 0.1524) (layer Signal-L5) (net 195) (tstamp 51A8303F))
+  (via (at 83 64) (size 0.4572) (layers TOP-L1 BOT-L6) (net 195))
+  (segment (start 83 64) (end 83.6 63.4) (width 0.1524) (layer Signal-L5) (net 195) (tstamp 51A5AE7E))
+  (segment (start 95.9 24.575) (end 96.275 24.2) (width 0.1524) (layer Signal-L5) (net 195) (tstamp 51A83052))
+  (via (at 96.275 24.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 195))
+  (segment (start 96.275 24.2) (end 97.02542 24.2) (width 0.1524) (layer TOP-L1) (net 195) (tstamp 51A5AE8F))
+  (segment (start 82.6 64.4) (end 83 64) (width 0.1524) (layer TOP-L1) (net 195))
+  (segment (start 83.6 63.4) (end 84.025 62.975) (width 0.1524) (layer Signal-L5) (net 195))
+  (segment (start 84.025 62.975) (end 90.275 62.975) (width 0.1524) (layer Signal-L5) (net 195) (tstamp 51A5B32E))
+  (segment (start 91.225 64.45) (end 95.325 64.45) (width 0.1524) (layer Signal-L5) (net 196))
+  (segment (start 95.925 31.55) (end 96.175 31.3) (width 0.1524) (layer Signal-L5) (net 196) (tstamp 51A82FFC))
+  (segment (start 95.925 63.85) (end 95.925 31.55) (width 0.1524) (layer Signal-L5) (net 196) (tstamp 51A82FFB))
+  (segment (start 95.325 64.45) (end 95.925 63.85) (width 0.1524) (layer Signal-L5) (net 196) (tstamp 51A82FFA))
+  (segment (start 96.175 31.3) (end 96.425 31.05) (width 0.1524) (layer Signal-L5) (net 196) (tstamp 51A83005))
+  (segment (start 84.925 64.45) (end 84.625 64.75) (width 0.1524) (layer Signal-L5) (net 196) (tstamp 51A5B2C8))
+  (segment (start 91.225 64.45) (end 84.925 64.45) (width 0.1524) (layer Signal-L5) (net 196) (tstamp 51A82FF8))
+  (segment (start 96.675 30.8) (end 97.02542 30.8) (width 0.1524) (layer TOP-L1) (net 196))
+  (segment (start 82.6 66) (end 83 65.6) (width 0.1524) (layer TOP-L1) (net 196) (tstamp 51A5ADF5))
+  (via (at 83 65.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 196))
+  (segment (start 83.4 65.2) (end 83 65.6) (width 0.1524) (layer Signal-L5) (net 196) (tstamp 51A5ADF1))
+  (segment (start 84.175 65.2) (end 83.4 65.2) (width 0.1524) (layer Signal-L5) (net 196) (tstamp 51A5ADEF))
+  (segment (start 84.625 64.75) (end 84.175 65.2) (width 0.1524) (layer Signal-L5) (net 196) (tstamp 51A5B2CC))
+  (via (at 96.425 31.05) (size 0.4572) (layers TOP-L1 BOT-L6) (net 196))
+  (segment (start 96.675 30.8) (end 96.425 31.05) (width 0.1524) (layer TOP-L1) (net 196) (tstamp 51A5ADD4))
+  (segment (start 73.8 71.6) (end 74.2 72) (width 0.1524) (layer TOP-L1) (net 197))
+  (segment (start 76.58 77.83) (end 82.58 77.83) (width 0.1524) (layer BOT-L6) (net 197) (tstamp 51A5D93A))
+  (segment (start 74.6 75.85) (end 76.58 77.83) (width 0.1524) (layer BOT-L6) (net 197) (tstamp 51A5D933))
+  (segment (start 74.6 72.4) (end 74.6 75.85) (width 0.1524) (layer BOT-L6) (net 197) (tstamp 51A5D930))
+  (segment (start 74.2 72) (end 74.6 72.4) (width 0.1524) (layer BOT-L6) (net 197) (tstamp 51A5D92F))
+  (via (at 74.2 72) (size 0.4572) (layers TOP-L1 BOT-L6) (net 197))
+  (segment (start 100.45 39.25) (end 105.275 39.25) (width 0.1524) (layer Signal-L5) (net 198))
+  (segment (start 105.7458 39.7208) (end 105.7458 40.575) (width 0.1524) (layer Signal-L5) (net 198) (tstamp 51A9E12C))
+  (segment (start 105.275 39.25) (end 105.7458 39.7208) (width 0.1524) (layer Signal-L5) (net 198) (tstamp 51A9E12B))
+  (segment (start 96.7 60.8) (end 96.7 64.375) (width 0.1524) (layer Signal-L5) (net 198))
+  (segment (start 95.875 65.2) (end 92.275 65.2) (width 0.1524) (layer Signal-L5) (net 198) (tstamp 51A82FE0))
+  (segment (start 96.7 64.375) (end 95.875 65.2) (width 0.1524) (layer Signal-L5) (net 198) (tstamp 51A82FDE))
+  (segment (start 96.7 58.125) (end 96.7 60.8) (width 0.1524) (layer Signal-L5) (net 198))
+  (segment (start 92.275 65.2) (end 89.5 65.2) (width 0.1524) (layer Signal-L5) (net 198) (tstamp 51A82FE4))
+  (segment (start 98.725 39.25) (end 97.9 39.25) (width 0.1524) (layer Signal-L5) (net 198))
+  (segment (start 84.325 65.875) (end 84.125 66.075) (width 0.1524) (layer Signal-L5) (net 198) (tstamp 51A5ABA0))
+  (segment (start 84.6 65.875) (end 84.325 65.875) (width 0.1524) (layer Signal-L5) (net 198) (tstamp 51A5AB9E))
+  (segment (start 85.275 65.2) (end 84.6 65.875) (width 0.1524) (layer Signal-L5) (net 198) (tstamp 51A5AB9A))
+  (segment (start 89.5 65.2) (end 85.275 65.2) (width 0.1524) (layer Signal-L5) (net 198) (tstamp 51A5B2AD))
+  (segment (start 96.7 40.45) (end 96.7 58.125) (width 0.1524) (layer Signal-L5) (net 198) (tstamp 51A5AB94))
+  (segment (start 97.9 39.25) (end 96.7 40.45) (width 0.1524) (layer Signal-L5) (net 198) (tstamp 51A5AB92))
+  (segment (start 100.45 39.25) (end 98.725 39.25) (width 0.1524) (layer Signal-L5) (net 198) (tstamp 51A9E129))
+  (segment (start 83.4 66.8) (end 83.8 66.4) (width 0.1524) (layer TOP-L1) (net 198))
+  (segment (start 83.8 66.4) (end 84.125 66.075) (width 0.1524) (layer Signal-L5) (net 198) (tstamp 51A5A121))
+  (via (at 83.8 66.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 198))
+  (segment (start 98.875 39.575) (end 102.74428 39.575) (width 0.1524) (layer Signal-L5) (net 199))
+  (segment (start 102.74428 39.575) (end 103.74428 40.575) (width 0.1524) (layer Signal-L5) (net 199) (tstamp 51A9E132))
+  (segment (start 92.125 65.525) (end 96.075 65.525) (width 0.1524) (layer Signal-L5) (net 199))
+  (segment (start 97.025 64.575) (end 97.025 60.55) (width 0.1524) (layer Signal-L5) (net 199) (tstamp 51A82FD6))
+  (segment (start 96.075 65.525) (end 97.025 64.575) (width 0.1524) (layer Signal-L5) (net 199) (tstamp 51A82FD0))
+  (segment (start 89.675 65.525) (end 92.125 65.525) (width 0.1524) (layer Signal-L5) (net 199))
+  (segment (start 97.025 60.55) (end 97.025 58.1) (width 0.1524) (layer Signal-L5) (net 199) (tstamp 51A82FDA))
+  (segment (start 84.6 66.4) (end 85.475 65.525) (width 0.1524) (layer Signal-L5) (net 199))
+  (segment (start 98.075 39.575) (end 98.55 39.575) (width 0.1524) (layer Signal-L5) (net 199) (tstamp 51A5AB8B))
+  (segment (start 97.025 40.625) (end 98.075 39.575) (width 0.1524) (layer Signal-L5) (net 199) (tstamp 51A5AB88))
+  (segment (start 97.025 58.1) (end 97.025 40.625) (width 0.1524) (layer Signal-L5) (net 199) (tstamp 51A5B2A3))
+  (segment (start 85.475 65.525) (end 89.675 65.525) (width 0.1524) (layer Signal-L5) (net 199) (tstamp 51A5AB68))
+  (segment (start 98.875 39.575) (end 98.55 39.575) (width 0.1524) (layer Signal-L5) (net 199) (tstamp 51A9E130))
+  (segment (start 84.6 66.4) (end 84.2 66.8) (width 0.1524) (layer TOP-L1) (net 199) (tstamp 51A5A1B0))
+  (via (at 84.6 66.4) (size 0.4572) (layers TOP-L1 BOT-L6) (net 199))
+  (segment (start 91.975 64.775) (end 95.55 64.775) (width 0.1524) (layer Signal-L5) (net 200))
+  (segment (start 97.025 32.625) (end 97.025 32.65) (width 0.1524) (layer Signal-L5) (net 200) (tstamp 51A82FF2))
+  (segment (start 97.025 33.125) (end 97.025 32.625) (width 0.1524) (layer Signal-L5) (net 200) (tstamp 51A82FF0))
+  (segment (start 96.25 33.9) (end 97.025 33.125) (width 0.1524) (layer Signal-L5) (net 200) (tstamp 51A82FEC))
+  (segment (start 96.25 64.075) (end 96.25 33.9) (width 0.1524) (layer Signal-L5) (net 200) (tstamp 51A82FEA))
+  (segment (start 95.55 64.775) (end 96.25 64.075) (width 0.1524) (layer Signal-L5) (net 200) (tstamp 51A82FE8))
+  (segment (start 84.95 64.925) (end 85.1 64.775) (width 0.1524) (layer Signal-L5) (net 200))
+  (via (at 83.8 65.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 200))
+  (segment (start 83.8 65.6) (end 84.275 65.6) (width 0.1524) (layer Signal-L5) (net 200) (tstamp 51A5ADAA))
+  (segment (start 84.275 65.6) (end 84.95 64.925) (width 0.1524) (layer Signal-L5) (net 200) (tstamp 51A5ADAB))
+  (segment (start 97.025 32.65) (end 97.025 32.65) (width 0.1524) (layer Signal-L5) (net 200) (tstamp 51A82FF3))
+  (via (at 97.025 32.65) (size 0.4572) (layers TOP-L1 BOT-L6) (net 200))
+  (segment (start 97.025 32.65) (end 97.02542 32.64958) (width 0.1524) (layer TOP-L1) (net 200) (tstamp 51A5ADCF))
+  (segment (start 97.02542 32.64958) (end 97.02542 31.9) (width 0.1524) (layer TOP-L1) (net 200) (tstamp 51A5ADD0))
+  (segment (start 83.4 66) (end 83.8 65.6) (width 0.1524) (layer TOP-L1) (net 200))
+  (segment (start 85.1 64.775) (end 91.975 64.775) (width 0.1524) (layer Signal-L5) (net 200) (tstamp 51A5B2B1))
+  (segment (start 70.07458 81.975) (end 74.2 77.84958) (width 0.1524) (layer TOP-L1) (net 201))
+  (segment (start 74.2 77.84958) (end 74.2 76.55) (width 0.1524) (layer TOP-L1) (net 201) (tstamp 51A48474))
+  (segment (start 73 73.2) (end 73.4 73.6) (width 0.1524) (layer TOP-L1) (net 201))
+  (segment (start 74.2 73.725) (end 74.2 76.55) (width 0.1524) (layer TOP-L1) (net 201) (tstamp 51A20EDD))
+  (segment (start 74.2 76.55) (end 74.2 76.75) (width 0.1524) (layer TOP-L1) (net 201) (tstamp 51A4847A))
+  (segment (start 74.075 73.6) (end 74.2 73.725) (width 0.1524) (layer TOP-L1) (net 201) (tstamp 51A20ED9))
+  (segment (start 73.4 73.6) (end 74.075 73.6) (width 0.1524) (layer TOP-L1) (net 201) (tstamp 51A20ED2))
+  (segment (start 110.85 67.105) (end 111.93 67.105) (width 0.1524) (layer TOP-L1) (net 202))
+  (segment (start 107.775 67.825) (end 106.425 67.825) (width 0.1524) (layer TOP-L1) (net 202) (tstamp 51A82151))
+  (via (at 107.775 67.825) (size 0.4572) (layers TOP-L1 BOT-L6) (net 202))
+  (segment (start 108.275 67.325) (end 107.775 67.825) (width 0.1524) (layer Signal-L3) (net 202) (tstamp 51A82140))
+  (segment (start 110.525 67.325) (end 108.275 67.325) (width 0.1524) (layer Signal-L3) (net 202) (tstamp 51A8213D))
+  (segment (start 110.85 67.65) (end 110.525 67.325) (width 0.1524) (layer Signal-L3) (net 202) (tstamp 51A8213B))
+  (segment (start 111.875 67.65) (end 110.85 67.65) (width 0.1524) (layer Signal-L3) (net 202) (tstamp 51A82139))
+  (segment (start 112 67.525) (end 111.875 67.65) (width 0.1524) (layer Signal-L3) (net 202) (tstamp 51A82135))
+  (segment (start 112 67.175) (end 112 67.525) (width 0.1524) (layer Signal-L3) (net 202) (tstamp 51A82134))
+  (via (at 112 67.175) (size 0.4572) (layers TOP-L1 BOT-L6) (net 202))
+  (segment (start 111.93 67.105) (end 112 67.175) (width 0.1524) (layer TOP-L1) (net 202) (tstamp 51A82122))
+  (segment (start 107.46042 72.11) (end 107.46042 71.49042) (width 0.1524) (layer TOP-L1) (net 203))
+  (segment (start 107.145 71.175) (end 106.375 71.175) (width 0.1524) (layer TOP-L1) (net 203) (tstamp 51ADCDC7))
+  (segment (start 107.46042 71.49042) (end 107.145 71.175) (width 0.1524) (layer TOP-L1) (net 203) (tstamp 51ADCDC6))
+  (segment (start 107.025 71.175) (end 107.9 71.175) (width 0.1524) (layer TOP-L1) (net 203))
+  (segment (start 108.8 69.2) (end 109.5 68.5) (width 0.1524) (layer TOP-L1) (net 203) (tstamp 51A744CE))
+  (segment (start 108.8 70.275) (end 108.8 69.2) (width 0.1524) (layer TOP-L1) (net 203) (tstamp 51A744CB))
+  (segment (start 107.9 71.175) (end 108.8 70.275) (width 0.1524) (layer TOP-L1) (net 203) (tstamp 51A744C6))
+  (segment (start 106.375 71.175) (end 107.025 71.175) (width 0.1524) (layer TOP-L1) (net 203))
+  (segment (start 81.12698 41.38398) (end 80.1844 41.38398) (width 0.2) (layer TOP-L1) (net 204))
+  (segment (start 80.1844 41.38398) (end 80.05 41.24958) (width 0.2) (layer TOP-L1) (net 204) (tstamp 51A823EB))
+  (segment (start 87.875 39.225) (end 88.3 38.8) (width 0.2) (layer TOP-L1) (net 205))
+  (segment (start 88.3 38.8) (end 88.3 37.587) (width 0.2) (layer TOP-L1) (net 205) (tstamp 51A5D0A8))
+  (segment (start 86.7734 40.73374) (end 86.7734 40.3266) (width 0.2) (layer TOP-L1) (net 205))
+  (segment (start 86.7734 40.3266) (end 87.875 39.225) (width 0.2) (layer TOP-L1) (net 205) (tstamp 51A5D097))
+  (segment (start 97.92458 25.3) (end 99.6111 25.3) (width 0.1524) (layer TOP-L1) (net 206))
+  (segment (start 99.6111 25.3) (end 99.62082 25.30972) (width 0.1524) (layer TOP-L1) (net 206) (tstamp 5188932B))
+  (segment (start 101.70042 25.3) (end 100.975 25.3) (width 0.1524) (layer BOT-L6) (net 206))
+  (segment (start 100.96528 25.30972) (end 99.62082 25.30972) (width 0.1524) (layer TOP-L1) (net 206) (tstamp 518891BA))
+  (segment (start 100.975 25.3) (end 100.96528 25.30972) (width 0.1524) (layer TOP-L1) (net 206) (tstamp 518891B9))
+  (via (at 100.975 25.3) (size 0.4572) (layers TOP-L1 BOT-L6) (net 206))
+  (segment (start 99.62082 31.91118) (end 97.93576 31.91118) (width 0.1524) (layer TOP-L1) (net 207))
+  (segment (start 97.93576 31.91118) (end 97.92458 31.9) (width 0.1524) (layer TOP-L1) (net 207) (tstamp 5188931F))
+  (segment (start 101.80042 31.9) (end 101.025 31.9) (width 0.1524) (layer BOT-L6) (net 207))
+  (segment (start 101.01382 31.91118) (end 99.62082 31.91118) (width 0.1524) (layer TOP-L1) (net 207) (tstamp 518892F6))
+  (segment (start 101.025 31.9) (end 101.01382 31.91118) (width 0.1524) (layer TOP-L1) (net 207) (tstamp 518892F5))
+  (via (at 101.025 31.9) (size 0.4572) (layers TOP-L1 BOT-L6) (net 207))
+  (segment (start 99.62082 30.81136) (end 97.93594 30.81136) (width 0.1524) (layer TOP-L1) (net 208))
+  (segment (start 97.93594 30.81136) (end 97.92458 30.8) (width 0.1524) (layer TOP-L1) (net 208) (tstamp 51889322))
+  (segment (start 101.80042 30.825) (end 101.025 30.825) (width 0.1524) (layer BOT-L6) (net 208))
+  (segment (start 101.01136 30.81136) (end 99.62082 30.81136) (width 0.1524) (layer TOP-L1) (net 208) (tstamp 518892F0))
+  (segment (start 101.025 30.825) (end 101.01136 30.81136) (width 0.1524) (layer TOP-L1) (net 208) (tstamp 518892EF))
+  (via (at 101.025 30.825) (size 0.4572) (layers TOP-L1 BOT-L6) (net 208))
+  (segment (start 97.92458 28.625) (end 99.60754 28.625) (width 0.3) (layer TOP-L1) (net 209))
+  (segment (start 99.60754 28.625) (end 99.62082 28.61172) (width 0.1524) (layer TOP-L1) (net 209) (tstamp 51889325))
+  (segment (start 101.80042 28.625) (end 101.025 28.625) (width 0.1524) (layer BOT-L6) (net 209))
+  (segment (start 101.01172 28.61172) (end 99.62082 28.61172) (width 0.1524) (layer TOP-L1) (net 209) (tstamp 5188921E))
+  (segment (start 101.025 28.625) (end 101.01172 28.61172) (width 0.1524) (layer TOP-L1) (net 209) (tstamp 5188921D))
+  (via (at 101.025 28.625) (size 0.4572) (layers TOP-L1 BOT-L6) (net 209))
+  (segment (start 97.92458 26.4) (end 99.61128 26.4) (width 0.1524) (layer TOP-L1) (net 210))
+  (segment (start 99.61128 26.4) (end 99.62082 26.40954) (width 0.1524) (layer TOP-L1) (net 210) (tstamp 51889328))
+  (segment (start 101.72542 26.425) (end 100.925 26.425) (width 0.1524) (layer BOT-L6) (net 210))
+  (segment (start 100.90954 26.40954) (end 99.62082 26.40954) (width 0.1524) (layer TOP-L1) (net 210) (tstamp 51889218))
+  (segment (start 100.925 26.425) (end 100.90954 26.40954) (width 0.1524) (layer TOP-L1) (net 210) (tstamp 51889217))
+  (via (at 100.925 26.425) (size 0.4572) (layers TOP-L1 BOT-L6) (net 210))
+  (segment (start 90.62542 56.45) (end 89.74958 56.45) (width 0.1524) (layer TOP-L1) (net 211))
+  (segment (start 89.74958 56.45) (end 89.74958 57.38042) (width 0.1524) (layer TOP-L1) (net 211))
+  (segment (start 89.74958 57.38042) (end 88.775 58.355) (width 0.1524) (layer TOP-L1) (net 211) (tstamp 51A832CA))
+  (segment (start 97.92458 24.2) (end 99.61092 24.2) (width 0.1524) (layer TOP-L1) (net 212))
+  (segment (start 99.61092 24.2) (end 99.62082 24.2099) (width 0.1524) (layer TOP-L1) (net 212) (tstamp 5188932E))
+  (segment (start 101.70042 24.2) (end 100.925 24.2) (width 0.1524) (layer BOT-L6) (net 212))
+  (segment (start 100.9151 24.2099) (end 99.62082 24.2099) (width 0.1524) (layer TOP-L1) (net 212) (tstamp 518892CD))
+  (segment (start 100.925 24.2) (end 100.9151 24.2099) (width 0.1524) (layer TOP-L1) (net 212) (tstamp 518892CC))
+  (via (at 100.925 24.2) (size 0.4572) (layers TOP-L1 BOT-L6) (net 212))
+  (segment (start 92.6592 81.7626) (end 92.6592 80.7974) (width 0.1524) (layer TOP-L1) (net 213))
+  (segment (start 99.48076 87.11096) (end 99.48076 88.7518) (width 0.1524) (layer BOT-L6) (net 213) (tstamp 51A5DAA0))
+  (segment (start 98.3488 85.979) (end 99.48076 87.11096) (width 0.1524) (layer BOT-L6) (net 213) (tstamp 51A5DA9E))
+  (segment (start 98.3488 85.0138) (end 98.3488 85.979) (width 0.1524) (layer BOT-L6) (net 213) (tstamp 51A5DA9C))
+  (segment (start 97.4852 84.1502) (end 98.3488 85.0138) (width 0.1524) (layer BOT-L6) (net 213) (tstamp 51A5DA9A))
+  (segment (start 97.4852 82.0166) (end 97.4852 84.1502) (width 0.1524) (layer BOT-L6) (net 213) (tstamp 51A5DA97))
+  (segment (start 95.8088 80.3402) (end 97.4852 82.0166) (width 0.1524) (layer BOT-L6) (net 213) (tstamp 51A5DA96))
+  (via (at 95.8088 80.3402) (size 0.4572) (layers TOP-L1 BOT-L6) (net 213))
+  (segment (start 93.1164 80.3402) (end 95.8088 80.3402) (width 0.1524) (layer TOP-L1) (net 213) (tstamp 51A5DA82))
+  (segment (start 92.6592 80.7974) (end 93.1164 80.3402) (width 0.1524) (layer TOP-L1) (net 213) (tstamp 51A5DA7C))
+  (segment (start 88.8492 81.7626) (end 88.8492 80.0354) (width 0.1524) (layer TOP-L1) (net 214))
+  (segment (start 97.18968 87.05508) (end 97.18968 88.75434) (width 0.1524) (layer BOT-L6) (net 214) (tstamp 51A5DA75))
+  (segment (start 96.0882 85.9536) (end 97.18968 87.05508) (width 0.1524) (layer BOT-L6) (net 214) (tstamp 51A5DA73))
+  (segment (start 96.0882 81.8388) (end 96.0882 85.9536) (width 0.1524) (layer BOT-L6) (net 214) (tstamp 51A5DA6F))
+  (segment (start 93.9546 79.7052) (end 96.0882 81.8388) (width 0.1524) (layer BOT-L6) (net 214) (tstamp 51A5DA6C))
+  (segment (start 89.1794 79.7052) (end 93.9546 79.7052) (width 0.1524) (layer BOT-L6) (net 214) (tstamp 51A5DA69))
+  (segment (start 89.154 79.7306) (end 89.1794 79.7052) (width 0.1524) (layer BOT-L6) (net 214) (tstamp 51A5DA68))
+  (via (at 89.154 79.7306) (size 0.4572) (layers TOP-L1 BOT-L6) (net 214))
+  (segment (start 88.8492 80.0354) (end 89.154 79.7306) (width 0.1524) (layer TOP-L1) (net 214) (tstamp 51A5DA65))
+  (segment (start 67.3 52.60042) (end 66.34958 52.60042) (width 0.3) (layer TOP-L1) (net 215))
+  (segment (start 65.825 53.125) (end 65.825 53.65) (width 0.3) (layer TOP-L1) (net 215) (tstamp 51A6F93D))
+  (segment (start 66.34958 52.60042) (end 65.825 53.125) (width 0.3) (layer TOP-L1) (net 215) (tstamp 51A6F93A))
+  (segment (start 65.825 53.65) (end 64.675 53.65) (width 0.1524) (layer TOP-L1) (net 215))
+  (segment (start 62.97542 51.95042) (end 60.8 51.95042) (width 0.1524) (layer TOP-L1) (net 215) (tstamp 51A598D7))
+  (segment (start 64.675 53.65) (end 62.97542 51.95042) (width 0.1524) (layer TOP-L1) (net 215) (tstamp 51A598D5))
+  (segment (start 65.825 53.65) (end 66.425 53.65) (width 0.1524) (layer TOP-L1) (net 215))
+  (segment (start 69.4 60.8) (end 69 61.2) (width 0.1524) (layer TOP-L1) (net 215) (tstamp 51A59867))
+  (segment (start 69.4 56.625) (end 69.4 60.8) (width 0.1524) (layer TOP-L1) (net 215) (tstamp 51A59865))
+  (segment (start 66.425 53.65) (end 69.4 56.625) (width 0.1524) (layer TOP-L1) (net 215) (tstamp 51A59864))
+  (segment (start 92.74958 62.18) (end 92.74958 60.84958) (width 0.2) (layer TOP-L1) (net 216))
+  (segment (start 92.74958 60.84958) (end 92.33 60.43) (width 0.2) (layer TOP-L1) (net 216) (tstamp 51A83414))
+  (segment (start 89.8 37.587) (end 89.8 40.4) (width 0.2) (layer TOP-L1) (net 217))
+  (segment (start 88.81602 41.38398) (end 87.92656 41.38398) (width 0.2) (layer TOP-L1) (net 217) (tstamp 51A5D0CA))
+  (segment (start 89.8 40.4) (end 88.81602 41.38398) (width 0.2) (layer TOP-L1) (net 217) (tstamp 51A5D0C8))
+  (segment (start 106.375 69.175) (end 107.075 69.175) (width 0.1524) (layer TOP-L1) (net 218))
+  (segment (start 108.675 67.3) (end 109.5 67.3) (width 0.1524) (layer TOP-L1) (net 218) (tstamp 51A5AF24))
+  (segment (start 108.225 67.75) (end 108.675 67.3) (width 0.1524) (layer TOP-L1) (net 218) (tstamp 51A5AF23))
+  (segment (start 108.225 68.025) (end 108.225 67.75) (width 0.1524) (layer TOP-L1) (net 218) (tstamp 51A5AF20))
+  (segment (start 107.075 69.175) (end 108.225 68.025) (width 0.1524) (layer TOP-L1) (net 218) (tstamp 51A5AF18))
+  (segment (start 106.375 69.675) (end 106.775 69.675) (width 0.1524) (layer TOP-L1) (net 219))
+  (segment (start 106.775 69.675) (end 107.05 69.675) (width 0.1524) (layer TOP-L1) (net 219) (tstamp 51A744D8))
+  (segment (start 108.75 67.7) (end 109.5 67.7) (width 0.1524) (layer TOP-L1) (net 219) (tstamp 51A5AF2D))
+  (segment (start 108.55 67.9) (end 108.75 67.7) (width 0.1524) (layer TOP-L1) (net 219) (tstamp 51A5AF2C))
+  (segment (start 108.55 68.175) (end 108.55 67.9) (width 0.1524) (layer TOP-L1) (net 219) (tstamp 51A5AF29))
+  (segment (start 107.05 69.675) (end 108.55 68.175) (width 0.1524) (layer TOP-L1) (net 219) (tstamp 51A5AF27))
+  (segment (start 106.375 70.675) (end 107.535 70.675) (width 0.1524) (layer TOP-L1) (net 220))
+  (segment (start 113.39 68.54) (end 113.39 67.97) (width 0.1524) (layer Signal-L3) (net 220) (tstamp 51ADCE1F))
+  (segment (start 113.14 68.79) (end 113.39 68.54) (width 0.1524) (layer Signal-L3) (net 220) (tstamp 51ADCE1D))
+  (segment (start 109.42 68.79) (end 113.14 68.79) (width 0.1524) (layer Signal-L3) (net 220) (tstamp 51ADCE19))
+  (segment (start 108.16 70.05) (end 109.42 68.79) (width 0.1524) (layer Signal-L3) (net 220) (tstamp 51ADCE18))
+  (via (at 108.16 70.05) (size 0.4572) (layers TOP-L1 BOT-L6) (net 220))
+  (segment (start 107.535 70.675) (end 108.16 70.05) (width 0.1524) (layer TOP-L1) (net 220) (tstamp 51ADCE13))
+  (segment (start 113 67.65) (end 113.07 67.65) (width 0.1524) (layer TOP-L1) (net 220))
+  (via (at 113.39 67.97) (size 0.4572) (layers TOP-L1 BOT-L6) (net 220))
+  (segment (start 113.07 67.65) (end 113.39 67.97) (width 0.1524) (layer TOP-L1) (net 220) (tstamp 51ADCDFA))
+  (segment (start 110.85 67.905) (end 111.77 67.905) (width 0.1524) (layer TOP-L1) (net 220))
+  (segment (start 111.77 67.905) (end 112.025 67.65) (width 0.1524) (layer TOP-L1) (net 220) (tstamp 51A82185))
+  (segment (start 112.025 67.65) (end 113 67.65) (width 0.1524) (layer TOP-L1) (net 220) (tstamp 51A82189))
+  (segment (start 55.10042 82) (end 54.4 82) (width 0.1524) (layer BOT-L6) (net 221))
+  (via (at 54.4 82) (size 0.4572) (layers TOP-L1 BOT-L6) (net 221))
+  (segment (start 54.4 82) (end 54 82.4) (width 0.1524) (layer TOP-L1) (net 221))
+  (segment (start 88.85042 56.45) (end 88.05 56.45) (width 0.1524) (layer TOP-L1) (net 222))
+  (segment (start 80.1423 46.3827) (end 81.12698 46.3827) (width 0.1524) (layer TOP-L1) (net 222) (tstamp 51A832C5))
+  (segment (start 80 46.525) (end 80.1423 46.3827) (width 0.1524) (layer TOP-L1) (net 222) (tstamp 51A832C4))
+  (via (at 80 46.525) (size 0.4572) (layers TOP-L1 BOT-L6) (net 222))
+  (segment (start 80 47.175) (end 80 46.525) (width 0.1524) (layer Signal-L5) (net 222) (tstamp 51A832C1))
+  (segment (start 81.4 48.575) (end 80 47.175) (width 0.1524) (layer Signal-L5) (net 222) (tstamp 51A832BF))
+  (segment (start 81.4 49.8) (end 81.4 48.575) (width 0.1524) (layer Signal-L5) (net 222) (tstamp 51A832B6))
+  (segment (start 88.05 56.45) (end 81.4 49.8) (width 0.1524) (layer Signal-L5) (net 222) (tstamp 51A832B5))
+  (via (at 88.05 56.45) (size 0.4572) (layers TOP-L1 BOT-L6) (net 222))
+  (segment (start 60.64958 26.075) (end 60.64958 27) (width 0.3) (layer TOP-L1) (net 223))
+  (segment (start 60.64958 27) (end 60.7 27.05042) (width 0.3) (layer TOP-L1) (net 223) (tstamp 51A83BCD))
+  (segment (start 60.7 27.05042) (end 59.675 27.05042) (width 0.3) (layer TOP-L1) (net 223))
+  (segment (start 61.88 26.0525) (end 60.67208 26.0525) (width 0.1524) (layer TOP-L1) (net 223))
+  (segment (start 60.67208 26.0525) (end 60.64958 26.075) (width 0.1524) (layer TOP-L1) (net 223) (tstamp 5188CE8D))
+  (segment (start 41.34958 87.35) (end 42.29266 87.35) (width 0.3) (layer TOP-L1) (net 224))
+  (segment (start 42.29266 87.35) (end 42.30966 87.367) (width 0.3) (layer TOP-L1) (net 224) (tstamp 51A47E13))
+  (segment (start 41.62542 88.725) (end 41.62542 89.625) (width 0.3) (layer TOP-L1) (net 224))
+  (segment (start 41.34958 87.35) (end 41.34958 87.79958) (width 0.3) (layer TOP-L1) (net 224))
+  (segment (start 41.62542 88.07542) (end 41.62542 88.725) (width 0.3) (layer TOP-L1) (net 224) (tstamp 51A47DA5))
+  (segment (start 41.34958 87.79958) (end 41.62542 88.07542) (width 0.3) (layer TOP-L1) (net 224) (tstamp 51A47DA4))
+  (segment (start 87.92656 43.88588) (end 90.21412 43.88588) (width 0.2) (layer TOP-L1) (net 225))
+  (segment (start 92.5 40.863) (end 93.413 39.95) (width 0.2) (layer TOP-L1) (net 225) (tstamp 51A82569))
+  (segment (start 92.5 41.6) (end 92.5 40.863) (width 0.2) (layer TOP-L1) (net 225) (tstamp 51A82567))
+  (segment (start 90.21412 43.88588) (end 92.5 41.6) (width 0.2) (layer TOP-L1) (net 225) (tstamp 51A82565))
+  (segment (start 91 36.89958) (end 91 38.15) (width 0.2) (layer TOP-L1) (net 226))
+  (segment (start 88.86564 41.88436) (end 87.92656 41.88436) (width 0.2) (layer TOP-L1) (net 226) (tstamp 51A5D110))
+  (segment (start 90.35 40.4) (end 88.86564 41.88436) (width 0.2) (layer TOP-L1) (net 226) (tstamp 51A5D10E))
+  (segment (start 90.35 38.8) (end 90.35 40.4) (width 0.2) (layer TOP-L1) (net 226) (tstamp 51A5D10D))
+  (segment (start 91 38.15) (end 90.35 38.8) (width 0.2) (layer TOP-L1) (net 226) (tstamp 51A5D10C))
+  (segment (start 87.1 36.89958) (end 87.1 39.375) (width 0.2) (layer TOP-L1) (net 227))
+  (segment (start 86.27556 40.19944) (end 86.27556 40.73374) (width 0.2) (layer TOP-L1) (net 227) (tstamp 51A5D094))
+  (segment (start 87.1 39.375) (end 86.27556 40.19944) (width 0.2) (layer TOP-L1) (net 227) (tstamp 51A5D092))
+  (segment (start 44.84966 85.462) (end 46.359 85.462) (width 0.5) (layer TOP-L1) (net 228))
+  (segment (start 46.359 85.462) (end 47.022 86.125) (width 0.5) (layer TOP-L1) (net 228) (tstamp 51A47DE2))
+  (segment (start 64.42 24.1475) (end 67.6005 24.1475) (width 0.3) (layer TOP-L1) (net 229))
+  (segment (start 67.6005 24.1475) (end 67.625 24.172) (width 0.3) (layer TOP-L1) (net 229) (tstamp 51A72A4D))
+  (segment (start 51.69958 62.8) (end 52.4 62.8) (width 0.1524) (layer BOT-L6) (net 230))
+  (via (at 52.4 62.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 230))
+  (segment (start 52.4 62.8) (end 52 62.4) (width 0.1524) (layer TOP-L1) (net 230))
+  (segment (start 97.05042 63.5) (end 97.05042 65.24042) (width 0.1524) (layer TOP-L1) (net 231))
+  (segment (start 97.05042 65.24042) (end 97.06 65.25) (width 0.1524) (layer TOP-L1) (net 231) (tstamp 51A5AB3C))
+  (segment (start 86.96 66.8) (end 87.56 66.8) (width 0.1524) (layer TOP-L1) (net 231))
+  (segment (start 97.06 65.25) (end 97.06 67.75) (width 0.1524) (layer TOP-L1) (net 231))
+  (segment (start 85.8 66.8) (end 86.96 66.8) (width 0.1524) (layer TOP-L1) (net 231))
+  (segment (start 95.44 63.63) (end 97.06 65.25) (width 0.1524) (layer TOP-L1) (net 231) (tstamp 51A59E63))
+  (segment (start 89.04 63.63) (end 95.44 63.63) (width 0.1524) (layer TOP-L1) (net 231) (tstamp 51A59E5E))
+  (segment (start 88.78 63.89) (end 89.04 63.63) (width 0.1524) (layer TOP-L1) (net 231) (tstamp 51A59E5D))
+  (segment (start 88.78 65.58) (end 88.78 63.89) (width 0.1524) (layer TOP-L1) (net 231) (tstamp 51A59E5B))
+  (segment (start 87.56 66.8) (end 88.78 65.58) (width 0.1524) (layer TOP-L1) (net 231) (tstamp 51A59E54))
+  (segment (start 88.76 67.30042) (end 88.02458 67.30042) (width 0.1524) (layer TOP-L1) (net 232))
+  (via (at 87.725 67.6) (size 0.4572) (layers TOP-L1 BOT-L6) (net 232))
+  (segment (start 88.02458 67.30042) (end 87.725 67.6) (width 0.1524) (layer TOP-L1) (net 232) (tstamp 51A73FE5))
+  (segment (start 90.76 65.25) (end 90.76 67.75) (width 0.1524) (layer TOP-L1) (net 232))
+  (segment (start 88.76 67.30042) (end 90.31042 67.30042) (width 0.1524) (layer TOP-L1) (net 232))
+  (segment (start 90.31042 67.30042) (end 90.76 67.75) (width 0.1524) (layer TOP-L1) (net 232) (tstamp 51A59E51))
+  (segment (start 85 66.8) (end 85.4 67.2) (width 0.1524) (layer TOP-L1) (net 232))
+  (segment (start 88.65958 67.2) (end 88.76 67.30042) (width 0.1524) (layer TOP-L1) (net 232) (tstamp 51A59E4E))
+  (segment (start 85.4 67.2) (end 88.65958 67.2) (width 0.1524) (layer TOP-L1) (net 232) (tstamp 51A59E4D))
+  (segment (start 106.375 62.575) (end 107.075 62.575) (width 0.1524) (layer TOP-L1) (net 233))
+  (segment (start 108.575 64.9) (end 109.5 64.9) (width 0.1524) (layer TOP-L1) (net 233) (tstamp 51A5AF5B))
+  (segment (start 108.15 64.475) (end 108.575 64.9) (width 0.1524) (layer TOP-L1) (net 233) (tstamp 51A5AF5A))
+  (segment (start 108.15 63.65) (end 108.15 64.475) (width 0.1524) (layer TOP-L1) (net 233) (tstamp 51A5AF58))
+  (segment (start 107.075 62.575) (end 108.15 63.65) (width 0.1524) (layer TOP-L1) (net 233) (tstamp 51A5AF55))
+  (segment (start 60.8 52.84958) (end 60.8 53.60042) (width 0.1524) (layer TOP-L1) (net 234))
+  (segment (start 60.8 53.60042) (end 60.825 53.62542) (width 0.1524) (layer TOP-L1) (net 234) (tstamp 51A598E0))
+  (segment (start 60.825 53.62542) (end 62.39958 53.62542) (width 0.1524) (layer TOP-L1) (net 234))
+  (segment (start 62.39958 53.62542) (end 62.425 53.6) (width 0.1524) (layer TOP-L1) (net 234) (tstamp 51A598DC))
+  (segment (start 67.425 55.375) (end 67.1 55.05) (width 0.1524) (layer TOP-L1) (net 234))
+  (segment (start 68.6 60.8) (end 68.6 56.55) (width 0.1524) (layer TOP-L1) (net 234) (tstamp 51A5982C))
+  (segment (start 68.6 56.55) (end 67.425 55.375) (width 0.1524) (layer TOP-L1) (net 234) (tstamp 51A59835))
+  (segment (start 68.2 61.2) (end 68.6 60.8) (width 0.1524) (layer TOP-L1) (net 234))
+  (segment (start 63.875 55.05) (end 62.425 53.6) (width 0.1524) (layer TOP-L1) (net 234) (tstamp 51A59852))
+  (segment (start 67.1 55.05) (end 63.875 55.05) (width 0.1524) (layer TOP-L1) (net 234) (tstamp 51A59851))
+  (segment (start 106.375 63.075) (end 107.05 63.075) (width 0.1524) (layer TOP-L1) (net 235))
+  (segment (start 108.45 65.3) (end 109.5 65.3) (width 0.1524) (layer TOP-L1) (net 235) (tstamp 51A5AF61))
+  (segment (start 107.825 64.675) (end 108.45 65.3) (width 0.1524) (layer TOP-L1) (net 235) (tstamp 51A5AF60))
+  (segment (start 107.825 63.85) (end 107.825 64.675) (width 0.1524) (layer TOP-L1) (net 235) (tstamp 51A5AF5F))
+  (segment (start 107.05 63.075) (end 107.825 63.85) (width 0.1524) (layer TOP-L1) (net 235) (tstamp 51A5AF5E))
+  (segment (start 110.425 64.6) (end 107.925 64.6) (width 0.1524) (layer Signal-L3) (net 236))
+  (segment (start 107.225 64.1) (end 107.275 64.075) (width 0.1524) (layer Signal-L3) (net 236) (tstamp 51A73207))
+  (segment (start 107.425 64.1) (end 107.225 64.1) (width 0.1524) (layer Signal-L3) (net 236) (tstamp 51A73205))
+  (segment (start 107.925 64.6) (end 107.425 64.1) (width 0.1524) (layer Signal-L3) (net 236) (tstamp 51A73204))
+  (segment (start 111.125 64.6) (end 111.675 64.6) (width 0.1524) (layer Signal-L3) (net 236))
+  (segment (start 111.85 64.775) (end 111.85 65.225) (width 0.1524) (layer TOP-L1) (net 236) (tstamp 51A731E2))
+  (via (at 111.85 64.775) (size 0.4572) (layers TOP-L1 BOT-L6) (net 236))
+  (segment (start 111.675 64.6) (end 111.85 64.775) (width 0.1524) (layer Signal-L3) (net 236) (tstamp 51A731E0))
+  (segment (start 110.85 65.505) (end 111.67 65.505) (width 0.1524) (layer TOP-L1) (net 236))
+  (segment (start 107.275 64.075) (end 106.375 64.075) (width 0.1524) (layer TOP-L1) (net 236) (tstamp 51A731B3))
+  (via (at 107.275 64.075) (size 0.4572) (layers TOP-L1 BOT-L6) (net 236))
+  (segment (start 111.125 64.6) (end 110.425 64.6) (width 0.1524) (layer Signal-L3) (net 236) (tstamp 51A731DE))
+  (segment (start 111.85 65.325) (end 111.85 65.225) (width 0.1524) (layer TOP-L1) (net 236) (tstamp 51A73193))
+  (segment (start 111.67 65.505) (end 111.85 65.325) (width 0.1524) (layer TOP-L1) (net 236) (tstamp 51A73188))
+  (segment (start 110.85 65.905) (end 111.795 65.905) (width 0.1524) (layer TOP-L1) (net 237))
+  (segment (start 107.275 64.575) (end 106.375 64.575) (width 0.1524) (layer TOP-L1) (net 237) (tstamp 51A731FF))
+  (segment (start 107.325 64.625) (end 107.275 64.575) (width 0.1524) (layer TOP-L1) (net 237) (tstamp 51A731FE))
+  (via (at 107.325 64.625) (size 0.4572) (layers TOP-L1 BOT-L6) (net 237))
+  (segment (start 107.625 64.925) (end 107.325 64.625) (width 0.1524) (layer Signal-L3) (net 237) (tstamp 51A731F5))
+  (segment (start 111.225 64.925) (end 107.625 64.925) (width 0.1524) (layer Signal-L3) (net 237) (tstamp 51A731F3))
+  (segment (start 111.5 65.2) (end 111.225 64.925) (width 0.1524) (layer Signal-L3) (net 237) (tstamp 51A731F2))
+  (segment (start 111.725 65.2) (end 111.5 65.2) (width 0.1524) (layer Signal-L3) (net 237) (tstamp 51A731F0))
+  (segment (start 112.05 65.525) (end 111.725 65.2) (width 0.1524) (layer Signal-L3) (net 237) (tstamp 51A731EF))
+  (segment (start 112.05 65.65) (end 112.05 65.525) (width 0.1524) (layer Signal-L3) (net 237) (tstamp 51A731EE))
+  (segment (start 111.8 65.9) (end 112.05 65.65) (width 0.1524) (layer Signal-L3) (net 237) (tstamp 51A731ED))
+  (via (at 111.8 65.9) (size 0.4572) (layers TOP-L1 BOT-L6) (net 237))
+  (segment (start 111.795 65.905) (end 111.8 65.9) (width 0.1524) (layer TOP-L1) (net 237) (tstamp 51A731E6))
+  (segment (start 106.425 65.825) (end 107.95 65.825) (width 0.1524) (layer TOP-L1) (net 238))
+  (segment (start 108.225 66.1) (end 109.5 66.1) (width 0.1524) (layer TOP-L1) (net 238) (tstamp 51A5AF46))
+  (segment (start 107.95 65.825) (end 108.225 66.1) (width 0.1524) (layer TOP-L1) (net 238) (tstamp 51A5AF45))
+  (segment (start 106.425 66.325) (end 107.8 66.325) (width 0.1524) (layer TOP-L1) (net 239))
+  (segment (start 107.975 66.5) (end 109.495 66.5) (width 0.1524) (layer TOP-L1) (net 239) (tstamp 51A5AF4A))
+  (segment (start 107.8 66.325) (end 107.975 66.5) (width 0.1524) (layer TOP-L1) (net 239) (tstamp 51A5AF49))
+  (segment (start 67.60082 48.49956) (end 67.60082 49.35082) (width 0.1524) (layer TOP-L1) (net 240))
+  (segment (start 67.9 49.65) (end 68.27542 49.65) (width 0.1524) (layer TOP-L1) (net 240) (tstamp 51A6FBE7))
+  (segment (start 67.60082 49.35082) (end 67.9 49.65) (width 0.1524) (layer TOP-L1) (net 240) (tstamp 51A6FBE6))
+  (segment (start 68.94956 47.15082) (end 68.94956 48.17414) (width 0.1524) (layer TOP-L1) (net 241))
+  (segment (start 68.94956 48.17414) (end 69.50042 48.725) (width 0.1524) (layer TOP-L1) (net 241) (tstamp 51A6FBD5))
+  (segment (start 68.40042 50.525) (end 67.225 50.525) (width 0.1524) (layer TOP-L1) (net 242))
+  (segment (start 66.60006 49.90006) (end 66.60006 48.49956) (width 0.1524) (layer TOP-L1) (net 242) (tstamp 51A6F95E))
+  (segment (start 67.225 50.525) (end 66.60006 49.90006) (width 0.1524) (layer TOP-L1) (net 242) (tstamp 51A6F95A))
+  (segment (start 58.325 51.4) (end 58.325 52.92458) (width 0.1524) (layer TOP-L1) (net 243))
+  (segment (start 58.325 52.92458) (end 58.29958 52.95) (width 0.1524) (layer TOP-L1) (net 243) (tstamp 51A6F197))
+  (segment (start 60.75044 46.65044) (end 59.92456 46.65044) (width 0.1524) (layer TOP-L1) (net 243))
+  (segment (start 59.1 51.4) (end 58.325 51.4) (width 0.1524) (layer TOP-L1) (net 243) (tstamp 51A6F10A))
+  (segment (start 59.575 50.925) (end 59.1 51.4) (width 0.1524) (layer TOP-L1) (net 243) (tstamp 51A6F109))
+  (segment (start 59.575 47) (end 59.575 50.925) (width 0.1524) (layer TOP-L1) (net 243) (tstamp 51A6F108))
+  (segment (start 59.92456 46.65044) (end 59.575 47) (width 0.1524) (layer TOP-L1) (net 243) (tstamp 51A6F102))
+  (segment (start 66.732 36.74) (end 67.45 36.74) (width 0.3) (layer TOP-L1) (net 244))
+  (segment (start 65.6 46.52) (end 65.6 47.42) (width 0.3) (layer Signal-L5) (net 244) (tstamp 51A83713))
+  (segment (start 66.76 45.36) (end 65.6 46.52) (width 0.3) (layer Signal-L5) (net 244) (tstamp 51A83712))
+  (segment (start 66.76 38.26) (end 66.76 45.36) (width 0.3) (layer Signal-L5) (net 244) (tstamp 51A83711))
+  (segment (start 67.68 37.34) (end 66.76 38.26) (width 0.3) (layer Signal-L5) (net 244) (tstamp 51A83710))
+  (segment (start 67.68 36.97) (end 67.68 37.34) (width 0.3) (layer Signal-L5) (net 244) (tstamp 51A8370F))
+  (segment (start 67.64 36.93) (end 67.68 36.97) (width 0.3) (layer Signal-L5) (net 244) (tstamp 51A8370E))
+  (via (at 67.64 36.93) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 244))
+  (segment (start 67.45 36.74) (end 67.64 36.93) (width 0.3) (layer TOP-L1) (net 244) (tstamp 51A8370C))
+  (segment (start 65.5993 48.49956) (end 65.5993 47.4207) (width 0.1524) (layer TOP-L1) (net 244))
+  (via (at 65.6 47.42) (size 0.4572) (layers TOP-L1 BOT-L6) (net 244))
+  (segment (start 65.5993 47.4207) (end 65.6 47.42) (width 0.1524) (layer TOP-L1) (net 244) (tstamp 51A83573))
+  (segment (start 110.85 66.705) (end 111.57 66.705) (width 0.1524) (layer TOP-L1) (net 245))
+  (segment (start 107.275 67.325) (end 106.425 67.325) (width 0.1524) (layer TOP-L1) (net 245) (tstamp 51A8211D))
+  (via (at 107.275 67.325) (size 0.4572) (layers TOP-L1 BOT-L6) (net 245))
+  (segment (start 107.675 66.925) (end 107.275 67.325) (width 0.1524) (layer Signal-L3) (net 245) (tstamp 51A8211A))
+  (segment (start 110.3 66.925) (end 107.675 66.925) (width 0.1524) (layer Signal-L3) (net 245) (tstamp 51A82116))
+  (segment (start 111.025 66.2) (end 110.3 66.925) (width 0.1524) (layer Signal-L3) (net 245) (tstamp 51A8210D))
+  (segment (start 111.425 66.2) (end 111.025 66.2) (width 0.1524) (layer Signal-L3) (net 245) (tstamp 51A82108))
+  (segment (start 111.65 66.425) (end 111.425 66.2) (width 0.1524) (layer Signal-L3) (net 245) (tstamp 51A82105))
+  (segment (start 111.65 66.625) (end 111.65 66.425) (width 0.1524) (layer Signal-L3) (net 245) (tstamp 51A82104))
+  (via (at 111.65 66.625) (size 0.4572) (layers TOP-L1 BOT-L6) (net 245))
+  (segment (start 111.57 66.705) (end 111.65 66.625) (width 0.1524) (layer TOP-L1) (net 245) (tstamp 51A820FF))
+  (segment (start 51.67542 25.775) (end 51.67542 27.59542) (width 0.2) (layer BOT-L6) (net 246))
+  (segment (start 51.67542 27.59542) (end 51.755 27.675) (width 0.2) (layer BOT-L6) (net 246) (tstamp 51A6F721))
+  (segment (start 53.40042 24.8) (end 45.3 24.8) (width 0.2) (layer BOT-L6) (net 247))
+  (segment (start 43.595 26.505) (end 43.595 27.675) (width 0.2) (layer BOT-L6) (net 247) (tstamp 51A6F726))
+  (segment (start 45.3 24.8) (end 43.595 26.505) (width 0.2) (layer BOT-L6) (net 247) (tstamp 51A6F724))
+  (segment (start 60.75044 44.64892) (end 59.17608 44.64892) (width 0.1524) (layer TOP-L1) (net 248))
+  (segment (start 58.45 45.375) (end 57.89958 45.375) (width 0.1524) (layer TOP-L1) (net 248) (tstamp 51A6ED08))
+  (segment (start 59.17608 44.64892) (end 58.45 45.375) (width 0.1524) (layer TOP-L1) (net 248) (tstamp 51A6ED06))
+  (segment (start 57.89958 47.175) (end 58.275 47.175) (width 0.2) (layer TOP-L1) (net 249))
+  (segment (start 58.925 43.7) (end 59.475 43.15) (width 0.2) (layer Signal-L5) (net 249) (tstamp 51A6F88B))
+  (segment (start 58.925 46.525) (end 58.925 43.7) (width 0.2) (layer Signal-L5) (net 249) (tstamp 51A6F88A))
+  (segment (start 58.65 46.8) (end 58.925 46.525) (width 0.2) (layer Signal-L5) (net 249) (tstamp 51A6F889))
+  (via (at 58.65 46.8) (size 0.4572) (layers TOP-L1 BOT-L6) (net 249))
+  (segment (start 58.275 47.175) (end 58.65 46.8) (width 0.2) (layer TOP-L1) (net 249) (tstamp 51A6F886))
+  (segment (start 59.47532 43.15032) (end 60.75044 43.15032) (width 0.1524) (layer TOP-L1) (net 249) (tstamp 51A6F49F))
+  (segment (start 59.475 43.15) (end 59.47532 43.15032) (width 0.1524) (layer TOP-L1) (net 249) (tstamp 51A6F49E))
+  (via (at 59.475 43.15) (size 0.4572) (layers TOP-L1 BOT-L6) (net 249))
+  (segment (start 57.87458 41.825) (end 58.7 41.825) (width 0.1524) (layer TOP-L1) (net 250))
+  (segment (start 59.52494 42.64994) (end 60.75044 42.64994) (width 0.1524) (layer TOP-L1) (net 250) (tstamp 51A6ECBC))
+  (segment (start 58.7 41.825) (end 59.52494 42.64994) (width 0.1524) (layer TOP-L1) (net 250) (tstamp 51A6ECBB))
+  (segment (start 66.09968 40.30044) (end 66.09968 39.3699) (width 0.1524) (layer TOP-L1) (net 251))
+  (segment (start 66.09968 39.3699) (end 66.61958 38.85) (width 0.1524) (layer TOP-L1) (net 251) (tstamp 51A835DE))
+  (segment (start 56.625 49.2) (end 56.625 48.7) (width 0.1524) (layer TOP-L1) (net 252))
+  (segment (start 59.84994 46.15006) (end 60.75044 46.15006) (width 0.1524) (layer TOP-L1) (net 252) (tstamp 51A6F82E))
+  (segment (start 59.175 46.825) (end 59.84994 46.15006) (width 0.1524) (layer TOP-L1) (net 252) (tstamp 51A6F82C))
+  (segment (start 59.175 47.8) (end 59.175 46.825) (width 0.1524) (layer TOP-L1) (net 252) (tstamp 51A6F82B))
+  (segment (start 58.875 48.1) (end 59.175 47.8) (width 0.1524) (layer TOP-L1) (net 252) (tstamp 51A6F82A))
+  (segment (start 57.225 48.1) (end 58.875 48.1) (width 0.1524) (layer TOP-L1) (net 252) (tstamp 51A6F828))
+  (segment (start 56.625 48.7) (end 57.225 48.1) (width 0.1524) (layer TOP-L1) (net 252) (tstamp 51A6F822))
+  (segment (start 56.625 49.2) (end 56.62458 49.20042) (width 0.1524) (layer TOP-L1) (net 252))
+  (segment (start 56.62458 49.20042) (end 55.05 49.20042) (width 0.1524) (layer TOP-L1) (net 252) (tstamp 51A6F1AE))
+  (segment (start 57.84958 40.9) (end 58.65 40.9) (width 0.1524) (layer TOP-L1) (net 253))
+  (segment (start 59.89956 42.14956) (end 60.75044 42.14956) (width 0.1524) (layer TOP-L1) (net 253) (tstamp 51A6ECB7))
+  (segment (start 58.65 40.9) (end 59.89956 42.14956) (width 0.1524) (layer TOP-L1) (net 253) (tstamp 51A6ECB5))
+  (segment (start 60.75044 41.64918) (end 59.97418 41.64918) (width 0.1524) (layer TOP-L1) (net 254))
+  (segment (start 58.325 40) (end 57.84958 40) (width 0.1524) (layer TOP-L1) (net 254) (tstamp 51A6F46C))
+  (segment (start 59.97418 41.64918) (end 58.325 40) (width 0.1524) (layer TOP-L1) (net 254) (tstamp 51A6F468))
+  (segment (start 60.75044 43.6507) (end 59.0507 43.6507) (width 0.1524) (layer TOP-L1) (net 255))
+  (segment (start 58.125 42.725) (end 57.87458 42.725) (width 0.1524) (layer TOP-L1) (net 255) (tstamp 51A6ECC1))
+  (segment (start 59.0507 43.6507) (end 58.125 42.725) (width 0.1524) (layer TOP-L1) (net 255) (tstamp 51A6ECC0))
+  (segment (start 60.75044 45.1493) (end 59.4757 45.1493) (width 0.1524) (layer TOP-L1) (net 256))
+  (segment (start 58.375 46.25) (end 57.89958 46.25) (width 0.1524) (layer TOP-L1) (net 256) (tstamp 51A6ED1B))
+  (segment (start 59.4757 45.1493) (end 58.375 46.25) (width 0.1524) (layer TOP-L1) (net 256) (tstamp 51A6ED19))
+  (segment (start 87.92656 45.88232) (end 93.90032 45.88232) (width 0.2) (layer TOP-L1) (net 257))
+  (segment (start 93.90032 45.88232) (end 94.78 46.762) (width 0.2) (layer TOP-L1) (net 257) (tstamp 51A5D2E4))
+  (segment (start 81.497 53.4) (end 81.497 52.353) (width 0.3) (layer TOP-L1) (net 258))
+  (segment (start 85.27734 50.62266) (end 85.27734 47.53332) (width 0.3) (layer TOP-L1) (net 258) (tstamp 51A830C3))
+  (segment (start 84.7 51.2) (end 85.27734 50.62266) (width 0.3) (layer TOP-L1) (net 258) (tstamp 51A830C2))
+  (segment (start 82.65 51.2) (end 84.7 51.2) (width 0.3) (layer TOP-L1) (net 258) (tstamp 51A830C0))
+  (segment (start 81.497 52.353) (end 82.65 51.2) (width 0.3) (layer TOP-L1) (net 258) (tstamp 51A830BA))
+  (segment (start 75.52458 70.35) (end 75.425 70.25042) (width 0.1524) (layer BOT-L6) (net 259))
+  (segment (start 75.425 69.225) (end 75.4 69.2) (width 0.1524) (layer TOP-L1) (net 259) (tstamp 51A5FD35))
+  (segment (start 75.425 69.7) (end 75.425 69.225) (width 0.1524) (layer TOP-L1) (net 259) (tstamp 51A5FD34))
+  (via (at 75.425 69.7) (size 0.4572) (layers TOP-L1 BOT-L6) (net 259))
+  (segment (start 75.425 70.25042) (end 75.425 69.7) (width 0.1524) (layer BOT-L6) (net 259) (tstamp 51A5FD32))
+
+  (zone (net 168) (net_name DRAM_VCC) (layer BOT-L6) (tstamp 51A33CD7) (hatch edge 0.508)
+    (connect_pads (clearance 0.2032))
+    (min_thickness 0.15)
+    (fill (arc_segments 16) (thermal_gap 0.508) (thermal_bridge_width 0.508))
+    (polygon
+      (pts
+        (xy 72.85 54.7) (xy 72.75 86.25) (xy 53.086394 86.076371) (xy 53.2 91.075) (xy 42.125 91.075)
+        (xy 41.669045 85.972655) (xy 39.175 85.95) (xy 38.95 56) (xy 39.25 54.825) (xy 39.125 54.75)
+        (xy 72.3 54.7)
+      )
+    )
+    (filled_polygon
+      (pts
+        (xy 58.50442 77.24986) (xy 58.425115 77.24986) (xy 58.425115 77.279) (xy 58.225725 77.279) (xy 58.225725 77.24986)
+        (xy 58.14642 77.24986) (xy 58.14642 76.95014) (xy 58.225725 76.95014) (xy 58.225725 76.921) (xy 58.425115 76.921)
+        (xy 58.425115 76.95014) (xy 58.50442 76.95014) (xy 58.50442 77.24986)
+      )
+    )
+    (filled_polygon
+      (pts
+        (xy 71.238755 68.900115) (xy 71.12486 68.900115) (xy 71.12486 68.97942) (xy 70.82514 68.97942) (xy 70.82514 68.900115)
+        (xy 70.796 68.900115) (xy 70.796 68.86536) (xy 70.85014 68.81122) (xy 70.85014 68.274275) (xy 70.82514 68.274275)
+        (xy 70.82514 68.16378) (xy 70.821 68.15964) (xy 70.821 68.074885) (xy 70.85014 68.074885) (xy 70.85014 67.99558)
+        (xy 71.14986 67.99558) (xy 71.14986 68.074885) (xy 71.213755 68.074885) (xy 71.12486 68.16378) (xy 71.12486 68.700725)
+        (xy 71.14986 68.700725) (xy 71.14986 68.81122) (xy 71.238755 68.900115)
+      )
+    )
+    (filled_polygon
+      (pts
+        (xy 72.774762 54.775) (xy 72.762262 58.718622) (xy 72.701251 58.693288) (xy 72.499633 58.693112) (xy 72.313296 58.770105)
+        (xy 72.199927 58.883275) (xy 72.087454 58.770606) (xy 71.901251 58.693288) (xy 71.699633 58.693112) (xy 71.513296 58.770105)
+        (xy 71.399927 58.883275) (xy 71.287454 58.770606) (xy 71.101251 58.693288) (xy 70.899633 58.693112) (xy 70.713296 58.770105)
+        (xy 70.570606 58.912546) (xy 70.493288 59.098749) (xy 70.493112 59.300367) (xy 70.570105 59.486704) (xy 70.683275 59.600072)
+        (xy 70.570606 59.712546) (xy 70.493288 59.898749) (xy 70.493112 60.100367) (xy 70.570105 60.286704) (xy 70.712546 60.429394)
+        (xy 70.898749 60.506712) (xy 71.100367 60.506888) (xy 71.286704 60.429895) (xy 71.400072 60.316724) (xy 71.512546 60.429394)
+        (xy 71.698749 60.506712) (xy 71.900367 60.506888) (xy 72.086704 60.429895) (xy 72.200072 60.316724) (xy 72.312546 60.429394)
+        (xy 72.498749 60.506712) (xy 72.700367 60.506888) (xy 72.756668 60.483624) (xy 72.754564 61.14722) (xy 72.59958 61.14722)
+        (xy 72.560549 61.154983) (xy 72.527783 61.122217) (xy 72.388865 61.029395) (xy 72.225 60.9968) (xy 71.996896 60.9968)
+        (xy 71.984954 60.967898) (xy 71.906763 60.889571) (xy 71.80455 60.847128) (xy 71.693875 60.847032) (xy 71.295095 60.847032)
+        (xy 71.192808 60.889296) (xy 71.114481 60.967487) (xy 71.100016 61.00232) (xy 71.085794 60.967898) (xy 71.007603 60.889571)
+        (xy 70.90539 60.847128) (xy 70.794715 60.847032) (xy 70.395935 60.847032) (xy 70.293648 60.889296) (xy 70.215321 60.967487)
+        (xy 70.172878 61.0697) (xy 70.172782 61.180375) (xy 70.172782 61.297072) (xy 69.906832 61.563021) (xy 69.906888 61.499633)
+        (xy 69.829895 61.313296) (xy 69.687454 61.170606) (xy 69.501251 61.093288) (xy 69.299633 61.093112) (xy 69.113296 61.170105)
+        (xy 68.970606 61.312546) (xy 68.893288 61.498749) (xy 68.893112 61.700367) (xy 68.970105 61.886704) (xy 69.112546 62.029394)
+        (xy 69.298749 62.106712) (xy 69.500367 62.106888) (xy 69.686704 62.029895) (xy 69.7718 61.944947) (xy 69.7718 62.111354)
+        (xy 69.770606 62.112546) (xy 69.693288 62.298749) (xy 69.693112 62.500367) (xy 69.770105 62.686704) (xy 69.912546 62.829394)
+        (xy 70.098749 62.906712) (xy 70.300367 62.906888) (xy 70.486704 62.829895) (xy 70.629394 62.687454) (xy 70.706712 62.501251)
+        (xy 70.706888 62.299633) (xy 70.629895 62.113296) (xy 70.6282 62.111598) (xy 70.6282 62.052786) (xy 70.678018 62.002968)
+        (xy 70.818115 62.002968) (xy 70.81793 62.215737) (xy 70.81803 62.10439) (xy 70.96378 62.25014) (xy 71.500725 62.25014)
+        (xy 71.500725 62.221) (xy 71.700115 62.221) (xy 71.700115 62.25014) (xy 71.77942 62.25014) (xy 71.77942 62.54986)
+        (xy 71.700115 62.54986) (xy 71.700115 63.13697) (xy 71.845865 63.28272) (xy 71.915267 63.28282) (xy 72.129621 63.194251)
+        (xy 72.293764 63.030394) (xy 72.315547 62.977933) (xy 72.355285 62.977968) (xy 72.748761 62.977968) (xy 72.748079 63.193125)
+        (xy 72.733288 63.228749) (xy 72.733112 63.430367) (xy 72.747219 63.46451) (xy 72.746891 63.56824) (xy 72.599633 63.568112)
+        (xy 72.413296 63.645105) (xy 72.337449 63.720819) (xy 72.212454 63.595606) (xy 72.026251 63.518288) (xy 71.824633 63.518112)
+        (xy 71.638296 63.595105) (xy 71.500725 63.732435) (xy 71.500725 63.13697) (xy 71.500725 62.54986) (xy 70.96378 62.54986)
+        (xy 70.81803 62.69561) (xy 70.81793 62.584263) (xy 70.818132 62.816194) (xy 70.907076 63.030394) (xy 71.071219 63.194251)
+        (xy 71.285573 63.28282) (xy 71.354975 63.28272) (xy 71.500725 63.13697) (xy 71.500725 63.732435) (xy 71.495606 63.737546)
+        (xy 71.418288 63.923749) (xy 71.418112 64.125367) (xy 71.446086 64.193069) (xy 71.392898 64.215046) (xy 71.314571 64.293237)
+        (xy 71.272128 64.39545) (xy 71.272032 64.506125) (xy 71.272032 64.834466) (xy 71.219606 64.856236) (xy 71.055749 65.020379)
+        (xy 70.96718 65.234733) (xy 70.96728 65.304135) (xy 71.11303 65.449885) (xy 71.70014 65.449885) (xy 71.70014 65.37058)
+        (xy 71.99986 65.37058) (xy 71.99986 65.449885) (xy 72.029 65.449885) (xy 72.029 65.649275) (xy 71.99986 65.649275)
+        (xy 71.99986 66.18622) (xy 72.14561 66.33197) (xy 72.034263 66.33207) (xy 72.193215 66.331931) (xy 72.193112 66.450367)
+        (xy 72.270105 66.636704) (xy 72.27222 66.638822) (xy 72.27222 66.66984) (xy 72.241921 66.700087) (xy 72.199478 66.8023)
+        (xy 72.199382 66.912975) (xy 72.199382 67.512415) (xy 72.231217 67.589463) (xy 72.199478 67.6659) (xy 72.199382 67.776575)
+        (xy 72.199382 68.376015) (xy 72.233919 68.459603) (xy 72.162688 68.631149) (xy 72.162512 68.832767) (xy 72.239505 69.019104)
+        (xy 72.259956 69.039591) (xy 72.250278 69.0629) (xy 72.250182 69.173575) (xy 72.250182 69.773015) (xy 72.292446 69.875302)
+        (xy 72.312645 69.895536) (xy 72.295606 69.912546) (xy 72.218288 70.098749) (xy 72.218112 70.300367) (xy 72.278 70.445308)
+        (xy 72.071219 70.530749) (xy 71.907076 70.694606) (xy 71.88282 70.75302) (xy 71.88282 68.489427) (xy 71.88272 68.420025)
+        (xy 71.794132 68.331437) (xy 71.769251 68.271219) (xy 71.605394 68.107076) (xy 71.527869 68.074885) (xy 71.73697 68.074885)
+        (xy 71.88272 67.929135) (xy 71.88282 67.859733) (xy 71.794251 67.645379) (xy 71.630394 67.481236) (xy 71.577933 67.459452)
+        (xy 71.577968 67.419715) (xy 71.577968 67.020935) (xy 71.535704 66.918648) (xy 71.457513 66.840321) (xy 71.3782 66.807387)
+        (xy 71.3782 66.738558) (xy 71.429394 66.687454) (xy 71.506712 66.501251) (xy 71.506859 66.331931) (xy 71.665737 66.33207)
+        (xy 71.55439 66.33197) (xy 71.70014 66.18622) (xy 71.70014 65.649275) (xy 71.11303 65.649275) (xy 70.96728 65.795025)
+        (xy 70.96718 65.864427) (xy 70.979061 65.893181) (xy 70.899633 65.893112) (xy 70.713296 65.970105) (xy 70.599927 66.083275)
+        (xy 70.516724 65.999927) (xy 70.629394 65.887454) (xy 70.706712 65.701251) (xy 70.706888 65.499633) (xy 70.629895 65.313296)
+        (xy 70.516724 65.199927) (xy 70.629394 65.087454) (xy 70.706712 64.901251) (xy 70.706888 64.699633) (xy 70.629895 64.513296)
+        (xy 70.487454 64.370606) (xy 70.301251 64.293288) (xy 70.099633 64.293112) (xy 69.913296 64.370105) (xy 69.799927 64.483275)
+        (xy 69.687454 64.370606) (xy 69.501251 64.293288) (xy 69.299633 64.293112) (xy 69.113296 64.370105) (xy 68.970606 64.512546)
+        (xy 68.893288 64.698749) (xy 68.893112 64.900367) (xy 68.970105 65.086704) (xy 69.083275 65.200072) (xy 68.970606 65.312546)
+        (xy 68.893288 65.498749) (xy 68.893112 65.700367) (xy 68.970105 65.886704) (xy 69.083275 66.000072) (xy 68.970606 66.112546)
+        (xy 68.893288 66.298749) (xy 68.893112 66.500367) (xy 68.970105 66.686704) (xy 69.083275 66.800072) (xy 68.970606 66.912546)
+        (xy 68.893288 67.098749) (xy 68.893112 67.300367) (xy 68.970105 67.486704) (xy 69.083275 67.600072) (xy 68.970606 67.712546)
+        (xy 68.893288 67.898749) (xy 68.893112 68.100367) (xy 68.970105 68.286704) (xy 69.083275 68.400072) (xy 68.970606 68.512546)
+        (xy 68.893288 68.698749) (xy 68.893112 68.900367) (xy 68.970105 69.086704) (xy 69.083275 69.200072) (xy 68.970606 69.312546)
+        (xy 68.893288 69.498749) (xy 68.893112 69.700367) (xy 68.970105 69.886704) (xy 69.083275 70.000072) (xy 68.970606 70.112546)
+        (xy 68.893288 70.298749) (xy 68.893112 70.500367) (xy 68.970105 70.686704) (xy 69.083275 70.800072) (xy 68.970606 70.912546)
+        (xy 68.893288 71.098749) (xy 68.893112 71.300367) (xy 68.970105 71.486704) (xy 69.083275 71.600072) (xy 68.970606 71.712546)
+        (xy 68.893288 71.898749) (xy 68.893112 72.100367) (xy 68.970105 72.286704) (xy 69.083275 72.400072) (xy 68.970606 72.512546)
+        (xy 68.893288 72.698749) (xy 68.893112 72.900367) (xy 68.970105 73.086704) (xy 69.083275 73.200072) (xy 68.970606 73.312546)
+        (xy 68.893288 73.498749) (xy 68.893112 73.700367) (xy 68.970105 73.886704) (xy 69.112546 74.029394) (xy 69.298749 74.106712)
+        (xy 69.500367 74.106888) (xy 69.686704 74.029895) (xy 69.800072 73.916724) (xy 69.912546 74.029394) (xy 70.098749 74.106712)
+        (xy 70.300367 74.106888) (xy 70.486704 74.029895) (xy 70.629394 73.887454) (xy 70.706712 73.701251) (xy 70.706888 73.499633)
+        (xy 70.629895 73.313296) (xy 70.516724 73.199927) (xy 70.600072 73.116724) (xy 70.712546 73.229394) (xy 70.898749 73.306712)
+        (xy 71.100367 73.306888) (xy 71.286704 73.229895) (xy 71.429394 73.087454) (xy 71.506712 72.901251) (xy 71.506888 72.699633)
+        (xy 71.429895 72.513296) (xy 71.316724 72.399927) (xy 71.429394 72.287454) (xy 71.506712 72.101251) (xy 71.506888 71.899633)
+        (xy 71.429895 71.713296) (xy 71.287454 71.570606) (xy 71.101251 71.493288) (xy 70.899633 71.493112) (xy 70.713296 71.570105)
+        (xy 70.599927 71.683275) (xy 70.516724 71.599927) (xy 70.629394 71.487454) (xy 70.706712 71.301251) (xy 70.706888 71.099633)
+        (xy 70.629895 70.913296) (xy 70.516724 70.799927) (xy 70.600072 70.716724) (xy 70.712546 70.829394) (xy 70.898749 70.906712)
+        (xy 71.100367 70.906888) (xy 71.286704 70.829895) (xy 71.429394 70.687454) (xy 71.506712 70.501251) (xy 71.506888 70.299633)
+        (xy 71.436875 70.130189) (xy 71.510429 70.056763) (xy 71.552872 69.95455) (xy 71.552968 69.843875) (xy 71.552968 69.515533)
+        (xy 71.605394 69.493764) (xy 71.769251 69.329621) (xy 71.85782 69.115267) (xy 71.85772 69.045865) (xy 71.71197 68.900115)
+        (xy 71.552869 68.900115) (xy 71.630394 68.867924) (xy 71.794251 68.703781) (xy 71.854339 68.558355) (xy 71.85772 68.554975)
+        (xy 71.857726 68.550157) (xy 71.88282 68.489427) (xy 71.88282 70.75302) (xy 71.818132 70.908806) (xy 71.81793 71.140737)
+        (xy 71.81803 71.02939) (xy 71.96378 71.17514) (xy 72.500725 71.17514) (xy 72.500725 71.146) (xy 72.700115 71.146)
+        (xy 72.700115 71.17514) (xy 72.72278 71.17514) (xy 72.72183 71.47486) (xy 72.700115 71.47486) (xy 72.700115 72.06197)
+        (xy 72.719906 72.081761) (xy 72.719212 72.300746) (xy 72.701251 72.293288) (xy 72.500725 72.293112) (xy 72.500725 72.06197)
+        (xy 72.500725 71.47486) (xy 71.96378 71.47486) (xy 71.81803 71.62061) (xy 71.81793 71.509263) (xy 71.818132 71.741194)
+        (xy 71.907076 71.955394) (xy 72.071219 72.119251) (xy 72.285573 72.20782) (xy 72.354975 72.20772) (xy 72.500725 72.06197)
+        (xy 72.500725 72.293112) (xy 72.499633 72.293112) (xy 72.313296 72.370105) (xy 72.170606 72.512546) (xy 72.093288 72.698749)
+        (xy 72.093112 72.900367) (xy 72.170105 73.086704) (xy 72.1718 73.088401) (xy 72.1718 73.275) (xy 72.204395 73.438865)
+        (xy 72.297217 73.577783) (xy 72.521797 73.802363) (xy 72.660715 73.895185) (xy 72.714125 73.905809) (xy 72.69823 78.920495)
+        (xy 72.6925 78.9205) (xy 72.54675 79.06625) (xy 72.54675 79.896) (xy 72.567 79.896) (xy 72.567 80.254)
+        (xy 72.54675 80.254) (xy 72.54675 81.08375) (xy 72.690917 81.227917) (xy 72.678739 85.070062) (xy 72.428202 85.3206)
+        (xy 72.22925 85.3206) (xy 72.22925 81.08375) (xy 72.22925 80.254) (xy 72.22925 79.896) (xy 72.22925 79.06625)
+        (xy 72.0835 78.9205) (xy 71.955043 78.9204) (xy 71.740689 79.008969) (xy 71.576546 79.172826) (xy 71.487602 79.387026)
+        (xy 71.4874 79.618957) (xy 71.4875 79.75025) (xy 71.63325 79.896) (xy 72.22925 79.896) (xy 72.22925 80.254)
+        (xy 71.63325 80.254) (xy 71.4875 80.39975) (xy 71.4874 80.531043) (xy 71.487602 80.762974) (xy 71.576546 80.977174)
+        (xy 71.740689 81.141031) (xy 71.955043 81.2296) (xy 72.0835 81.2295) (xy 72.22925 81.08375) (xy 72.22925 85.3206)
+        (xy 71.106888 85.3206) (xy 71.106888 75.274633) (xy 71.029895 75.088296) (xy 70.887454 74.945606) (xy 70.701251 74.868288)
+        (xy 70.499633 74.868112) (xy 70.313296 74.945105) (xy 70.234301 75.023961) (xy 70.229895 75.013296) (xy 70.087454 74.870606)
+        (xy 69.901251 74.793288) (xy 69.699633 74.793112) (xy 69.513296 74.870105) (xy 69.370606 75.012546) (xy 69.293288 75.198749)
+        (xy 69.293112 75.400367) (xy 69.370105 75.586704) (xy 69.512546 75.729394) (xy 69.698749 75.806712) (xy 69.900367 75.806888)
+        (xy 70.086704 75.729895) (xy 70.165698 75.651038) (xy 70.170105 75.661704) (xy 70.312546 75.804394) (xy 70.498749 75.881712)
+        (xy 70.700367 75.881888) (xy 70.886704 75.804895) (xy 71.029394 75.662454) (xy 71.106712 75.476251) (xy 71.106888 75.274633)
+        (xy 71.106888 85.3206) (xy 70.481888 85.3206) (xy 70.481888 80.724633) (xy 70.404895 80.538296) (xy 70.262454 80.395606)
+        (xy 70.076251 80.318288) (xy 69.874633 80.318112) (xy 69.688296 80.395105) (xy 69.656888 80.426458) (xy 69.656888 78.999633)
+        (xy 69.579895 78.813296) (xy 69.437454 78.670606) (xy 69.251251 78.593288) (xy 69.23312 78.593272) (xy 69.281712 78.476251)
+        (xy 69.281888 78.274633) (xy 69.204895 78.088296) (xy 69.062454 77.945606) (xy 69.011669 77.924518) (xy 69.031712 77.876251)
+        (xy 69.031888 77.674633) (xy 68.954895 77.488296) (xy 68.812454 77.345606) (xy 68.626251 77.268288) (xy 68.424633 77.268112)
+        (xy 68.238296 77.345105) (xy 68.095606 77.487546) (xy 68.018288 77.673749) (xy 68.018112 77.875367) (xy 68.095105 78.061704)
+        (xy 68.237546 78.204394) (xy 68.28833 78.225481) (xy 68.268288 78.273749) (xy 68.268112 78.475367) (xy 68.345105 78.661704)
+        (xy 68.487546 78.804394) (xy 68.673749 78.881712) (xy 68.691879 78.881727) (xy 68.643288 78.998749) (xy 68.643227 79.06828)
+        (xy 68.449633 79.068112) (xy 68.263296 79.145105) (xy 68.120606 79.287546) (xy 68.043288 79.473749) (xy 68.043112 79.675367)
+        (xy 68.120105 79.861704) (xy 68.262546 80.004394) (xy 68.448749 80.081712) (xy 68.468259 80.081729) (xy 68.468112 80.250367)
+        (xy 68.545105 80.436704) (xy 68.687546 80.579394) (xy 68.873749 80.656712) (xy 69.075367 80.656888) (xy 69.261704 80.579895)
+        (xy 69.404394 80.437454) (xy 69.481712 80.251251) (xy 69.481888 80.049633) (xy 69.404895 79.863296) (xy 69.262454 79.720606)
+        (xy 69.076251 79.643288) (xy 69.05674 79.64327) (xy 69.056772 79.606719) (xy 69.250367 79.606888) (xy 69.436704 79.529895)
+        (xy 69.579394 79.387454) (xy 69.656712 79.201251) (xy 69.656888 78.999633) (xy 69.656888 80.426458) (xy 69.545606 80.537546)
+        (xy 69.468288 80.723749) (xy 69.468112 80.925367) (xy 69.545105 81.111704) (xy 69.687546 81.254394) (xy 69.873749 81.331712)
+        (xy 70.075367 81.331888) (xy 70.261704 81.254895) (xy 70.404394 81.112454) (xy 70.481712 80.926251) (xy 70.481888 80.724633)
+        (xy 70.481888 85.3206) (xy 68.981888 85.3206) (xy 68.981888 82.324633) (xy 68.904895 82.138296) (xy 68.762454 81.995606)
+        (xy 68.576251 81.918288) (xy 68.374633 81.918112) (xy 68.188296 81.995105) (xy 68.045606 82.137546) (xy 67.968288 82.323749)
+        (xy 67.968112 82.525367) (xy 68.045105 82.711704) (xy 68.187546 82.854394) (xy 68.373749 82.931712) (xy 68.575367 82.931888)
+        (xy 68.761704 82.854895) (xy 68.904394 82.712454) (xy 68.981712 82.526251) (xy 68.981888 82.324633) (xy 68.981888 85.3206)
+        (xy 66.431888 85.3206) (xy 66.431888 82.699633) (xy 66.354895 82.513296) (xy 66.212454 82.370606) (xy 66.026251 82.293288)
+        (xy 65.824633 82.293112) (xy 65.666423 82.358482) (xy 65.731712 82.201251) (xy 65.731888 81.999633) (xy 65.662518 81.831745)
+        (xy 65.825367 81.831888) (xy 66.011704 81.754895) (xy 66.154394 81.612454) (xy 66.231712 81.426251) (xy 66.231888 81.224633)
+        (xy 66.154895 81.038296) (xy 66.012454 80.895606) (xy 65.826251 80.818288) (xy 65.624633 80.818112) (xy 65.438296 80.895105)
+        (xy 65.295606 81.037546) (xy 65.218288 81.223749) (xy 65.218112 81.425367) (xy 65.287481 81.593254) (xy 65.124633 81.593112)
+        (xy 64.938296 81.670105) (xy 64.795606 81.812546) (xy 64.718288 81.998749) (xy 64.718112 82.200367) (xy 64.795105 82.386704)
+        (xy 64.937546 82.529394) (xy 65.123749 82.606712) (xy 65.325367 82.606888) (xy 65.483576 82.541517) (xy 65.418288 82.698749)
+        (xy 65.418112 82.900367) (xy 65.495105 83.086704) (xy 65.637546 83.229394) (xy 65.823749 83.306712) (xy 66.025367 83.306888)
+        (xy 66.211704 83.229895) (xy 66.354394 83.087454) (xy 66.431712 82.901251) (xy 66.431888 82.699633) (xy 66.431888 85.3206)
+        (xy 64.356888 85.3206) (xy 64.356888 63.324633) (xy 64.279895 63.138296) (xy 64.137454 62.995606) (xy 63.951251 62.918288)
+        (xy 63.749633 62.918112) (xy 63.563296 62.995105) (xy 63.420606 63.137546) (xy 63.343288 63.323749) (xy 63.343112 63.525367)
+        (xy 63.420105 63.711704) (xy 63.476416 63.768113) (xy 63.474633 63.768112) (xy 63.288296 63.845105) (xy 63.145606 63.987546)
+        (xy 63.131888 64.020582) (xy 63.131888 63.749633) (xy 63.054895 63.563296) (xy 62.912454 63.420606) (xy 62.726251 63.343288)
+        (xy 62.524633 63.343112) (xy 62.338296 63.420105) (xy 62.195606 63.562546) (xy 62.118288 63.748749) (xy 62.118112 63.950367)
+        (xy 62.195105 64.136704) (xy 62.226503 64.168157) (xy 62.174633 64.168112) (xy 62.00782 64.237037) (xy 62.00782 62.665267)
+        (xy 62.00782 62.035573) (xy 61.919251 61.821219) (xy 61.755394 61.657076) (xy 61.541194 61.568132) (xy 61.309263 61.56793)
+        (xy 61.42061 61.56803) (xy 61.27486 61.71378) (xy 61.27486 62.250725) (xy 61.86197 62.250725) (xy 62.00772 62.104975)
+        (xy 62.00782 62.035573) (xy 62.00782 62.665267) (xy 62.00772 62.595865) (xy 61.86197 62.450115) (xy 61.27486 62.450115)
+        (xy 61.27486 62.52942) (xy 61.18282 62.52942) (xy 61.18282 57.589427) (xy 61.18282 56.959733) (xy 61.094251 56.745379)
+        (xy 60.930394 56.581236) (xy 60.877933 56.559452) (xy 60.877968 56.519715) (xy 60.877968 56.120935) (xy 60.835704 56.018648)
+        (xy 60.757513 55.940321) (xy 60.6553 55.897878) (xy 60.544625 55.897782) (xy 59.945185 55.897782) (xy 59.842898 55.940046)
+        (xy 59.836132 55.9468) (xy 59.538558 55.9468) (xy 59.487454 55.895606) (xy 59.301251 55.818288) (xy 59.099633 55.818112)
+        (xy 58.913296 55.895105) (xy 58.770606 56.037546) (xy 58.693288 56.223749) (xy 58.693112 56.425367) (xy 58.770105 56.611704)
+        (xy 58.855284 56.697032) (xy 58.565533 56.697032) (xy 58.543764 56.644606) (xy 58.379621 56.480749) (xy 58.165267 56.39218)
+        (xy 58.095865 56.39228) (xy 57.950115 56.53803) (xy 57.950115 57.12514) (xy 58.02942 57.12514) (xy 58.02942 57.42486)
+        (xy 57.950115 57.42486) (xy 57.950115 58.01197) (xy 58.095865 58.15772) (xy 58.165267 58.15782) (xy 58.294745 58.10432)
+        (xy 58.370105 58.286704) (xy 58.512546 58.429394) (xy 58.698749 58.506712) (xy 58.900367 58.506888) (xy 59.086704 58.429895)
+        (xy 59.229394 58.287454) (xy 59.306712 58.101251) (xy 59.306888 57.899633) (xy 59.229895 57.713296) (xy 59.203597 57.686952)
+        (xy 59.227122 57.6303) (xy 59.227218 57.519625) (xy 59.227218 56.920185) (xy 59.190694 56.831792) (xy 59.300367 56.831888)
+        (xy 59.486704 56.754895) (xy 59.538489 56.7032) (xy 59.547854 56.7032) (xy 59.505749 56.745379) (xy 59.41718 56.959733)
+        (xy 59.41728 57.029135) (xy 59.56303 57.174885) (xy 60.15014 57.174885) (xy 60.15014 57.09558) (xy 60.44986 57.09558)
+        (xy 60.44986 57.174885) (xy 61.03697 57.174885) (xy 61.18272 57.029135) (xy 61.18282 56.959733) (xy 61.18282 57.589427)
+        (xy 61.18272 57.520025) (xy 61.03697 57.374275) (xy 60.44986 57.374275) (xy 60.44986 57.91122) (xy 60.59561 58.05697)
+        (xy 60.484263 58.05707) (xy 60.716194 58.056868) (xy 60.930394 57.967924) (xy 61.094251 57.803781) (xy 61.18282 57.589427)
+        (xy 61.18282 62.52942) (xy 60.97514 62.52942) (xy 60.97514 62.450115) (xy 60.97514 62.250725) (xy 60.97514 61.71378)
+        (xy 60.82939 61.56803) (xy 60.940737 61.56793) (xy 60.708806 61.568132) (xy 60.494606 61.657076) (xy 60.330749 61.821219)
+        (xy 60.24218 62.035573) (xy 60.24228 62.104975) (xy 60.38803 62.250725) (xy 60.97514 62.250725) (xy 60.97514 62.450115)
+        (xy 60.38803 62.450115) (xy 60.24228 62.595865) (xy 60.24218 62.665267) (xy 60.267677 62.726975) (xy 60.163296 62.770105)
+        (xy 60.15014 62.783238) (xy 60.15014 57.91122) (xy 60.15014 57.374275) (xy 59.56303 57.374275) (xy 59.41728 57.520025)
+        (xy 59.41718 57.589427) (xy 59.505749 57.803781) (xy 59.669606 57.967924) (xy 59.883806 58.056868) (xy 60.115737 58.05707)
+        (xy 60.00439 58.05697) (xy 60.15014 57.91122) (xy 60.15014 62.783238) (xy 60.020606 62.912546) (xy 59.943288 63.098749)
+        (xy 59.943112 63.300367) (xy 60.020105 63.486704) (xy 60.162546 63.629394) (xy 60.348749 63.706712) (xy 60.550367 63.706888)
+        (xy 60.648981 63.666141) (xy 60.667487 63.684679) (xy 60.7697 63.727122) (xy 60.880375 63.727218) (xy 61.479815 63.727218)
+        (xy 61.582102 63.684954) (xy 61.660429 63.606763) (xy 61.702872 63.50455) (xy 61.702968 63.393875) (xy 61.702968 63.065533)
+        (xy 61.755394 63.043764) (xy 61.919251 62.879621) (xy 62.00782 62.665267) (xy 62.00782 64.237037) (xy 61.988296 64.245105)
+        (xy 61.845606 64.387546) (xy 61.768288 64.573749) (xy 61.768112 64.775367) (xy 61.845105 64.961704) (xy 61.987546 65.104394)
+        (xy 62.173749 65.181712) (xy 62.375367 65.181888) (xy 62.561704 65.104895) (xy 62.704394 64.962454) (xy 62.781712 64.776251)
+        (xy 62.781888 64.574633) (xy 62.704895 64.388296) (xy 62.673496 64.356842) (xy 62.725367 64.356888) (xy 62.911704 64.279895)
+        (xy 63.054394 64.137454) (xy 63.131712 63.951251) (xy 63.131888 63.749633) (xy 63.131888 64.020582) (xy 63.068288 64.173749)
+        (xy 63.068112 64.375367) (xy 63.145105 64.561704) (xy 63.287546 64.704394) (xy 63.473749 64.781712) (xy 63.675367 64.781888)
+        (xy 63.861704 64.704895) (xy 64.004394 64.562454) (xy 64.081712 64.376251) (xy 64.081888 64.174633) (xy 64.004895 63.988296)
+        (xy 63.948583 63.931886) (xy 63.950367 63.931888) (xy 64.136704 63.854895) (xy 64.279394 63.712454) (xy 64.356712 63.526251)
+        (xy 64.356888 63.324633) (xy 64.356888 85.3206) (xy 64.08282 85.3206) (xy 64.08282 82.715267) (xy 64.08282 82.085573)
+        (xy 63.994251 81.871219) (xy 63.830394 81.707076) (xy 63.616194 81.618132) (xy 63.384263 81.61793) (xy 63.49561 81.61803)
+        (xy 63.34986 81.76378) (xy 63.34986 82.300725) (xy 63.93697 82.300725) (xy 64.08272 82.154975) (xy 64.08282 82.085573)
+        (xy 64.08282 82.715267) (xy 64.08272 82.645865) (xy 63.93697 82.500115) (xy 63.34986 82.500115) (xy 63.34986 82.57942)
+        (xy 63.05014 82.57942) (xy 63.05014 82.500115) (xy 63.05014 82.300725) (xy 63.05014 81.76378) (xy 62.90439 81.61803)
+        (xy 63.015737 81.61793) (xy 62.88207 81.618046) (xy 62.88207 75.809263) (xy 62.88207 75.440737) (xy 62.881868 75.208806)
+        (xy 62.792924 74.994606) (xy 62.628781 74.830749) (xy 62.414427 74.74218) (xy 62.345025 74.74228) (xy 62.199275 74.88803)
+        (xy 62.199275 75.47514) (xy 62.73622 75.47514) (xy 62.88197 75.32939) (xy 62.88207 75.440737) (xy 62.88207 75.809263)
+        (xy 62.88197 75.92061) (xy 62.73622 75.77486) (xy 62.199275 75.77486) (xy 62.199275 76.36197) (xy 62.345025 76.50772)
+        (xy 62.414427 76.50782) (xy 62.628781 76.419251) (xy 62.792924 76.255394) (xy 62.881868 76.041194) (xy 62.88207 75.809263)
+        (xy 62.88207 81.618046) (xy 62.783806 81.618132) (xy 62.569606 81.707076) (xy 62.405749 81.871219) (xy 62.31718 82.085573)
+        (xy 62.31728 82.154975) (xy 62.46303 82.300725) (xy 63.05014 82.300725) (xy 63.05014 82.500115) (xy 62.46303 82.500115)
+        (xy 62.31728 82.645865) (xy 62.31718 82.715267) (xy 62.321384 82.725443) (xy 62.213296 82.770105) (xy 62.206888 82.776501)
+        (xy 62.206888 81.024633) (xy 62.129895 80.838296) (xy 61.999885 80.708058) (xy 61.999885 76.36197) (xy 61.999885 75.77486)
+        (xy 61.92058 75.77486) (xy 61.92058 75.47514) (xy 61.999885 75.47514) (xy 61.999885 74.88803) (xy 61.854135 74.74228)
+        (xy 61.784733 74.74218) (xy 61.570379 74.830749) (xy 61.406236 74.994606) (xy 61.384452 75.047066) (xy 61.344715 75.047032)
+        (xy 61.104312 75.047032) (xy 61.092924 75.019606) (xy 60.928781 74.855749) (xy 60.781888 74.795054) (xy 60.781888 64.299633)
+        (xy 60.704895 64.113296) (xy 60.562454 63.970606) (xy 60.376251 63.893288) (xy 60.174633 63.893112) (xy 59.988296 63.970105)
+        (xy 59.845606 64.112546) (xy 59.822461 64.168284) (xy 59.624633 64.168112) (xy 59.438296 64.245105) (xy 59.295606 64.387546)
+        (xy 59.218288 64.573749) (xy 59.218112 64.775367) (xy 59.295105 64.961704) (xy 59.437546 65.104394) (xy 59.623749 65.181712)
+        (xy 59.825367 65.181888) (xy 60.011704 65.104895) (xy 60.154394 64.962454) (xy 60.177538 64.906715) (xy 60.375367 64.906888)
+        (xy 60.561704 64.829895) (xy 60.704394 64.687454) (xy 60.781712 64.501251) (xy 60.781888 64.299633) (xy 60.781888 74.795054)
+        (xy 60.714427 74.76718) (xy 60.645025 74.76728) (xy 60.499275 74.91303) (xy 60.499275 75.50014) (xy 60.57858 75.50014)
+        (xy 60.57858 75.79986) (xy 60.499275 75.79986) (xy 60.499275 76.38697) (xy 60.645025 76.53272) (xy 60.714427 76.53282)
+        (xy 60.725321 76.528318) (xy 60.737371 76.557482) (xy 60.722071 76.572782) (xy 60.495185 76.572782) (xy 60.392898 76.615046)
+        (xy 60.314571 76.693237) (xy 60.299885 76.728604) (xy 60.299885 76.38697) (xy 60.299885 75.79986) (xy 60.22058 75.79986)
+        (xy 60.22058 75.50014) (xy 60.299885 75.50014) (xy 60.299885 74.91303) (xy 60.154135 74.76728) (xy 60.084733 74.76718)
+        (xy 59.870379 74.855749) (xy 59.706236 75.019606) (xy 59.684452 75.072066) (xy 59.644715 75.072032) (xy 59.245935 75.072032)
+        (xy 59.143648 75.114296) (xy 59.065321 75.192487) (xy 59.022878 75.2947) (xy 59.022782 75.405375) (xy 59.022782 75.893751)
+        (xy 58.906738 75.845566) (xy 58.906888 75.674633) (xy 58.88282 75.616384) (xy 58.88282 63.939427) (xy 58.88282 63.309733)
+        (xy 58.794251 63.095379) (xy 58.630394 62.931236) (xy 58.577933 62.909452) (xy 58.577968 62.869715) (xy 58.577968 62.470935)
+        (xy 58.535704 62.368648) (xy 58.457513 62.290321) (xy 58.3553 62.247878) (xy 58.244625 62.247782) (xy 57.750725 62.247782)
+        (xy 57.750725 58.01197) (xy 57.750725 57.42486) (xy 57.21378 57.42486) (xy 57.06803 57.57061) (xy 57.06793 57.459263)
+        (xy 57.068132 57.691194) (xy 57.157076 57.905394) (xy 57.321219 58.069251) (xy 57.535573 58.15782) (xy 57.604975 58.15772)
+        (xy 57.750725 58.01197) (xy 57.750725 62.247782) (xy 57.645867 62.247782) (xy 57.706712 62.101251) (xy 57.706888 61.899633)
+        (xy 57.629895 61.713296) (xy 57.487454 61.570606) (xy 57.306888 61.495628) (xy 57.306888 59.174633) (xy 57.229895 58.988296)
+        (xy 57.087454 58.845606) (xy 56.906888 58.770628) (xy 56.906888 57.899633) (xy 56.829895 57.713296) (xy 56.716724 57.599927)
+        (xy 56.829394 57.487454) (xy 56.906712 57.301251) (xy 56.906888 57.099633) (xy 56.829895 56.913296) (xy 56.687454 56.770606)
+        (xy 56.501251 56.693288) (xy 56.299633 56.693112) (xy 56.113296 56.770105) (xy 56.025725 56.857522) (xy 56.025725 56.41197)
+        (xy 56.025725 55.82486) (xy 55.48878 55.82486) (xy 55.34303 55.97061) (xy 55.34293 55.859263) (xy 55.343132 56.091194)
+        (xy 55.432076 56.305394) (xy 55.596219 56.469251) (xy 55.810573 56.55782) (xy 55.879975 56.55772) (xy 56.025725 56.41197)
+        (xy 56.025725 56.857522) (xy 55.999927 56.883275) (xy 55.887454 56.770606) (xy 55.701251 56.693288) (xy 55.499633 56.693112)
+        (xy 55.313296 56.770105) (xy 55.170606 56.912546) (xy 55.093288 57.098749) (xy 55.093112 57.300367) (xy 55.170105 57.486704)
+        (xy 55.312546 57.629394) (xy 55.498749 57.706712) (xy 55.700367 57.706888) (xy 55.886704 57.629895) (xy 56.000072 57.516724)
+        (xy 56.083275 57.600072) (xy 55.970606 57.712546) (xy 55.893288 57.898749) (xy 55.893112 58.100367) (xy 55.970105 58.286704)
+        (xy 56.112546 58.429394) (xy 56.298749 58.506712) (xy 56.500367 58.506888) (xy 56.686704 58.429895) (xy 56.829394 58.287454)
+        (xy 56.906712 58.101251) (xy 56.906888 57.899633) (xy 56.906888 58.770628) (xy 56.901251 58.768288) (xy 56.699633 58.768112)
+        (xy 56.513296 58.845105) (xy 56.370606 58.987546) (xy 56.293288 59.173749) (xy 56.293112 59.375367) (xy 56.370105 59.561704)
+        (xy 56.512546 59.704394) (xy 56.698749 59.781712) (xy 56.900367 59.781888) (xy 57.086704 59.704895) (xy 57.229394 59.562454)
+        (xy 57.306712 59.376251) (xy 57.306888 59.174633) (xy 57.306888 61.495628) (xy 57.301251 61.493288) (xy 57.196766 61.493196)
+        (xy 57.202122 61.4803) (xy 57.202218 61.369625) (xy 57.202218 60.770185) (xy 57.159954 60.667898) (xy 57.081763 60.589571)
+        (xy 56.97955 60.547128) (xy 56.868875 60.547032) (xy 56.540533 60.547032) (xy 56.518764 60.494606) (xy 56.354621 60.330749)
+        (xy 56.206888 60.269707) (xy 56.140267 60.24218) (xy 56.070865 60.24228) (xy 56.049428 60.24228) (xy 56.129394 60.162454)
+        (xy 56.206712 59.976251) (xy 56.206888 59.774633) (xy 56.129895 59.588296) (xy 56.054289 59.512558) (xy 56.054394 59.512454)
+        (xy 56.131712 59.326251) (xy 56.131888 59.124633) (xy 56.054895 58.938296) (xy 55.912454 58.795606) (xy 55.726251 58.718288)
+        (xy 55.524633 58.718112) (xy 55.338296 58.795105) (xy 55.281733 58.851568) (xy 55.281888 58.674633) (xy 55.204895 58.488296)
+        (xy 55.062454 58.345606) (xy 54.876251 58.268288) (xy 54.674633 58.268112) (xy 54.488296 58.345105) (xy 54.345606 58.487546)
+        (xy 54.268288 58.673749) (xy 54.268112 58.875367) (xy 54.345105 59.061704) (xy 54.487546 59.204394) (xy 54.673749 59.281712)
+        (xy 54.875367 59.281888) (xy 55.061704 59.204895) (xy 55.118266 59.148431) (xy 55.118112 59.325367) (xy 55.195105 59.511704)
+        (xy 55.27071 59.587441) (xy 55.270606 59.587546) (xy 55.193288 59.773749) (xy 55.193112 59.975367) (xy 55.270105 60.161704)
+        (xy 55.397202 60.289023) (xy 55.296219 60.330749) (xy 55.132076 60.494606) (xy 55.043132 60.708806) (xy 55.04293 60.940737)
+        (xy 55.04303 60.82939) (xy 55.18878 60.97514) (xy 55.725725 60.97514) (xy 55.725725 60.946) (xy 55.925115 60.946)
+        (xy 55.925115 60.97514) (xy 56.00442 60.97514) (xy 56.00442 61.27486) (xy 55.925115 61.27486) (xy 55.925115 61.304)
+        (xy 55.725725 61.304) (xy 55.725725 61.27486) (xy 55.18878 61.27486) (xy 55.04303 61.42061) (xy 55.04293 61.309263)
+        (xy 55.043132 61.541194) (xy 55.048655 61.554495) (xy 54.901251 61.493288) (xy 54.699633 61.493112) (xy 54.513296 61.570105)
+        (xy 54.506888 61.576501) (xy 54.506888 60.999633) (xy 54.429895 60.813296) (xy 54.287454 60.670606) (xy 54.18282 60.627158)
+        (xy 54.18282 58.339427) (xy 54.18272 58.270025) (xy 54.03697 58.124275) (xy 53.44986 58.124275) (xy 53.44986 58.66122)
+        (xy 53.59561 58.80697) (xy 53.484263 58.80707) (xy 53.716194 58.806868) (xy 53.930394 58.717924) (xy 54.094251 58.553781)
+        (xy 54.18282 58.339427) (xy 54.18282 60.627158) (xy 54.101251 60.593288) (xy 53.899633 60.593112) (xy 53.713296 60.670105)
+        (xy 53.631888 60.75137) (xy 53.631888 60.374633) (xy 53.554895 60.188296) (xy 53.412454 60.045606) (xy 53.226251 59.968288)
+        (xy 53.15014 59.968221) (xy 53.15014 58.66122) (xy 53.15014 58.124275) (xy 52.56303 58.124275) (xy 52.41728 58.270025)
+        (xy 52.41718 58.339427) (xy 52.505749 58.553781) (xy 52.669606 58.717924) (xy 52.883806 58.806868) (xy 53.115737 58.80707)
+        (xy 53.00439 58.80697) (xy 53.15014 58.66122) (xy 53.15014 59.968221) (xy 53.024633 59.968112) (xy 52.866233 60.033561)
+        (xy 52.7803 59.997878) (xy 52.669625 59.997782) (xy 52.106888 59.997782) (xy 52.106888 57.899633) (xy 52.029895 57.713296)
+        (xy 51.887454 57.570606) (xy 51.701251 57.493288) (xy 51.499633 57.493112) (xy 51.313296 57.570105) (xy 51.170606 57.712546)
+        (xy 51.093288 57.898749) (xy 51.093112 58.100367) (xy 51.170105 58.286704) (xy 51.312546 58.429394) (xy 51.498749 58.506712)
+        (xy 51.700367 58.506888) (xy 51.886704 58.429895) (xy 52.029394 58.287454) (xy 52.106712 58.101251) (xy 52.106888 57.899633)
+        (xy 52.106888 59.997782) (xy 52.070185 59.997782) (xy 51.967898 60.040046) (xy 51.889571 60.118237) (xy 51.847128 60.22045)
+        (xy 51.847032 60.331125) (xy 51.847032 60.659466) (xy 51.794606 60.681236) (xy 51.630749 60.845379) (xy 51.54218 61.059733)
+        (xy 51.54228 61.129135) (xy 51.68803 61.274885) (xy 52.27514 61.274885) (xy 52.27514 61.19558) (xy 52.57486 61.19558)
+        (xy 52.57486 61.274885) (xy 53.16197 61.274885) (xy 53.30772 61.129135) (xy 53.30782 61.059733) (xy 53.268321 60.964139)
+        (xy 53.411704 60.904895) (xy 53.554394 60.762454) (xy 53.631712 60.576251) (xy 53.631888 60.374633) (xy 53.631888 60.75137)
+        (xy 53.570606 60.812546) (xy 53.493288 60.998749) (xy 53.493112 61.200367) (xy 53.570105 61.386704) (xy 53.712546 61.529394)
+        (xy 53.898749 61.606712) (xy 54.100367 61.606888) (xy 54.286704 61.529895) (xy 54.429394 61.387454) (xy 54.506712 61.201251)
+        (xy 54.506888 60.999633) (xy 54.506888 61.576501) (xy 54.370606 61.712546) (xy 54.293288 61.898749) (xy 54.293151 62.05502)
+        (xy 54.170379 62.105749) (xy 54.006236 62.269606) (xy 53.984452 62.322066) (xy 53.944715 62.322032) (xy 53.594755 62.322032)
+        (xy 53.629394 62.287454) (xy 53.706712 62.101251) (xy 53.706888 61.899633) (xy 53.629895 61.713296) (xy 53.487454 61.570606)
+        (xy 53.301251 61.493288) (xy 53.180877 61.493182) (xy 53.16197 61.474275) (xy 52.57486 61.474275) (xy 52.57486 62.01122)
+        (xy 52.713605 62.149965) (xy 52.716501 62.156973) (xy 52.609263 62.15707) (xy 52.716502 62.156976) (xy 52.770105 62.286704)
+        (xy 52.883275 62.400072) (xy 52.799927 62.483275) (xy 52.687454 62.370606) (xy 52.501251 62.293288) (xy 52.299633 62.293112)
+        (xy 52.27514 62.303232) (xy 52.27514 62.01122) (xy 52.27514 61.474275) (xy 51.68803 61.474275) (xy 51.54228 61.620025)
+        (xy 51.54218 61.689427) (xy 51.630749 61.903781) (xy 51.794606 62.067924) (xy 52.008806 62.156868) (xy 52.240737 62.15707)
+        (xy 52.12939 62.15697) (xy 52.27514 62.01122) (xy 52.27514 62.303232) (xy 52.141397 62.358493) (xy 52.134954 62.342898)
+        (xy 52.056763 62.264571) (xy 51.95455 62.222128) (xy 51.843875 62.222032) (xy 51.445095 62.222032) (xy 51.342808 62.264296)
+        (xy 51.264481 62.342487) (xy 51.250016 62.37732) (xy 51.235794 62.342898) (xy 51.204877 62.311927) (xy 51.229394 62.287454)
+        (xy 51.306712 62.101251) (xy 51.306888 61.899633) (xy 51.229895 61.713296) (xy 51.087454 61.570606) (xy 50.901251 61.493288)
+        (xy 50.699633 61.493112) (xy 50.513296 61.570105) (xy 50.437669 61.6456) (xy 50.317499 61.6456) (xy 50.285704 61.568648)
+        (xy 50.207513 61.490321) (xy 50.2032 61.48853) (xy 50.2032 60.95) (xy 50.170605 60.786135) (xy 50.077783 60.647217)
+        (xy 49.938865 60.554395) (xy 49.775 60.5218) (xy 49.7625 60.524286) (xy 49.75 60.5218) (xy 49.586135 60.554395)
+        (xy 49.447217 60.647217) (xy 49.354395 60.786135) (xy 49.3218 60.95) (xy 49.3218 61) (xy 49.3468 61.125682)
+        (xy 49.3468 61.467774) (xy 49.292898 61.490046) (xy 49.214571 61.568237) (xy 49.172128 61.67045) (xy 49.172032 61.781125)
+        (xy 49.172032 62.179905) (xy 49.214296 62.282192) (xy 49.292487 62.360519) (xy 49.32732 62.374983) (xy 49.292898 62.389206)
+        (xy 49.214571 62.467397) (xy 49.172128 62.56961) (xy 49.172032 62.680285) (xy 49.172032 63.079065) (xy 49.214296 63.181352)
+        (xy 49.2456 63.21271) (xy 49.2456 63.312682) (xy 49.170606 63.387546) (xy 49.125293 63.49667) (xy 49.114427 63.49218)
+        (xy 49.045025 63.49228) (xy 48.931888 63.605417) (xy 48.931888 61.874633) (xy 48.854895 61.688296) (xy 48.712454 61.545606)
+        (xy 48.526251 61.468288) (xy 48.324633 61.468112) (xy 48.138296 61.545105) (xy 47.995606 61.687546) (xy 47.918288 61.873749)
+        (xy 47.918112 62.075367) (xy 47.995105 62.261704) (xy 48.137546 62.404394) (xy 48.323749 62.481712) (xy 48.525367 62.481888)
+        (xy 48.711704 62.404895) (xy 48.854394 62.262454) (xy 48.931712 62.076251) (xy 48.931888 61.874633) (xy 48.931888 63.605417)
+        (xy 48.899275 63.63803) (xy 48.899275 64.22514) (xy 49.43622 64.22514) (xy 49.485252 64.176107) (xy 49.498749 64.181712)
+        (xy 49.582061 64.181784) (xy 49.58207 64.190737) (xy 49.582062 64.181784) (xy 49.617959 64.181816) (xy 49.61796 64.181816)
+        (xy 49.695523 64.181883) (xy 49.76378 64.25014) (xy 50.300725 64.25014) (xy 50.300725 63.66303) (xy 50.154975 63.51728)
+        (xy 50.085573 63.51718) (xy 50.083502 63.518035) (xy 50.029895 63.388296) (xy 49.9544 63.312669) (xy 49.9544 63.302218)
+        (xy 50.104815 63.302218) (xy 50.207102 63.259954) (xy 50.285429 63.181763) (xy 50.296791 63.1544) (xy 50.322782 63.1544)
+        (xy 50.322782 63.154815) (xy 50.365046 63.257102) (xy 50.443237 63.335429) (xy 50.54545 63.377872) (xy 50.656125 63.377968)
+        (xy 50.764202 63.377968) (xy 50.706391 63.517192) (xy 50.645865 63.51728) (xy 50.500115 63.66303) (xy 50.500115 64.25014)
+        (xy 50.57942 64.25014) (xy 50.57942 64.54986) (xy 50.500115 64.54986) (xy 50.500115 65.13697) (xy 50.645865 65.28272)
+        (xy 50.715267 65.28282) (xy 50.929621 65.194251) (xy 51.093764 65.030394) (xy 51.115547 64.977933) (xy 51.155285 64.977968)
+        (xy 51.36844 64.977968) (xy 51.318288 65.098749) (xy 51.318112 65.300367) (xy 51.395105 65.486704) (xy 51.537546 65.629394)
+        (xy 51.723749 65.706712) (xy 51.925367 65.706888) (xy 52.111704 65.629895) (xy 52.254394 65.487454) (xy 52.331712 65.301251)
+        (xy 52.331888 65.099633) (xy 52.254895 64.913296) (xy 52.112454 64.770606) (xy 51.926251 64.693288) (xy 51.777175 64.693157)
+        (xy 51.777218 64.644625) (xy 51.777218 64.045185) (xy 51.734954 63.942898) (xy 51.658807 63.866618) (xy 51.706712 63.751251)
+        (xy 51.706888 63.549633) (xy 51.635957 63.377968) (xy 51.933059 63.377968) (xy 51.893288 63.473749) (xy 51.893112 63.675367)
+        (xy 51.970105 63.861704) (xy 52.112546 64.004394) (xy 52.298749 64.081712) (xy 52.500367 64.081888) (xy 52.686704 64.004895)
+        (xy 52.829394 63.862454) (xy 52.906712 63.676251) (xy 52.906888 63.474633) (xy 52.829895 63.288296) (xy 52.729224 63.187449)
+        (xy 52.800072 63.116724) (xy 52.912546 63.229394) (xy 53.098749 63.306712) (xy 53.300367 63.306888) (xy 53.337891 63.291383)
+        (xy 53.365046 63.357102) (xy 53.443237 63.435429) (xy 53.54545 63.477872) (xy 53.656125 63.477968) (xy 53.984466 63.477968)
+        (xy 54.006236 63.530394) (xy 54.170379 63.694251) (xy 54.384733 63.78282) (xy 54.454135 63.78272) (xy 54.599885 63.63697)
+        (xy 54.599885 63.04986) (xy 54.52058 63.04986) (xy 54.52058 62.75014) (xy 54.599885 62.75014) (xy 54.599885 62.721)
+        (xy 54.799275 62.721) (xy 54.799275 62.75014) (xy 54.87858 62.75014) (xy 54.87858 63.04986) (xy 54.799275 63.04986)
+        (xy 54.799275 63.63697) (xy 54.945025 63.78272) (xy 55.014427 63.78282) (xy 55.228781 63.694251) (xy 55.392924 63.530394)
+        (xy 55.481868 63.316194) (xy 55.481882 63.299708) (xy 55.498749 63.306712) (xy 55.700367 63.306888) (xy 55.886704 63.229895)
+        (xy 56.000072 63.116724) (xy 56.112546 63.229394) (xy 56.298749 63.306712) (xy 56.500367 63.306888) (xy 56.686704 63.229895)
+        (xy 56.800072 63.116724) (xy 56.912546 63.229394) (xy 57.098749 63.306712) (xy 57.118421 63.306729) (xy 57.11718 63.309733)
+        (xy 57.11728 63.379135) (xy 57.26303 63.524885) (xy 57.85014 63.524885) (xy 57.85014 63.44558) (xy 58.14986 63.44558)
+        (xy 58.14986 63.524885) (xy 58.73697 63.524885) (xy 58.88272 63.379135) (xy 58.88282 63.309733) (xy 58.88282 63.939427)
+        (xy 58.88272 63.870025) (xy 58.73697 63.724275) (xy 58.14986 63.724275) (xy 58.14986 63.80358) (xy 57.85014 63.80358)
+        (xy 57.85014 63.724275) (xy 57.26303 63.724275) (xy 57.11728 63.870025) (xy 57.117195 63.92857) (xy 57.079895 63.838296)
+        (xy 56.937454 63.695606) (xy 56.751251 63.618288) (xy 56.549633 63.618112) (xy 56.363296 63.695105) (xy 56.337558 63.720797)
+        (xy 56.287454 63.670606) (xy 56.101251 63.593288) (xy 55.899633 63.593112) (xy 55.713296 63.670105) (xy 55.570606 63.812546)
+        (xy 55.495718 63.992895) (xy 55.376251 63.943288) (xy 55.174633 63.943112) (xy 54.988296 64.020105) (xy 54.845606 64.162546)
+        (xy 54.768288 64.348749) (xy 54.768112 64.550367) (xy 54.845105 64.736704) (xy 54.987546 64.879394) (xy 55.173749 64.956712)
+        (xy 55.375367 64.956888) (xy 55.561704 64.879895) (xy 55.704394 64.737454) (xy 55.779281 64.557104) (xy 55.898749 64.606712)
+        (xy 56.100367 64.606888) (xy 56.286704 64.529895) (xy 56.312441 64.504202) (xy 56.362546 64.554394) (xy 56.548749 64.631712)
+        (xy 56.750367 64.631888) (xy 56.936704 64.554895) (xy 57.079394 64.412454) (xy 57.156712 64.226251) (xy 57.156878 64.035505)
+        (xy 57.205749 64.153781) (xy 57.369606 64.317924) (xy 57.420055 64.338872) (xy 57.343288 64.523749) (xy 57.343112 64.725367)
+        (xy 57.420105 64.911704) (xy 57.562546 65.054394) (xy 57.748749 65.131712) (xy 57.950367 65.131888) (xy 58.136704 65.054895)
+        (xy 58.279394 64.912454) (xy 58.356712 64.726251) (xy 58.356888 64.524633) (xy 58.308267 64.406961) (xy 58.416194 64.406868)
+        (xy 58.630394 64.317924) (xy 58.794251 64.153781) (xy 58.88282 63.939427) (xy 58.88282 75.616384) (xy 58.829895 75.488296)
+        (xy 58.687454 75.345606) (xy 58.501251 75.268288) (xy 58.299633 75.268112) (xy 58.113296 75.345105) (xy 57.970606 75.487546)
+        (xy 57.893288 75.673749) (xy 57.893112 75.875367) (xy 57.970105 76.061704) (xy 58.112546 76.204394) (xy 58.143579 76.21728)
+        (xy 58.079975 76.21728) (xy 58.010573 76.21718) (xy 57.796219 76.305749) (xy 57.706761 76.395051) (xy 57.706888 76.249633)
+        (xy 57.629895 76.063296) (xy 57.487454 75.920606) (xy 57.301251 75.843288) (xy 57.248464 75.843241) (xy 57.329394 75.762454)
+        (xy 57.406712 75.576251) (xy 57.406888 75.374633) (xy 57.329895 75.188296) (xy 57.187454 75.045606) (xy 57.001251 74.968288)
+        (xy 56.799633 74.968112) (xy 56.613296 75.045105) (xy 56.581254 75.07709) (xy 56.554895 75.013296) (xy 56.412454 74.870606)
+        (xy 56.226251 74.793288) (xy 56.024633 74.793112) (xy 55.838296 74.870105) (xy 55.695606 75.012546) (xy 55.618288 75.198749)
+        (xy 55.618112 75.400367) (xy 55.695105 75.586704) (xy 55.780052 75.6718) (xy 55.546896 75.6718) (xy 55.534954 75.642898)
+        (xy 55.456763 75.564571) (xy 55.35455 75.522128) (xy 55.243875 75.522032) (xy 54.845095 75.522032) (xy 54.742808 75.564296)
+        (xy 54.664481 75.642487) (xy 54.650016 75.67732) (xy 54.635794 75.642898) (xy 54.557603 75.564571) (xy 54.45539 75.522128)
+        (xy 54.344715 75.522032) (xy 53.945935 75.522032) (xy 53.843648 75.564296) (xy 53.765321 75.642487) (xy 53.753149 75.6718)
+        (xy 53.456888 75.6718) (xy 53.456888 64.599633) (xy 53.379895 64.413296) (xy 53.237454 64.270606) (xy 53.051251 64.193288)
+        (xy 52.849633 64.193112) (xy 52.663296 64.270105) (xy 52.520606 64.412546) (xy 52.443288 64.598749) (xy 52.443112 64.800367)
+        (xy 52.520105 64.986704) (xy 52.662546 65.129394) (xy 52.674655 65.134422) (xy 52.588296 65.170105) (xy 52.445606 65.312546)
+        (xy 52.368288 65.498749) (xy 52.368112 65.700367) (xy 52.445105 65.886704) (xy 52.587546 66.029394) (xy 52.773749 66.106712)
+        (xy 52.975367 66.106888) (xy 53.161704 66.029895) (xy 53.304394 65.887454) (xy 53.381712 65.701251) (xy 53.381888 65.499633)
+        (xy 53.304895 65.313296) (xy 53.162454 65.170606) (xy 53.150344 65.165577) (xy 53.236704 65.129895) (xy 53.379394 64.987454)
+        (xy 53.456712 64.801251) (xy 53.456888 64.599633) (xy 53.456888 75.6718) (xy 53.45 75.6718) (xy 53.356888 75.690321)
+        (xy 53.356888 67.099633) (xy 53.279895 66.913296) (xy 53.137454 66.770606) (xy 52.951251 66.693288) (xy 52.749633 66.693112)
+        (xy 52.563296 66.770105) (xy 52.420606 66.912546) (xy 52.343288 67.098749) (xy 52.343112 67.300367) (xy 52.420105 67.486704)
+        (xy 52.562546 67.629394) (xy 52.748749 67.706712) (xy 52.950367 67.706888) (xy 53.136704 67.629895) (xy 53.279394 67.487454)
+        (xy 53.356712 67.301251) (xy 53.356888 67.099633) (xy 53.356888 75.690321) (xy 53.286135 75.704395) (xy 53.25707 75.723815)
+        (xy 53.25707 75.490737) (xy 53.256868 75.258806) (xy 53.167924 75.044606) (xy 53.003781 74.880749) (xy 52.789427 74.79218)
+        (xy 52.720025 74.79228) (xy 52.574275 74.93803) (xy 52.574275 75.52514) (xy 53.11122 75.52514) (xy 53.25697 75.37939)
+        (xy 53.25707 75.490737) (xy 53.25707 75.723815) (xy 53.147217 75.797217) (xy 53.115397 75.829037) (xy 53.11122 75.82486)
+        (xy 52.574275 75.82486) (xy 52.574275 76.41197) (xy 52.720025 76.55772) (xy 52.737479 76.557745) (xy 52.770105 76.636704)
+        (xy 52.826525 76.693222) (xy 52.699633 76.693112) (xy 52.513296 76.770105) (xy 52.374885 76.908274) (xy 52.374885 76.41197)
+        (xy 52.374885 75.82486) (xy 52.29558 75.82486) (xy 52.29558 75.52514) (xy 52.374885 75.52514) (xy 52.374885 74.93803)
+        (xy 52.229135 74.79228) (xy 52.159733 74.79218) (xy 51.945379 74.880749) (xy 51.781236 75.044606) (xy 51.759452 75.097066)
+        (xy 51.719715 75.097032) (xy 51.606888 75.097032) (xy 51.606888 65.899633) (xy 51.529895 65.713296) (xy 51.387454 65.570606)
+        (xy 51.201251 65.493288) (xy 50.999633 65.493112) (xy 50.813296 65.570105) (xy 50.670606 65.712546) (xy 50.593288 65.898749)
+        (xy 50.593112 66.100367) (xy 50.670105 66.286704) (xy 50.812546 66.429394) (xy 50.998749 66.506712) (xy 51.200367 66.506888)
+        (xy 51.386704 66.429895) (xy 51.529394 66.287454) (xy 51.606712 66.101251) (xy 51.606888 65.899633) (xy 51.606888 75.097032)
+        (xy 51.464693 75.097032) (xy 51.442924 75.044606) (xy 51.278781 74.880749) (xy 51.231888 74.861373) (xy 51.231888 73.899633)
+        (xy 51.154895 73.713296) (xy 51.012454 73.570606) (xy 50.856888 73.506009) (xy 50.856888 71.099633) (xy 50.779895 70.913296)
+        (xy 50.637454 70.770606) (xy 50.456888 70.695628) (xy 50.456888 69.899633) (xy 50.379895 69.713296) (xy 50.300725 69.633987)
+        (xy 50.300725 65.13697) (xy 50.300725 64.54986) (xy 49.76378 64.54986) (xy 49.61803 64.69561) (xy 49.61793 64.584263)
+        (xy 49.618132 64.816194) (xy 49.707076 65.030394) (xy 49.871219 65.194251) (xy 50.085573 65.28282) (xy 50.154975 65.28272)
+        (xy 50.300725 65.13697) (xy 50.300725 69.633987) (xy 50.237454 69.570606) (xy 50.051251 69.493288) (xy 49.849633 69.493112)
+        (xy 49.706888 69.552093) (xy 49.706888 66.299633) (xy 49.629895 66.113296) (xy 49.58207 66.065387) (xy 49.58207 64.559263)
+        (xy 49.58197 64.67061) (xy 49.43622 64.52486) (xy 48.899275 64.52486) (xy 48.899275 65.11197) (xy 49.045025 65.25772)
+        (xy 49.114427 65.25782) (xy 49.328781 65.169251) (xy 49.492924 65.005394) (xy 49.581868 64.791194) (xy 49.58207 64.559263)
+        (xy 49.58207 66.065387) (xy 49.487454 65.970606) (xy 49.301251 65.893288) (xy 49.099633 65.893112) (xy 48.913296 65.970105)
+        (xy 48.770606 66.112546) (xy 48.699885 66.282861) (xy 48.699885 65.11197) (xy 48.699885 64.52486) (xy 48.62058 64.52486)
+        (xy 48.62058 64.22514) (xy 48.699885 64.22514) (xy 48.699885 63.63803) (xy 48.554135 63.49228) (xy 48.484733 63.49218)
+        (xy 48.483379 63.492739) (xy 48.429895 63.363296) (xy 48.287454 63.220606) (xy 48.101251 63.143288) (xy 47.899633 63.143112)
+        (xy 47.713296 63.220105) (xy 47.570606 63.362546) (xy 47.493288 63.548749) (xy 47.493112 63.750367) (xy 47.533884 63.849042)
+        (xy 47.465321 63.917487) (xy 47.422878 64.0197) (xy 47.422782 64.130375) (xy 47.422782 64.729815) (xy 47.465046 64.832102)
+        (xy 47.543237 64.910429) (xy 47.64545 64.952872) (xy 47.756125 64.952968) (xy 48.084466 64.952968) (xy 48.106236 65.005394)
+        (xy 48.270379 65.169251) (xy 48.484733 65.25782) (xy 48.554135 65.25772) (xy 48.699885 65.11197) (xy 48.699885 66.282861)
+        (xy 48.693288 66.298749) (xy 48.693215 66.381474) (xy 48.601251 66.343288) (xy 48.399633 66.343112) (xy 48.213296 66.420105)
+        (xy 48.070606 66.562546) (xy 47.993288 66.748749) (xy 47.993112 66.950367) (xy 48.070105 67.136704) (xy 48.212546 67.279394)
+        (xy 48.398749 67.356712) (xy 48.600367 67.356888) (xy 48.786704 67.279895) (xy 48.929394 67.137454) (xy 49.006712 66.951251)
+        (xy 49.006784 66.868525) (xy 49.098749 66.906712) (xy 49.300367 66.906888) (xy 49.486704 66.829895) (xy 49.629394 66.687454)
+        (xy 49.706712 66.501251) (xy 49.706888 66.299633) (xy 49.706888 69.552093) (xy 49.663296 69.570105) (xy 49.520606 69.712546)
+        (xy 49.443288 69.898749) (xy 49.443112 70.100367) (xy 49.520105 70.286704) (xy 49.662546 70.429394) (xy 49.848749 70.506712)
+        (xy 50.050367 70.506888) (xy 50.236704 70.429895) (xy 50.379394 70.287454) (xy 50.456712 70.101251) (xy 50.456888 69.899633)
+        (xy 50.456888 70.695628) (xy 50.451251 70.693288) (xy 50.249633 70.693112) (xy 50.063296 70.770105) (xy 49.920606 70.912546)
+        (xy 49.843288 71.098749) (xy 49.843112 71.300367) (xy 49.920105 71.486704) (xy 49.962378 71.52905) (xy 49.876251 71.493288)
+        (xy 49.674633 71.493112) (xy 49.488296 71.570105) (xy 49.345606 71.712546) (xy 49.268288 71.898749) (xy 49.268112 72.100367)
+        (xy 49.345105 72.286704) (xy 49.487546 72.429394) (xy 49.673749 72.506712) (xy 49.875367 72.506888) (xy 50.061704 72.429895)
+        (xy 50.204394 72.287454) (xy 50.281712 72.101251) (xy 50.281888 71.899633) (xy 50.204895 71.713296) (xy 50.162621 71.670949)
+        (xy 50.248749 71.706712) (xy 50.450367 71.706888) (xy 50.636704 71.629895) (xy 50.779394 71.487454) (xy 50.856712 71.301251)
+        (xy 50.856888 71.099633) (xy 50.856888 73.506009) (xy 50.826251 73.493288) (xy 50.624633 73.493112) (xy 50.438296 73.570105)
+        (xy 50.295606 73.712546) (xy 50.218288 73.898749) (xy 50.218112 74.100367) (xy 50.295105 74.286704) (xy 50.437546 74.429394)
+        (xy 50.623749 74.506712) (xy 50.825367 74.506888) (xy 51.011704 74.429895) (xy 51.154394 74.287454) (xy 51.231712 74.101251)
+        (xy 51.231888 73.899633) (xy 51.231888 74.861373) (xy 51.064427 74.79218) (xy 50.995025 74.79228) (xy 50.849275 74.93803)
+        (xy 50.849275 75.52514) (xy 50.92858 75.52514) (xy 50.92858 75.82486) (xy 50.849275 75.82486) (xy 50.849275 76.41197)
+        (xy 50.995025 76.55772) (xy 51.064427 76.55782) (xy 51.126852 76.532026) (xy 51.170105 76.636704) (xy 51.312546 76.779394)
+        (xy 51.498749 76.856712) (xy 51.700367 76.856888) (xy 51.886704 76.779895) (xy 52.029394 76.637454) (xy 52.076705 76.523513)
+        (xy 52.159733 76.55782) (xy 52.229135 76.55772) (xy 52.374885 76.41197) (xy 52.374885 76.908274) (xy 52.370606 76.912546)
+        (xy 52.293288 77.098749) (xy 52.293112 77.300367) (xy 52.370105 77.486704) (xy 52.483275 77.600072) (xy 52.370606 77.712546)
+        (xy 52.293288 77.898749) (xy 52.293112 78.100367) (xy 52.370105 78.286704) (xy 52.512546 78.429394) (xy 52.698749 78.506712)
+        (xy 52.900367 78.506888) (xy 53.086704 78.429895) (xy 53.229394 78.287454) (xy 53.306712 78.101251) (xy 53.306888 77.899633)
+        (xy 53.229895 77.713296) (xy 53.116724 77.599927) (xy 53.229394 77.487454) (xy 53.306712 77.301251) (xy 53.306888 77.099633)
+        (xy 53.229895 76.913296) (xy 53.173474 76.856777) (xy 53.300367 76.856888) (xy 53.486704 76.779895) (xy 53.629394 76.637454)
+        (xy 53.67476 76.5282) (xy 53.753103 76.5282) (xy 53.765046 76.557102) (xy 53.843237 76.635429) (xy 53.94545 76.677872)
+        (xy 54.056125 76.677968) (xy 54.454905 76.677968) (xy 54.557192 76.635704) (xy 54.635519 76.557513) (xy 54.649983 76.522679)
+        (xy 54.664206 76.557102) (xy 54.742397 76.635429) (xy 54.84461 76.677872) (xy 54.955285 76.677968) (xy 55.354065 76.677968)
+        (xy 55.456352 76.635704) (xy 55.534679 76.557513) (xy 55.54685 76.5282) (xy 55.727273 76.5282) (xy 55.748425 76.634536)
+        (xy 55.81181 76.729399) (xy 55.713296 76.770105) (xy 55.683954 76.799395) (xy 55.6803 76.797878) (xy 55.569625 76.797782)
+        (xy 54.970185 76.797782) (xy 54.867898 76.840046) (xy 54.789571 76.918237) (xy 54.747128 77.02045) (xy 54.747032 77.131125)
+        (xy 54.747032 77.459466) (xy 54.694606 77.481236) (xy 54.530749 77.645379) (xy 54.44218 77.859733) (xy 54.44228 77.929135)
+        (xy 54.58803 78.074885) (xy 55.17514 78.074885) (xy 55.17514 77.99558) (xy 55.47486 77.99558) (xy 55.47486 78.074885)
+        (xy 56.06197 78.074885) (xy 56.20772 77.929135) (xy 56.20782 77.859733) (xy 56.138205 77.691253) (xy 56.286704 77.629895)
+        (xy 56.429394 77.487454) (xy 56.506712 77.301251) (xy 56.506888 77.099633) (xy 56.481041 77.037079) (xy 56.659536 77.001575)
+        (xy 56.87956 76.85456) (xy 56.92604 76.784997) (xy 57.098749 76.856712) (xy 57.226425 76.856823) (xy 57.170606 76.912546)
+        (xy 57.093288 77.098749) (xy 57.093112 77.300367) (xy 57.170105 77.486704) (xy 57.283275 77.600072) (xy 57.170606 77.712546)
+        (xy 57.093288 77.898749) (xy 57.093112 78.100367) (xy 57.170105 78.286704) (xy 57.312546 78.429394) (xy 57.422417 78.475016)
+        (xy 57.313296 78.520105) (xy 57.170606 78.662546) (xy 57.093288 78.848749) (xy 57.093112 79.050367) (xy 57.170105 79.236704)
+        (xy 57.312546 79.379394) (xy 57.498749 79.456712) (xy 57.700367 79.456888) (xy 57.886704 79.379895) (xy 58.029394 79.237454)
+        (xy 58.106712 79.051251) (xy 58.106888 78.849633) (xy 58.029895 78.663296) (xy 57.887454 78.520606) (xy 57.777582 78.474983)
+        (xy 57.886704 78.429895) (xy 58.000072 78.316724) (xy 58.112546 78.429394) (xy 58.298749 78.506712) (xy 58.500367 78.506888)
+        (xy 58.686704 78.429895) (xy 58.829394 78.287454) (xy 58.906712 78.101251) (xy 58.906888 77.899633) (xy 58.89005 77.858883)
+        (xy 59.018764 77.730394) (xy 59.040547 77.677933) (xy 59.080285 77.677968) (xy 59.479065 77.677968) (xy 59.581352 77.635704)
+        (xy 59.659679 77.557513) (xy 59.702122 77.4553) (xy 59.702218 77.344625) (xy 59.702218 76.856123) (xy 59.886704 76.779895)
+        (xy 60.029394 76.637454) (xy 60.074583 76.528626) (xy 60.084733 76.53282) (xy 60.154135 76.53272) (xy 60.299885 76.38697)
+        (xy 60.299885 76.728604) (xy 60.272128 76.79545) (xy 60.272032 76.906125) (xy 60.272032 77.234466) (xy 60.219606 77.256236)
+        (xy 60.055749 77.420379) (xy 59.96718 77.634733) (xy 59.96728 77.704135) (xy 60.11303 77.849885) (xy 60.70014 77.849885)
+        (xy 60.70014 77.77058) (xy 60.99986 77.77058) (xy 60.99986 77.849885) (xy 61.58697 77.849885) (xy 61.73272 77.704135)
+        (xy 61.73282 77.634733) (xy 61.644251 77.420379) (xy 61.480394 77.256236) (xy 61.427933 77.234452) (xy 61.427968 77.194715)
+        (xy 61.427968 77.078018) (xy 61.502783 77.003204) (xy 61.502783 77.003203) (xy 61.595605 76.864285) (xy 61.628199 76.700421)
+        (xy 61.6282 76.70042) (xy 61.6282 76.638645) (xy 61.629394 76.637454) (xy 61.698088 76.472019) (xy 61.784733 76.50782)
+        (xy 61.854135 76.50772) (xy 61.999885 76.36197) (xy 61.999885 80.708058) (xy 61.987454 80.695606) (xy 61.801251 80.618288)
+        (xy 61.73282 80.618228) (xy 61.73282 78.264427) (xy 61.73272 78.195025) (xy 61.58697 78.049275) (xy 60.99986 78.049275)
+        (xy 60.99986 78.12858) (xy 60.70014 78.12858) (xy 60.70014 78.049275) (xy 60.11303 78.049275) (xy 59.96728 78.195025)
+        (xy 59.96718 78.264427) (xy 60.055749 78.478781) (xy 60.219606 78.642924) (xy 60.318279 78.683896) (xy 60.318112 78.875367)
+        (xy 60.395105 79.061704) (xy 60.537546 79.204394) (xy 60.723749 79.281712) (xy 60.925367 79.281888) (xy 61.111704 79.204895)
+        (xy 61.254394 79.062454) (xy 61.331712 78.876251) (xy 61.331861 78.7046) (xy 61.480394 78.642924) (xy 61.644251 78.478781)
+        (xy 61.73282 78.264427) (xy 61.73282 80.618228) (xy 61.599633 80.618112) (xy 61.413296 80.695105) (xy 61.270606 80.837546)
+        (xy 61.193288 81.023749) (xy 61.193112 81.225367) (xy 61.270105 81.411704) (xy 61.412546 81.554394) (xy 61.598749 81.631712)
+        (xy 61.800367 81.631888) (xy 61.986704 81.554895) (xy 62.129394 81.412454) (xy 62.206712 81.226251) (xy 62.206888 81.024633)
+        (xy 62.206888 82.776501) (xy 62.070606 82.912546) (xy 61.993288 83.098749) (xy 61.993264 83.126179) (xy 61.931763 83.064571)
+        (xy 61.82955 83.022128) (xy 61.718875 83.022032) (xy 61.390533 83.022032) (xy 61.368764 82.969606) (xy 61.204621 82.805749)
+        (xy 60.990267 82.71718) (xy 60.981888 82.717192) (xy 60.981888 81.049633) (xy 60.904895 80.863296) (xy 60.762454 80.720606)
+        (xy 60.576251 80.643288) (xy 60.374633 80.643112) (xy 60.256888 80.691763) (xy 60.256888 79.024633) (xy 60.179895 78.838296)
+        (xy 60.037454 78.695606) (xy 59.851251 78.618288) (xy 59.649633 78.618112) (xy 59.463296 78.695105) (xy 59.320606 78.837546)
+        (xy 59.243288 79.023749) (xy 59.243112 79.225367) (xy 59.320105 79.411704) (xy 59.462546 79.554394) (xy 59.648749 79.631712)
+        (xy 59.850367 79.631888) (xy 60.036704 79.554895) (xy 60.179394 79.412454) (xy 60.256712 79.226251) (xy 60.256888 79.024633)
+        (xy 60.256888 80.691763) (xy 60.188296 80.720105) (xy 60.045606 80.862546) (xy 59.968288 81.048749) (xy 59.968112 81.250367)
+        (xy 60.045105 81.436704) (xy 60.187546 81.579394) (xy 60.373749 81.656712) (xy 60.575367 81.656888) (xy 60.761704 81.579895)
+        (xy 60.904394 81.437454) (xy 60.981712 81.251251) (xy 60.981888 81.049633) (xy 60.981888 82.717192) (xy 60.920865 82.71728)
+        (xy 60.775115 82.86303) (xy 60.775115 83.45014) (xy 60.85442 83.45014) (xy 60.85442 83.74986) (xy 60.775115 83.74986)
+        (xy 60.775115 84.33697) (xy 60.920865 84.48272) (xy 60.990267 84.48282) (xy 61.204621 84.394251) (xy 61.368764 84.230394)
+        (xy 61.390547 84.177933) (xy 61.430285 84.177968) (xy 61.829065 84.177968) (xy 61.931352 84.135704) (xy 62.009679 84.057513)
+        (xy 62.042612 83.9782) (xy 62.1 83.9782) (xy 62.244731 83.949411) (xy 62.367428 83.867428) (xy 62.52803 83.706824)
+        (xy 62.600367 83.706888) (xy 62.670813 83.67778) (xy 62.685686 83.67778) (xy 62.742487 83.734679) (xy 62.8447 83.777122)
+        (xy 62.955375 83.777218) (xy 63.554815 83.777218) (xy 63.657102 83.734954) (xy 63.735429 83.656763) (xy 63.777872 83.55455)
+        (xy 63.777968 83.443875) (xy 63.777968 83.115533) (xy 63.830394 83.093764) (xy 63.994251 82.929621) (xy 64.08282 82.715267)
+        (xy 64.08282 85.3206) (xy 60.575725 85.3206) (xy 60.575725 84.33697) (xy 60.575725 83.74986) (xy 60.575725 83.45014)
+        (xy 60.575725 82.86303) (xy 60.429975 82.71728) (xy 60.360573 82.71718) (xy 60.146219 82.805749) (xy 59.982076 82.969606)
+        (xy 59.893132 83.183806) (xy 59.89293 83.415737) (xy 59.89303 83.30439) (xy 60.03878 83.45014) (xy 60.575725 83.45014)
+        (xy 60.575725 83.74986) (xy 60.03878 83.74986) (xy 59.931909 83.85673) (xy 59.931868 83.808806) (xy 59.842924 83.594606)
+        (xy 59.706888 83.458807) (xy 59.706888 81.899633) (xy 59.629895 81.713296) (xy 59.531888 81.615117) (xy 59.531888 80.574633)
+        (xy 59.454895 80.388296) (xy 59.312454 80.245606) (xy 59.126251 80.168288) (xy 58.924633 80.168112) (xy 58.738296 80.245105)
+        (xy 58.595606 80.387546) (xy 58.518288 80.573749) (xy 58.518112 80.775367) (xy 58.595105 80.961704) (xy 58.737546 81.104394)
+        (xy 58.923749 81.181712) (xy 59.125367 81.181888) (xy 59.311704 81.104895) (xy 59.454394 80.962454) (xy 59.531712 80.776251)
+        (xy 59.531888 80.574633) (xy 59.531888 81.615117) (xy 59.487454 81.570606) (xy 59.301251 81.493288) (xy 59.099633 81.493112)
+        (xy 58.913296 81.570105) (xy 58.770606 81.712546) (xy 58.693288 81.898749) (xy 58.693112 82.100367) (xy 58.770105 82.286704)
+        (xy 58.912546 82.429394) (xy 59.098749 82.506712) (xy 59.300367 82.506888) (xy 59.486704 82.429895) (xy 59.629394 82.287454)
+        (xy 59.706712 82.101251) (xy 59.706888 81.899633) (xy 59.706888 83.458807) (xy 59.678781 83.430749) (xy 59.464427 83.34218)
+        (xy 59.395025 83.34228) (xy 59.249275 83.48803) (xy 59.249275 84.07514) (xy 59.78622 84.07514) (xy 59.89309 83.968269)
+        (xy 59.893132 84.016194) (xy 59.982076 84.230394) (xy 60.146219 84.394251) (xy 60.360573 84.48282) (xy 60.429975 84.48272)
+        (xy 60.575725 84.33697) (xy 60.575725 85.3206) (xy 59.93207 85.3206) (xy 59.93207 84.409263) (xy 59.93197 84.52061)
+        (xy 59.78622 84.37486) (xy 59.249275 84.37486) (xy 59.249275 84.96197) (xy 59.395025 85.10772) (xy 59.464427 85.10782)
+        (xy 59.678781 85.019251) (xy 59.842924 84.855394) (xy 59.931868 84.641194) (xy 59.93207 84.409263) (xy 59.93207 85.3206)
+        (xy 59.049885 85.3206) (xy 59.049885 84.96197) (xy 59.049885 84.37486) (xy 58.97058 84.37486) (xy 58.97058 84.07514)
+        (xy 59.049885 84.07514) (xy 59.049885 83.48803) (xy 58.906888 83.345033) (xy 58.906888 82.699633) (xy 58.829895 82.513296)
+        (xy 58.687454 82.370606) (xy 58.506888 82.295628) (xy 58.506888 80.524633) (xy 58.429895 80.338296) (xy 58.287454 80.195606)
+        (xy 58.101251 80.118288) (xy 57.899633 80.118112) (xy 57.713296 80.195105) (xy 57.570606 80.337546) (xy 57.493288 80.523749)
+        (xy 57.493112 80.725367) (xy 57.570105 80.911704) (xy 57.712546 81.054394) (xy 57.898749 81.131712) (xy 58.100367 81.131888)
+        (xy 58.286704 81.054895) (xy 58.429394 80.912454) (xy 58.506712 80.726251) (xy 58.506888 80.524633) (xy 58.506888 82.295628)
+        (xy 58.501251 82.293288) (xy 58.299633 82.293112) (xy 58.113296 82.370105) (xy 57.970606 82.512546) (xy 57.893288 82.698749)
+        (xy 57.893112 82.900367) (xy 57.970105 83.086704) (xy 58.112546 83.229394) (xy 58.298749 83.306712) (xy 58.500367 83.306888)
+        (xy 58.686704 83.229895) (xy 58.829394 83.087454) (xy 58.906712 82.901251) (xy 58.906888 82.699633) (xy 58.906888 83.345033)
+        (xy 58.904135 83.34228) (xy 58.834733 83.34218) (xy 58.620379 83.430749) (xy 58.456236 83.594606) (xy 58.434452 83.647066)
+        (xy 58.394715 83.647032) (xy 58.281887 83.647032) (xy 58.106824 83.471969) (xy 58.106888 83.399633) (xy 58.029895 83.213296)
+        (xy 57.887454 83.070606) (xy 57.701251 82.993288) (xy 57.499633 82.993112) (xy 57.313296 83.070105) (xy 57.306888 83.076501)
+        (xy 57.306888 82.699633) (xy 57.229895 82.513296) (xy 57.116724 82.399927) (xy 57.229394 82.287454) (xy 57.306712 82.101251)
+        (xy 57.306888 81.899633) (xy 57.229895 81.713296) (xy 57.087454 81.570606) (xy 56.901251 81.493288) (xy 56.699633 81.493112)
+        (xy 56.689166 81.497436) (xy 56.829394 81.357454) (xy 56.906712 81.171251) (xy 56.906888 80.969633) (xy 56.829895 80.783296)
+        (xy 56.687454 80.640606) (xy 56.501251 80.563288) (xy 56.299633 80.563112) (xy 56.20782 80.601048) (xy 56.20782 78.489427)
+        (xy 56.20772 78.420025) (xy 56.06197 78.274275) (xy 55.47486 78.274275) (xy 55.47486 78.81122) (xy 55.62061 78.95697)
+        (xy 55.509263 78.95707) (xy 55.741194 78.956868) (xy 55.955394 78.867924) (xy 56.119251 78.703781) (xy 56.20782 78.489427)
+        (xy 56.20782 80.601048) (xy 56.113296 80.640105) (xy 55.970606 80.782546) (xy 55.893288 80.968749) (xy 55.893112 81.170367)
+        (xy 55.970105 81.356704) (xy 56.035318 81.422032) (xy 55.745095 81.422032) (xy 55.642808 81.464296) (xy 55.564481 81.542487)
+        (xy 55.550016 81.57732) (xy 55.535794 81.542898) (xy 55.517333 81.524405) (xy 55.579394 81.462454) (xy 55.656712 81.276251)
+        (xy 55.656888 81.074633) (xy 55.579895 80.888296) (xy 55.437454 80.745606) (xy 55.251251 80.668288) (xy 55.17514 80.668221)
+        (xy 55.17514 78.81122) (xy 55.17514 78.274275) (xy 54.58803 78.274275) (xy 54.44228 78.420025) (xy 54.44218 78.489427)
+        (xy 54.530749 78.703781) (xy 54.694606 78.867924) (xy 54.908806 78.956868) (xy 55.140737 78.95707) (xy 55.02939 78.95697)
+        (xy 55.17514 78.81122) (xy 55.17514 80.668221) (xy 55.049633 80.668112) (xy 54.863296 80.745105) (xy 54.76247 80.845754)
+        (xy 54.687454 80.770606) (xy 54.501251 80.693288) (xy 54.299633 80.693112) (xy 54.113296 80.770105) (xy 53.970606 80.912546)
+        (xy 53.893288 81.098749) (xy 53.893112 81.300367) (xy 53.970105 81.486704) (xy 54.083275 81.600072) (xy 53.970606 81.712546)
+        (xy 53.893288 81.898749) (xy 53.893112 82.100367) (xy 53.970105 82.286704) (xy 54.112546 82.429394) (xy 54.298749 82.506712)
+        (xy 54.500367 82.506888) (xy 54.658602 82.441506) (xy 54.665046 82.457102) (xy 54.743237 82.535429) (xy 54.84545 82.577872)
+        (xy 54.956125 82.577968) (xy 55.354905 82.577968) (xy 55.457192 82.535704) (xy 55.535519 82.457513) (xy 55.549983 82.422679)
+        (xy 55.564206 82.457102) (xy 55.595122 82.488072) (xy 55.570606 82.512546) (xy 55.493288 82.698749) (xy 55.493112 82.900367)
+        (xy 55.544764 83.025374) (xy 55.464427 82.99218) (xy 55.395025 82.99228) (xy 55.249275 83.13803) (xy 55.249275 83.72514)
+        (xy 55.78622 83.72514) (xy 55.93197 83.57939) (xy 55.93207 83.690737) (xy 55.931868 83.458806) (xy 55.862454 83.291641)
+        (xy 55.898749 83.306712) (xy 56.100367 83.306888) (xy 56.286704 83.229895) (xy 56.400072 83.116724) (xy 56.512546 83.229394)
+        (xy 56.698749 83.306712) (xy 56.900367 83.306888) (xy 57.086704 83.229895) (xy 57.229394 83.087454) (xy 57.306712 82.901251)
+        (xy 57.306888 82.699633) (xy 57.306888 83.076501) (xy 57.170606 83.212546) (xy 57.093288 83.398749) (xy 57.093112 83.600367)
+        (xy 57.170105 83.786704) (xy 57.312546 83.929394) (xy 57.498749 84.006712) (xy 57.57192 84.006775) (xy 57.772782 84.207637)
+        (xy 57.772782 84.579815) (xy 57.815046 84.682102) (xy 57.893237 84.760429) (xy 57.99545 84.802872) (xy 58.106125 84.802968)
+        (xy 58.434466 84.802968) (xy 58.456236 84.855394) (xy 58.620379 85.019251) (xy 58.834733 85.10782) (xy 58.904135 85.10772)
+        (xy 59.049885 84.96197) (xy 59.049885 85.3206) (xy 58.425 85.3206) (xy 58.289377 85.347577) (xy 58.174401 85.424401)
+        (xy 57.55795 86.040851) (xy 55.93207 86.026494) (xy 55.93207 84.059263) (xy 55.93197 84.17061) (xy 55.78622 84.02486)
+        (xy 55.249275 84.02486) (xy 55.249275 84.61197) (xy 55.395025 84.75772) (xy 55.464427 84.75782) (xy 55.678781 84.669251)
+        (xy 55.842924 84.505394) (xy 55.931868 84.291194) (xy 55.93207 84.059263) (xy 55.93207 86.026494) (xy 55.049885 86.018705)
+        (xy 55.049885 84.61197) (xy 55.049885 84.02486) (xy 54.97058 84.02486) (xy 54.97058 83.72514) (xy 55.049885 83.72514)
+        (xy 55.049885 83.13803) (xy 54.904135 82.99228) (xy 54.834733 82.99218) (xy 54.620379 83.080749) (xy 54.456236 83.244606)
+        (xy 54.434452 83.297066) (xy 54.394715 83.297032) (xy 53.995935 83.297032) (xy 53.893648 83.339296) (xy 53.815321 83.417487)
+        (xy 53.782387 83.4968) (xy 53.685057 83.4968) (xy 53.629895 83.363296) (xy 53.573474 83.306777) (xy 53.700367 83.306888)
+        (xy 53.886704 83.229895) (xy 54.029394 83.087454) (xy 54.106712 82.901251) (xy 54.106888 82.699633) (xy 54.029895 82.513296)
+        (xy 53.887454 82.370606) (xy 53.701251 82.293288) (xy 53.499633 82.293112) (xy 53.313296 82.370105) (xy 53.2282 82.455052)
+        (xy 53.2282 82.288645) (xy 53.229394 82.287454) (xy 53.306712 82.101251) (xy 53.306888 81.899633) (xy 53.229895 81.713296)
+        (xy 53.087454 81.570606) (xy 53.037937 81.550044) (xy 53.086704 81.529895) (xy 53.229394 81.387454) (xy 53.306712 81.201251)
+        (xy 53.306888 80.999633) (xy 53.229895 80.813296) (xy 53.087454 80.670606) (xy 52.901251 80.593288) (xy 52.699633 80.593112)
+        (xy 52.513296 80.670105) (xy 52.370606 80.812546) (xy 52.293288 80.998749) (xy 52.293112 81.200367) (xy 52.370105 81.386704)
+        (xy 52.512546 81.529394) (xy 52.562062 81.549955) (xy 52.513296 81.570105) (xy 52.399927 81.683275) (xy 52.287454 81.570606)
+        (xy 52.101251 81.493288) (xy 51.899633 81.493112) (xy 51.713296 81.570105) (xy 51.570606 81.712546) (xy 51.493288 81.898749)
+        (xy 51.493112 82.100367) (xy 51.542223 82.219225) (xy 51.493648 82.239296) (xy 51.415321 82.317487) (xy 51.372878 82.4197)
+        (xy 51.372782 82.530375) (xy 51.372782 82.647072) (xy 51.297217 82.722637) (xy 51.204395 82.861555) (xy 51.1718 83.02542)
+        (xy 51.1718 83.361354) (xy 51.170606 83.362546) (xy 51.093288 83.548749) (xy 51.093256 83.585081) (xy 50.989427 83.54218)
+        (xy 50.920025 83.54228) (xy 50.774275 83.68803) (xy 50.774275 84.27514) (xy 51.31122 84.27514) (xy 51.449922 84.136437)
+        (xy 51.456978 84.139367) (xy 51.45707 84.240737) (xy 51.456981 84.139368) (xy 51.498749 84.156712) (xy 51.642981 84.156837)
+        (xy 51.642982 84.156837) (xy 51.695523 84.156883) (xy 51.78878 84.25014) (xy 52.325725 84.25014) (xy 52.325725 83.66303)
+        (xy 52.179975 83.51728) (xy 52.110573 83.51718) (xy 52.095971 83.523213) (xy 52.029895 83.363296) (xy 52.0282 83.361598)
+        (xy 52.0282 83.352968) (xy 52.104905 83.352968) (xy 52.207192 83.310704) (xy 52.285519 83.232513) (xy 52.299983 83.197679)
+        (xy 52.314206 83.232102) (xy 52.392397 83.310429) (xy 52.49461 83.352872) (xy 52.605285 83.352968) (xy 52.7802 83.352968)
+        (xy 52.770606 83.362546) (xy 52.706376 83.517228) (xy 52.670865 83.51728) (xy 52.525115 83.66303) (xy 52.525115 84.25014)
+        (xy 52.60442 84.25014) (xy 52.60442 84.54986) (xy 52.525115 84.54986) (xy 52.525115 85.13697) (xy 52.670865 85.28272)
+        (xy 52.740267 85.28282) (xy 52.954621 85.194251) (xy 53.118764 85.030394) (xy 53.140547 84.977933) (xy 53.180285 84.977968)
+        (xy 53.579065 84.977968) (xy 53.681352 84.935704) (xy 53.759679 84.857513) (xy 53.802122 84.7553) (xy 53.802218 84.644625)
+        (xy 53.802218 84.301055) (xy 53.815046 84.332102) (xy 53.893237 84.410429) (xy 53.99545 84.452872) (xy 54.106125 84.452968)
+        (xy 54.434466 84.452968) (xy 54.456236 84.505394) (xy 54.620379 84.669251) (xy 54.834733 84.75782) (xy 54.904135 84.75772)
+        (xy 55.049885 84.61197) (xy 55.049885 86.018705) (xy 53.259512 86.002896) (xy 53.136203 85.879587) (xy 53.054672 85.961117)
+        (xy 53.025992 85.846501) (xy 52.592364 85.723502) (xy 52.325725 85.754655) (xy 52.325725 85.13697) (xy 52.325725 84.54986)
+        (xy 51.78878 84.54986) (xy 51.64303 84.69561) (xy 51.64293 84.584263) (xy 51.643132 84.816194) (xy 51.732076 85.030394)
+        (xy 51.896219 85.194251) (xy 52.110573 85.28282) (xy 52.179975 85.28272) (xy 52.325725 85.13697) (xy 52.325725 85.754655)
+        (xy 52.144674 85.775809) (xy 51.974008 85.846501) (xy 51.924098 86.045954) (xy 52.446967 86.568823) (xy 52.5 86.621856)
+        (xy 52.753144 86.875) (xy 52.5 87.128144) (xy 52.446967 87.181177) (xy 52.246856 87.381288) (xy 52.246856 86.875)
+        (xy 51.670954 86.299098) (xy 51.471501 86.349008) (xy 51.45707 86.399883) (xy 51.45707 84.609263) (xy 51.45697 84.72061)
+        (xy 51.31122 84.57486) (xy 50.774275 84.57486) (xy 50.774275 85.16197) (xy 50.920025 85.30772) (xy 50.989427 85.30782)
+        (xy 51.203781 85.219251) (xy 51.367924 85.055394) (xy 51.456868 84.841194) (xy 51.45707 84.609263) (xy 51.45707 86.399883)
+        (xy 51.348502 86.782636) (xy 51.400809 87.230326) (xy 51.471501 87.400992) (xy 51.670954 87.450902) (xy 52.246856 86.875)
+        (xy 52.246856 87.381288) (xy 51.924098 87.704046) (xy 51.974008 87.903499) (xy 52.407636 88.026498) (xy 52.855326 87.974191)
+        (xy 53.025992 87.903499) (xy 53.05066 87.804918) (xy 53.123276 91) (xy 50.649885 91) (xy 50.649885 76.41197)
+        (xy 50.649885 75.82486) (xy 50.57058 75.82486) (xy 50.57058 75.52514) (xy 50.649885 75.52514) (xy 50.649885 74.93803)
+        (xy 50.504135 74.79228) (xy 50.434733 74.79218) (xy 50.220379 74.880749) (xy 50.056236 75.044606) (xy 50.034452 75.097066)
+        (xy 49.994715 75.097032) (xy 49.595935 75.097032) (xy 49.493648 75.139296) (xy 49.415321 75.217487) (xy 49.372878 75.3197)
+        (xy 49.372782 75.430375) (xy 49.372782 76.029815) (xy 49.415046 76.132102) (xy 49.42222 76.139288) (xy 49.42222 76.20042)
+        (xy 49.454815 76.364285) (xy 49.493137 76.421638) (xy 49.493112 76.450367) (xy 49.570105 76.636704) (xy 49.712546 76.779394)
+        (xy 49.898749 76.856712) (xy 50.100367 76.856888) (xy 50.286704 76.779895) (xy 50.429394 76.637454) (xy 50.462477 76.55778)
+        (xy 50.504135 76.55772) (xy 50.649885 76.41197) (xy 50.649885 91) (xy 50.574885 91) (xy 50.574885 85.16197)
+        (xy 50.574885 84.57486) (xy 50.49558 84.57486) (xy 50.49558 84.27514) (xy 50.574885 84.27514) (xy 50.574885 83.68803)
+        (xy 50.429135 83.54228) (xy 50.359733 83.54218) (xy 50.145379 83.630749) (xy 50.106739 83.66932) (xy 50.106888 83.499633)
+        (xy 50.029895 83.313296) (xy 49.887454 83.170606) (xy 49.701251 83.093288) (xy 49.499633 83.093112) (xy 49.356888 83.152093)
+        (xy 49.356888 81.499633) (xy 49.281888 81.318119) (xy 49.281888 75.599633) (xy 49.204895 75.413296) (xy 49.062454 75.270606)
+        (xy 48.876251 75.193288) (xy 48.731888 75.193161) (xy 48.731888 74.299633) (xy 48.654895 74.113296) (xy 48.512454 73.970606)
+        (xy 48.346888 73.901857) (xy 48.346888 71.099633) (xy 48.269895 70.913296) (xy 48.127454 70.770606) (xy 47.941251 70.693288)
+        (xy 47.739633 70.693112) (xy 47.553296 70.770105) (xy 47.410606 70.912546) (xy 47.406888 70.921499) (xy 47.406888 68.699633)
+        (xy 47.329895 68.513296) (xy 47.187454 68.370606) (xy 47.001251 68.293288) (xy 46.799633 68.293112) (xy 46.681888 68.341763)
+        (xy 46.681888 60.299633) (xy 46.604895 60.113296) (xy 46.556888 60.065205) (xy 46.556888 56.749633) (xy 46.479895 56.563296)
+        (xy 46.337454 56.420606) (xy 46.151251 56.343288) (xy 46.081888 56.343227) (xy 46.081888 55.499633) (xy 46.004895 55.313296)
+        (xy 45.862454 55.170606) (xy 45.676251 55.093288) (xy 45.474633 55.093112) (xy 45.288296 55.170105) (xy 45.145606 55.312546)
+        (xy 45.068288 55.498749) (xy 45.068112 55.700367) (xy 45.145105 55.886704) (xy 45.287546 56.029394) (xy 45.473749 56.106712)
+        (xy 45.675367 56.106888) (xy 45.861704 56.029895) (xy 46.004394 55.887454) (xy 46.081712 55.701251) (xy 46.081888 55.499633)
+        (xy 46.081888 56.343227) (xy 45.949633 56.343112) (xy 45.763296 56.420105) (xy 45.620606 56.562546) (xy 45.543288 56.748749)
+        (xy 45.543112 56.950367) (xy 45.620105 57.136704) (xy 45.762546 57.279394) (xy 45.948749 57.356712) (xy 46.150367 57.356888)
+        (xy 46.336704 57.279895) (xy 46.479394 57.137454) (xy 46.556712 56.951251) (xy 46.556888 56.749633) (xy 46.556888 60.065205)
+        (xy 46.462454 59.970606) (xy 46.276251 59.893288) (xy 46.074633 59.893112) (xy 45.888296 59.970105) (xy 45.745606 60.112546)
+        (xy 45.668288 60.298749) (xy 45.668112 60.500367) (xy 45.745105 60.686704) (xy 45.887546 60.829394) (xy 46.073749 60.906712)
+        (xy 46.275367 60.906888) (xy 46.461704 60.829895) (xy 46.604394 60.687454) (xy 46.681712 60.501251) (xy 46.681888 60.299633)
+        (xy 46.681888 68.341763) (xy 46.613296 68.370105) (xy 46.470606 68.512546) (xy 46.393288 68.698749) (xy 46.393112 68.900367)
+        (xy 46.470105 69.086704) (xy 46.612546 69.229394) (xy 46.798749 69.306712) (xy 47.000367 69.306888) (xy 47.186704 69.229895)
+        (xy 47.329394 69.087454) (xy 47.406712 68.901251) (xy 47.406888 68.699633) (xy 47.406888 70.921499) (xy 47.333288 71.098749)
+        (xy 47.333112 71.300367) (xy 47.410105 71.486704) (xy 47.552546 71.629394) (xy 47.738749 71.706712) (xy 47.940367 71.706888)
+        (xy 48.126704 71.629895) (xy 48.269394 71.487454) (xy 48.346712 71.301251) (xy 48.346888 71.099633) (xy 48.346888 73.901857)
+        (xy 48.326251 73.893288) (xy 48.124633 73.893112) (xy 47.938296 73.970105) (xy 47.795606 74.112546) (xy 47.718288 74.298749)
+        (xy 47.718112 74.500367) (xy 47.795105 74.686704) (xy 47.937546 74.829394) (xy 48.123749 74.906712) (xy 48.325367 74.906888)
+        (xy 48.511704 74.829895) (xy 48.654394 74.687454) (xy 48.731712 74.501251) (xy 48.731888 74.299633) (xy 48.731888 75.193161)
+        (xy 48.674633 75.193112) (xy 48.488296 75.270105) (xy 48.345606 75.412546) (xy 48.291803 75.542116) (xy 48.279895 75.513296)
+        (xy 48.137454 75.370606) (xy 47.951251 75.293288) (xy 47.749633 75.293112) (xy 47.563296 75.370105) (xy 47.420606 75.512546)
+        (xy 47.343288 75.698749) (xy 47.343112 75.900367) (xy 47.420105 76.086704) (xy 47.562546 76.229394) (xy 47.748749 76.306712)
+        (xy 47.950367 76.306888) (xy 48.136704 76.229895) (xy 48.279394 76.087454) (xy 48.333196 75.957883) (xy 48.345105 75.986704)
+        (xy 48.487546 76.129394) (xy 48.673749 76.206712) (xy 48.875367 76.206888) (xy 49.061704 76.129895) (xy 49.204394 75.987454)
+        (xy 49.281712 75.801251) (xy 49.281888 75.599633) (xy 49.281888 81.318119) (xy 49.279895 81.313296) (xy 49.137454 81.170606)
+        (xy 49.056888 81.137152) (xy 49.056888 77.174633) (xy 48.979895 76.988296) (xy 48.837454 76.845606) (xy 48.651251 76.768288)
+        (xy 48.449633 76.768112) (xy 48.263296 76.845105) (xy 48.120606 76.987546) (xy 48.043288 77.173749) (xy 48.043112 77.375367)
+        (xy 48.120105 77.561704) (xy 48.262546 77.704394) (xy 48.448749 77.781712) (xy 48.650367 77.781888) (xy 48.836704 77.704895)
+        (xy 48.979394 77.562454) (xy 49.056712 77.376251) (xy 49.056888 77.174633) (xy 49.056888 81.137152) (xy 48.951251 81.093288)
+        (xy 48.749633 81.093112) (xy 48.563296 81.170105) (xy 48.420606 81.312546) (xy 48.343288 81.498749) (xy 48.343112 81.700367)
+        (xy 48.420105 81.886704) (xy 48.562546 82.029394) (xy 48.748749 82.106712) (xy 48.950367 82.106888) (xy 49.136704 82.029895)
+        (xy 49.279394 81.887454) (xy 49.356712 81.701251) (xy 49.356888 81.499633) (xy 49.356888 83.152093) (xy 49.313296 83.170105)
+        (xy 49.170606 83.312546) (xy 49.093288 83.498749) (xy 49.093112 83.700367) (xy 49.170105 83.886704) (xy 49.1718 83.888401)
+        (xy 49.1718 84.24958) (xy 49.204395 84.413445) (xy 49.297217 84.552363) (xy 49.297782 84.552928) (xy 49.297782 84.779815)
+        (xy 49.340046 84.882102) (xy 49.418237 84.960429) (xy 49.52045 85.002872) (xy 49.631125 85.002968) (xy 49.959466 85.002968)
+        (xy 49.981236 85.055394) (xy 50.145379 85.219251) (xy 50.359733 85.30782) (xy 50.429135 85.30772) (xy 50.574885 85.16197)
+        (xy 50.574885 91) (xy 48.331888 91) (xy 48.331888 80.374633) (xy 48.254895 80.188296) (xy 48.112454 80.045606)
+        (xy 47.926251 79.968288) (xy 47.724633 79.968112) (xy 47.538296 80.045105) (xy 47.395606 80.187546) (xy 47.318288 80.373749)
+        (xy 47.318112 80.575367) (xy 47.395105 80.761704) (xy 47.537546 80.904394) (xy 47.723749 80.981712) (xy 47.925367 80.981888)
+        (xy 48.111704 80.904895) (xy 48.254394 80.762454) (xy 48.331712 80.576251) (xy 48.331888 80.374633) (xy 48.331888 91)
+        (xy 47.506888 91) (xy 47.506888 81.999633) (xy 47.429895 81.813296) (xy 47.287454 81.670606) (xy 47.101251 81.593288)
+        (xy 46.899633 81.593112) (xy 46.713296 81.670105) (xy 46.570606 81.812546) (xy 46.556888 81.845582) (xy 46.556888 71.924633)
+        (xy 46.479895 71.738296) (xy 46.337454 71.595606) (xy 46.151251 71.518288) (xy 45.956888 71.518118) (xy 45.956888 70.299633)
+        (xy 45.879895 70.113296) (xy 45.737454 69.970606) (xy 45.551251 69.893288) (xy 45.406888 69.893161) (xy 45.406888 56.559633)
+        (xy 45.329895 56.373296) (xy 45.187454 56.230606) (xy 45.001251 56.153288) (xy 44.799633 56.153112) (xy 44.613296 56.230105)
+        (xy 44.470606 56.372546) (xy 44.393288 56.558749) (xy 44.393112 56.760367) (xy 44.470105 56.946704) (xy 44.612546 57.089394)
+        (xy 44.798749 57.166712) (xy 45.000367 57.166888) (xy 45.186704 57.089895) (xy 45.329394 56.947454) (xy 45.406712 56.761251)
+        (xy 45.406888 56.559633) (xy 45.406888 69.893161) (xy 45.349633 69.893112) (xy 45.163296 69.970105) (xy 45.020606 70.112546)
+        (xy 45.006888 70.145582) (xy 45.006888 69.499633) (xy 44.929895 69.313296) (xy 44.787454 69.170606) (xy 44.601251 69.093288)
+        (xy 44.399633 69.093112) (xy 44.30686 69.131444) (xy 44.306888 69.099633) (xy 44.229895 68.913296) (xy 44.202142 68.885494)
+        (xy 44.336704 68.829895) (xy 44.479394 68.687454) (xy 44.556712 68.501251) (xy 44.556888 68.299633) (xy 44.496888 68.154422)
+        (xy 44.496888 61.719633) (xy 44.419895 61.533296) (xy 44.277454 61.390606) (xy 44.091251 61.313288) (xy 43.931888 61.313148)
+        (xy 43.931888 58.674633) (xy 43.854895 58.488296) (xy 43.781888 58.415161) (xy 43.781888 56.799633) (xy 43.704895 56.613296)
+        (xy 43.562454 56.470606) (xy 43.376251 56.393288) (xy 43.174633 56.393112) (xy 42.988296 56.470105) (xy 42.845606 56.612546)
+        (xy 42.768288 56.798749) (xy 42.768112 57.000367) (xy 42.845105 57.186704) (xy 42.987546 57.329394) (xy 43.173749 57.406712)
+        (xy 43.375367 57.406888) (xy 43.561704 57.329895) (xy 43.704394 57.187454) (xy 43.781712 57.001251) (xy 43.781888 56.799633)
+        (xy 43.781888 58.415161) (xy 43.712454 58.345606) (xy 43.526251 58.268288) (xy 43.324633 58.268112) (xy 43.146888 58.341554)
+        (xy 43.146888 57.849633) (xy 43.069895 57.663296) (xy 42.927454 57.520606) (xy 42.741251 57.443288) (xy 42.539633 57.443112)
+        (xy 42.353296 57.520105) (xy 42.210606 57.662546) (xy 42.133288 57.848749) (xy 42.133241 57.902333) (xy 42.051251 57.868288)
+        (xy 41.849633 57.868112) (xy 41.756888 57.906433) (xy 41.756888 55.929633) (xy 41.679895 55.743296) (xy 41.537454 55.600606)
+        (xy 41.351251 55.523288) (xy 41.149633 55.523112) (xy 40.963296 55.600105) (xy 40.820606 55.742546) (xy 40.743288 55.928749)
+        (xy 40.743112 56.130367) (xy 40.820105 56.316704) (xy 40.962546 56.459394) (xy 41.148749 56.536712) (xy 41.350367 56.536888)
+        (xy 41.536704 56.459895) (xy 41.679394 56.317454) (xy 41.756712 56.131251) (xy 41.756888 55.929633) (xy 41.756888 57.906433)
+        (xy 41.663296 57.945105) (xy 41.520606 58.087546) (xy 41.443288 58.273749) (xy 41.443112 58.475367) (xy 41.520105 58.661704)
+        (xy 41.662546 58.804394) (xy 41.848749 58.881712) (xy 42.050367 58.881888) (xy 42.236704 58.804895) (xy 42.379394 58.662454)
+        (xy 42.456712 58.476251) (xy 42.456758 58.422666) (xy 42.538749 58.456712) (xy 42.740367 58.456888) (xy 42.926704 58.379895)
+        (xy 43.069394 58.237454) (xy 43.146712 58.051251) (xy 43.146888 57.849633) (xy 43.146888 58.341554) (xy 43.138296 58.345105)
+        (xy 42.995606 58.487546) (xy 42.918288 58.673749) (xy 42.918112 58.875367) (xy 42.995105 59.061704) (xy 43.137546 59.204394)
+        (xy 43.323749 59.281712) (xy 43.525367 59.281888) (xy 43.711704 59.204895) (xy 43.854394 59.062454) (xy 43.931712 58.876251)
+        (xy 43.931888 58.674633) (xy 43.931888 61.313148) (xy 43.889633 61.313112) (xy 43.703296 61.390105) (xy 43.560606 61.532546)
+        (xy 43.483288 61.718749) (xy 43.483112 61.920367) (xy 43.560105 62.106704) (xy 43.702546 62.249394) (xy 43.888749 62.326712)
+        (xy 44.090367 62.326888) (xy 44.276704 62.249895) (xy 44.419394 62.107454) (xy 44.496712 61.921251) (xy 44.496888 61.719633)
+        (xy 44.496888 68.154422) (xy 44.479895 68.113296) (xy 44.337454 67.970606) (xy 44.151251 67.893288) (xy 43.949633 67.893112)
+        (xy 43.763296 67.970105) (xy 43.620606 68.112546) (xy 43.543288 68.298749) (xy 43.543112 68.500367) (xy 43.620105 68.686704)
+        (xy 43.647857 68.714505) (xy 43.531888 68.762422) (xy 43.531888 67.899633) (xy 43.454895 67.713296) (xy 43.312454 67.570606)
+        (xy 43.186888 67.518466) (xy 43.186888 60.299633) (xy 43.109895 60.113296) (xy 42.967454 59.970606) (xy 42.781251 59.893288)
+        (xy 42.579633 59.893112) (xy 42.484242 59.932526) (xy 42.529394 59.887454) (xy 42.606712 59.701251) (xy 42.606888 59.499633)
+        (xy 42.529895 59.313296) (xy 42.387454 59.170606) (xy 42.201251 59.093288) (xy 41.999633 59.093112) (xy 41.813296 59.170105)
+        (xy 41.670606 59.312546) (xy 41.593288 59.498749) (xy 41.593112 59.700367) (xy 41.670105 59.886704) (xy 41.812546 60.029394)
+        (xy 41.998749 60.106712) (xy 42.200367 60.106888) (xy 42.295757 60.067473) (xy 42.250606 60.112546) (xy 42.173288 60.298749)
+        (xy 42.173112 60.500367) (xy 42.250105 60.686704) (xy 42.392546 60.829394) (xy 42.578749 60.906712) (xy 42.780367 60.906888)
+        (xy 42.966704 60.829895) (xy 43.109394 60.687454) (xy 43.186712 60.501251) (xy 43.186888 60.299633) (xy 43.186888 67.518466)
+        (xy 43.126251 67.493288) (xy 42.924633 67.493112) (xy 42.738296 67.570105) (xy 42.595606 67.712546) (xy 42.518288 67.898749)
+        (xy 42.518112 68.100367) (xy 42.595105 68.286704) (xy 42.737546 68.429394) (xy 42.923749 68.506712) (xy 43.125367 68.506888)
+        (xy 43.311704 68.429895) (xy 43.454394 68.287454) (xy 43.531712 68.101251) (xy 43.531888 67.899633) (xy 43.531888 68.762422)
+        (xy 43.513296 68.770105) (xy 43.370606 68.912546) (xy 43.293288 69.098749) (xy 43.293112 69.300367) (xy 43.370105 69.486704)
+        (xy 43.512546 69.629394) (xy 43.698749 69.706712) (xy 43.900367 69.706888) (xy 43.993139 69.668555) (xy 43.993112 69.700367)
+        (xy 44.070105 69.886704) (xy 44.212546 70.029394) (xy 44.398749 70.106712) (xy 44.600367 70.106888) (xy 44.786704 70.029895)
+        (xy 44.929394 69.887454) (xy 45.006712 69.701251) (xy 45.006888 69.499633) (xy 45.006888 70.145582) (xy 44.943288 70.298749)
+        (xy 44.943112 70.500367) (xy 45.020105 70.686704) (xy 45.162546 70.829394) (xy 45.348749 70.906712) (xy 45.550367 70.906888)
+        (xy 45.736704 70.829895) (xy 45.879394 70.687454) (xy 45.956712 70.501251) (xy 45.956888 70.299633) (xy 45.956888 71.518118)
+        (xy 45.949633 71.518112) (xy 45.763296 71.595105) (xy 45.620606 71.737546) (xy 45.543288 71.923749) (xy 45.543112 72.125367)
+        (xy 45.612436 72.293145) (xy 45.574633 72.293112) (xy 45.388296 72.370105) (xy 45.245606 72.512546) (xy 45.168288 72.698749)
+        (xy 45.168112 72.900367) (xy 45.245105 73.086704) (xy 45.387546 73.229394) (xy 45.573749 73.306712) (xy 45.775367 73.306888)
+        (xy 45.961704 73.229895) (xy 46.104394 73.087454) (xy 46.181712 72.901251) (xy 46.181888 72.699633) (xy 46.112563 72.531854)
+        (xy 46.150367 72.531888) (xy 46.336704 72.454895) (xy 46.479394 72.312454) (xy 46.556712 72.126251) (xy 46.556888 71.924633)
+        (xy 46.556888 81.845582) (xy 46.506888 81.965996) (xy 46.506888 78.924633) (xy 46.429895 78.738296) (xy 46.287454 78.595606)
+        (xy 46.101251 78.518288) (xy 45.899633 78.518112) (xy 45.713296 78.595105) (xy 45.570606 78.737546) (xy 45.493288 78.923749)
+        (xy 45.493112 79.125367) (xy 45.570105 79.311704) (xy 45.712546 79.454394) (xy 45.898749 79.531712) (xy 46.100367 79.531888)
+        (xy 46.286704 79.454895) (xy 46.429394 79.312454) (xy 46.506712 79.126251) (xy 46.506888 78.924633) (xy 46.506888 81.965996)
+        (xy 46.493288 81.998749) (xy 46.493112 82.200367) (xy 46.570105 82.386704) (xy 46.712546 82.529394) (xy 46.898749 82.606712)
+        (xy 47.100367 82.606888) (xy 47.286704 82.529895) (xy 47.429394 82.387454) (xy 47.506712 82.201251) (xy 47.506888 81.999633)
+        (xy 47.506888 91) (xy 46.859718 91) (xy 46.859718 89.014422) (xy 46.755714 88.762712) (xy 46.563301 88.569963)
+        (xy 46.311773 88.465519) (xy 46.039422 88.465282) (xy 45.787712 88.569286) (xy 45.781888 88.575099) (xy 45.781888 80.349633)
+        (xy 45.704895 80.163296) (xy 45.562454 80.020606) (xy 45.533276 80.00849) (xy 45.579394 79.962454) (xy 45.656712 79.776251)
+        (xy 45.656888 79.574633) (xy 45.579895 79.388296) (xy 45.437454 79.245606) (xy 45.251251 79.168288) (xy 45.049633 79.168112)
+        (xy 44.863296 79.245105) (xy 44.720606 79.387546) (xy 44.643288 79.573749) (xy 44.643112 79.775367) (xy 44.720105 79.961704)
+        (xy 44.862546 80.104394) (xy 44.891723 80.116509) (xy 44.845606 80.162546) (xy 44.768288 80.348749) (xy 44.768112 80.550367)
+        (xy 44.845105 80.736704) (xy 44.987546 80.879394) (xy 45.173749 80.956712) (xy 45.375367 80.956888) (xy 45.561704 80.879895)
+        (xy 45.704394 80.737454) (xy 45.781712 80.551251) (xy 45.781888 80.349633) (xy 45.781888 88.575099) (xy 45.631888 88.724838)
+        (xy 45.631888 88.074633) (xy 45.554895 87.888296) (xy 45.412454 87.745606) (xy 45.226251 87.668288) (xy 45.024633 87.668112)
+        (xy 44.881888 87.727093) (xy 44.881888 81.199633) (xy 44.804895 81.013296) (xy 44.662454 80.870606) (xy 44.476251 80.793288)
+        (xy 44.281888 80.793118) (xy 44.281888 77.974633) (xy 44.204895 77.788296) (xy 44.106888 77.690117) (xy 44.106888 71.499633)
+        (xy 44.029895 71.313296) (xy 43.887454 71.170606) (xy 43.701251 71.093288) (xy 43.499633 71.093112) (xy 43.313296 71.170105)
+        (xy 43.170606 71.312546) (xy 43.093288 71.498749) (xy 43.093112 71.700367) (xy 43.170105 71.886704) (xy 43.312546 72.029394)
+        (xy 43.498749 72.106712) (xy 43.700367 72.106888) (xy 43.886704 72.029895) (xy 44.029394 71.887454) (xy 44.106712 71.701251)
+        (xy 44.106888 71.499633) (xy 44.106888 77.690117) (xy 44.062454 77.645606) (xy 43.876251 77.568288) (xy 43.674633 77.568112)
+        (xy 43.488296 77.645105) (xy 43.345606 77.787546) (xy 43.268288 77.973749) (xy 43.268112 78.175367) (xy 43.345105 78.361704)
+        (xy 43.487546 78.504394) (xy 43.673749 78.581712) (xy 43.875367 78.581888) (xy 44.061704 78.504895) (xy 44.204394 78.362454)
+        (xy 44.281712 78.176251) (xy 44.281888 77.974633) (xy 44.281888 80.793118) (xy 44.274633 80.793112) (xy 44.088296 80.870105)
+        (xy 43.945606 81.012546) (xy 43.868288 81.198749) (xy 43.868112 81.400367) (xy 43.945105 81.586704) (xy 44.087546 81.729394)
+        (xy 44.273749 81.806712) (xy 44.475367 81.806888) (xy 44.661704 81.729895) (xy 44.804394 81.587454) (xy 44.881712 81.401251)
+        (xy 44.881888 81.199633) (xy 44.881888 87.727093) (xy 44.838296 87.745105) (xy 44.695606 87.887546) (xy 44.618288 88.073749)
+        (xy 44.618112 88.275367) (xy 44.695105 88.461704) (xy 44.837546 88.604394) (xy 45.023749 88.681712) (xy 45.225367 88.681888)
+        (xy 45.411704 88.604895) (xy 45.554394 88.462454) (xy 45.631712 88.276251) (xy 45.631888 88.074633) (xy 45.631888 88.724838)
+        (xy 45.594963 88.761699) (xy 45.490519 89.013227) (xy 45.490282 89.285578) (xy 45.594286 89.537288) (xy 45.786699 89.730037)
+        (xy 46.038227 89.834481) (xy 46.310578 89.834718) (xy 46.562288 89.730714) (xy 46.755037 89.538301) (xy 46.859481 89.286773)
+        (xy 46.859718 89.014422) (xy 46.859718 91) (xy 44.081888 91) (xy 44.081888 82.349633) (xy 44.004895 82.163296)
+        (xy 43.862454 82.020606) (xy 43.676251 81.943288) (xy 43.474633 81.943112) (xy 43.406888 81.971103) (xy 43.406888 80.774633)
+        (xy 43.329895 80.588296) (xy 43.187454 80.445606) (xy 43.001251 80.368288) (xy 42.799633 80.368112) (xy 42.681888 80.416763)
+        (xy 42.681888 70.199633) (xy 42.604895 70.013296) (xy 42.462454 69.870606) (xy 42.276251 69.793288) (xy 42.074633 69.793112)
+        (xy 41.931888 69.852093) (xy 41.931888 67.224633) (xy 41.854895 67.038296) (xy 41.712454 66.895606) (xy 41.526251 66.818288)
+        (xy 41.324633 66.818112) (xy 41.138296 66.895105) (xy 41.006888 67.026283) (xy 41.006888 61.899633) (xy 40.929895 61.713296)
+        (xy 40.787454 61.570606) (xy 40.601251 61.493288) (xy 40.399633 61.493112) (xy 40.213296 61.570105) (xy 40.070606 61.712546)
+        (xy 39.993288 61.898749) (xy 39.993112 62.100367) (xy 40.070105 62.286704) (xy 40.212546 62.429394) (xy 40.398749 62.506712)
+        (xy 40.600367 62.506888) (xy 40.786704 62.429895) (xy 40.929394 62.287454) (xy 41.006712 62.101251) (xy 41.006888 61.899633)
+        (xy 41.006888 67.026283) (xy 40.995606 67.037546) (xy 40.946888 67.154872) (xy 40.946888 65.149633) (xy 40.869895 64.963296)
+        (xy 40.727454 64.820606) (xy 40.541251 64.743288) (xy 40.516888 64.743266) (xy 40.516888 63.989633) (xy 40.481888 63.904926)
+        (xy 40.481888 62.899633) (xy 40.404895 62.713296) (xy 40.262454 62.570606) (xy 40.076251 62.493288) (xy 39.874633 62.493112)
+        (xy 39.688296 62.570105) (xy 39.545606 62.712546) (xy 39.468288 62.898749) (xy 39.468112 63.100367) (xy 39.545105 63.286704)
+        (xy 39.687546 63.429394) (xy 39.873749 63.506712) (xy 40.075367 63.506888) (xy 40.261704 63.429895) (xy 40.404394 63.287454)
+        (xy 40.481712 63.101251) (xy 40.481888 62.899633) (xy 40.481888 63.904926) (xy 40.439895 63.803296) (xy 40.297454 63.660606)
+        (xy 40.111251 63.583288) (xy 39.909633 63.583112) (xy 39.723296 63.660105) (xy 39.580606 63.802546) (xy 39.503288 63.988749)
+        (xy 39.503112 64.190367) (xy 39.580105 64.376704) (xy 39.722546 64.519394) (xy 39.908749 64.596712) (xy 40.110367 64.596888)
+        (xy 40.296704 64.519895) (xy 40.439394 64.377454) (xy 40.516712 64.191251) (xy 40.516888 63.989633) (xy 40.516888 64.743266)
+        (xy 40.339633 64.743112) (xy 40.153296 64.820105) (xy 40.010606 64.962546) (xy 39.933288 65.148749) (xy 39.933112 65.350367)
+        (xy 40.010105 65.536704) (xy 40.152546 65.679394) (xy 40.338749 65.756712) (xy 40.540367 65.756888) (xy 40.726704 65.679895)
+        (xy 40.869394 65.537454) (xy 40.946712 65.351251) (xy 40.946888 65.149633) (xy 40.946888 67.154872) (xy 40.918288 67.223749)
+        (xy 40.918112 67.425367) (xy 40.995105 67.611704) (xy 41.137546 67.754394) (xy 41.323749 67.831712) (xy 41.525367 67.831888)
+        (xy 41.711704 67.754895) (xy 41.854394 67.612454) (xy 41.931712 67.426251) (xy 41.931888 67.224633) (xy 41.931888 69.852093)
+        (xy 41.888296 69.870105) (xy 41.745606 70.012546) (xy 41.668288 70.198749) (xy 41.668112 70.400367) (xy 41.745105 70.586704)
+        (xy 41.887546 70.729394) (xy 42.073749 70.806712) (xy 42.275367 70.806888) (xy 42.461704 70.729895) (xy 42.604394 70.587454)
+        (xy 42.681712 70.401251) (xy 42.681888 70.199633) (xy 42.681888 80.416763) (xy 42.613296 80.445105) (xy 42.470606 80.587546)
+        (xy 42.393288 80.773749) (xy 42.393112 80.975367) (xy 42.470105 81.161704) (xy 42.612546 81.304394) (xy 42.798749 81.381712)
+        (xy 43.000367 81.381888) (xy 43.186704 81.304895) (xy 43.329394 81.162454) (xy 43.406712 80.976251) (xy 43.406888 80.774633)
+        (xy 43.406888 81.971103) (xy 43.288296 82.020105) (xy 43.145606 82.162546) (xy 43.068288 82.348749) (xy 43.068112 82.550367)
+        (xy 43.145105 82.736704) (xy 43.287546 82.879394) (xy 43.473749 82.956712) (xy 43.675367 82.956888) (xy 43.861704 82.879895)
+        (xy 44.004394 82.737454) (xy 44.081712 82.551251) (xy 44.081888 82.349633) (xy 44.081888 91) (xy 43.355566 91)
+        (xy 42.181888 89.826322) (xy 42.181888 75.624633) (xy 42.104895 75.438296) (xy 42.006888 75.340117) (xy 42.006888 72.949633)
+        (xy 41.929895 72.763296) (xy 41.787454 72.620606) (xy 41.601251 72.543288) (xy 41.399633 72.543112) (xy 41.213296 72.620105)
+        (xy 41.070606 72.762546) (xy 40.993288 72.948749) (xy 40.993112 73.150367) (xy 41.070105 73.336704) (xy 41.212546 73.479394)
+        (xy 41.398749 73.556712) (xy 41.600367 73.556888) (xy 41.786704 73.479895) (xy 41.929394 73.337454) (xy 42.006712 73.151251)
+        (xy 42.006888 72.949633) (xy 42.006888 75.340117) (xy 41.962454 75.295606) (xy 41.776251 75.218288) (xy 41.574633 75.218112)
+        (xy 41.388296 75.295105) (xy 41.245606 75.437546) (xy 41.168288 75.623749) (xy 41.168112 75.825367) (xy 41.245105 76.011704)
+        (xy 41.387546 76.154394) (xy 41.573749 76.231712) (xy 41.775367 76.231888) (xy 41.961704 76.154895) (xy 42.104394 76.012454)
+        (xy 42.181712 75.826251) (xy 42.181888 75.624633) (xy 42.181888 89.826322) (xy 42.079571 89.724005) (xy 41.9532 88.30985)
+        (xy 41.9532 87.625) (xy 41.931888 87.517858) (xy 41.931888 79.999633) (xy 41.931888 78.374633) (xy 41.854895 78.188296)
+        (xy 41.712454 78.045606) (xy 41.526251 77.968288) (xy 41.324633 77.968112) (xy 41.138296 78.045105) (xy 40.995606 78.187546)
+        (xy 40.918288 78.373749) (xy 40.918112 78.575367) (xy 40.995105 78.761704) (xy 41.137546 78.904394) (xy 41.323749 78.981712)
+        (xy 41.525367 78.981888) (xy 41.711704 78.904895) (xy 41.854394 78.762454) (xy 41.931712 78.576251) (xy 41.931888 78.374633)
+        (xy 41.931888 79.999633) (xy 41.854895 79.813296) (xy 41.712454 79.670606) (xy 41.526251 79.593288) (xy 41.324633 79.593112)
+        (xy 41.138296 79.670105) (xy 41.131888 79.676501) (xy 41.131888 79.149633) (xy 41.054895 78.963296) (xy 40.912454 78.820606)
+        (xy 40.726251 78.743288) (xy 40.524633 78.743112) (xy 40.356888 78.812422) (xy 40.356888 75.899633) (xy 40.279895 75.713296)
+        (xy 40.137454 75.570606) (xy 39.951251 75.493288) (xy 39.749633 75.493112) (xy 39.563296 75.570105) (xy 39.420606 75.712546)
+        (xy 39.343288 75.898749) (xy 39.343112 76.100367) (xy 39.420105 76.286704) (xy 39.562546 76.429394) (xy 39.748749 76.506712)
+        (xy 39.950367 76.506888) (xy 40.136704 76.429895) (xy 40.279394 76.287454) (xy 40.356712 76.101251) (xy 40.356888 75.899633)
+        (xy 40.356888 78.812422) (xy 40.338296 78.820105) (xy 40.195606 78.962546) (xy 40.118288 79.148749) (xy 40.118112 79.350367)
+        (xy 40.182092 79.505212) (xy 40.099275 79.58803) (xy 40.099275 80.17514) (xy 40.63622 80.17514) (xy 40.78197 80.02939)
+        (xy 40.78207 80.140737) (xy 40.781868 79.908806) (xy 40.718783 79.756882) (xy 40.725367 79.756888) (xy 40.911704 79.679895)
+        (xy 41.054394 79.537454) (xy 41.131712 79.351251) (xy 41.131888 79.149633) (xy 41.131888 79.676501) (xy 40.995606 79.812546)
+        (xy 40.918288 79.998749) (xy 40.918112 80.200367) (xy 40.995105 80.386704) (xy 41.137546 80.529394) (xy 41.323749 80.606712)
+        (xy 41.525367 80.606888) (xy 41.711704 80.529895) (xy 41.854394 80.387454) (xy 41.931712 80.201251) (xy 41.931888 79.999633)
+        (xy 41.931888 87.517858) (xy 41.920605 87.461135) (xy 41.87068 87.386417) (xy 41.737697 85.898276) (xy 40.391614 85.886048)
+        (xy 40.252783 85.747217) (xy 40.113865 85.654395) (xy 39.95 85.6218) (xy 39.247536 85.6218) (xy 39.232182 83.577968)
+        (xy 39.379905 83.577968) (xy 39.482192 83.535704) (xy 39.560519 83.457513) (xy 39.574983 83.422679) (xy 39.589206 83.457102)
+        (xy 39.667397 83.535429) (xy 39.76961 83.577872) (xy 39.880285 83.577968) (xy 39.996982 83.577968) (xy 40.315294 83.89628)
+        (xy 40.315282 83.910578) (xy 40.419286 84.162288) (xy 40.611699 84.355037) (xy 40.863227 84.459481) (xy 41.135578 84.459718)
+        (xy 41.387288 84.355714) (xy 41.580037 84.163301) (xy 41.684481 83.911773) (xy 41.684718 83.639422) (xy 41.580714 83.387712)
+        (xy 41.388301 83.194963) (xy 41.136773 83.090519) (xy 40.864422 83.090282) (xy 40.762529 83.132383) (xy 40.502218 82.872072)
+        (xy 40.502218 82.645185) (xy 40.468021 82.562422) (xy 40.502122 82.4803) (xy 40.502218 82.369625) (xy 40.502218 82.030226)
+        (xy 40.528781 82.019251) (xy 40.692924 81.855394) (xy 40.781868 81.641194) (xy 40.78207 81.409263) (xy 40.78207 81.040737)
+        (xy 40.781868 80.808806) (xy 40.76783 80.775) (xy 40.781868 80.741194) (xy 40.78207 80.509263) (xy 40.78197 80.62061)
+        (xy 40.63622 80.47486) (xy 40.572968 80.47486) (xy 40.528781 80.430749) (xy 40.314427 80.34218) (xy 40.245025 80.34228)
+        (xy 40.112445 80.47486) (xy 40.099275 80.47486) (xy 40.099275 80.48803) (xy 40.099275 81.06197) (xy 40.099275 81.07514)
+        (xy 40.112445 81.07514) (xy 40.245025 81.20772) (xy 40.314427 81.20782) (xy 40.528781 81.119251) (xy 40.572968 81.07514)
+        (xy 40.63622 81.07514) (xy 40.78197 80.92939) (xy 40.78207 81.040737) (xy 40.78207 81.409263) (xy 40.78197 81.52061)
+        (xy 40.63622 81.37486) (xy 40.099275 81.37486) (xy 40.099275 81.404) (xy 39.899885 81.404) (xy 39.899885 81.37486)
+        (xy 39.82058 81.37486) (xy 39.82058 81.141275) (xy 39.886715 81.07514) (xy 39.899885 81.07514) (xy 39.899885 81.06197)
+        (xy 39.899885 80.48803) (xy 39.899885 80.47486) (xy 39.886715 80.47486) (xy 39.82058 80.408725) (xy 39.82058 80.17514)
+        (xy 39.899885 80.17514) (xy 39.899885 79.58803) (xy 39.754135 79.44228) (xy 39.684733 79.44218) (xy 39.470379 79.530749)
+        (xy 39.45482 79.54628) (xy 39.45482 79.538474) (xy 39.480037 79.513301) (xy 39.584481 79.261773) (xy 39.584718 78.989422)
+        (xy 39.480714 78.737712) (xy 39.288301 78.544963) (xy 39.194077 78.505837) (xy 39.054722 59.956148) (xy 39.237288 59.880714)
+        (xy 39.430037 59.688301) (xy 39.534481 59.436773) (xy 39.534718 59.164422) (xy 39.430714 58.912712) (xy 39.238301 58.719963)
+        (xy 39.044832 58.639627) (xy 39.025071 56.009146) (xy 39.336488 54.789429) (xy 39.395095 54.824593) (xy 46.57179 54.813776)
+        (xy 46.503288 54.978749) (xy 46.503112 55.180367) (xy 46.580105 55.366704) (xy 46.722546 55.509394) (xy 46.908749 55.586712)
+        (xy 47.110367 55.586888) (xy 47.296704 55.509895) (xy 47.422782 55.384037) (xy 47.422782 55.829815) (xy 47.465046 55.932102)
+        (xy 47.4718 55.938867) (xy 47.4718 56.275) (xy 47.493171 56.38244) (xy 47.493112 56.450367) (xy 47.570105 56.636704)
+        (xy 47.712546 56.779394) (xy 47.898749 56.856712) (xy 48.100367 56.856888) (xy 48.286704 56.779895) (xy 48.429394 56.637454)
+        (xy 48.506712 56.451251) (xy 48.506793 56.357788) (xy 48.554135 56.35772) (xy 48.699885 56.21197) (xy 48.699885 55.62486)
+        (xy 48.62058 55.62486) (xy 48.62058 55.32514) (xy 48.699885 55.32514) (xy 48.699885 55.296) (xy 48.899275 55.296)
+        (xy 48.899275 55.32514) (xy 48.97858 55.32514) (xy 48.97858 55.62486) (xy 48.899275 55.62486) (xy 48.899275 56.21197)
+        (xy 49.045025 56.35772) (xy 49.093192 56.357789) (xy 49.093112 56.450367) (xy 49.170105 56.636704) (xy 49.312546 56.779394)
+        (xy 49.498749 56.856712) (xy 49.700367 56.856888) (xy 49.886704 56.779895) (xy 50.029394 56.637454) (xy 50.106712 56.451251)
+        (xy 50.106761 56.394613) (xy 50.259733 56.45782) (xy 50.329135 56.45772) (xy 50.474885 56.31197) (xy 50.474885 55.72486)
+        (xy 50.39558 55.72486) (xy 50.39558 55.42514) (xy 50.474885 55.42514) (xy 50.474885 55.396) (xy 50.674275 55.396)
+        (xy 50.674275 55.42514) (xy 51.21122 55.42514) (xy 51.35697 55.27939) (xy 51.35707 55.390737) (xy 51.356868 55.158806)
+        (xy 51.267924 54.944606) (xy 51.129984 54.806906) (xy 51.944217 54.805679) (xy 51.788296 54.870105) (xy 51.645606 55.012546)
+        (xy 51.568288 55.198749) (xy 51.568112 55.400367) (xy 51.645105 55.586704) (xy 51.779965 55.7218) (xy 51.4 55.7218)
+        (xy 51.239988 55.753628) (xy 51.21122 55.72486) (xy 50.674275 55.72486) (xy 50.674275 56.31197) (xy 50.693216 56.330911)
+        (xy 50.693112 56.450367) (xy 50.770105 56.636704) (xy 50.826525 56.693222) (xy 50.699633 56.693112) (xy 50.513296 56.770105)
+        (xy 50.370606 56.912546) (xy 50.293288 57.098749) (xy 50.293112 57.300367) (xy 50.370105 57.486704) (xy 50.512546 57.629394)
+        (xy 50.698749 57.706712) (xy 50.900367 57.706888) (xy 51.086704 57.629895) (xy 51.229394 57.487454) (xy 51.306712 57.301251)
+        (xy 51.306888 57.099633) (xy 51.229895 56.913296) (xy 51.173474 56.856777) (xy 51.300367 56.856888) (xy 51.486704 56.779895)
+        (xy 51.629394 56.637454) (xy 51.653998 56.5782) (xy 52.203103 56.5782) (xy 52.215046 56.607102) (xy 52.293237 56.685429)
+        (xy 52.29602 56.686584) (xy 52.29602 56.850414) (xy 52.296019 56.85042) (xy 52.322997 56.986043) (xy 52.399821 57.101019)
+        (xy 52.649839 57.351036) (xy 52.505749 57.495379) (xy 52.41718 57.709733) (xy 52.41728 57.779135) (xy 52.56303 57.924885)
+        (xy 53.15014 57.924885) (xy 53.15014 57.84558) (xy 53.44986 57.84558) (xy 53.44986 57.924885) (xy 54.03697 57.924885)
+        (xy 54.18272 57.779135) (xy 54.18282 57.709733) (xy 54.169791 57.678202) (xy 54.286704 57.629895) (xy 54.429394 57.487454)
+        (xy 54.506712 57.301251) (xy 54.506888 57.099633) (xy 54.44286 56.944674) (xy 54.659536 56.901575) (xy 54.87956 56.75456)
+        (xy 55.026575 56.534536) (xy 55.0782 56.275) (xy 55.0782 56.25) (xy 55.0782 55.459537) (xy 55.285578 55.459718)
+        (xy 55.342977 55.436001) (xy 55.34293 55.490737) (xy 55.342979 55.436) (xy 55.383073 55.419433) (xy 55.48878 55.52514)
+        (xy 56.025725 55.52514) (xy 56.025725 55.496) (xy 56.225115 55.496) (xy 56.225115 55.52514) (xy 56.30442 55.52514)
+        (xy 56.30442 55.82486) (xy 56.225115 55.82486) (xy 56.225115 56.41197) (xy 56.370865 56.55772) (xy 56.440267 56.55782)
+        (xy 56.654621 56.469251) (xy 56.718172 56.40581) (xy 56.718112 56.475367) (xy 56.795105 56.661704) (xy 56.937546 56.804394)
+        (xy 57.068198 56.858645) (xy 57.068132 56.858806) (xy 57.06793 57.090737) (xy 57.06803 56.97939) (xy 57.21378 57.12514)
+        (xy 57.750725 57.12514) (xy 57.750725 56.53803) (xy 57.719164 56.506469) (xy 57.731712 56.476251) (xy 57.731888 56.274633)
+        (xy 57.654895 56.088296) (xy 57.5794 56.012669) (xy 57.5794 55.87542) (xy 57.552423 55.739797) (xy 57.552422 55.739796)
+        (xy 57.502218 55.66466) (xy 57.502218 55.664659) (xy 57.502218 55.320185) (xy 57.459954 55.217898) (xy 57.381763 55.139571)
+        (xy 57.27955 55.097128) (xy 57.168875 55.097032) (xy 56.840533 55.097032) (xy 56.818764 55.044606) (xy 56.654621 54.880749)
+        (xy 56.456479 54.798878) (xy 61.016306 54.792006) (xy 61.045105 54.861704) (xy 61.187546 55.004394) (xy 61.373749 55.081712)
+        (xy 61.575367 55.081888) (xy 61.761704 55.004895) (xy 61.904394 54.862454) (xy 61.93422 54.790622) (xy 72.300057 54.775)
+        (xy 72.774762 54.775)
+      )
+    )
+  )
+  (zone (net 188) (net_name IPSOUT) (layer BOT-L6) (tstamp 51A5C9A0) (hatch edge 0.508)
+    (connect_pads (clearance 0.2032))
+    (min_thickness 0.15)
+    (fill (arc_segments 16) (thermal_gap 0.508) (thermal_bridge_width 0.508))
+    (polygon
+      (pts
+        (xy 80.65 40.275) (xy 88.4 40.275) (xy 88.4 48) (xy 80.675 48.15) (xy 80.65 40.275)
+      )
+    )
+    (filled_polygon
+      (pts
+        (xy 88.325 47.926442) (xy 85.032388 47.990376) (xy 85.032388 44.034433) (xy 84.955395 43.848096) (xy 84.812954 43.705406)
+        (xy 84.626751 43.628088) (xy 84.425133 43.627912) (xy 84.238796 43.704905) (xy 84.096106 43.847346) (xy 84.018788 44.033549)
+        (xy 84.018612 44.235167) (xy 84.095605 44.421504) (xy 84.238046 44.564194) (xy 84.424249 44.641512) (xy 84.625867 44.641688)
+        (xy 84.812204 44.564695) (xy 84.954894 44.422254) (xy 85.032212 44.236051) (xy 85.032388 44.034433) (xy 85.032388 47.990376)
+        (xy 80.749758 48.073534) (xy 80.725238 40.35) (xy 87.616475 40.35) (xy 87.522566 40.443746) (xy 87.445248 40.629949)
+        (xy 87.445072 40.831567) (xy 87.522065 41.017904) (xy 87.664506 41.160594) (xy 87.850709 41.237912) (xy 88.052327 41.238088)
+        (xy 88.238664 41.161095) (xy 88.325 41.074909) (xy 88.325 43.307584) (xy 88.318288 43.323749) (xy 88.318112 43.525367)
+        (xy 88.325 43.542037) (xy 88.325 47.926442)
+      )
+    )
+  )
+  (zone (net 187) (net_name GND) (layer GND-L2) (tstamp 51A5FD6C) (hatch edge 0.508)
+    (connect_pads (clearance 0.2032))
+    (min_thickness 0.15)
+    (fill (arc_segments 16) (thermal_gap 0.508) (thermal_bridge_width 0.508))
+    (polygon
+      (pts
+        (xy 37.25 22.4) (xy 115.225 22.125) (xy 114.625 90.15) (xy 37.425 90.45) (xy 37.175 22.525)
+      )
+    )
+    (filled_polygon
+      (pts
+        (xy 96.297284 87.2532) (xy 96.04414 87.506344) (xy 95.790996 87.2532) (xy 96.04414 87.000056) (xy 96.297284 87.2532)
+      )
+    )
+    (filled_polygon
+      (pts
+        (xy 100.876904 87.2532) (xy 100.62376 87.506344) (xy 100.370616 87.2532) (xy 100.62376 87.000056) (xy 100.876904 87.2532)
+      )
+    )
+    (filled_polygon
+      (pts
+        (xy 103.167984 87.2532) (xy 102.91484 87.506344) (xy 102.661696 87.2532) (xy 102.91484 87.000056) (xy 103.167984 87.2532)
+      )
+    )
+    (filled_polygon
+      (pts
+        (xy 115.149333 22.200267) (xy 114.795933 62.267) (xy 114.454 62.267) (xy 114.454 63.346) (xy 114.454 63.421)
+        (xy 114.454 63.779) (xy 114.454 63.854) (xy 114.454 64.933) (xy 114.772418 64.933) (xy 114.741688 68.417)
+        (xy 114.454 68.417) (xy 114.454 69.496) (xy 114.454 69.571) (xy 114.454 69.929) (xy 114.454 70.004)
+        (xy 114.454 71.083) (xy 114.718173 71.083) (xy 114.69157 74.09914) (xy 114.649793 74.057291) (xy 114.54758 74.014848)
+        (xy 114.436905 74.014752) (xy 114.096 74.014752) (xy 114.096 71.083) (xy 114.096 69.929) (xy 114.096 69.571)
+        (xy 114.096 68.417) (xy 114.096 64.933) (xy 114.096 63.779) (xy 114.096 63.421) (xy 114.096 62.267)
+        (xy 113.571 62.267) (xy 113.074509 62.436969) (xy 112.680855 62.783999) (xy 112.6 62.95254) (xy 112.519145 62.783999)
+        (xy 112.125491 62.436969) (xy 111.629 62.267) (xy 111.104 62.267) (xy 111.104 63.421) (xy 112.576322 63.421)
+        (xy 112.623678 63.421) (xy 114.096 63.421) (xy 114.096 63.779) (xy 112.623678 63.779) (xy 112.576322 63.779)
+        (xy 111.104 63.779) (xy 111.104 64.933) (xy 111.366925 64.933) (xy 111.420105 65.061704) (xy 111.562546 65.204394)
+        (xy 111.748749 65.281712) (xy 111.950367 65.281888) (xy 112.136704 65.204895) (xy 112.279394 65.062454) (xy 112.356712 64.876251)
+        (xy 112.356888 64.674633) (xy 112.321878 64.589903) (xy 112.519145 64.416001) (xy 112.6 64.247459) (xy 112.680855 64.416001)
+        (xy 113.074509 64.763031) (xy 113.571 64.933) (xy 114.096 64.933) (xy 114.096 68.417) (xy 113.635306 68.417)
+        (xy 113.676704 68.399895) (xy 113.819394 68.257454) (xy 113.896712 68.071251) (xy 113.896888 67.869633) (xy 113.819895 67.683296)
+        (xy 113.677454 67.540606) (xy 113.491251 67.463288) (xy 113.289633 67.463112) (xy 113.103296 67.540105) (xy 112.960606 67.682546)
+        (xy 112.883288 67.868749) (xy 112.883112 68.070367) (xy 112.960105 68.256704) (xy 113.102546 68.399394) (xy 113.288749 68.476712)
+        (xy 113.396302 68.476805) (xy 113.074509 68.586969) (xy 112.680855 68.933999) (xy 112.588006 69.12754) (xy 112.519145 68.983999)
+        (xy 112.304557 68.794826) (xy 112.461704 68.729895) (xy 112.604394 68.587454) (xy 112.681712 68.401251) (xy 112.681888 68.199633)
+        (xy 112.604895 68.013296) (xy 112.506888 67.915117) (xy 112.506888 67.074633) (xy 112.429895 66.888296) (xy 112.287454 66.745606)
+        (xy 112.156742 66.69133) (xy 112.156888 66.524633) (xy 112.079895 66.338296) (xy 112.075946 66.33434) (xy 112.086704 66.329895)
+        (xy 112.229394 66.187454) (xy 112.306712 66.001251) (xy 112.306888 65.799633) (xy 112.229895 65.613296) (xy 112.087454 65.470606)
+        (xy 111.901251 65.393288) (xy 111.699633 65.393112) (xy 111.513296 65.470105) (xy 111.370606 65.612546) (xy 111.293288 65.798749)
+        (xy 111.293112 66.000367) (xy 111.370105 66.186704) (xy 111.374053 66.190659) (xy 111.363296 66.195105) (xy 111.220606 66.337546)
+        (xy 111.143288 66.523749) (xy 111.143112 66.725367) (xy 111.220105 66.911704) (xy 111.362546 67.054394) (xy 111.493257 67.108669)
+        (xy 111.493112 67.275367) (xy 111.570105 67.461704) (xy 111.712546 67.604394) (xy 111.898749 67.681712) (xy 112.100367 67.681888)
+        (xy 112.286704 67.604895) (xy 112.429394 67.462454) (xy 112.506712 67.276251) (xy 112.506888 67.074633) (xy 112.506888 67.915117)
+        (xy 112.462454 67.870606) (xy 112.276251 67.793288) (xy 112.074633 67.793112) (xy 111.888296 67.870105) (xy 111.745606 68.012546)
+        (xy 111.668288 68.198749) (xy 111.668112 68.400367) (xy 111.706624 68.493573) (xy 111.629 68.467) (xy 111.104 68.467)
+        (xy 111.104 69.621) (xy 112.623678 69.621) (xy 112.648865 69.571) (xy 114.096 69.571) (xy 114.096 69.929)
+        (xy 112.576322 69.929) (xy 112.551134 69.979) (xy 111.104 69.979) (xy 111.104 71.133) (xy 111.629 71.133)
+        (xy 112.125491 70.963031) (xy 112.519145 70.616001) (xy 112.611993 70.422459) (xy 112.680855 70.566001) (xy 113.074509 70.913031)
+        (xy 113.571 71.083) (xy 114.096 71.083) (xy 114.096 74.014752) (xy 112.912905 74.014752) (xy 112.810618 74.057016)
+        (xy 112.732291 74.135207) (xy 112.689848 74.23742) (xy 112.689752 74.348095) (xy 112.689752 75.872095) (xy 112.732016 75.974382)
+        (xy 112.810207 76.052709) (xy 112.91242 76.095152) (xy 113.023095 76.095248) (xy 114.547095 76.095248) (xy 114.649382 76.052984)
+        (xy 114.674558 76.027851) (xy 114.664806 77.133493) (xy 114.612352 77.006544) (xy 114.319995 76.713676) (xy 113.937816 76.554982)
+        (xy 113.523999 76.554621) (xy 113.141544 76.712648) (xy 112.848676 77.005005) (xy 112.689982 77.387184) (xy 112.689621 77.801001)
+        (xy 112.847648 78.183456) (xy 113.140005 78.476324) (xy 113.522184 78.635018) (xy 113.936001 78.635379) (xy 114.318456 78.477352)
+        (xy 114.611324 78.184995) (xy 114.656491 78.076219) (xy 114.585143 86.16526) (xy 113.820396 85.399177) (xy 112.799428 84.975234)
+        (xy 112.230379 84.974737) (xy 112.230379 77.388999) (xy 112.230379 74.848999) (xy 112.072352 74.466544) (xy 111.779995 74.173676)
+        (xy 111.397816 74.014982) (xy 110.983999 74.014621) (xy 110.746 74.11296) (xy 110.746 71.133) (xy 110.746 69.979)
+        (xy 110.746 69.621) (xy 110.746 68.467) (xy 110.746 64.933) (xy 110.746 63.779) (xy 110.746 63.421)
+        (xy 110.746 62.267) (xy 110.221 62.267) (xy 109.852019 62.393317) (xy 109.852019 43.022437) (xy 109.852019 37.581757)
+        (xy 109.64267 37.075094) (xy 109.255365 36.687113) (xy 108.749068 36.47688) (xy 108.200857 36.476401) (xy 107.694194 36.68575)
+        (xy 107.306213 37.073055) (xy 107.09598 37.579352) (xy 107.095501 38.127563) (xy 107.30485 38.634226) (xy 107.692155 39.022207)
+        (xy 108.198452 39.23244) (xy 108.746663 39.232919) (xy 109.253326 39.02357) (xy 109.641307 38.636265) (xy 109.85154 38.129968)
+        (xy 109.852019 37.581757) (xy 109.852019 43.022437) (xy 109.64267 42.515774) (xy 109.255365 42.127793) (xy 108.773727 41.927799)
+        (xy 108.773727 40.371263) (xy 108.617437 39.99301) (xy 108.328292 39.70336) (xy 107.950313 39.54641) (xy 107.541043 39.546053)
+        (xy 107.16279 39.702343) (xy 106.87314 39.991488) (xy 106.745168 40.299677) (xy 106.618457 39.99301) (xy 106.329312 39.70336)
+        (xy 105.951333 39.54641) (xy 105.542063 39.546053) (xy 105.16381 39.702343) (xy 104.87416 39.991488) (xy 104.744915 40.302744)
+        (xy 104.616937 39.99301) (xy 104.334718 39.710298) (xy 104.334718 27.364422) (xy 104.230714 27.112712) (xy 104.038301 26.919963)
+        (xy 103.786773 26.815519) (xy 103.514422 26.815282) (xy 103.262712 26.919286) (xy 103.069963 27.111699) (xy 102.965519 27.363227)
+        (xy 102.965282 27.635578) (xy 103.069286 27.887288) (xy 103.261699 28.080037) (xy 103.513227 28.184481) (xy 103.785578 28.184718)
+        (xy 104.037288 28.080714) (xy 104.230037 27.888301) (xy 104.334481 27.636773) (xy 104.334718 27.364422) (xy 104.334718 39.710298)
+        (xy 104.327792 39.70336) (xy 103.949813 39.54641) (xy 103.540543 39.546053) (xy 103.177123 39.696214) (xy 103.177123 38.078963)
+        (xy 103.133474 37.41092) (xy 102.9618 36.996463) (xy 102.705577 36.878287) (xy 102.452433 37.131431) (xy 102.452433 36.625143)
+        (xy 102.334257 36.36892) (xy 101.700363 36.153597) (xy 101.531888 36.164604) (xy 101.531888 31.799633) (xy 101.531888 30.724633)
+        (xy 101.531888 28.524633) (xy 101.481888 28.403623) (xy 101.481888 25.199633) (xy 101.431888 25.078623) (xy 101.431888 24.099633)
+        (xy 101.354895 23.913296) (xy 101.212454 23.770606) (xy 101.026251 23.693288) (xy 100.824633 23.693112) (xy 100.638296 23.770105)
+        (xy 100.495606 23.912546) (xy 100.418288 24.098749) (xy 100.418112 24.300367) (xy 100.495105 24.486704) (xy 100.637546 24.629394)
+        (xy 100.823749 24.706712) (xy 101.025367 24.706888) (xy 101.211704 24.629895) (xy 101.354394 24.487454) (xy 101.431712 24.301251)
+        (xy 101.431888 24.099633) (xy 101.431888 25.078623) (xy 101.404895 25.013296) (xy 101.262454 24.870606) (xy 101.076251 24.793288)
+        (xy 100.874633 24.793112) (xy 100.688296 24.870105) (xy 100.545606 25.012546) (xy 100.468288 25.198749) (xy 100.468112 25.400367)
+        (xy 100.545105 25.586704) (xy 100.687546 25.729394) (xy 100.873749 25.806712) (xy 101.075367 25.806888) (xy 101.261704 25.729895)
+        (xy 101.404394 25.587454) (xy 101.481712 25.401251) (xy 101.481888 25.199633) (xy 101.481888 28.403623) (xy 101.454895 28.338296)
+        (xy 101.431888 28.315248) (xy 101.431888 26.324633) (xy 101.354895 26.138296) (xy 101.212454 25.995606) (xy 101.026251 25.918288)
+        (xy 100.824633 25.918112) (xy 100.638296 25.995105) (xy 100.495606 26.137546) (xy 100.418288 26.323749) (xy 100.418112 26.525367)
+        (xy 100.495105 26.711704) (xy 100.637546 26.854394) (xy 100.823749 26.931712) (xy 101.025367 26.931888) (xy 101.211704 26.854895)
+        (xy 101.354394 26.712454) (xy 101.431712 26.526251) (xy 101.431888 26.324633) (xy 101.431888 28.315248) (xy 101.312454 28.195606)
+        (xy 101.126251 28.118288) (xy 100.924633 28.118112) (xy 100.738296 28.195105) (xy 100.595606 28.337546) (xy 100.518288 28.523749)
+        (xy 100.518112 28.725367) (xy 100.595105 28.911704) (xy 100.737546 29.054394) (xy 100.923749 29.131712) (xy 101.125367 29.131888)
+        (xy 101.311704 29.054895) (xy 101.454394 28.912454) (xy 101.531712 28.726251) (xy 101.531888 28.524633) (xy 101.531888 30.724633)
+        (xy 101.454895 30.538296) (xy 101.312454 30.395606) (xy 101.126251 30.318288) (xy 100.924633 30.318112) (xy 100.738296 30.395105)
+        (xy 100.595606 30.537546) (xy 100.518288 30.723749) (xy 100.518112 30.925367) (xy 100.595105 31.111704) (xy 100.737546 31.254394)
+        (xy 100.923749 31.331712) (xy 101.125367 31.331888) (xy 101.311704 31.254895) (xy 101.454394 31.112454) (xy 101.531712 30.926251)
+        (xy 101.531888 30.724633) (xy 101.531888 31.799633) (xy 101.454895 31.613296) (xy 101.312454 31.470606) (xy 101.126251 31.393288)
+        (xy 100.924633 31.393112) (xy 100.738296 31.470105) (xy 100.595606 31.612546) (xy 100.518288 31.798749) (xy 100.518112 32.000367)
+        (xy 100.595105 32.186704) (xy 100.737546 32.329394) (xy 100.923749 32.406712) (xy 101.125367 32.406888) (xy 101.311704 32.329895)
+        (xy 101.454394 32.187454) (xy 101.531712 32.001251) (xy 101.531888 31.799633) (xy 101.531888 36.164604) (xy 101.03232 36.197246)
+        (xy 100.617863 36.36892) (xy 100.499687 36.625143) (xy 101.47606 37.601516) (xy 102.452433 36.625143) (xy 102.452433 37.131431)
+        (xy 101.729204 37.85466) (xy 102.705577 38.831033) (xy 102.9618 38.712857) (xy 103.177123 38.078963) (xy 103.177123 39.696214)
+        (xy 103.16229 39.702343) (xy 102.937768 39.926473) (xy 102.720878 39.852566) (xy 102.467734 40.10571) (xy 102.467734 39.599422)
+        (xy 102.39274 39.379343) (xy 102.305429 39.35234) (xy 102.334257 39.3404) (xy 102.452433 39.084177) (xy 101.47606 38.107804)
+        (xy 101.222916 38.360948) (xy 101.222916 37.85466) (xy 100.246543 36.878287) (xy 99.99032 36.996463) (xy 99.774997 37.630357)
+        (xy 99.818646 38.2984) (xy 99.99032 38.712857) (xy 100.246543 38.831033) (xy 101.222916 37.85466) (xy 101.222916 38.360948)
+        (xy 100.499687 39.084177) (xy 100.617863 39.3404) (xy 101.059957 39.490572) (xy 101.022866 39.599422) (xy 101.7453 40.321856)
+        (xy 102.467734 39.599422) (xy 102.467734 40.10571) (xy 101.998444 40.575) (xy 102.720878 41.297434) (xy 102.937973 41.223456)
+        (xy 103.160768 41.44664) (xy 103.538747 41.60359) (xy 103.948017 41.603947) (xy 104.32627 41.447657) (xy 104.61592 41.158512)
+        (xy 104.745164 40.847255) (xy 104.873143 41.15699) (xy 105.162288 41.44664) (xy 105.540267 41.60359) (xy 105.949537 41.603947)
+        (xy 106.32779 41.447657) (xy 106.61744 41.158512) (xy 106.745411 40.850322) (xy 106.872123 41.15699) (xy 107.161268 41.44664)
+        (xy 107.539247 41.60359) (xy 107.948517 41.603947) (xy 108.32677 41.447657) (xy 108.61642 41.158512) (xy 108.77337 40.780533)
+        (xy 108.773727 40.371263) (xy 108.773727 41.927799) (xy 108.749068 41.91756) (xy 108.200857 41.917081) (xy 107.694194 42.12643)
+        (xy 107.306213 42.513735) (xy 107.09598 43.020032) (xy 107.095501 43.568243) (xy 107.30485 44.074906) (xy 107.692155 44.462887)
+        (xy 108.198452 44.67312) (xy 108.746663 44.673599) (xy 109.253326 44.46425) (xy 109.641307 44.076945) (xy 109.85154 43.570648)
+        (xy 109.852019 43.022437) (xy 109.852019 62.393317) (xy 109.724509 62.436969) (xy 109.330855 62.783999) (xy 109.123339 63.216565)
+        (xy 109.226322 63.421) (xy 110.746 63.421) (xy 110.746 63.779) (xy 109.226322 63.779) (xy 109.123339 63.983435)
+        (xy 109.330855 64.416001) (xy 109.724509 64.763031) (xy 110.221 64.933) (xy 110.746 64.933) (xy 110.746 68.467)
+        (xy 110.221 68.467) (xy 109.724509 68.636969) (xy 109.330855 68.983999) (xy 109.123339 69.416565) (xy 109.226322 69.621)
+        (xy 110.746 69.621) (xy 110.746 69.979) (xy 109.226322 69.979) (xy 109.123339 70.183435) (xy 109.330855 70.616001)
+        (xy 109.724509 70.963031) (xy 110.221 71.133) (xy 110.746 71.133) (xy 110.746 74.11296) (xy 110.601544 74.172648)
+        (xy 110.308676 74.465005) (xy 110.149982 74.847184) (xy 110.149621 75.261001) (xy 110.307648 75.643456) (xy 110.600005 75.936324)
+        (xy 110.982184 76.095018) (xy 111.396001 76.095379) (xy 111.778456 75.937352) (xy 112.071324 75.644995) (xy 112.230018 75.262816)
+        (xy 112.230379 74.848999) (xy 112.230379 77.388999) (xy 112.072352 77.006544) (xy 111.779995 76.713676) (xy 111.397816 76.554982)
+        (xy 110.983999 76.554621) (xy 110.601544 76.712648) (xy 110.308676 77.005005) (xy 110.149982 77.387184) (xy 110.149621 77.801001)
+        (xy 110.307648 78.183456) (xy 110.600005 78.476324) (xy 110.982184 78.635018) (xy 111.396001 78.635379) (xy 111.778456 78.477352)
+        (xy 112.071324 78.184995) (xy 112.230018 77.802816) (xy 112.230379 77.388999) (xy 112.230379 84.974737) (xy 111.693942 84.974269)
+        (xy 110.672236 85.396429) (xy 110.013837 86.05368) (xy 110.013837 75.198343) (xy 109.964876 74.665514) (xy 109.855167 74.400651)
+        (xy 109.633909 74.324236) (xy 109.380764 74.57738) (xy 109.380764 74.071091) (xy 109.304349 73.849833) (xy 108.799435 73.693054)
+        (xy 108.799435 46.869943) (xy 108.762062 46.04217) (xy 108.517188 45.450992) (xy 108.219437 45.283708) (xy 107.966292 45.536852)
+        (xy 107.966292 45.030563) (xy 107.799008 44.732812) (xy 107.019943 44.450565) (xy 106.19217 44.487938) (xy 105.600992 44.732812)
+        (xy 105.433708 45.030563) (xy 106.7 46.296856) (xy 107.966292 45.030563) (xy 107.966292 45.536852) (xy 106.953144 46.55)
+        (xy 108.219437 47.816292) (xy 108.517188 47.649008) (xy 108.799435 46.869943) (xy 108.799435 73.693054) (xy 108.793343 73.691163)
+        (xy 108.774435 73.6929) (xy 108.774435 59.819943) (xy 108.737062 58.99217) (xy 108.492188 58.400992) (xy 108.194437 58.233708)
+        (xy 107.966292 58.461852) (xy 107.966292 48.069437) (xy 106.7 46.803144) (xy 106.446856 47.056288) (xy 106.446856 46.55)
+        (xy 105.180563 45.283708) (xy 104.882812 45.450992) (xy 104.600565 46.230057) (xy 104.637938 47.05783) (xy 104.882812 47.649008)
+        (xy 105.180563 47.816292) (xy 106.446856 46.55) (xy 106.446856 47.056288) (xy 105.433708 48.069437) (xy 105.600992 48.367188)
+        (xy 106.380057 48.649435) (xy 107.20783 48.612062) (xy 107.799008 48.367188) (xy 107.966292 48.069437) (xy 107.966292 58.461852)
+        (xy 107.941292 58.486852) (xy 107.941292 57.980563) (xy 107.774008 57.682812) (xy 106.994943 57.400565) (xy 106.16717 57.437938)
+        (xy 105.575992 57.682812) (xy 105.408708 57.980563) (xy 106.675 59.246856) (xy 107.941292 57.980563) (xy 107.941292 58.486852)
+        (xy 106.928144 59.5) (xy 108.194437 60.766292) (xy 108.492188 60.599008) (xy 108.774435 59.819943) (xy 108.774435 73.6929)
+        (xy 108.666888 73.702782) (xy 108.666888 69.949633) (xy 108.589895 69.763296) (xy 108.447454 69.620606) (xy 108.281888 69.551857)
+        (xy 108.281888 67.724633) (xy 108.204895 67.538296) (xy 108.062454 67.395606) (xy 107.941292 67.345295) (xy 107.941292 61.019437)
+        (xy 106.675 59.753144) (xy 106.421856 60.006288) (xy 106.421856 59.5) (xy 105.155563 58.233708) (xy 105.077407 58.277618)
+        (xy 105.077407 49.740598) (xy 105.028266 49.212353) (xy 104.920657 48.95256) (xy 104.700578 48.877566) (xy 104.447434 49.13071)
+        (xy 104.447434 48.624422) (xy 104.37244 48.404343) (xy 103.865598 48.247593) (xy 103.337353 48.296734) (xy 103.177123 48.363102)
+        (xy 103.177123 43.519643) (xy 103.133474 42.8516) (xy 102.9618 42.437143) (xy 102.705577 42.318967) (xy 102.467734 42.55681)
+        (xy 102.467734 41.550578) (xy 101.7453 40.828144) (xy 101.492156 41.081288) (xy 101.492156 40.575) (xy 100.769722 39.852566)
+        (xy 100.549643 39.92756) (xy 100.392893 40.434402) (xy 100.442034 40.962647) (xy 100.549643 41.22244) (xy 100.769722 41.297434)
+        (xy 101.492156 40.575) (xy 101.492156 41.081288) (xy 101.022866 41.550578) (xy 101.052188 41.636627) (xy 101.03232 41.637926)
+        (xy 100.617863 41.8096) (xy 100.499687 42.065823) (xy 101.47606 43.042196) (xy 102.452433 42.065823) (xy 102.334257 41.8096)
+        (xy 102.314733 41.802968) (xy 102.39274 41.770657) (xy 102.467734 41.550578) (xy 102.467734 42.55681) (xy 101.729204 43.29534)
+        (xy 102.705577 44.271713) (xy 102.9618 44.153537) (xy 103.177123 43.519643) (xy 103.177123 48.363102) (xy 103.07756 48.404343)
+        (xy 103.002566 48.624422) (xy 103.725 49.346856) (xy 104.447434 48.624422) (xy 104.447434 49.13071) (xy 103.978144 49.6)
+        (xy 104.700578 50.322434) (xy 104.920657 50.24744) (xy 105.077407 49.740598) (xy 105.077407 58.277618) (xy 104.857812 58.400992)
+        (xy 104.763947 58.66008) (xy 104.763947 51.916263) (xy 104.607657 51.53801) (xy 104.447434 51.377507) (xy 104.447434 50.575578)
+        (xy 103.725 49.853144) (xy 103.471856 50.106288) (xy 103.471856 49.6) (xy 102.749422 48.877566) (xy 102.529343 48.95256)
+        (xy 102.452433 49.201244) (xy 102.452433 44.524857) (xy 101.529093 43.601517) (xy 101.47606 43.548484) (xy 101.222916 43.29534)
+        (xy 101.169883 43.242307) (xy 100.246543 42.318967) (xy 99.99032 42.437143) (xy 99.774997 43.071037) (xy 99.818646 43.73908)
+        (xy 99.99032 44.153537) (xy 100.173955 44.238233) (xy 100.084248 44.327941) (xy 100.226875 44.470568) (xy 99.84217 44.487938)
+        (xy 99.250992 44.732812) (xy 99.083708 45.030563) (xy 100.35 46.296856) (xy 101.616292 45.030563) (xy 101.584871 44.974637)
+        (xy 101.9198 44.952754) (xy 102.334257 44.78108) (xy 102.452433 44.524857) (xy 102.452433 49.201244) (xy 102.449435 49.210937)
+        (xy 102.449435 46.869943) (xy 102.412062 46.04217) (xy 102.167188 45.450992) (xy 101.869437 45.283708) (xy 100.603144 46.55)
+        (xy 101.869437 47.816292) (xy 102.167188 47.649008) (xy 102.449435 46.869943) (xy 102.449435 49.210937) (xy 102.372593 49.459402)
+        (xy 102.421734 49.987647) (xy 102.529343 50.24744) (xy 102.749422 50.322434) (xy 103.471856 49.6) (xy 103.471856 50.106288)
+        (xy 103.002566 50.575578) (xy 103.07756 50.795657) (xy 103.584402 50.952407) (xy 104.112647 50.903266) (xy 104.37244 50.795657)
+        (xy 104.447434 50.575578) (xy 104.447434 51.377507) (xy 104.318512 51.24836) (xy 103.940533 51.09141) (xy 103.531263 51.091053)
+        (xy 103.15301 51.247343) (xy 102.86336 51.536488) (xy 102.70641 51.914467) (xy 102.706053 52.323737) (xy 102.862343 52.70199)
+        (xy 103.151488 52.99164) (xy 103.492134 53.133088) (xy 103.14301 53.277343) (xy 102.85336 53.566488) (xy 102.69641 53.944467)
+        (xy 102.696053 54.353737) (xy 102.852343 54.73199) (xy 103.141488 55.02164) (xy 103.519467 55.17859) (xy 103.928737 55.178947)
+        (xy 104.30699 55.022657) (xy 104.59664 54.733512) (xy 104.75359 54.355533) (xy 104.753947 53.946263) (xy 104.597657 53.56801)
+        (xy 104.308512 53.27836) (xy 103.967865 53.136911) (xy 104.31699 52.992657) (xy 104.60664 52.703512) (xy 104.76359 52.325533)
+        (xy 104.763947 51.916263) (xy 104.763947 58.66008) (xy 104.753947 58.687682) (xy 104.753947 56.496263) (xy 104.597657 56.11801)
+        (xy 104.308512 55.82836) (xy 103.930533 55.67141) (xy 103.521263 55.671053) (xy 103.14301 55.827343) (xy 102.85336 56.116488)
+        (xy 102.69641 56.494467) (xy 102.696053 56.903737) (xy 102.852343 57.28199) (xy 103.141488 57.57164) (xy 103.519467 57.72859)
+        (xy 103.928737 57.728947) (xy 104.30699 57.572657) (xy 104.59664 57.283512) (xy 104.75359 56.905533) (xy 104.753947 56.496263)
+        (xy 104.753947 58.687682) (xy 104.575565 59.180057) (xy 104.612938 60.00783) (xy 104.857812 60.599008) (xy 105.155563 60.766292)
+        (xy 106.421856 59.5) (xy 106.421856 60.006288) (xy 105.408708 61.019437) (xy 105.575992 61.317188) (xy 106.355057 61.599435)
+        (xy 107.18283 61.562062) (xy 107.774008 61.317188) (xy 107.941292 61.019437) (xy 107.941292 67.345295) (xy 107.876251 67.318288)
+        (xy 107.831888 67.318249) (xy 107.831888 64.524633) (xy 107.754895 64.338296) (xy 107.726313 64.309664) (xy 107.781712 64.176251)
+        (xy 107.781888 63.974633) (xy 107.704895 63.788296) (xy 107.562454 63.645606) (xy 107.376251 63.568288) (xy 107.174633 63.568112)
+        (xy 106.988296 63.645105) (xy 106.845606 63.787546) (xy 106.768288 63.973749) (xy 106.768112 64.175367) (xy 106.845105 64.361704)
+        (xy 106.873686 64.390335) (xy 106.818288 64.523749) (xy 106.818112 64.725367) (xy 106.895105 64.911704) (xy 107.037546 65.054394)
+        (xy 107.223749 65.131712) (xy 107.425367 65.131888) (xy 107.611704 65.054895) (xy 107.754394 64.912454) (xy 107.831712 64.726251)
+        (xy 107.831888 64.524633) (xy 107.831888 67.318249) (xy 107.781806 67.318205) (xy 107.781888 67.224633) (xy 107.704895 67.038296)
+        (xy 107.562454 66.895606) (xy 107.376251 66.818288) (xy 107.174633 66.818112) (xy 106.988296 66.895105) (xy 106.845606 67.037546)
+        (xy 106.768288 67.223749) (xy 106.768112 67.425367) (xy 106.845105 67.611704) (xy 106.987546 67.754394) (xy 107.173749 67.831712)
+        (xy 107.268193 67.831794) (xy 107.268112 67.925367) (xy 107.345105 68.111704) (xy 107.487546 68.254394) (xy 107.673749 68.331712)
+        (xy 107.875367 68.331888) (xy 108.061704 68.254895) (xy 108.204394 68.112454) (xy 108.281712 67.926251) (xy 108.281888 67.724633)
+        (xy 108.281888 69.551857) (xy 108.261251 69.543288) (xy 108.059633 69.543112) (xy 107.873296 69.620105) (xy 107.730606 69.762546)
+        (xy 107.653288 69.948749) (xy 107.653112 70.150367) (xy 107.730105 70.336704) (xy 107.872546 70.479394) (xy 108.058749 70.556712)
+        (xy 108.260367 70.556888) (xy 108.446704 70.479895) (xy 108.589394 70.337454) (xy 108.666712 70.151251) (xy 108.666888 69.949633)
+        (xy 108.666888 73.702782) (xy 108.260514 73.740124) (xy 107.995651 73.849833) (xy 107.919236 74.071091) (xy 108.65 74.801856)
+        (xy 109.380764 74.071091) (xy 109.380764 74.57738) (xy 108.903144 75.055) (xy 109.633909 75.785764) (xy 109.855167 75.709349)
+        (xy 110.013837 75.198343) (xy 110.013837 86.05368) (xy 109.889857 86.177444) (xy 109.690379 86.65784) (xy 109.690379 77.388999)
+        (xy 109.532352 77.006544) (xy 109.380764 76.854691) (xy 109.380764 76.038909) (xy 108.65 75.308144) (xy 108.396856 75.561288)
+        (xy 108.396856 75.055) (xy 107.666091 74.324236) (xy 107.444833 74.400651) (xy 107.286163 74.911657) (xy 107.335124 75.444486)
+        (xy 107.444833 75.709349) (xy 107.666091 75.785764) (xy 108.396856 75.055) (xy 108.396856 75.561288) (xy 107.919236 76.038909)
+        (xy 107.995651 76.260167) (xy 108.506657 76.418837) (xy 109.039486 76.369876) (xy 109.304349 76.260167) (xy 109.380764 76.038909)
+        (xy 109.380764 76.854691) (xy 109.239995 76.713676) (xy 108.857816 76.554982) (xy 108.443999 76.554621) (xy 108.061544 76.712648)
+        (xy 107.768676 77.005005) (xy 107.609982 77.387184) (xy 107.609621 77.801001) (xy 107.767648 78.183456) (xy 108.060005 78.476324)
+        (xy 108.442184 78.635018) (xy 108.856001 78.635379) (xy 109.238456 78.477352) (xy 109.531324 78.184995) (xy 109.690018 77.802816)
+        (xy 109.690379 77.388999) (xy 109.690379 86.65784) (xy 109.465914 87.198412) (xy 109.464949 88.303898) (xy 109.887109 89.325604)
+        (xy 110.650615 90.090443) (xy 107.150379 90.104045) (xy 107.150379 77.388999) (xy 107.150379 74.848999) (xy 106.992352 74.466544)
+        (xy 106.699995 74.173676) (xy 106.317816 74.014982) (xy 105.903999 74.014621) (xy 105.521544 74.172648) (xy 105.228676 74.465005)
+        (xy 105.069982 74.847184) (xy 105.069621 75.261001) (xy 105.227648 75.643456) (xy 105.520005 75.936324) (xy 105.902184 76.095018)
+        (xy 106.316001 76.095379) (xy 106.698456 75.937352) (xy 106.991324 75.644995) (xy 107.150018 75.262816) (xy 107.150379 74.848999)
+        (xy 107.150379 77.388999) (xy 106.992352 77.006544) (xy 106.699995 76.713676) (xy 106.317816 76.554982) (xy 105.903999 76.554621)
+        (xy 105.521544 76.712648) (xy 105.228676 77.005005) (xy 105.069982 77.387184) (xy 105.069621 77.801001) (xy 105.227648 78.183456)
+        (xy 105.520005 78.476324) (xy 105.902184 78.635018) (xy 106.316001 78.635379) (xy 106.698456 78.477352) (xy 106.991324 78.184995)
+        (xy 107.150018 77.802816) (xy 107.150379 77.388999) (xy 107.150379 90.104045) (xy 106.407007 90.106933) (xy 106.407007 87.357469)
+        (xy 106.355481 86.889896) (xy 106.275678 86.697232) (xy 106.071156 86.641108) (xy 105.818012 86.894252) (xy 105.459064 87.2532)
+        (xy 106.071156 87.865292) (xy 106.275678 87.809168) (xy 106.407007 87.357469) (xy 106.407007 90.106933) (xy 105.818012 90.109222)
+        (xy 105.818012 88.118436) (xy 105.258953 87.559377) (xy 105.20592 87.506344) (xy 104.952776 87.2532) (xy 105.20592 87.000056)
+        (xy 105.258953 86.947023) (xy 105.818012 86.387964) (xy 105.761888 86.183442) (xy 105.310189 86.052113) (xy 105.056888 86.080026)
+        (xy 105.056888 69.074633) (xy 104.979895 68.888296) (xy 104.837454 68.745606) (xy 104.651251 68.668288) (xy 104.449633 68.668112)
+        (xy 104.263296 68.745105) (xy 104.120606 68.887546) (xy 104.043288 69.073749) (xy 104.043199 69.175325) (xy 104.026251 69.168288)
+        (xy 103.824633 69.168112) (xy 103.638296 69.245105) (xy 103.495606 69.387546) (xy 103.418288 69.573749) (xy 103.418112 69.775367)
+        (xy 103.495105 69.961704) (xy 103.637546 70.104394) (xy 103.823749 70.181712) (xy 103.891575 70.181771) (xy 103.738296 70.245105)
+        (xy 103.595606 70.387546) (xy 103.518288 70.573749) (xy 103.518112 70.775367) (xy 103.595105 70.961704) (xy 103.737546 71.104394)
+        (xy 103.923749 71.181712) (xy 104.018193 71.181794) (xy 104.018112 71.275367) (xy 104.095105 71.461704) (xy 104.237546 71.604394)
+        (xy 104.423749 71.681712) (xy 104.625367 71.681888) (xy 104.811704 71.604895) (xy 104.954394 71.462454) (xy 105.031712 71.276251)
+        (xy 105.031888 71.074633) (xy 104.954895 70.888296) (xy 104.812454 70.745606) (xy 104.626251 70.668288) (xy 104.531806 70.668205)
+        (xy 104.531888 70.574633) (xy 104.454895 70.388296) (xy 104.312454 70.245606) (xy 104.126251 70.168288) (xy 104.058424 70.168228)
+        (xy 104.211704 70.104895) (xy 104.354394 69.962454) (xy 104.431712 69.776251) (xy 104.4318 69.674674) (xy 104.448749 69.681712)
+        (xy 104.650367 69.681888) (xy 104.836704 69.604895) (xy 104.979394 69.462454) (xy 105.056712 69.276251) (xy 105.056888 69.074633)
+        (xy 105.056888 86.080026) (xy 104.866848 86.100968) (xy 104.937866 85.929939) (xy 104.938171 85.580793) (xy 104.933837 85.570303)
+        (xy 104.933837 77.738343) (xy 104.884876 77.205514) (xy 104.775167 76.940651) (xy 104.610379 76.883738) (xy 104.610379 74.848999)
+        (xy 104.452352 74.466544) (xy 104.159995 74.173676) (xy 103.777816 74.014982) (xy 103.363999 74.014621) (xy 102.981544 74.172648)
+        (xy 102.688676 74.465005) (xy 102.529982 74.847184) (xy 102.529621 75.261001) (xy 102.687648 75.643456) (xy 102.980005 75.936324)
+        (xy 103.362184 76.095018) (xy 103.776001 76.095379) (xy 104.158456 75.937352) (xy 104.451324 75.644995) (xy 104.610018 75.262816)
+        (xy 104.610379 74.848999) (xy 104.610379 76.883738) (xy 104.553909 76.864236) (xy 104.300764 77.11738) (xy 104.300764 76.611091)
+        (xy 104.224349 76.389833) (xy 103.713343 76.231163) (xy 103.180514 76.280124) (xy 102.915651 76.389833) (xy 102.839236 76.611091)
+        (xy 103.57 77.341856) (xy 104.300764 76.611091) (xy 104.300764 77.11738) (xy 103.823144 77.595) (xy 104.553909 78.325764)
+        (xy 104.775167 78.249349) (xy 104.933837 77.738343) (xy 104.933837 85.570303) (xy 104.80484 85.258107) (xy 104.558172 85.011008)
+        (xy 104.300764 84.904123) (xy 104.300764 78.578909) (xy 103.57 77.848144) (xy 103.316856 78.101288) (xy 103.316856 77.595)
+        (xy 102.586091 76.864236) (xy 102.499435 76.894164) (xy 102.499435 60.144943) (xy 102.462062 59.31717) (xy 102.377407 59.112794)
+        (xy 102.377407 49.734598) (xy 102.328266 49.206353) (xy 102.220657 48.94656) (xy 102.000578 48.871566) (xy 101.747434 49.12471)
+        (xy 101.747434 48.618422) (xy 101.67244 48.398343) (xy 101.46717 48.334859) (xy 101.616292 48.069437) (xy 100.35 46.803144)
+        (xy 100.096856 47.056288) (xy 100.096856 46.55) (xy 98.830563 45.283708) (xy 98.532812 45.450992) (xy 98.250565 46.230057)
+        (xy 98.287938 47.05783) (xy 98.532812 47.649008) (xy 98.830563 47.816292) (xy 100.096856 46.55) (xy 100.096856 47.056288)
+        (xy 99.083708 48.069437) (xy 99.250992 48.367188) (xy 100.030057 48.649435) (xy 100.049626 48.648551) (xy 99.884984 48.813194)
+        (xy 99.970313 48.898523) (xy 99.829343 48.94656) (xy 99.672593 49.453402) (xy 99.721734 49.981647) (xy 99.829343 50.24144)
+        (xy 100.049422 50.316434) (xy 100.718823 49.647033) (xy 100.771856 49.594) (xy 101.025 49.340856) (xy 101.078033 49.287823)
+        (xy 101.747434 48.618422) (xy 101.747434 49.12471) (xy 101.278144 49.594) (xy 102.000578 50.316434) (xy 102.220657 50.24144)
+        (xy 102.377407 49.734598) (xy 102.377407 59.112794) (xy 102.217188 58.725992) (xy 102.078947 58.648324) (xy 102.078947 53.971263)
+        (xy 101.922657 53.59301) (xy 101.633512 53.30336) (xy 101.255533 53.14641) (xy 101.246996 53.146402) (xy 101.60699 52.997657)
+        (xy 101.89664 52.708512) (xy 102.05359 52.330533) (xy 102.053947 51.921263) (xy 101.897657 51.54301) (xy 101.747434 51.392524)
+        (xy 101.747434 50.569578) (xy 101.025 49.847144) (xy 100.771856 50.100288) (xy 100.302566 50.569578) (xy 100.37756 50.789657)
+        (xy 100.884402 50.946407) (xy 101.412647 50.897266) (xy 101.67244 50.789657) (xy 101.747434 50.569578) (xy 101.747434 51.392524)
+        (xy 101.608512 51.25336) (xy 101.230533 51.09641) (xy 100.821263 51.096053) (xy 100.44301 51.252343) (xy 100.15336 51.541488)
+        (xy 99.99641 51.919467) (xy 99.996053 52.328737) (xy 100.152343 52.70699) (xy 100.441488 52.99664) (xy 100.819467 53.15359)
+        (xy 100.828003 53.153597) (xy 100.46801 53.302343) (xy 100.17836 53.591488) (xy 100.02141 53.969467) (xy 100.021053 54.378737)
+        (xy 100.177343 54.75699) (xy 100.466488 55.04664) (xy 100.844467 55.20359) (xy 101.253737 55.203947) (xy 101.63199 55.047657)
+        (xy 101.92164 54.758512) (xy 102.07859 54.380533) (xy 102.078947 53.971263) (xy 102.078947 58.648324) (xy 102.053947 58.634279)
+        (xy 102.053947 56.502263) (xy 101.897657 56.12401) (xy 101.608512 55.83436) (xy 101.230533 55.67741) (xy 100.821263 55.677053)
+        (xy 100.44301 55.833343) (xy 100.15336 56.122488) (xy 99.99641 56.500467) (xy 99.996053 56.909737) (xy 100.152343 57.28799)
+        (xy 100.441488 57.57764) (xy 100.819467 57.73459) (xy 101.228737 57.734947) (xy 101.60699 57.578657) (xy 101.89664 57.289512)
+        (xy 102.05359 56.911533) (xy 102.053947 56.502263) (xy 102.053947 58.634279) (xy 101.919437 58.558708) (xy 101.666292 58.811852)
+        (xy 101.666292 58.305563) (xy 101.499008 58.007812) (xy 100.719943 57.725565) (xy 99.89217 57.762938) (xy 99.300992 58.007812)
+        (xy 99.133708 58.305563) (xy 100.4 59.571856) (xy 101.666292 58.305563) (xy 101.666292 58.811852) (xy 100.653144 59.825)
+        (xy 101.919437 61.091292) (xy 102.217188 60.924008) (xy 102.499435 60.144943) (xy 102.499435 76.894164) (xy 102.364833 76.940651)
+        (xy 102.206163 77.451657) (xy 102.255124 77.984486) (xy 102.364833 78.249349) (xy 102.586091 78.325764) (xy 103.316856 77.595)
+        (xy 103.316856 78.101288) (xy 102.839236 78.578909) (xy 102.915651 78.800167) (xy 103.426657 78.958837) (xy 103.959486 78.909876)
+        (xy 104.224349 78.800167) (xy 104.300764 78.578909) (xy 104.300764 84.904123) (xy 104.235719 84.877114) (xy 103.886573 84.876809)
+        (xy 103.563887 85.01014) (xy 103.316788 85.256808) (xy 103.182894 85.579261) (xy 103.182589 85.928407) (xy 103.263002 86.123023)
+        (xy 103.019109 86.052113) (xy 102.575768 86.100968) (xy 102.646786 85.929939) (xy 102.647091 85.580793) (xy 102.51376 85.258107)
+        (xy 102.267092 85.011008) (xy 101.944639 84.877114) (xy 101.666292 84.87687) (xy 101.666292 61.344437) (xy 100.4 60.078144)
+        (xy 100.146856 60.331288) (xy 100.146856 59.825) (xy 99.128343 58.806487) (xy 99.128343 50.285984) (xy 99.002523 49.981475)
+        (xy 98.76975 49.748296) (xy 98.465462 49.621944) (xy 98.135984 49.621657) (xy 97.831475 49.747477) (xy 97.598296 49.98025)
+        (xy 97.531888 50.140177) (xy 97.531888 32.549633) (xy 97.531888 29.199633) (xy 97.454895 29.013296) (xy 97.312454 28.870606)
+        (xy 97.126251 28.793288) (xy 96.981888 28.793161) (xy 96.981888 26.349633) (xy 96.904895 26.163296) (xy 96.806888 26.065117)
+        (xy 96.806888 25.199633) (xy 96.781888 25.139128) (xy 96.781888 24.099633) (xy 96.704895 23.913296) (xy 96.562454 23.770606)
+        (xy 96.376251 23.693288) (xy 96.174633 23.693112) (xy 95.988296 23.770105) (xy 95.845606 23.912546) (xy 95.768288 24.098749)
+        (xy 95.768112 24.300367) (xy 95.845105 24.486704) (xy 95.987546 24.629394) (xy 96.173749 24.706712) (xy 96.375367 24.706888)
+        (xy 96.561704 24.629895) (xy 96.704394 24.487454) (xy 96.781712 24.301251) (xy 96.781888 24.099633) (xy 96.781888 25.139128)
+        (xy 96.729895 25.013296) (xy 96.587454 24.870606) (xy 96.401251 24.793288) (xy 96.199633 24.793112) (xy 96.013296 24.870105)
+        (xy 95.870606 25.012546) (xy 95.793288 25.198749) (xy 95.793112 25.400367) (xy 95.870105 25.586704) (xy 96.012546 25.729394)
+        (xy 96.198749 25.806712) (xy 96.400367 25.806888) (xy 96.586704 25.729895) (xy 96.729394 25.587454) (xy 96.806712 25.401251)
+        (xy 96.806888 25.199633) (xy 96.806888 26.065117) (xy 96.762454 26.020606) (xy 96.576251 25.943288) (xy 96.374633 25.943112)
+        (xy 96.188296 26.020105) (xy 96.045606 26.162546) (xy 95.968288 26.348749) (xy 95.968112 26.550367) (xy 96.045105 26.736704)
+        (xy 96.187546 26.879394) (xy 96.373749 26.956712) (xy 96.575367 26.956888) (xy 96.761704 26.879895) (xy 96.904394 26.737454)
+        (xy 96.981712 26.551251) (xy 96.981888 26.349633) (xy 96.981888 28.793161) (xy 96.924633 28.793112) (xy 96.738296 28.870105)
+        (xy 96.595606 29.012546) (xy 96.518288 29.198749) (xy 96.518112 29.400367) (xy 96.595105 29.586704) (xy 96.737546 29.729394)
+        (xy 96.923749 29.806712) (xy 97.125367 29.806888) (xy 97.311704 29.729895) (xy 97.454394 29.587454) (xy 97.531712 29.401251)
+        (xy 97.531888 29.199633) (xy 97.531888 32.549633) (xy 97.454895 32.363296) (xy 97.312454 32.220606) (xy 97.126251 32.143288)
+        (xy 96.931888 32.143118) (xy 96.931888 30.949633) (xy 96.854895 30.763296) (xy 96.712454 30.620606) (xy 96.526251 30.543288)
+        (xy 96.324633 30.543112) (xy 96.138296 30.620105) (xy 95.995606 30.762546) (xy 95.918288 30.948749) (xy 95.918112 31.150367)
+        (xy 95.995105 31.336704) (xy 96.137546 31.479394) (xy 96.323749 31.556712) (xy 96.525367 31.556888) (xy 96.711704 31.479895)
+        (xy 96.854394 31.337454) (xy 96.931712 31.151251) (xy 96.931888 30.949633) (xy 96.931888 32.143118) (xy 96.924633 32.143112)
+        (xy 96.738296 32.220105) (xy 96.595606 32.362546) (xy 96.518288 32.548749) (xy 96.518112 32.750367) (xy 96.595105 32.936704)
+        (xy 96.737546 33.079394) (xy 96.923749 33.156712) (xy 97.125367 33.156888) (xy 97.311704 33.079895) (xy 97.454394 32.937454)
+        (xy 97.531712 32.751251) (xy 97.531888 32.549633) (xy 97.531888 50.140177) (xy 97.471944 50.284538) (xy 97.471657 50.614016)
+        (xy 97.597477 50.918525) (xy 97.83025 51.151704) (xy 98.134538 51.278056) (xy 98.464016 51.278343) (xy 98.768525 51.152523)
+        (xy 99.001704 50.91975) (xy 99.128056 50.615462) (xy 99.128343 50.285984) (xy 99.128343 58.806487) (xy 98.880563 58.558708)
+        (xy 98.582812 58.725992) (xy 98.300565 59.505057) (xy 98.337938 60.33283) (xy 98.582812 60.924008) (xy 98.880563 61.091292)
+        (xy 100.146856 59.825) (xy 100.146856 60.331288) (xy 99.133708 61.344437) (xy 99.300992 61.642188) (xy 100.080057 61.924435)
+        (xy 100.90783 61.887062) (xy 101.499008 61.642188) (xy 101.666292 61.344437) (xy 101.666292 84.87687) (xy 101.595493 84.876809)
+        (xy 101.272807 85.01014) (xy 101.025708 85.256808) (xy 100.891814 85.579261) (xy 100.891509 85.928407) (xy 100.971922 86.123023)
+        (xy 100.728029 86.052113) (xy 100.290011 86.100381) (xy 100.360786 85.929939) (xy 100.361091 85.580793) (xy 100.22776 85.258107)
+        (xy 99.981092 85.011008) (xy 99.658639 84.877114) (xy 99.309493 84.876809) (xy 98.986807 85.01014) (xy 98.739708 85.256808)
+        (xy 98.726888 85.287682) (xy 98.726888 83.819633) (xy 98.649895 83.633296) (xy 98.507454 83.490606) (xy 98.321251 83.413288)
+        (xy 98.119633 83.413112) (xy 97.933296 83.490105) (xy 97.790606 83.632546) (xy 97.713288 83.818749) (xy 97.713112 84.020367)
+        (xy 97.790105 84.206704) (xy 97.932546 84.349394) (xy 98.118749 84.426712) (xy 98.320367 84.426888) (xy 98.506704 84.349895)
+        (xy 98.649394 84.207454) (xy 98.726712 84.021251) (xy 98.726888 83.819633) (xy 98.726888 85.287682) (xy 98.605814 85.579261)
+        (xy 98.605509 85.928407) (xy 98.73884 86.251093) (xy 98.985508 86.498192) (xy 99.307961 86.632086) (xy 99.643729 86.632379)
+        (xy 99.675296 86.663946) (xy 99.554002 86.697232) (xy 99.422673 87.148931) (xy 99.474199 87.616504) (xy 99.554002 87.809168)
+        (xy 99.675296 87.842453) (xy 99.643447 87.874302) (xy 99.306953 87.874009) (xy 99.213011 87.912825) (xy 99.213011 87.079393)
+        (xy 99.07968 86.756707) (xy 98.833012 86.509608) (xy 98.510559 86.375714) (xy 98.161413 86.375409) (xy 98.067471 86.414225)
+        (xy 97.838727 86.50874) (xy 97.591628 86.755408) (xy 97.457734 87.077861) (xy 97.457429 87.427007) (xy 97.59076 87.749693)
+        (xy 97.837428 87.996792) (xy 98.159881 88.130686) (xy 98.509027 88.130991) (xy 98.831713 87.99766) (xy 99.078812 87.750992)
+        (xy 99.212706 87.428539) (xy 99.213011 87.079393) (xy 99.213011 87.912825) (xy 98.984267 88.00734) (xy 98.737168 88.254008)
+        (xy 98.603274 88.576461) (xy 98.602969 88.925607) (xy 98.7363 89.248293) (xy 98.982968 89.495392) (xy 99.305421 89.629286)
+        (xy 99.654567 89.629591) (xy 99.977253 89.49626) (xy 100.224352 89.249592) (xy 100.358246 88.927139) (xy 100.358551 88.577993)
+        (xy 100.278483 88.384215) (xy 100.519491 88.454287) (xy 100.963936 88.405309) (xy 100.891814 88.579001) (xy 100.891509 88.928147)
+        (xy 101.02484 89.250833) (xy 101.271508 89.497932) (xy 101.593961 89.631826) (xy 101.943107 89.632131) (xy 102.265793 89.4988)
+        (xy 102.512892 89.252132) (xy 102.646786 88.929679) (xy 102.647091 88.580533) (xy 102.565484 88.383029) (xy 102.810571 88.454287)
+        (xy 102.919712 88.442259) (xy 102.859293 88.650071) (xy 102.910819 89.117644) (xy 102.990622 89.310308) (xy 103.195144 89.366432)
+        (xy 103.754203 88.807373) (xy 103.807236 88.75434) (xy 104.06038 88.501196) (xy 104.313524 88.75434) (xy 104.366557 88.807373)
+        (xy 104.925616 89.366432) (xy 105.130138 89.310308) (xy 105.261467 88.858609) (xy 105.215528 88.441737) (xy 105.569224 88.402761)
+        (xy 105.761888 88.322958) (xy 105.818012 88.118436) (xy 105.818012 90.109222) (xy 104.672472 90.113674) (xy 104.672472 89.619576)
+        (xy 104.06038 89.007484) (xy 103.807236 89.260628) (xy 103.448288 89.619576) (xy 103.504412 89.824098) (xy 103.956111 89.955427)
+        (xy 104.423684 89.903901) (xy 104.616348 89.824098) (xy 104.672472 89.619576) (xy 104.672472 90.113674) (xy 98.067471 90.139341)
+        (xy 98.067471 88.580533) (xy 97.93414 88.257847) (xy 97.687472 88.010748) (xy 97.365019 87.876854) (xy 97.245227 87.876749)
+        (xy 97.026708 87.876558) (xy 96.992603 87.842453) (xy 97.113898 87.809168) (xy 97.245227 87.357469) (xy 97.193701 86.889896)
+        (xy 97.113898 86.697232) (xy 96.992603 86.663946) (xy 97.024455 86.632094) (xy 97.363487 86.632391) (xy 97.686173 86.49906)
+        (xy 97.933272 86.252392) (xy 98.067166 85.929939) (xy 98.067471 85.580793) (xy 97.93414 85.258107) (xy 97.687472 85.011008)
+        (xy 97.365019 84.877114) (xy 97.015873 84.876809) (xy 96.693187 85.01014) (xy 96.446088 85.256808) (xy 96.315688 85.570846)
+        (xy 96.315688 80.239833) (xy 96.238695 80.053496) (xy 96.096254 79.910806) (xy 95.910051 79.833488) (xy 95.708433 79.833312)
+        (xy 95.522096 79.910305) (xy 95.379406 80.052746) (xy 95.302088 80.238949) (xy 95.301912 80.440567) (xy 95.378905 80.626904)
+        (xy 95.521346 80.769594) (xy 95.707549 80.846912) (xy 95.909167 80.847088) (xy 96.095504 80.770095) (xy 96.238194 80.627654)
+        (xy 96.315512 80.441451) (xy 96.315688 80.239833) (xy 96.315688 85.570846) (xy 96.312194 85.579261) (xy 96.311889 85.928407)
+        (xy 96.392302 86.123023) (xy 96.148409 86.052113) (xy 96.042654 86.063767) (xy 96.102227 85.858869) (xy 96.050701 85.391296)
+        (xy 95.970898 85.198632) (xy 95.766376 85.142508) (xy 95.513232 85.395652) (xy 95.513232 84.889364) (xy 95.457108 84.684842)
+        (xy 95.005409 84.553513) (xy 94.537836 84.605039) (xy 94.345172 84.684842) (xy 94.289048 84.889364) (xy 94.90114 85.501456)
+        (xy 95.513232 84.889364) (xy 95.513232 85.395652) (xy 95.207317 85.701567) (xy 95.154284 85.7546) (xy 94.90114 86.007744)
+        (xy 94.848107 86.060777) (xy 94.647996 86.260888) (xy 94.647996 85.7546) (xy 94.035904 85.142508) (xy 93.831382 85.198632)
+        (xy 93.77 85.409752) (xy 93.77 58.051627) (xy 93.722238 57.48826) (xy 93.598534 57.189612) (xy 93.4856 57.147286)
+        (xy 93.4856 31.642489) (xy 93.134718 30.79329) (xy 93.134718 28.239422) (xy 93.030714 27.987712) (xy 92.838301 27.794963)
+        (xy 92.586773 27.690519) (xy 92.314422 27.690282) (xy 92.062712 27.794286) (xy 91.869963 27.986699) (xy 91.765519 28.238227)
+        (xy 91.765282 28.510578) (xy 91.869286 28.762288) (xy 92.061699 28.955037) (xy 92.313227 29.059481) (xy 92.585578 29.059718)
+        (xy 92.837288 28.955714) (xy 93.030037 28.763301) (xy 93.134481 28.511773) (xy 93.134718 28.239422) (xy 93.134718 30.79329)
+        (xy 93.134634 30.793087) (xy 92.485332 30.14265) (xy 91.636543 29.790202) (xy 90.717489 29.7894) (xy 89.868087 30.140366)
+        (xy 89.21765 30.789668) (xy 88.865202 31.638457) (xy 88.8644 32.557511) (xy 89.215366 33.406913) (xy 89.864668 34.05735)
+        (xy 90.713457 34.409798) (xy 91.632511 34.4106) (xy 92.481913 34.059634) (xy 93.13235 33.410332) (xy 93.484798 32.561543)
+        (xy 93.4856 31.642489) (xy 93.4856 57.147286) (xy 93.369405 57.103739) (xy 93.116261 57.356883) (xy 93.116261 56.850595)
+        (xy 93.030388 56.621466) (xy 92.491627 56.45) (xy 91.92826 56.497762) (xy 91.629612 56.621466) (xy 91.543739 56.850595)
+        (xy 92.33 57.636856) (xy 93.116261 56.850595) (xy 93.116261 57.356883) (xy 92.583144 57.89) (xy 93.369405 58.676261)
+        (xy 93.598534 58.590388) (xy 93.77 58.051627) (xy 93.77 85.409752) (xy 93.700053 85.650331) (xy 93.751579 86.117904)
+        (xy 93.831382 86.310568) (xy 94.035904 86.366692) (xy 94.647996 85.7546) (xy 94.647996 86.260888) (xy 94.289048 86.619836)
+        (xy 94.345172 86.824358) (xy 94.796871 86.955687) (xy 94.902625 86.944032) (xy 94.843053 87.148931) (xy 94.894579 87.616504)
+        (xy 94.974382 87.809168) (xy 95.095676 87.842453) (xy 95.061289 87.87684) (xy 94.727333 87.876549) (xy 94.404647 88.00988)
+        (xy 94.157548 88.256548) (xy 94.023654 88.579001) (xy 94.023349 88.928147) (xy 94.15668 89.250833) (xy 94.403348 89.497932)
+        (xy 94.725801 89.631826) (xy 95.074947 89.632131) (xy 95.397633 89.4988) (xy 95.644732 89.252132) (xy 95.778626 88.929679)
+        (xy 95.778931 88.580533) (xy 95.697671 88.383868) (xy 95.939871 88.454287) (xy 96.384316 88.405309) (xy 96.312194 88.579001)
+        (xy 96.311889 88.928147) (xy 96.44522 89.250833) (xy 96.691888 89.497932) (xy 97.014341 89.631826) (xy 97.363487 89.632131)
+        (xy 97.686173 89.4988) (xy 97.933272 89.252132) (xy 98.067166 88.929679) (xy 98.067471 88.580533) (xy 98.067471 90.139341)
+        (xy 93.446593 90.157298) (xy 93.446593 60.208909) (xy 93.276989 59.798437) (xy 92.963215 59.484114) (xy 92.55304 59.313795)
+        (xy 92.361489 59.313627) (xy 92.73174 59.282238) (xy 93.030388 59.158534) (xy 93.116261 58.929405) (xy 92.33 58.143144)
+        (xy 92.076856 58.396288) (xy 92.076856 57.89) (xy 91.290595 57.103739) (xy 91.061466 57.189612) (xy 90.89 57.728373)
+        (xy 90.937762 58.29174) (xy 91.061466 58.590388) (xy 91.290595 58.676261) (xy 92.076856 57.89) (xy 92.076856 58.396288)
+        (xy 91.543739 58.929405) (xy 91.629612 59.158534) (xy 92.116256 59.313413) (xy 92.108909 59.313407) (xy 91.698437 59.483011)
+        (xy 91.384114 59.796785) (xy 91.213795 60.20696) (xy 91.213407 60.651091) (xy 91.383011 61.061563) (xy 91.696785 61.375886)
+        (xy 92.10696 61.546205) (xy 92.551091 61.546593) (xy 92.961563 61.376989) (xy 93.275886 61.063215) (xy 93.446205 60.65304)
+        (xy 93.446593 60.208909) (xy 93.446593 90.157298) (xy 92.073918 90.162632) (xy 92.073918 78.248822) (xy 91.969914 77.997112)
+        (xy 91.777501 77.804363) (xy 91.674718 77.761683) (xy 91.674718 62.054422) (xy 91.570714 61.802712) (xy 91.378301 61.609963)
+        (xy 91.126773 61.505519) (xy 90.854422 61.505282) (xy 90.602712 61.609286) (xy 90.409963 61.801699) (xy 90.305519 62.053227)
+        (xy 90.305282 62.325578) (xy 90.409286 62.577288) (xy 90.601699 62.770037) (xy 90.853227 62.874481) (xy 91.125578 62.874718)
+        (xy 91.377288 62.770714) (xy 91.570037 62.578301) (xy 91.674481 62.326773) (xy 91.674718 62.054422) (xy 91.674718 77.761683)
+        (xy 91.525973 77.699919) (xy 91.253622 77.699682) (xy 91.001912 77.803686) (xy 90.809163 77.996099) (xy 90.704719 78.247627)
+        (xy 90.704482 78.519978) (xy 90.808486 78.771688) (xy 91.000899 78.964437) (xy 91.252427 79.068881) (xy 91.524778 79.069118)
+        (xy 91.776488 78.965114) (xy 91.969237 78.772701) (xy 92.073681 78.521173) (xy 92.073918 78.248822) (xy 92.073918 90.162632)
+        (xy 90.626088 90.168259) (xy 90.626088 80.595433) (xy 90.549095 80.409096) (xy 90.406654 80.266406) (xy 90.220451 80.189088)
+        (xy 90.0566 80.188944) (xy 90.0566 61.708957) (xy 90.0566 60.081043) (xy 89.968031 59.866689) (xy 89.804174 59.702546)
+        (xy 89.751868 59.680826) (xy 89.751868 58.161575) (xy 89.704718 58.047462) (xy 89.704718 46.494422) (xy 89.600714 46.242712)
+        (xy 89.584718 46.226688) (xy 89.584718 42.414422) (xy 89.480714 42.162712) (xy 89.288301 41.969963) (xy 89.036773 41.865519)
+        (xy 88.764422 41.865282) (xy 88.512712 41.969286) (xy 88.458848 42.023056) (xy 88.458848 40.630833) (xy 88.381855 40.444496)
+        (xy 88.239414 40.301806) (xy 88.053211 40.224488) (xy 87.851593 40.224312) (xy 87.665256 40.301305) (xy 87.522566 40.443746)
+        (xy 87.445248 40.629949) (xy 87.445072 40.831567) (xy 87.522065 41.017904) (xy 87.664506 41.160594) (xy 87.850709 41.237912)
+        (xy 88.052327 41.238088) (xy 88.238664 41.161095) (xy 88.381354 41.018654) (xy 88.458672 40.832451) (xy 88.458848 40.630833)
+        (xy 88.458848 42.023056) (xy 88.319963 42.161699) (xy 88.215519 42.413227) (xy 88.215282 42.685578) (xy 88.319286 42.937288)
+        (xy 88.457508 43.075751) (xy 88.395606 43.137546) (xy 88.318288 43.323749) (xy 88.318112 43.525367) (xy 88.395105 43.711704)
+        (xy 88.537546 43.854394) (xy 88.723749 43.931712) (xy 88.925367 43.931888) (xy 89.111704 43.854895) (xy 89.254394 43.712454)
+        (xy 89.331712 43.526251) (xy 89.331888 43.324633) (xy 89.256942 43.143252) (xy 89.287288 43.130714) (xy 89.480037 42.938301)
+        (xy 89.584481 42.686773) (xy 89.584718 42.414422) (xy 89.584718 46.226688) (xy 89.408301 46.049963) (xy 89.156773 45.945519)
+        (xy 88.884422 45.945282) (xy 88.632712 46.049286) (xy 88.439963 46.241699) (xy 88.335519 46.493227) (xy 88.335282 46.765578)
+        (xy 88.439286 47.017288) (xy 88.631699 47.210037) (xy 88.883227 47.314481) (xy 89.155578 47.314718) (xy 89.407288 47.210714)
+        (xy 89.600037 47.018301) (xy 89.704481 46.766773) (xy 89.704718 46.494422) (xy 89.704718 58.047462) (xy 89.603488 57.802467)
+        (xy 89.328978 57.527477) (xy 89.136888 57.447714) (xy 89.136888 48.379633) (xy 89.059895 48.193296) (xy 88.917454 48.050606)
+        (xy 88.731251 47.973288) (xy 88.529633 47.973112) (xy 88.343296 48.050105) (xy 88.200606 48.192546) (xy 88.123288 48.378749)
+        (xy 88.123112 48.580367) (xy 88.200105 48.766704) (xy 88.342546 48.909394) (xy 88.528749 48.986712) (xy 88.730367 48.986888)
+        (xy 88.916704 48.909895) (xy 89.059394 48.767454) (xy 89.136712 48.581251) (xy 89.136888 48.379633) (xy 89.136888 57.447714)
+        (xy 88.97013 57.378471) (xy 88.581575 57.378132) (xy 88.556888 57.388332) (xy 88.556888 56.349633) (xy 88.479895 56.163296)
+        (xy 88.337454 56.020606) (xy 88.253343 55.98568) (xy 88.253343 53.435984) (xy 88.127523 53.131475) (xy 87.89475 52.898296)
+        (xy 87.590462 52.771944) (xy 87.260984 52.771657) (xy 87.016888 52.872514) (xy 87.016888 39.069633) (xy 86.939895 38.883296)
+        (xy 86.797454 38.740606) (xy 86.611251 38.663288) (xy 86.409633 38.663112) (xy 86.223296 38.740105) (xy 86.080606 38.882546)
+        (xy 86.003288 39.068749) (xy 86.003112 39.270367) (xy 86.080105 39.456704) (xy 86.222546 39.599394) (xy 86.408749 39.676712)
+        (xy 86.610367 39.676888) (xy 86.796704 39.599895) (xy 86.939394 39.457454) (xy 87.016712 39.271251) (xy 87.016888 39.069633)
+        (xy 87.016888 52.872514) (xy 86.956475 52.897477) (xy 86.723296 53.13025) (xy 86.596944 53.434538) (xy 86.596657 53.764016)
+        (xy 86.722477 54.068525) (xy 86.95525 54.301704) (xy 87.259538 54.428056) (xy 87.589016 54.428343) (xy 87.893525 54.302523)
+        (xy 88.126704 54.06975) (xy 88.253056 53.765462) (xy 88.253343 53.435984) (xy 88.253343 55.98568) (xy 88.151251 55.943288)
+        (xy 87.949633 55.943112) (xy 87.763296 56.020105) (xy 87.620606 56.162546) (xy 87.543288 56.348749) (xy 87.543112 56.550367)
+        (xy 87.620105 56.736704) (xy 87.762546 56.879394) (xy 87.948749 56.956712) (xy 88.150367 56.956888) (xy 88.336704 56.879895)
+        (xy 88.479394 56.737454) (xy 88.556712 56.551251) (xy 88.556888 56.349633) (xy 88.556888 57.388332) (xy 88.222467 57.526512)
+        (xy 87.947477 57.801022) (xy 87.798471 58.15987) (xy 87.798132 58.548425) (xy 87.946512 58.907533) (xy 88.221022 59.182523)
+        (xy 88.57987 59.331529) (xy 88.968425 59.331868) (xy 89.327533 59.183488) (xy 89.602523 58.908978) (xy 89.751529 58.55013)
+        (xy 89.751868 58.161575) (xy 89.751868 59.680826) (xy 89.589974 59.613602) (xy 89.358043 59.6134) (xy 89.09975 59.6135)
+        (xy 88.954 59.75925) (xy 88.954 60.716) (xy 89.91075 60.716) (xy 90.0565 60.57025) (xy 90.0566 60.081043)
+        (xy 90.0566 61.708957) (xy 90.0565 61.21975) (xy 89.91075 61.074) (xy 88.954 61.074) (xy 88.954 62.03075)
+        (xy 89.09975 62.1765) (xy 89.358043 62.1766) (xy 89.589974 62.176398) (xy 89.804174 62.087454) (xy 89.968031 61.923311)
+        (xy 90.0566 61.708957) (xy 90.0566 80.188944) (xy 90.018833 80.188912) (xy 89.832496 80.265905) (xy 89.689806 80.408346)
+        (xy 89.660888 80.477988) (xy 89.660888 79.630233) (xy 89.583895 79.443896) (xy 89.441454 79.301206) (xy 89.255251 79.223888)
+        (xy 89.053633 79.223712) (xy 88.867296 79.300705) (xy 88.724606 79.443146) (xy 88.647288 79.629349) (xy 88.647112 79.830967)
+        (xy 88.724105 80.017304) (xy 88.866546 80.159994) (xy 89.052749 80.237312) (xy 89.254367 80.237488) (xy 89.440704 80.160495)
+        (xy 89.583394 80.018054) (xy 89.660712 79.831851) (xy 89.660888 79.630233) (xy 89.660888 80.477988) (xy 89.612488 80.594549)
+        (xy 89.612312 80.796167) (xy 89.689305 80.982504) (xy 89.831746 81.125194) (xy 90.017949 81.202512) (xy 90.219567 81.202688)
+        (xy 90.405904 81.125695) (xy 90.548594 80.983254) (xy 90.625912 80.797051) (xy 90.626088 80.595433) (xy 90.626088 90.168259)
+        (xy 88.670139 90.17586) (xy 88.827764 90.110731) (xy 89.610143 89.329716) (xy 90.034086 88.308748) (xy 90.035051 87.203262)
+        (xy 89.612891 86.181556) (xy 88.831876 85.399177) (xy 88.596 85.301232) (xy 88.596 62.03075) (xy 88.596 61.074)
+        (xy 88.596 60.716) (xy 88.596 59.75925) (xy 88.45025 59.6135) (xy 88.191957 59.6134) (xy 87.960026 59.613602)
+        (xy 87.745826 59.702546) (xy 87.581969 59.866689) (xy 87.4934 60.081043) (xy 87.4935 60.57025) (xy 87.63925 60.716)
+        (xy 88.596 60.716) (xy 88.596 61.074) (xy 87.63925 61.074) (xy 87.4935 61.21975) (xy 87.4934 61.708957)
+        (xy 87.581969 61.923311) (xy 87.745826 62.087454) (xy 87.960026 62.176398) (xy 88.191957 62.1766) (xy 88.45025 62.1765)
+        (xy 88.596 62.03075) (xy 88.596 85.301232) (xy 88.231888 85.15004) (xy 88.231888 67.499633) (xy 88.154895 67.313296)
+        (xy 88.012454 67.170606) (xy 87.826251 67.093288) (xy 87.624633 67.093112) (xy 87.438296 67.170105) (xy 87.295606 67.312546)
+        (xy 87.218288 67.498749) (xy 87.218233 67.56072) (xy 87.176251 67.543288) (xy 86.974633 67.543112) (xy 86.788296 67.620105)
+        (xy 86.645606 67.762546) (xy 86.622869 67.817301) (xy 86.579895 67.713296) (xy 86.437454 67.570606) (xy 86.251251 67.493288)
+        (xy 86.049633 67.493112) (xy 85.906888 67.552093) (xy 85.906888 59.899633) (xy 85.829895 59.713296) (xy 85.716724 59.599927)
+        (xy 85.829394 59.487454) (xy 85.906712 59.301251) (xy 85.906888 59.099633) (xy 85.829895 58.913296) (xy 85.716724 58.799927)
+        (xy 85.829394 58.687454) (xy 85.906712 58.501251) (xy 85.906888 58.299633) (xy 85.829895 58.113296) (xy 85.716724 57.999927)
+        (xy 85.829394 57.887454) (xy 85.906712 57.701251) (xy 85.906888 57.499633) (xy 85.884718 57.445977) (xy 85.884718 34.414422)
+        (xy 85.860379 34.355516) (xy 85.860379 31.903999) (xy 85.860379 29.363999) (xy 85.860379 26.823999) (xy 85.860379 24.283999)
+        (xy 85.702352 23.901544) (xy 85.409995 23.608676) (xy 85.027816 23.449982) (xy 84.613999 23.449621) (xy 84.231544 23.607648)
+        (xy 83.938676 23.900005) (xy 83.779982 24.282184) (xy 83.779621 24.696001) (xy 83.937648 25.078456) (xy 84.230005 25.371324)
+        (xy 84.612184 25.530018) (xy 85.026001 25.530379) (xy 85.408456 25.372352) (xy 85.701324 25.079995) (xy 85.860018 24.697816)
+        (xy 85.860379 24.283999) (xy 85.860379 26.823999) (xy 85.702352 26.441544) (xy 85.409995 26.148676) (xy 85.027816 25.989982)
+        (xy 84.613999 25.989621) (xy 84.231544 26.147648) (xy 83.938676 26.440005) (xy 83.779982 26.822184) (xy 83.779621 27.236001)
+        (xy 83.937648 27.618456) (xy 84.230005 27.911324) (xy 84.612184 28.070018) (xy 85.026001 28.070379) (xy 85.408456 27.912352)
+        (xy 85.701324 27.619995) (xy 85.860018 27.237816) (xy 85.860379 26.823999) (xy 85.860379 29.363999) (xy 85.702352 28.981544)
+        (xy 85.409995 28.688676) (xy 85.027816 28.529982) (xy 84.613999 28.529621) (xy 84.231544 28.687648) (xy 83.938676 28.980005)
+        (xy 83.779982 29.362184) (xy 83.779621 29.776001) (xy 83.937648 30.158456) (xy 84.230005 30.451324) (xy 84.612184 30.610018)
+        (xy 85.026001 30.610379) (xy 85.408456 30.452352) (xy 85.701324 30.159995) (xy 85.860018 29.777816) (xy 85.860379 29.363999)
+        (xy 85.860379 31.903999) (xy 85.702352 31.521544) (xy 85.409995 31.228676) (xy 85.027816 31.069982) (xy 84.613999 31.069621)
+        (xy 84.231544 31.227648) (xy 83.938676 31.520005) (xy 83.779982 31.902184) (xy 83.779621 32.316001) (xy 83.937648 32.698456)
+        (xy 84.230005 32.991324) (xy 84.612184 33.150018) (xy 85.026001 33.150379) (xy 85.408456 32.992352) (xy 85.701324 32.699995)
+        (xy 85.860018 32.317816) (xy 85.860379 31.903999) (xy 85.860379 34.355516) (xy 85.780714 34.162712) (xy 85.588301 33.969963)
+        (xy 85.336773 33.865519) (xy 85.064422 33.865282) (xy 84.812712 33.969286) (xy 84.619963 34.161699) (xy 84.515519 34.413227)
+        (xy 84.515282 34.685578) (xy 84.619286 34.937288) (xy 84.811699 35.130037) (xy 85.063227 35.234481) (xy 85.335578 35.234718)
+        (xy 85.587288 35.130714) (xy 85.780037 34.938301) (xy 85.884481 34.686773) (xy 85.884718 34.414422) (xy 85.884718 57.445977)
+        (xy 85.829895 57.313296) (xy 85.687454 57.170606) (xy 85.501251 57.093288) (xy 85.299633 57.093112) (xy 85.113296 57.170105)
+        (xy 84.999927 57.283275) (xy 84.887454 57.170606) (xy 84.701251 57.093288) (xy 84.631888 57.093227) (xy 84.631888 48.374633)
+        (xy 84.554895 48.188296) (xy 84.412454 48.045606) (xy 84.226251 47.968288) (xy 84.024633 47.968112) (xy 83.838296 48.045105)
+        (xy 83.695606 48.187546) (xy 83.618288 48.373749) (xy 83.618112 48.575367) (xy 83.695105 48.761704) (xy 83.837546 48.904394)
+        (xy 84.023749 48.981712) (xy 84.225367 48.981888) (xy 84.411704 48.904895) (xy 84.554394 48.762454) (xy 84.631712 48.576251)
+        (xy 84.631888 48.374633) (xy 84.631888 57.093227) (xy 84.499633 57.093112) (xy 84.313296 57.170105) (xy 84.170606 57.312546)
+        (xy 84.093288 57.498749) (xy 84.093112 57.700367) (xy 84.170105 57.886704) (xy 84.283275 58.000072) (xy 84.170606 58.112546)
+        (xy 84.093288 58.298749) (xy 84.093112 58.500367) (xy 84.170105 58.686704) (xy 84.283275 58.800072) (xy 84.170606 58.912546)
+        (xy 84.093288 59.098749) (xy 84.093112 59.300367) (xy 84.170105 59.486704) (xy 84.283275 59.600072) (xy 84.170606 59.712546)
+        (xy 84.093288 59.898749) (xy 84.093112 60.100367) (xy 84.170105 60.286704) (xy 84.312546 60.429394) (xy 84.498749 60.506712)
+        (xy 84.700367 60.506888) (xy 84.886704 60.429895) (xy 85.000072 60.316724) (xy 85.112546 60.429394) (xy 85.298749 60.506712)
+        (xy 85.500367 60.506888) (xy 85.686704 60.429895) (xy 85.829394 60.287454) (xy 85.906712 60.101251) (xy 85.906888 59.899633)
+        (xy 85.906888 67.552093) (xy 85.863296 67.570105) (xy 85.720606 67.712546) (xy 85.643288 67.898749) (xy 85.643112 68.100367)
+        (xy 85.720105 68.286704) (xy 85.833275 68.400072) (xy 85.720606 68.512546) (xy 85.643288 68.698749) (xy 85.643112 68.900367)
+        (xy 85.720105 69.086704) (xy 85.862546 69.229394) (xy 86.048749 69.306712) (xy 86.250367 69.306888) (xy 86.436704 69.229895)
+        (xy 86.579394 69.087454) (xy 86.622841 68.982821) (xy 86.645105 69.036704) (xy 86.787546 69.179394) (xy 86.973749 69.256712)
+        (xy 87.175367 69.256888) (xy 87.361704 69.179895) (xy 87.504394 69.037454) (xy 87.581712 68.851251) (xy 87.581888 68.649633)
+        (xy 87.504895 68.463296) (xy 87.441724 68.400014) (xy 87.504394 68.337454) (xy 87.581712 68.151251) (xy 87.581766 68.089279)
+        (xy 87.623749 68.106712) (xy 87.825367 68.106888) (xy 88.011704 68.029895) (xy 88.154394 67.887454) (xy 88.231712 67.701251)
+        (xy 88.231888 67.499633) (xy 88.231888 85.15004) (xy 87.810908 84.975234) (xy 87.527288 84.974986) (xy 87.527288 79.858833)
+        (xy 87.450295 79.672496) (xy 87.307854 79.529806) (xy 87.121651 79.452488) (xy 86.920033 79.452312) (xy 86.733696 79.529305)
+        (xy 86.591006 79.671746) (xy 86.513688 79.857949) (xy 86.513512 80.059567) (xy 86.590505 80.245904) (xy 86.732946 80.388594)
+        (xy 86.919149 80.465912) (xy 87.120767 80.466088) (xy 87.307104 80.389095) (xy 87.449794 80.246654) (xy 87.527112 80.060451)
+        (xy 87.527288 79.858833) (xy 87.527288 84.974986) (xy 86.705422 84.974269) (xy 85.683716 85.396429) (xy 85.106888 85.972251)
+        (xy 85.106888 73.499633) (xy 85.029895 73.313296) (xy 84.916724 73.199927) (xy 85.029394 73.087454) (xy 85.106712 72.901251)
+        (xy 85.106888 72.699633) (xy 85.106888 71.099633) (xy 85.106888 67.899633) (xy 85.029895 67.713296) (xy 84.916724 67.599927)
+        (xy 85.029394 67.487454) (xy 85.106712 67.301251) (xy 85.106888 67.099633) (xy 85.029895 66.913296) (xy 84.916724 66.799927)
+        (xy 85.029394 66.687454) (xy 85.106712 66.501251) (xy 85.106888 66.299633) (xy 85.029895 66.113296) (xy 84.887454 65.970606)
+        (xy 84.701251 65.893288) (xy 84.499633 65.893112) (xy 84.313296 65.970105) (xy 84.199927 66.083275) (xy 84.116724 65.999927)
+        (xy 84.229394 65.887454) (xy 84.306712 65.701251) (xy 84.306888 65.499633) (xy 84.229895 65.313296) (xy 84.116724 65.199927)
+        (xy 84.229394 65.087454) (xy 84.306712 64.901251) (xy 84.306888 64.699633) (xy 84.229895 64.513296) (xy 84.116724 64.399927)
+        (xy 84.229394 64.287454) (xy 84.306712 64.101251) (xy 84.306888 63.899633) (xy 84.229895 63.713296) (xy 84.087454 63.570606)
+        (xy 83.901251 63.493288) (xy 83.699633 63.493112) (xy 83.513296 63.570105) (xy 83.399927 63.683275) (xy 83.316724 63.599927)
+        (xy 83.429394 63.487454) (xy 83.506712 63.301251) (xy 83.506888 63.099633) (xy 83.429895 62.913296) (xy 83.320379 62.803588)
+        (xy 83.320379 31.903999) (xy 83.320379 29.363999) (xy 83.320379 26.823999) (xy 83.320248 26.823681) (xy 83.320248 25.196905)
+        (xy 83.320248 23.672905) (xy 83.277984 23.570618) (xy 83.199793 23.492291) (xy 83.09758 23.449848) (xy 82.986905 23.449752)
+        (xy 81.462905 23.449752) (xy 81.360618 23.492016) (xy 81.282291 23.570207) (xy 81.239848 23.67242) (xy 81.239752 23.783095)
+        (xy 81.239752 25.307095) (xy 81.282016 25.409382) (xy 81.360207 25.487709) (xy 81.46242 25.530152) (xy 81.573095 25.530248)
+        (xy 83.097095 25.530248) (xy 83.199382 25.487984) (xy 83.277709 25.409793) (xy 83.320152 25.30758) (xy 83.320248 25.196905)
+        (xy 83.320248 26.823681) (xy 83.162352 26.441544) (xy 82.869995 26.148676) (xy 82.487816 25.989982) (xy 82.073999 25.989621)
+        (xy 81.691544 26.147648) (xy 81.398676 26.440005) (xy 81.239982 26.822184) (xy 81.239621 27.236001) (xy 81.397648 27.618456)
+        (xy 81.690005 27.911324) (xy 82.072184 28.070018) (xy 82.486001 28.070379) (xy 82.868456 27.912352) (xy 83.161324 27.619995)
+        (xy 83.320018 27.237816) (xy 83.320379 26.823999) (xy 83.320379 29.363999) (xy 83.162352 28.981544) (xy 82.869995 28.688676)
+        (xy 82.487816 28.529982) (xy 82.073999 28.529621) (xy 81.691544 28.687648) (xy 81.398676 28.980005) (xy 81.239982 29.362184)
+        (xy 81.239621 29.776001) (xy 81.397648 30.158456) (xy 81.690005 30.451324) (xy 82.072184 30.610018) (xy 82.486001 30.610379)
+        (xy 82.868456 30.452352) (xy 83.161324 30.159995) (xy 83.320018 29.777816) (xy 83.320379 29.363999) (xy 83.320379 31.903999)
+        (xy 83.162352 31.521544) (xy 82.869995 31.228676) (xy 82.487816 31.069982) (xy 82.073999 31.069621) (xy 81.691544 31.227648)
+        (xy 81.398676 31.520005) (xy 81.239982 31.902184) (xy 81.239621 32.316001) (xy 81.397648 32.698456) (xy 81.690005 32.991324)
+        (xy 82.072184 33.150018) (xy 82.486001 33.150379) (xy 82.868456 32.992352) (xy 83.161324 32.699995) (xy 83.320018 32.317816)
+        (xy 83.320379 31.903999) (xy 83.320379 62.803588) (xy 83.287454 62.770606) (xy 83.101251 62.693288) (xy 82.899633 62.693112)
+        (xy 82.713296 62.770105) (xy 82.706888 62.776501) (xy 82.706888 60.699633) (xy 82.629895 60.513296) (xy 82.487454 60.370606)
+        (xy 82.301251 60.293288) (xy 82.156888 60.293161) (xy 82.156888 39.674633) (xy 82.079895 39.488296) (xy 81.937454 39.345606)
+        (xy 81.751251 39.268288) (xy 81.549633 39.268112) (xy 81.363296 39.345105) (xy 81.220606 39.487546) (xy 81.143288 39.673749)
+        (xy 81.143112 39.875367) (xy 81.220105 40.061704) (xy 81.362546 40.204394) (xy 81.548749 40.281712) (xy 81.750367 40.281888)
+        (xy 81.936704 40.204895) (xy 82.079394 40.062454) (xy 82.156712 39.876251) (xy 82.156888 39.674633) (xy 82.156888 60.293161)
+        (xy 82.099633 60.293112) (xy 81.913296 60.370105) (xy 81.770606 60.512546) (xy 81.693288 60.698749) (xy 81.693112 60.900367)
+        (xy 81.770105 61.086704) (xy 81.912546 61.229394) (xy 82.098749 61.306712) (xy 82.300367 61.306888) (xy 82.486704 61.229895)
+        (xy 82.629394 61.087454) (xy 82.706712 60.901251) (xy 82.706888 60.699633) (xy 82.706888 62.776501) (xy 82.570606 62.912546)
+        (xy 82.493288 63.098749) (xy 82.493112 63.300367) (xy 82.570105 63.486704) (xy 82.683275 63.600072) (xy 82.570606 63.712546)
+        (xy 82.493288 63.898749) (xy 82.493112 64.100367) (xy 82.570105 64.286704) (xy 82.683275 64.400072) (xy 82.570606 64.512546)
+        (xy 82.493288 64.698749) (xy 82.493112 64.900367) (xy 82.570105 65.086704) (xy 82.683275 65.200072) (xy 82.570606 65.312546)
+        (xy 82.493288 65.498749) (xy 82.493112 65.700367) (xy 82.570105 65.886704) (xy 82.712546 66.029394) (xy 82.898749 66.106712)
+        (xy 83.100367 66.106888) (xy 83.286704 66.029895) (xy 83.400072 65.916724) (xy 83.483275 66.000072) (xy 83.370606 66.112546)
+        (xy 83.293288 66.298749) (xy 83.293112 66.500367) (xy 83.370105 66.686704) (xy 83.483275 66.800072) (xy 83.370606 66.912546)
+        (xy 83.293288 67.098749) (xy 83.293112 67.300367) (xy 83.370105 67.486704) (xy 83.483275 67.600072) (xy 83.370606 67.712546)
+        (xy 83.293288 67.898749) (xy 83.293112 68.100367) (xy 83.370105 68.286704) (xy 83.512546 68.429394) (xy 83.698749 68.506712)
+        (xy 83.900367 68.506888) (xy 84.086704 68.429895) (xy 84.200072 68.316724) (xy 84.312546 68.429394) (xy 84.498749 68.506712)
+        (xy 84.700367 68.506888) (xy 84.886704 68.429895) (xy 85.029394 68.287454) (xy 85.106712 68.101251) (xy 85.106888 67.899633)
+        (xy 85.106888 71.099633) (xy 85.029895 70.913296) (xy 84.887454 70.770606) (xy 84.701251 70.693288) (xy 84.499633 70.693112)
+        (xy 84.313296 70.770105) (xy 84.170606 70.912546) (xy 84.093288 71.098749) (xy 84.093112 71.300367) (xy 84.170105 71.486704)
+        (xy 84.312546 71.629394) (xy 84.498749 71.706712) (xy 84.700367 71.706888) (xy 84.886704 71.629895) (xy 85.029394 71.487454)
+        (xy 85.106712 71.301251) (xy 85.106888 71.099633) (xy 85.106888 72.699633) (xy 85.029895 72.513296) (xy 84.887454 72.370606)
+        (xy 84.701251 72.293288) (xy 84.499633 72.293112) (xy 84.313296 72.370105) (xy 84.306888 72.376501) (xy 84.306888 71.899633)
+        (xy 84.229895 71.713296) (xy 84.087454 71.570606) (xy 83.901251 71.493288) (xy 83.699633 71.493112) (xy 83.513296 71.570105)
+        (xy 83.506888 71.576501) (xy 83.506888 71.099633) (xy 83.429895 70.913296) (xy 83.287454 70.770606) (xy 83.101251 70.693288)
+        (xy 82.899633 70.693112) (xy 82.713296 70.770105) (xy 82.570606 70.912546) (xy 82.556888 70.945582) (xy 82.556888 68.799633)
+        (xy 82.479895 68.613296) (xy 82.406888 68.540161) (xy 82.406888 66.899633) (xy 82.329895 66.713296) (xy 82.187454 66.570606)
+        (xy 82.001251 66.493288) (xy 81.799633 66.493112) (xy 81.613296 66.570105) (xy 81.470606 66.712546) (xy 81.393288 66.898749)
+        (xy 81.393112 67.100367) (xy 81.470105 67.286704) (xy 81.612546 67.429394) (xy 81.798749 67.506712) (xy 82.000367 67.506888)
+        (xy 82.186704 67.429895) (xy 82.329394 67.287454) (xy 82.406712 67.101251) (xy 82.406888 66.899633) (xy 82.406888 68.540161)
+        (xy 82.337454 68.470606) (xy 82.151251 68.393288) (xy 81.949633 68.393112) (xy 81.763296 68.470105) (xy 81.620606 68.612546)
+        (xy 81.543288 68.798749) (xy 81.543112 69.000367) (xy 81.620105 69.186704) (xy 81.762546 69.329394) (xy 81.948749 69.406712)
+        (xy 82.150367 69.406888) (xy 82.336704 69.329895) (xy 82.479394 69.187454) (xy 82.556712 69.001251) (xy 82.556888 68.799633)
+        (xy 82.556888 70.945582) (xy 82.493288 71.098749) (xy 82.493112 71.300367) (xy 82.570105 71.486704) (xy 82.712546 71.629394)
+        (xy 82.898749 71.706712) (xy 83.100367 71.706888) (xy 83.286704 71.629895) (xy 83.429394 71.487454) (xy 83.506712 71.301251)
+        (xy 83.506888 71.099633) (xy 83.506888 71.576501) (xy 83.370606 71.712546) (xy 83.293288 71.898749) (xy 83.293112 72.100367)
+        (xy 83.370105 72.286704) (xy 83.512546 72.429394) (xy 83.698749 72.506712) (xy 83.900367 72.506888) (xy 84.086704 72.429895)
+        (xy 84.229394 72.287454) (xy 84.306712 72.101251) (xy 84.306888 71.899633) (xy 84.306888 72.376501) (xy 84.170606 72.512546)
+        (xy 84.093288 72.698749) (xy 84.093112 72.900367) (xy 84.170105 73.086704) (xy 84.283275 73.200072) (xy 84.170606 73.312546)
+        (xy 84.093288 73.498749) (xy 84.093112 73.700367) (xy 84.170105 73.886704) (xy 84.312546 74.029394) (xy 84.498749 74.106712)
+        (xy 84.700367 74.106888) (xy 84.886704 74.029895) (xy 85.029394 73.887454) (xy 85.106712 73.701251) (xy 85.106888 73.499633)
+        (xy 85.106888 85.972251) (xy 84.901337 86.177444) (xy 84.477394 87.198412) (xy 84.476429 88.303898) (xy 84.898589 89.325604)
+        (xy 85.679604 90.107983) (xy 85.869282 90.186744) (xy 84.306888 90.192815) (xy 84.306888 74.299633) (xy 84.229895 74.113296)
+        (xy 84.087454 73.970606) (xy 83.901251 73.893288) (xy 83.699633 73.893112) (xy 83.513296 73.970105) (xy 83.370606 74.112546)
+        (xy 83.293288 74.298749) (xy 83.293112 74.500367) (xy 83.370105 74.686704) (xy 83.512546 74.829394) (xy 83.698749 74.906712)
+        (xy 83.900367 74.906888) (xy 84.086704 74.829895) (xy 84.229394 74.687454) (xy 84.306712 74.501251) (xy 84.306888 74.299633)
+        (xy 84.306888 90.192815) (xy 84.013837 90.193953) (xy 84.013837 80.588343) (xy 83.964876 80.055514) (xy 83.855167 79.790651)
+        (xy 83.690248 79.733693) (xy 83.690248 78.611905) (xy 83.690248 77.087905) (xy 83.647984 76.985618) (xy 83.569793 76.907291)
+        (xy 83.46758 76.864848) (xy 83.356905 76.864752) (xy 82.706888 76.864752) (xy 82.706888 75.099633) (xy 82.629895 74.913296)
+        (xy 82.487454 74.770606) (xy 82.301251 74.693288) (xy 82.099633 74.693112) (xy 81.913296 74.770105) (xy 81.770606 74.912546)
+        (xy 81.693288 75.098749) (xy 81.693112 75.300367) (xy 81.770105 75.486704) (xy 81.912546 75.629394) (xy 82.098749 75.706712)
+        (xy 82.300367 75.706888) (xy 82.486704 75.629895) (xy 82.629394 75.487454) (xy 82.706712 75.301251) (xy 82.706888 75.099633)
+        (xy 82.706888 76.864752) (xy 81.832905 76.864752) (xy 81.730618 76.907016) (xy 81.652291 76.985207) (xy 81.609848 77.08742)
+        (xy 81.609752 77.198095) (xy 81.609752 78.722095) (xy 81.652016 78.824382) (xy 81.730207 78.902709) (xy 81.83242 78.945152)
+        (xy 81.943095 78.945248) (xy 83.467095 78.945248) (xy 83.569382 78.902984) (xy 83.647709 78.824793) (xy 83.690152 78.72258)
+        (xy 83.690248 78.611905) (xy 83.690248 79.733693) (xy 83.633909 79.714236) (xy 83.380764 79.96738) (xy 83.380764 79.461091)
+        (xy 83.304349 79.239833) (xy 82.793343 79.081163) (xy 82.260514 79.130124) (xy 81.995651 79.239833) (xy 81.919236 79.461091)
+        (xy 82.65 80.191856) (xy 83.380764 79.461091) (xy 83.380764 79.96738) (xy 82.903144 80.445) (xy 83.633909 81.175764)
+        (xy 83.855167 81.099349) (xy 84.013837 80.588343) (xy 84.013837 90.193953) (xy 83.380764 90.196413) (xy 83.380764 81.428909)
+        (xy 82.65 80.698144) (xy 82.396856 80.951288) (xy 82.396856 80.445) (xy 81.666091 79.714236) (xy 81.444833 79.790651)
+        (xy 81.286163 80.301657) (xy 81.335124 80.834486) (xy 81.444833 81.099349) (xy 81.666091 81.175764) (xy 82.396856 80.445)
+        (xy 82.396856 80.951288) (xy 81.919236 81.428909) (xy 81.995651 81.650167) (xy 82.506657 81.808837) (xy 83.039486 81.759876)
+        (xy 83.304349 81.650167) (xy 83.380764 81.428909) (xy 83.380764 90.196413) (xy 83.353202 90.196521) (xy 83.353334 90.045886)
+        (xy 83.353334 88.045886) (xy 83.23511 87.759761) (xy 83.01639 87.54066) (xy 82.730472 87.421936) (xy 82.420886 87.421666)
+        (xy 82.134761 87.53989) (xy 81.91566 87.75861) (xy 81.796936 88.044528) (xy 81.796666 88.354114) (xy 81.91489 88.640239)
+        (xy 82.13361 88.85934) (xy 82.419528 88.978064) (xy 82.729114 88.978334) (xy 83.015239 88.86011) (xy 83.23434 88.64139)
+        (xy 83.353064 88.355472) (xy 83.353334 88.045886) (xy 83.353334 90.045886) (xy 83.23511 89.759761) (xy 83.01639 89.54066)
+        (xy 82.730472 89.421936) (xy 82.420886 89.421666) (xy 82.134761 89.53989) (xy 81.91566 89.75861) (xy 81.796936 90.044528)
+        (xy 81.796798 90.202569) (xy 81.353195 90.204293) (xy 81.353334 90.045886) (xy 81.353334 88.045886) (xy 81.281888 87.872972)
+        (xy 81.281888 70.624633) (xy 81.204895 70.438296) (xy 81.181888 70.415248) (xy 81.181888 48.874633) (xy 81.104895 48.688296)
+        (xy 80.962454 48.545606) (xy 80.776251 48.468288) (xy 80.759718 48.468273) (xy 80.759718 44.989422) (xy 80.659718 44.747402)
+        (xy 80.659718 42.164422) (xy 80.555714 41.912712) (xy 80.363301 41.719963) (xy 80.223837 41.662052) (xy 80.223837 27.063343)
+        (xy 80.174876 26.530514) (xy 80.065167 26.265651) (xy 79.900379 26.208738) (xy 79.900379 24.173999) (xy 79.742352 23.791544)
+        (xy 79.449995 23.498676) (xy 79.067816 23.339982) (xy 78.653999 23.339621) (xy 78.271544 23.497648) (xy 77.978676 23.790005)
+        (xy 77.819982 24.172184) (xy 77.819621 24.586001) (xy 77.977648 24.968456) (xy 78.270005 25.261324) (xy 78.652184 25.420018)
+        (xy 79.066001 25.420379) (xy 79.448456 25.262352) (xy 79.741324 24.969995) (xy 79.900018 24.587816) (xy 79.900379 24.173999)
+        (xy 79.900379 26.208738) (xy 79.843909 26.189236) (xy 79.590764 26.44238) (xy 79.590764 25.936091) (xy 79.514349 25.714833)
+        (xy 79.003343 25.556163) (xy 78.470514 25.605124) (xy 78.205651 25.714833) (xy 78.129236 25.936091) (xy 78.86 26.666856)
+        (xy 79.590764 25.936091) (xy 79.590764 26.44238) (xy 79.113144 26.92) (xy 79.843909 27.650764) (xy 80.065167 27.574349)
+        (xy 80.223837 27.063343) (xy 80.223837 41.662052) (xy 80.111773 41.615519) (xy 79.900379 41.615335) (xy 79.900379 32.313999)
+        (xy 79.900248 32.313681) (xy 79.900248 30.686905) (xy 79.900248 29.162905) (xy 79.857984 29.060618) (xy 79.779793 28.982291)
+        (xy 79.67758 28.939848) (xy 79.590764 28.939772) (xy 79.590764 27.903909) (xy 78.86 27.173144) (xy 78.606856 27.426288)
+        (xy 78.606856 26.92) (xy 77.876091 26.189236) (xy 77.654833 26.265651) (xy 77.496163 26.776657) (xy 77.545124 27.309486)
+        (xy 77.654833 27.574349) (xy 77.876091 27.650764) (xy 78.606856 26.92) (xy 78.606856 27.426288) (xy 78.129236 27.903909)
+        (xy 78.205651 28.125167) (xy 78.716657 28.283837) (xy 79.249486 28.234876) (xy 79.514349 28.125167) (xy 79.590764 27.903909)
+        (xy 79.590764 28.939772) (xy 79.566905 28.939752) (xy 78.042905 28.939752) (xy 77.940618 28.982016) (xy 77.862291 29.060207)
+        (xy 77.819848 29.16242) (xy 77.819752 29.273095) (xy 77.819752 30.797095) (xy 77.862016 30.899382) (xy 77.940207 30.977709)
+        (xy 78.04242 31.020152) (xy 78.153095 31.020248) (xy 79.677095 31.020248) (xy 79.779382 30.977984) (xy 79.857709 30.899793)
+        (xy 79.900152 30.79758) (xy 79.900248 30.686905) (xy 79.900248 32.313681) (xy 79.742352 31.931544) (xy 79.449995 31.638676)
+        (xy 79.067816 31.479982) (xy 78.653999 31.479621) (xy 78.271544 31.637648) (xy 77.978676 31.930005) (xy 77.819982 32.312184)
+        (xy 77.819621 32.726001) (xy 77.977648 33.108456) (xy 78.270005 33.401324) (xy 78.652184 33.560018) (xy 79.066001 33.560379)
+        (xy 79.448456 33.402352) (xy 79.741324 33.109995) (xy 79.900018 32.727816) (xy 79.900379 32.313999) (xy 79.900379 41.615335)
+        (xy 79.839422 41.615282) (xy 79.587712 41.719286) (xy 79.394963 41.911699) (xy 79.290519 42.163227) (xy 79.290282 42.435578)
+        (xy 79.394286 42.687288) (xy 79.586699 42.880037) (xy 79.838227 42.984481) (xy 80.110578 42.984718) (xy 80.362288 42.880714)
+        (xy 80.555037 42.688301) (xy 80.659481 42.436773) (xy 80.659718 42.164422) (xy 80.659718 44.747402) (xy 80.655714 44.737712)
+        (xy 80.463301 44.544963) (xy 80.211773 44.440519) (xy 79.939422 44.440282) (xy 79.687712 44.544286) (xy 79.494963 44.736699)
+        (xy 79.390519 44.988227) (xy 79.390282 45.260578) (xy 79.494286 45.512288) (xy 79.686699 45.705037) (xy 79.938227 45.809481)
+        (xy 80.210578 45.809718) (xy 80.462288 45.705714) (xy 80.655037 45.513301) (xy 80.759481 45.261773) (xy 80.759718 44.989422)
+        (xy 80.759718 48.468273) (xy 80.574633 48.468112) (xy 80.506888 48.496103) (xy 80.506888 46.424633) (xy 80.429895 46.238296)
+        (xy 80.287454 46.095606) (xy 80.101251 46.018288) (xy 79.899633 46.018112) (xy 79.713296 46.095105) (xy 79.570606 46.237546)
+        (xy 79.493288 46.423749) (xy 79.493112 46.625367) (xy 79.570105 46.811704) (xy 79.712546 46.954394) (xy 79.898749 47.031712)
+        (xy 80.100367 47.031888) (xy 80.286704 46.954895) (xy 80.429394 46.812454) (xy 80.506712 46.626251) (xy 80.506888 46.424633)
+        (xy 80.506888 48.496103) (xy 80.388296 48.545105) (xy 80.245606 48.687546) (xy 80.174921 48.857773) (xy 80.104895 48.688296)
+        (xy 79.962454 48.545606) (xy 79.776251 48.468288) (xy 79.574633 48.468112) (xy 79.388296 48.545105) (xy 79.245606 48.687546)
+        (xy 79.168288 48.873749) (xy 79.168112 49.075367) (xy 79.245105 49.261704) (xy 79.387546 49.404394) (xy 79.573749 49.481712)
+        (xy 79.775367 49.481888) (xy 79.961704 49.404895) (xy 80.104394 49.262454) (xy 80.175078 49.092226) (xy 80.245105 49.261704)
+        (xy 80.387546 49.404394) (xy 80.573749 49.481712) (xy 80.775367 49.481888) (xy 80.961704 49.404895) (xy 81.104394 49.262454)
+        (xy 81.181712 49.076251) (xy 81.181888 48.874633) (xy 81.181888 70.415248) (xy 81.166888 70.400222) (xy 81.166888 63.889633)
+        (xy 81.089895 63.703296) (xy 80.947454 63.560606) (xy 80.761251 63.483288) (xy 80.559633 63.483112) (xy 80.484718 63.514066)
+        (xy 80.484718 61.164422) (xy 80.380714 60.912712) (xy 80.306888 60.838757) (xy 80.306888 58.299633) (xy 80.229895 58.113296)
+        (xy 80.087454 57.970606) (xy 79.901251 57.893288) (xy 79.699633 57.893112) (xy 79.513296 57.970105) (xy 79.370606 58.112546)
+        (xy 79.293288 58.298749) (xy 79.293112 58.500367) (xy 79.370105 58.686704) (xy 79.512546 58.829394) (xy 79.698749 58.906712)
+        (xy 79.900367 58.906888) (xy 80.086704 58.829895) (xy 80.229394 58.687454) (xy 80.306712 58.501251) (xy 80.306888 58.299633)
+        (xy 80.306888 60.838757) (xy 80.188301 60.719963) (xy 79.936773 60.615519) (xy 79.664422 60.615282) (xy 79.412712 60.719286)
+        (xy 79.219963 60.911699) (xy 79.115519 61.163227) (xy 79.115282 61.435578) (xy 79.219286 61.687288) (xy 79.411699 61.880037)
+        (xy 79.663227 61.984481) (xy 79.935578 61.984718) (xy 80.187288 61.880714) (xy 80.380037 61.688301) (xy 80.484481 61.436773)
+        (xy 80.484718 61.164422) (xy 80.484718 63.514066) (xy 80.373296 63.560105) (xy 80.230606 63.702546) (xy 80.227244 63.710641)
+        (xy 80.087454 63.570606) (xy 79.901251 63.493288) (xy 79.699633 63.493112) (xy 79.513296 63.570105) (xy 79.506888 63.576501)
+        (xy 79.506888 63.099633) (xy 79.429895 62.913296) (xy 79.287454 62.770606) (xy 79.101251 62.693288) (xy 78.899633 62.693112)
+        (xy 78.713296 62.770105) (xy 78.599927 62.883275) (xy 78.487454 62.770606) (xy 78.301251 62.693288) (xy 78.099633 62.693112)
+        (xy 77.913296 62.770105) (xy 77.906888 62.776501) (xy 77.906888 59.099633) (xy 77.829895 58.913296) (xy 77.716724 58.799927)
+        (xy 77.829394 58.687454) (xy 77.906712 58.501251) (xy 77.906888 58.299633) (xy 77.829895 58.113296) (xy 77.687454 57.970606)
+        (xy 77.501251 57.893288) (xy 77.360379 57.893165) (xy 77.360379 32.313999) (xy 77.360379 29.773999) (xy 77.360379 26.713999)
+        (xy 77.360379 24.173999) (xy 77.202352 23.791544) (xy 76.909995 23.498676) (xy 76.527816 23.339982) (xy 76.113999 23.339621)
+        (xy 75.731544 23.497648) (xy 75.438676 23.790005) (xy 75.279982 24.172184) (xy 75.279621 24.586001) (xy 75.437648 24.968456)
+        (xy 75.730005 25.261324) (xy 76.112184 25.420018) (xy 76.526001 25.420379) (xy 76.908456 25.262352) (xy 77.201324 24.969995)
+        (xy 77.360018 24.587816) (xy 77.360379 24.173999) (xy 77.360379 26.713999) (xy 77.202352 26.331544) (xy 76.909995 26.038676)
+        (xy 76.527816 25.879982) (xy 76.113999 25.879621) (xy 75.731544 26.037648) (xy 75.438676 26.330005) (xy 75.279982 26.712184)
+        (xy 75.279621 27.126001) (xy 75.437648 27.508456) (xy 75.730005 27.801324) (xy 76.112184 27.960018) (xy 76.526001 27.960379)
+        (xy 76.908456 27.802352) (xy 77.201324 27.509995) (xy 77.360018 27.127816) (xy 77.360379 26.713999) (xy 77.360379 29.773999)
+        (xy 77.202352 29.391544) (xy 76.909995 29.098676) (xy 76.527816 28.939982) (xy 76.113999 28.939621) (xy 75.731544 29.097648)
+        (xy 75.438676 29.390005) (xy 75.279982 29.772184) (xy 75.279621 30.186001) (xy 75.437648 30.568456) (xy 75.730005 30.861324)
+        (xy 76.112184 31.020018) (xy 76.526001 31.020379) (xy 76.908456 30.862352) (xy 77.201324 30.569995) (xy 77.360018 30.187816)
+        (xy 77.360379 29.773999) (xy 77.360379 32.313999) (xy 77.202352 31.931544) (xy 76.909995 31.638676) (xy 76.527816 31.479982)
+        (xy 76.113999 31.479621) (xy 75.731544 31.637648) (xy 75.438676 31.930005) (xy 75.279982 32.312184) (xy 75.279621 32.726001)
+        (xy 75.437648 33.108456) (xy 75.730005 33.401324) (xy 76.112184 33.560018) (xy 76.526001 33.560379) (xy 76.908456 33.402352)
+        (xy 77.201324 33.109995) (xy 77.360018 32.727816) (xy 77.360379 32.313999) (xy 77.360379 57.893165) (xy 77.299633 57.893112)
+        (xy 77.113296 57.970105) (xy 77.031888 58.05137) (xy 77.031888 54.874633) (xy 76.954895 54.688296) (xy 76.812454 54.545606)
+        (xy 76.626251 54.468288) (xy 76.424633 54.468112) (xy 76.238296 54.545105) (xy 76.156888 54.62637) (xy 76.156888 53.674633)
+        (xy 76.079895 53.488296) (xy 75.937454 53.345606) (xy 75.751251 53.268288) (xy 75.549633 53.268112) (xy 75.363296 53.345105)
+        (xy 75.220606 53.487546) (xy 75.143288 53.673749) (xy 75.143112 53.875367) (xy 75.181454 53.968161) (xy 75.124633 53.968112)
+        (xy 74.938296 54.045105) (xy 74.820379 54.162816) (xy 74.820379 32.313999) (xy 74.820379 29.773999) (xy 74.820379 26.713999)
+        (xy 74.820379 24.173999) (xy 74.662352 23.791544) (xy 74.369995 23.498676) (xy 73.987816 23.339982) (xy 73.573999 23.339621)
+        (xy 73.191544 23.497648) (xy 72.898676 23.790005) (xy 72.739982 24.172184) (xy 72.739621 24.586001) (xy 72.897648 24.968456)
+        (xy 73.190005 25.261324) (xy 73.572184 25.420018) (xy 73.986001 25.420379) (xy 74.368456 25.262352) (xy 74.661324 24.969995)
+        (xy 74.820018 24.587816) (xy 74.820379 24.173999) (xy 74.820379 26.713999) (xy 74.662352 26.331544) (xy 74.369995 26.038676)
+        (xy 73.987816 25.879982) (xy 73.573999 25.879621) (xy 73.191544 26.037648) (xy 72.898676 26.330005) (xy 72.739982 26.712184)
+        (xy 72.739621 27.126001) (xy 72.897648 27.508456) (xy 73.190005 27.801324) (xy 73.572184 27.960018) (xy 73.986001 27.960379)
+        (xy 74.368456 27.802352) (xy 74.661324 27.509995) (xy 74.820018 27.127816) (xy 74.820379 26.713999) (xy 74.820379 29.773999)
+        (xy 74.662352 29.391544) (xy 74.369995 29.098676) (xy 73.987816 28.939982) (xy 73.573999 28.939621) (xy 73.191544 29.097648)
+        (xy 72.898676 29.390005) (xy 72.739982 29.772184) (xy 72.739621 30.186001) (xy 72.897648 30.568456) (xy 73.190005 30.861324)
+        (xy 73.572184 31.020018) (xy 73.986001 31.020379) (xy 74.368456 30.862352) (xy 74.661324 30.569995) (xy 74.820018 30.187816)
+        (xy 74.820379 29.773999) (xy 74.820379 32.313999) (xy 74.662352 31.931544) (xy 74.369995 31.638676) (xy 73.987816 31.479982)
+        (xy 73.573999 31.479621) (xy 73.191544 31.637648) (xy 72.898676 31.930005) (xy 72.739982 32.312184) (xy 72.739621 32.726001)
+        (xy 72.897648 33.108456) (xy 73.190005 33.401324) (xy 73.572184 33.560018) (xy 73.986001 33.560379) (xy 74.368456 33.402352)
+        (xy 74.661324 33.109995) (xy 74.820018 32.727816) (xy 74.820379 32.313999) (xy 74.820379 54.162816) (xy 74.795606 54.187546)
+        (xy 74.718288 54.373749) (xy 74.718112 54.575367) (xy 74.795105 54.761704) (xy 74.937546 54.904394) (xy 75.123749 54.981712)
+        (xy 75.325367 54.981888) (xy 75.511704 54.904895) (xy 75.654394 54.762454) (xy 75.731712 54.576251) (xy 75.731888 54.374633)
+        (xy 75.693545 54.281838) (xy 75.750367 54.281888) (xy 75.936704 54.204895) (xy 76.079394 54.062454) (xy 76.156712 53.876251)
+        (xy 76.156888 53.674633) (xy 76.156888 54.62637) (xy 76.095606 54.687546) (xy 76.018288 54.873749) (xy 76.018118 55.068149)
+        (xy 75.974633 55.068112) (xy 75.788296 55.145105) (xy 75.645606 55.287546) (xy 75.568288 55.473749) (xy 75.568112 55.675367)
+        (xy 75.645105 55.861704) (xy 75.787546 56.004394) (xy 75.973749 56.081712) (xy 76.175367 56.081888) (xy 76.361704 56.004895)
+        (xy 76.504394 55.862454) (xy 76.581712 55.676251) (xy 76.581881 55.48185) (xy 76.625367 55.481888) (xy 76.811704 55.404895)
+        (xy 76.954394 55.262454) (xy 77.031712 55.076251) (xy 77.031888 54.874633) (xy 77.031888 58.05137) (xy 76.970606 58.112546)
+        (xy 76.893288 58.298749) (xy 76.893112 58.500367) (xy 76.970105 58.686704) (xy 77.083275 58.800072) (xy 76.999927 58.883275)
+        (xy 76.887454 58.770606) (xy 76.701251 58.693288) (xy 76.499633 58.693112) (xy 76.313296 58.770105) (xy 76.199927 58.883275)
+        (xy 76.087454 58.770606) (xy 75.901251 58.693288) (xy 75.699633 58.693112) (xy 75.513296 58.770105) (xy 75.399927 58.883275)
+        (xy 75.287454 58.770606) (xy 75.101251 58.693288) (xy 74.899633 58.693112) (xy 74.713296 58.770105) (xy 74.706888 58.776501)
+        (xy 74.706888 56.374633) (xy 74.629895 56.188296) (xy 74.487454 56.045606) (xy 74.302767 55.968917) (xy 74.336704 55.954895)
+        (xy 74.479394 55.812454) (xy 74.556712 55.626251) (xy 74.556888 55.424633) (xy 74.479895 55.238296) (xy 74.337454 55.095606)
+        (xy 74.151251 55.018288) (xy 74.031888 55.018183) (xy 74.031888 42.099633) (xy 73.954895 41.913296) (xy 73.812454 41.770606)
+        (xy 73.626251 41.693288) (xy 73.424633 41.693112) (xy 73.238296 41.770105) (xy 73.095606 41.912546) (xy 73.018288 42.098749)
+        (xy 73.018112 42.300367) (xy 73.095105 42.486704) (xy 73.155077 42.546781) (xy 73.026251 42.493288) (xy 72.824633 42.493112)
+        (xy 72.806888 42.500444) (xy 72.806888 38.324633) (xy 72.729895 38.138296) (xy 72.603837 38.012017) (xy 72.603837 32.663343)
+        (xy 72.554876 32.130514) (xy 72.445167 31.865651) (xy 72.280379 31.808738) (xy 72.280379 29.773999) (xy 72.280379 24.173999)
+        (xy 72.122352 23.791544) (xy 71.829995 23.498676) (xy 71.447816 23.339982) (xy 71.033999 23.339621) (xy 70.651544 23.497648)
+        (xy 70.358676 23.790005) (xy 70.199982 24.172184) (xy 70.199621 24.586001) (xy 70.357648 24.968456) (xy 70.650005 25.261324)
+        (xy 71.032184 25.420018) (xy 71.446001 25.420379) (xy 71.828456 25.262352) (xy 72.121324 24.969995) (xy 72.280018 24.587816)
+        (xy 72.280379 24.173999) (xy 72.280379 29.773999) (xy 72.280248 29.773681) (xy 72.280248 27.626905) (xy 72.280248 26.102905)
+        (xy 72.237984 26.000618) (xy 72.159793 25.922291) (xy 72.05758 25.879848) (xy 71.946905 25.879752) (xy 70.422905 25.879752)
+        (xy 70.320618 25.922016) (xy 70.242291 26.000207) (xy 70.199848 26.10242) (xy 70.199752 26.213095) (xy 70.199752 27.737095)
+        (xy 70.242016 27.839382) (xy 70.320207 27.917709) (xy 70.42242 27.960152) (xy 70.533095 27.960248) (xy 72.057095 27.960248)
+        (xy 72.159382 27.917984) (xy 72.237709 27.839793) (xy 72.280152 27.73758) (xy 72.280248 27.626905) (xy 72.280248 29.773681)
+        (xy 72.122352 29.391544) (xy 71.829995 29.098676) (xy 71.447816 28.939982) (xy 71.033999 28.939621) (xy 70.651544 29.097648)
+        (xy 70.358676 29.390005) (xy 70.199982 29.772184) (xy 70.199621 30.186001) (xy 70.357648 30.568456) (xy 70.650005 30.861324)
+        (xy 71.032184 31.020018) (xy 71.446001 31.020379) (xy 71.828456 30.862352) (xy 72.121324 30.569995) (xy 72.280018 30.187816)
+        (xy 72.280379 29.773999) (xy 72.280379 31.808738) (xy 72.223909 31.789236) (xy 71.970764 32.04238) (xy 71.970764 31.536091)
+        (xy 71.894349 31.314833) (xy 71.383343 31.156163) (xy 70.850514 31.205124) (xy 70.585651 31.314833) (xy 70.509236 31.536091)
+        (xy 71.24 32.266856) (xy 71.970764 31.536091) (xy 71.970764 32.04238) (xy 71.493144 32.52) (xy 72.223909 33.250764)
+        (xy 72.445167 33.174349) (xy 72.603837 32.663343) (xy 72.603837 38.012017) (xy 72.587454 37.995606) (xy 72.401251 37.918288)
+        (xy 72.199633 37.918112) (xy 72.013296 37.995105) (xy 71.970764 38.037562) (xy 71.970764 33.503909) (xy 71.24 32.773144)
+        (xy 70.986856 33.026288) (xy 70.986856 32.52) (xy 70.256091 31.789236) (xy 70.034833 31.865651) (xy 69.876163 32.376657)
+        (xy 69.925124 32.909486) (xy 70.034833 33.174349) (xy 70.256091 33.250764) (xy 70.986856 32.52) (xy 70.986856 33.026288)
+        (xy 70.509236 33.503909) (xy 70.585651 33.725167) (xy 71.096657 33.883837) (xy 71.629486 33.834876) (xy 71.894349 33.725167)
+        (xy 71.970764 33.503909) (xy 71.970764 38.037562) (xy 71.870606 38.137546) (xy 71.793288 38.323749) (xy 71.793112 38.525367)
+        (xy 71.870105 38.711704) (xy 72.012546 38.854394) (xy 72.198749 38.931712) (xy 72.400367 38.931888) (xy 72.586704 38.854895)
+        (xy 72.729394 38.712454) (xy 72.806712 38.526251) (xy 72.806888 38.324633) (xy 72.806888 42.500444) (xy 72.638296 42.570105)
+        (xy 72.495606 42.712546) (xy 72.418288 42.898749) (xy 72.418112 43.100367) (xy 72.495105 43.286704) (xy 72.637546 43.429394)
+        (xy 72.823749 43.506712) (xy 73.025367 43.506888) (xy 73.211704 43.429895) (xy 73.354394 43.287454) (xy 73.431712 43.101251)
+        (xy 73.431888 42.899633) (xy 73.354895 42.713296) (xy 73.294922 42.653218) (xy 73.423749 42.706712) (xy 73.625367 42.706888)
+        (xy 73.811704 42.629895) (xy 73.954394 42.487454) (xy 74.031712 42.301251) (xy 74.031888 42.099633) (xy 74.031888 55.018183)
+        (xy 73.949633 55.018112) (xy 73.763296 55.095105) (xy 73.620606 55.237546) (xy 73.543288 55.423749) (xy 73.543112 55.625367)
+        (xy 73.620105 55.811704) (xy 73.762546 55.954394) (xy 73.947232 56.031082) (xy 73.913296 56.045105) (xy 73.770606 56.187546)
+        (xy 73.693288 56.373749) (xy 73.693112 56.575367) (xy 73.770105 56.761704) (xy 73.912546 56.904394) (xy 74.098749 56.981712)
+        (xy 74.300367 56.981888) (xy 74.486704 56.904895) (xy 74.629394 56.762454) (xy 74.706712 56.576251) (xy 74.706888 56.374633)
+        (xy 74.706888 58.776501) (xy 74.599927 58.883275) (xy 74.487454 58.770606) (xy 74.301251 58.693288) (xy 74.099633 58.693112)
+        (xy 73.913296 58.770105) (xy 73.799927 58.883275) (xy 73.687454 58.770606) (xy 73.501251 58.693288) (xy 73.299633 58.693112)
+        (xy 73.113296 58.770105) (xy 73.081888 58.801457) (xy 73.081888 46.399633) (xy 73.004895 46.213296) (xy 72.862454 46.070606)
+        (xy 72.676251 45.993288) (xy 72.474633 45.993112) (xy 72.288296 46.070105) (xy 72.145606 46.212546) (xy 72.068288 46.398749)
+        (xy 72.068112 46.600367) (xy 72.145105 46.786704) (xy 72.287546 46.929394) (xy 72.473749 47.006712) (xy 72.675367 47.006888)
+        (xy 72.861704 46.929895) (xy 73.004394 46.787454) (xy 73.081712 46.601251) (xy 73.081888 46.399633) (xy 73.081888 58.801457)
+        (xy 72.999927 58.883275) (xy 72.887454 58.770606) (xy 72.701251 58.693288) (xy 72.499633 58.693112) (xy 72.313296 58.770105)
+        (xy 72.199927 58.883275) (xy 72.087454 58.770606) (xy 71.981888 58.726771) (xy 71.981888 43.549633) (xy 71.904895 43.363296)
+        (xy 71.762454 43.220606) (xy 71.576251 43.143288) (xy 71.456888 43.143183) (xy 71.456888 41.549633) (xy 71.379895 41.363296)
+        (xy 71.237454 41.220606) (xy 71.051251 41.143288) (xy 70.849633 41.143112) (xy 70.663296 41.220105) (xy 70.520606 41.362546)
+        (xy 70.443288 41.548749) (xy 70.443112 41.750367) (xy 70.520105 41.936704) (xy 70.662546 42.079394) (xy 70.848749 42.156712)
+        (xy 71.050367 42.156888) (xy 71.236704 42.079895) (xy 71.379394 41.937454) (xy 71.456712 41.751251) (xy 71.456888 41.549633)
+        (xy 71.456888 43.143183) (xy 71.374633 43.143112) (xy 71.33179 43.160814) (xy 71.331888 43.049633) (xy 71.254895 42.863296)
+        (xy 71.112454 42.720606) (xy 70.926251 42.643288) (xy 70.724633 42.643112) (xy 70.538296 42.720105) (xy 70.395606 42.862546)
+        (xy 70.318288 43.048749) (xy 70.318112 43.250367) (xy 70.395105 43.436704) (xy 70.537546 43.579394) (xy 70.723749 43.656712)
+        (xy 70.925367 43.656888) (xy 70.968209 43.639185) (xy 70.968112 43.750367) (xy 71.045105 43.936704) (xy 71.187546 44.079394)
+        (xy 71.373749 44.156712) (xy 71.575367 44.156888) (xy 71.761704 44.079895) (xy 71.904394 43.937454) (xy 71.981712 43.751251)
+        (xy 71.981888 43.549633) (xy 71.981888 58.726771) (xy 71.901251 58.693288) (xy 71.699633 58.693112) (xy 71.513296 58.770105)
+        (xy 71.399927 58.883275) (xy 71.331888 58.815117) (xy 71.331888 44.549633) (xy 71.254895 44.363296) (xy 71.112454 44.220606)
+        (xy 70.926251 44.143288) (xy 70.724633 44.143112) (xy 70.706799 44.15048) (xy 70.706888 44.049633) (xy 70.629895 43.863296)
+        (xy 70.487454 43.720606) (xy 70.301251 43.643288) (xy 70.099633 43.643112) (xy 69.913296 43.720105) (xy 69.770606 43.862546)
+        (xy 69.693288 44.048749) (xy 69.693112 44.250367) (xy 69.770105 44.436704) (xy 69.912546 44.579394) (xy 70.098749 44.656712)
+        (xy 70.300367 44.656888) (xy 70.3182 44.649519) (xy 70.318112 44.750367) (xy 70.395105 44.936704) (xy 70.537546 45.079394)
+        (xy 70.723749 45.156712) (xy 70.925367 45.156888) (xy 71.111704 45.079895) (xy 71.254394 44.937454) (xy 71.331712 44.751251)
+        (xy 71.331888 44.549633) (xy 71.331888 58.815117) (xy 71.287454 58.770606) (xy 71.101251 58.693288) (xy 70.899633 58.693112)
+        (xy 70.713296 58.770105) (xy 70.570606 58.912546) (xy 70.493288 59.098749) (xy 70.493112 59.300367) (xy 70.570105 59.486704)
+        (xy 70.683275 59.600072) (xy 70.570606 59.712546) (xy 70.493288 59.898749) (xy 70.493112 60.100367) (xy 70.570105 60.286704)
+        (xy 70.712546 60.429394) (xy 70.898749 60.506712) (xy 71.100367 60.506888) (xy 71.286704 60.429895) (xy 71.400072 60.316724)
+        (xy 71.512546 60.429394) (xy 71.698749 60.506712) (xy 71.900367 60.506888) (xy 72.086704 60.429895) (xy 72.200072 60.316724)
+        (xy 72.312546 60.429394) (xy 72.498749 60.506712) (xy 72.700367 60.506888) (xy 72.886704 60.429895) (xy 73.000072 60.316724)
+        (xy 73.112546 60.429394) (xy 73.298749 60.506712) (xy 73.500367 60.506888) (xy 73.686704 60.429895) (xy 73.800072 60.316724)
+        (xy 73.912546 60.429394) (xy 74.098749 60.506712) (xy 74.300367 60.506888) (xy 74.486704 60.429895) (xy 74.600072 60.316724)
+        (xy 74.712546 60.429394) (xy 74.898749 60.506712) (xy 75.100367 60.506888) (xy 75.286704 60.429895) (xy 75.400072 60.316724)
+        (xy 75.512546 60.429394) (xy 75.698749 60.506712) (xy 75.900367 60.506888) (xy 76.086704 60.429895) (xy 76.200072 60.316724)
+        (xy 76.312546 60.429394) (xy 76.498749 60.506712) (xy 76.700367 60.506888) (xy 76.886704 60.429895) (xy 77.029394 60.287454)
+        (xy 77.106712 60.101251) (xy 77.106888 59.899633) (xy 77.029895 59.713296) (xy 76.916724 59.599927) (xy 77.000072 59.516724)
+        (xy 77.112546 59.629394) (xy 77.298749 59.706712) (xy 77.500367 59.706888) (xy 77.686704 59.629895) (xy 77.829394 59.487454)
+        (xy 77.906712 59.301251) (xy 77.906888 59.099633) (xy 77.906888 62.776501) (xy 77.799927 62.883275) (xy 77.687454 62.770606)
+        (xy 77.501251 62.693288) (xy 77.299633 62.693112) (xy 77.113296 62.770105) (xy 76.999927 62.883275) (xy 76.887454 62.770606)
+        (xy 76.701251 62.693288) (xy 76.499633 62.693112) (xy 76.313296 62.770105) (xy 76.170606 62.912546) (xy 76.093288 63.098749)
+        (xy 76.093112 63.300367) (xy 76.170105 63.486704) (xy 76.312546 63.629394) (xy 76.498749 63.706712) (xy 76.700367 63.706888)
+        (xy 76.886704 63.629895) (xy 77.000072 63.516724) (xy 77.112546 63.629394) (xy 77.298749 63.706712) (xy 77.500367 63.706888)
+        (xy 77.686704 63.629895) (xy 77.800072 63.516724) (xy 77.912546 63.629394) (xy 78.098749 63.706712) (xy 78.300367 63.706888)
+        (xy 78.486704 63.629895) (xy 78.600072 63.516724) (xy 78.712546 63.629394) (xy 78.898749 63.706712) (xy 79.100367 63.706888)
+        (xy 79.286704 63.629895) (xy 79.429394 63.487454) (xy 79.506712 63.301251) (xy 79.506888 63.099633) (xy 79.506888 63.576501)
+        (xy 79.370606 63.712546) (xy 79.293288 63.898749) (xy 79.293112 64.100367) (xy 79.370105 64.286704) (xy 79.512546 64.429394)
+        (xy 79.698749 64.506712) (xy 79.900367 64.506888) (xy 80.086704 64.429895) (xy 80.229394 64.287454) (xy 80.232755 64.279358)
+        (xy 80.372546 64.419394) (xy 80.558749 64.496712) (xy 80.760367 64.496888) (xy 80.946704 64.419895) (xy 81.089394 64.277454)
+        (xy 81.166712 64.091251) (xy 81.166888 63.889633) (xy 81.166888 70.400222) (xy 81.062454 70.295606) (xy 80.876251 70.218288)
+        (xy 80.674633 70.218112) (xy 80.488296 70.295105) (xy 80.345606 70.437546) (xy 80.306888 70.530789) (xy 80.306888 67.899633)
+        (xy 80.306888 65.499633) (xy 80.229895 65.313296) (xy 80.087454 65.170606) (xy 79.901251 65.093288) (xy 79.699633 65.093112)
+        (xy 79.513296 65.170105) (xy 79.370606 65.312546) (xy 79.293288 65.498749) (xy 79.293112 65.700367) (xy 79.370105 65.886704)
+        (xy 79.512546 66.029394) (xy 79.698749 66.106712) (xy 79.900367 66.106888) (xy 80.086704 66.029895) (xy 80.229394 65.887454)
+        (xy 80.306712 65.701251) (xy 80.306888 65.499633) (xy 80.306888 67.899633) (xy 80.229895 67.713296) (xy 80.087454 67.570606)
+        (xy 79.901251 67.493288) (xy 79.699633 67.493112) (xy 79.513296 67.570105) (xy 79.370606 67.712546) (xy 79.293288 67.898749)
+        (xy 79.293112 68.100367) (xy 79.370105 68.286704) (xy 79.512546 68.429394) (xy 79.698749 68.506712) (xy 79.900367 68.506888)
+        (xy 80.086704 68.429895) (xy 80.229394 68.287454) (xy 80.306712 68.101251) (xy 80.306888 67.899633) (xy 80.306888 70.530789)
+        (xy 80.268288 70.623749) (xy 80.268112 70.825367) (xy 80.345105 71.011704) (xy 80.487546 71.154394) (xy 80.673749 71.231712)
+        (xy 80.875367 71.231888) (xy 81.061704 71.154895) (xy 81.204394 71.012454) (xy 81.281712 70.826251) (xy 81.281888 70.624633)
+        (xy 81.281888 87.872972) (xy 81.23511 87.759761) (xy 81.01639 87.54066) (xy 80.730472 87.421936) (xy 80.420886 87.421666)
+        (xy 80.306888 87.468768) (xy 80.306888 73.499633) (xy 80.229895 73.313296) (xy 80.087454 73.170606) (xy 79.901251 73.093288)
+        (xy 79.699633 73.093112) (xy 79.513296 73.170105) (xy 79.404927 73.278284) (xy 79.321724 73.194936) (xy 79.429394 73.087454)
+        (xy 79.506712 72.901251) (xy 79.506888 72.699633) (xy 79.429895 72.513296) (xy 79.316724 72.399927) (xy 79.429394 72.287454)
+        (xy 79.506712 72.101251) (xy 79.506888 71.899633) (xy 79.429895 71.713296) (xy 79.287454 71.570606) (xy 79.101251 71.493288)
+        (xy 78.899633 71.493112) (xy 78.713296 71.570105) (xy 78.570606 71.712546) (xy 78.493288 71.898749) (xy 78.493112 72.100367)
+        (xy 78.570105 72.286704) (xy 78.683275 72.400072) (xy 78.599927 72.483275) (xy 78.487454 72.370606) (xy 78.301251 72.293288)
+        (xy 78.099633 72.293112) (xy 77.913296 72.370105) (xy 77.799927 72.483275) (xy 77.716724 72.399927) (xy 77.829394 72.287454)
+        (xy 77.906712 72.101251) (xy 77.906888 71.899633) (xy 77.829895 71.713296) (xy 77.687454 71.570606) (xy 77.501251 71.493288)
+        (xy 77.299633 71.493112) (xy 77.113296 71.570105) (xy 76.970606 71.712546) (xy 76.893288 71.898749) (xy 76.893112 72.100367)
+        (xy 76.970105 72.286704) (xy 77.083275 72.400072) (xy 76.999927 72.483275) (xy 76.887454 72.370606) (xy 76.701251 72.293288)
+        (xy 76.499633 72.293112) (xy 76.313296 72.370105) (xy 76.199927 72.483275) (xy 76.087454 72.370606) (xy 75.931888 72.306009)
+        (xy 75.931888 69.599633) (xy 75.854895 69.413296) (xy 75.712454 69.270606) (xy 75.526251 69.193288) (xy 75.324633 69.193112)
+        (xy 75.322787 69.193874) (xy 75.429394 69.087454) (xy 75.506712 68.901251) (xy 75.506888 68.699633) (xy 75.506888 63.099633)
+        (xy 75.429895 62.913296) (xy 75.287454 62.770606) (xy 75.101251 62.693288) (xy 74.899633 62.693112) (xy 74.713296 62.770105)
+        (xy 74.599927 62.883275) (xy 74.487454 62.770606) (xy 74.301251 62.693288) (xy 74.099633 62.693112) (xy 73.913296 62.770105)
+        (xy 73.770606 62.912546) (xy 73.693288 63.098749) (xy 73.693286 63.099908) (xy 73.669895 63.043296) (xy 73.527454 62.900606)
+        (xy 73.341251 62.823288) (xy 73.139633 62.823112) (xy 72.953296 62.900105) (xy 72.810606 63.042546) (xy 72.733288 63.228749)
+        (xy 72.733112 63.430367) (xy 72.810105 63.616704) (xy 72.952546 63.759394) (xy 73.138749 63.836712) (xy 73.340367 63.836888)
+        (xy 73.526704 63.759895) (xy 73.669394 63.617454) (xy 73.746712 63.431251) (xy 73.746713 63.430091) (xy 73.770105 63.486704)
+        (xy 73.912546 63.629394) (xy 74.098749 63.706712) (xy 74.300367 63.706888) (xy 74.486704 63.629895) (xy 74.600072 63.516724)
+        (xy 74.712546 63.629394) (xy 74.898749 63.706712) (xy 75.100367 63.706888) (xy 75.286704 63.629895) (xy 75.429394 63.487454)
+        (xy 75.506712 63.301251) (xy 75.506888 63.099633) (xy 75.506888 68.699633) (xy 75.429895 68.513296) (xy 75.287454 68.370606)
+        (xy 75.101251 68.293288) (xy 74.899633 68.293112) (xy 74.713296 68.370105) (xy 74.592321 68.490868) (xy 74.503724 68.402116)
+        (xy 74.622794 68.283254) (xy 74.700112 68.097051) (xy 74.700288 67.895433) (xy 74.623295 67.709096) (xy 74.515518 67.60113)
+        (xy 74.629394 67.487454) (xy 74.706712 67.301251) (xy 74.706888 67.099633) (xy 74.706888 65.499633) (xy 74.629895 65.313296)
+        (xy 74.516724 65.199927) (xy 74.629394 65.087454) (xy 74.706712 64.901251) (xy 74.706888 64.699633) (xy 74.629895 64.513296)
+        (xy 74.487454 64.370606) (xy 74.301251 64.293288) (xy 74.099633 64.293112) (xy 73.913296 64.370105) (xy 73.770606 64.512546)
+        (xy 73.693288 64.698749) (xy 73.693112 64.900367) (xy 73.770105 65.086704) (xy 73.883275 65.200072) (xy 73.770606 65.312546)
+        (xy 73.693288 65.498749) (xy 73.693112 65.700367) (xy 73.770105 65.886704) (xy 73.912546 66.029394) (xy 74.098749 66.106712)
+        (xy 74.300367 66.106888) (xy 74.486704 66.029895) (xy 74.629394 65.887454) (xy 74.706712 65.701251) (xy 74.706888 65.499633)
+        (xy 74.706888 67.099633) (xy 74.629895 66.913296) (xy 74.487454 66.770606) (xy 74.301251 66.693288) (xy 74.099633 66.693112)
+        (xy 73.913296 66.770105) (xy 73.770606 66.912546) (xy 73.693288 67.098749) (xy 73.693112 67.300367) (xy 73.770105 67.486704)
+        (xy 73.877881 67.594669) (xy 73.764006 67.708346) (xy 73.686688 67.894549) (xy 73.686512 68.096167) (xy 73.763505 68.282504)
+        (xy 73.883075 68.402283) (xy 73.764006 68.521146) (xy 73.686688 68.707349) (xy 73.686512 68.908967) (xy 73.763505 69.095304)
+        (xy 73.905946 69.237994) (xy 74.092149 69.315312) (xy 74.293767 69.315488) (xy 74.480104 69.238495) (xy 74.601078 69.117731)
+        (xy 74.712546 69.229394) (xy 74.898749 69.306712) (xy 75.100367 69.306888) (xy 75.102212 69.306125) (xy 74.995606 69.412546)
+        (xy 74.918288 69.598749) (xy 74.918112 69.800367) (xy 74.995105 69.986704) (xy 75.137546 70.129394) (xy 75.323749 70.206712)
+        (xy 75.525367 70.206888) (xy 75.711704 70.129895) (xy 75.854394 69.987454) (xy 75.931712 69.801251) (xy 75.931888 69.599633)
+        (xy 75.931888 72.306009) (xy 75.901251 72.293288) (xy 75.699633 72.293112) (xy 75.513296 72.370105) (xy 75.399927 72.483275)
+        (xy 75.287454 72.370606) (xy 75.101251 72.293288) (xy 74.899633 72.293112) (xy 74.713296 72.370105) (xy 74.599927 72.483275)
+        (xy 74.516724 72.399927) (xy 74.629394 72.287454) (xy 74.706712 72.101251) (xy 74.706888 71.899633) (xy 74.629895 71.713296)
+        (xy 74.487454 71.570606) (xy 74.301251 71.493288) (xy 74.099633 71.493112) (xy 73.913296 71.570105) (xy 73.770606 71.712546)
+        (xy 73.693288 71.898749) (xy 73.693112 72.100367) (xy 73.770105 72.286704) (xy 73.883275 72.400072) (xy 73.770606 72.512546)
+        (xy 73.693288 72.698749) (xy 73.693112 72.900367) (xy 73.770105 73.086704) (xy 73.912546 73.229394) (xy 74.098749 73.306712)
+        (xy 74.300367 73.306888) (xy 74.486704 73.229895) (xy 74.600072 73.116724) (xy 74.683275 73.200072) (xy 74.570606 73.312546)
+        (xy 74.493288 73.498749) (xy 74.493112 73.700367) (xy 74.570105 73.886704) (xy 74.712546 74.029394) (xy 74.898749 74.106712)
+        (xy 75.100367 74.106888) (xy 75.286704 74.029895) (xy 75.400072 73.916724) (xy 75.512546 74.029394) (xy 75.698749 74.106712)
+        (xy 75.900367 74.106888) (xy 76.086704 74.029895) (xy 76.200072 73.916724) (xy 76.312546 74.029394) (xy 76.498749 74.106712)
+        (xy 76.700367 74.106888) (xy 76.886704 74.029895) (xy 77.000072 73.916724) (xy 77.112546 74.029394) (xy 77.298749 74.106712)
+        (xy 77.500367 74.106888) (xy 77.686704 74.029895) (xy 77.800072 73.916724) (xy 77.912546 74.029394) (xy 78.098749 74.106712)
+        (xy 78.300367 74.106888) (xy 78.486704 74.029895) (xy 78.605072 73.911732) (xy 78.712546 74.019394) (xy 78.898749 74.096712)
+        (xy 79.100367 74.096888) (xy 79.286704 74.019895) (xy 79.395072 73.911715) (xy 79.512546 74.029394) (xy 79.698749 74.106712)
+        (xy 79.900367 74.106888) (xy 80.086704 74.029895) (xy 80.229394 73.887454) (xy 80.306712 73.701251) (xy 80.306888 73.499633)
+        (xy 80.306888 87.468768) (xy 80.134761 87.53989) (xy 79.91566 87.75861) (xy 79.796936 88.044528) (xy 79.796666 88.354114)
+        (xy 79.91489 88.640239) (xy 80.13361 88.85934) (xy 80.419528 88.978064) (xy 80.729114 88.978334) (xy 81.015239 88.86011)
+        (xy 81.23434 88.64139) (xy 81.353064 88.355472) (xy 81.353334 88.045886) (xy 81.353334 90.045886) (xy 81.23511 89.759761)
+        (xy 81.01639 89.54066) (xy 80.730472 89.421936) (xy 80.420886 89.421666) (xy 80.134761 89.53989) (xy 79.91566 89.75861)
+        (xy 79.796936 90.044528) (xy 79.796791 90.210342) (xy 79.353189 90.212065) (xy 79.353334 90.045886) (xy 79.353334 88.045886)
+        (xy 79.23511 87.759761) (xy 79.01639 87.54066) (xy 78.730472 87.421936) (xy 78.420886 87.421666) (xy 78.134761 87.53989)
+        (xy 77.91566 87.75861) (xy 77.796936 88.044528) (xy 77.796666 88.354114) (xy 77.91489 88.640239) (xy 78.13361 88.85934)
+        (xy 78.419528 88.978064) (xy 78.729114 88.978334) (xy 79.015239 88.86011) (xy 79.23434 88.64139) (xy 79.353064 88.355472)
+        (xy 79.353334 88.045886) (xy 79.353334 90.045886) (xy 79.23511 89.759761) (xy 79.01639 89.54066) (xy 78.730472 89.421936)
+        (xy 78.420886 89.421666) (xy 78.134761 89.53989) (xy 77.91566 89.75861) (xy 77.796936 90.044528) (xy 77.796784 90.218114)
+        (xy 77.353182 90.219837) (xy 77.353334 90.045886) (xy 77.353334 88.045886) (xy 77.23511 87.759761) (xy 77.01639 87.54066)
+        (xy 76.730472 87.421936) (xy 76.420886 87.421666) (xy 76.134761 87.53989) (xy 75.91566 87.75861) (xy 75.796936 88.044528)
+        (xy 75.796666 88.354114) (xy 75.91489 88.640239) (xy 76.13361 88.85934) (xy 76.419528 88.978064) (xy 76.729114 88.978334)
+        (xy 77.015239 88.86011) (xy 77.23434 88.64139) (xy 77.353064 88.355472) (xy 77.353334 88.045886) (xy 77.353334 90.045886)
+        (xy 77.23511 89.759761) (xy 77.01639 89.54066) (xy 76.730472 89.421936) (xy 76.420886 89.421666) (xy 76.134761 89.53989)
+        (xy 75.91566 89.75861) (xy 75.796936 90.044528) (xy 75.796777 90.225886) (xy 75.353175 90.227609) (xy 75.353334 90.045886)
+        (xy 75.353334 88.045886) (xy 75.23511 87.759761) (xy 75.01639 87.54066) (xy 74.730472 87.421936) (xy 74.420886 87.421666)
+        (xy 74.134761 87.53989) (xy 73.91566 87.75861) (xy 73.796936 88.044528) (xy 73.796666 88.354114) (xy 73.91489 88.640239)
+        (xy 74.13361 88.85934) (xy 74.419528 88.978064) (xy 74.729114 88.978334) (xy 75.015239 88.86011) (xy 75.23434 88.64139)
+        (xy 75.353064 88.355472) (xy 75.353334 88.045886) (xy 75.353334 90.045886) (xy 75.23511 89.759761) (xy 75.01639 89.54066)
+        (xy 74.730472 89.421936) (xy 74.420886 89.421666) (xy 74.134761 89.53989) (xy 73.91566 89.75861) (xy 73.796936 90.044528)
+        (xy 73.796771 90.233658) (xy 73.353168 90.235382) (xy 73.353334 90.045886) (xy 73.353334 88.045886) (xy 73.23511 87.759761)
+        (xy 73.106888 87.631315) (xy 73.106888 72.699633) (xy 73.029895 72.513296) (xy 72.916724 72.399927) (xy 73.029394 72.287454)
+        (xy 73.106712 72.101251) (xy 73.106888 71.899633) (xy 73.029895 71.713296) (xy 72.887454 71.570606) (xy 72.701251 71.493288)
+        (xy 72.499633 71.493112) (xy 72.331888 71.562422) (xy 72.331888 71.099633) (xy 72.306888 71.039128) (xy 72.306888 68.699633)
+        (xy 72.229895 68.513296) (xy 72.087454 68.370606) (xy 71.901251 68.293288) (xy 71.699633 68.293112) (xy 71.513296 68.370105)
+        (xy 71.370606 68.512546) (xy 71.293288 68.698749) (xy 71.293112 68.900367) (xy 71.370105 69.086704) (xy 71.512546 69.229394)
+        (xy 71.698749 69.306712) (xy 71.900367 69.306888) (xy 72.086704 69.229895) (xy 72.229394 69.087454) (xy 72.306712 68.901251)
+        (xy 72.306888 68.699633) (xy 72.306888 71.039128) (xy 72.254895 70.913296) (xy 72.112454 70.770606) (xy 71.926251 70.693288)
+        (xy 71.724633 70.693112) (xy 71.538296 70.770105) (xy 71.395606 70.912546) (xy 71.318288 71.098749) (xy 71.318112 71.300367)
+        (xy 71.395105 71.486704) (xy 71.537546 71.629394) (xy 71.723749 71.706712) (xy 71.925367 71.706888) (xy 72.111704 71.629895)
+        (xy 72.254394 71.487454) (xy 72.331712 71.301251) (xy 72.331888 71.099633) (xy 72.331888 71.562422) (xy 72.313296 71.570105)
+        (xy 72.170606 71.712546) (xy 72.093288 71.898749) (xy 72.093112 72.100367) (xy 72.170105 72.286704) (xy 72.283275 72.400072)
+        (xy 72.170606 72.512546) (xy 72.093288 72.698749) (xy 72.093112 72.900367) (xy 72.170105 73.086704) (xy 72.312546 73.229394)
+        (xy 72.498749 73.306712) (xy 72.700367 73.306888) (xy 72.886704 73.229895) (xy 73.029394 73.087454) (xy 73.106712 72.901251)
+        (xy 73.106888 72.699633) (xy 73.106888 87.631315) (xy 73.01639 87.54066) (xy 72.730472 87.421936) (xy 72.420886 87.421666)
+        (xy 72.134761 87.53989) (xy 71.91566 87.75861) (xy 71.796936 88.044528) (xy 71.796666 88.354114) (xy 71.91489 88.640239)
+        (xy 72.13361 88.85934) (xy 72.419528 88.978064) (xy 72.729114 88.978334) (xy 73.015239 88.86011) (xy 73.23434 88.64139)
+        (xy 73.353064 88.355472) (xy 73.353334 88.045886) (xy 73.353334 90.045886) (xy 73.23511 89.759761) (xy 73.01639 89.54066)
+        (xy 72.730472 89.421936) (xy 72.420886 89.421666) (xy 72.134761 89.53989) (xy 71.91566 89.75861) (xy 71.796936 90.044528)
+        (xy 71.796764 90.24143) (xy 71.506888 90.242556) (xy 71.506888 72.699633) (xy 71.429895 72.513296) (xy 71.316724 72.399927)
+        (xy 71.429394 72.287454) (xy 71.506712 72.101251) (xy 71.506888 71.899633) (xy 71.429895 71.713296) (xy 71.287454 71.570606)
+        (xy 71.101251 71.493288) (xy 70.899633 71.493112) (xy 70.713296 71.570105) (xy 70.599927 71.683275) (xy 70.516724 71.599927)
+        (xy 70.629394 71.487454) (xy 70.706712 71.301251) (xy 70.706888 71.099633) (xy 70.629895 70.913296) (xy 70.516724 70.799927)
+        (xy 70.629394 70.687454) (xy 70.706712 70.501251) (xy 70.706888 70.299633) (xy 70.629895 70.113296) (xy 70.516724 69.999927)
+        (xy 70.629394 69.887454) (xy 70.706712 69.701251) (xy 70.706888 69.499633) (xy 70.629895 69.313296) (xy 70.516724 69.199927)
+        (xy 70.629394 69.087454) (xy 70.706712 68.901251) (xy 70.706888 68.699633) (xy 70.629895 68.513296) (xy 70.516724 68.399927)
+        (xy 70.629394 68.287454) (xy 70.706712 68.101251) (xy 70.706888 67.899633) (xy 70.629895 67.713296) (xy 70.516724 67.599927)
+        (xy 70.629394 67.487454) (xy 70.706712 67.301251) (xy 70.706888 67.099633) (xy 70.629895 66.913296) (xy 70.516724 66.799927)
+        (xy 70.629394 66.687454) (xy 70.706712 66.501251) (xy 70.706888 66.299633) (xy 70.629895 66.113296) (xy 70.516724 65.999927)
+        (xy 70.600072 65.916724) (xy 70.712546 66.029394) (xy 70.898749 66.106712) (xy 71.100367 66.106888) (xy 71.286704 66.029895)
+        (xy 71.429394 65.887454) (xy 71.506712 65.701251) (xy 71.506888 65.499633) (xy 71.506888 62.299633) (xy 71.429895 62.113296)
+        (xy 71.287454 61.970606) (xy 71.101251 61.893288) (xy 70.899633 61.893112) (xy 70.713296 61.970105) (xy 70.599927 62.083275)
+        (xy 70.487454 61.970606) (xy 70.301251 61.893288) (xy 70.099633 61.893112) (xy 69.913296 61.970105) (xy 69.770606 62.112546)
+        (xy 69.693288 62.298749) (xy 69.693112 62.500367) (xy 69.770105 62.686704) (xy 69.912546 62.829394) (xy 70.098749 62.906712)
+        (xy 70.300367 62.906888) (xy 70.486704 62.829895) (xy 70.600072 62.716724) (xy 70.712546 62.829394) (xy 70.898749 62.906712)
+        (xy 71.100367 62.906888) (xy 71.286704 62.829895) (xy 71.429394 62.687454) (xy 71.506712 62.501251) (xy 71.506888 62.299633)
+        (xy 71.506888 65.499633) (xy 71.429895 65.313296) (xy 71.287454 65.170606) (xy 71.101251 65.093288) (xy 70.899633 65.093112)
+        (xy 70.713296 65.170105) (xy 70.599927 65.283275) (xy 70.516724 65.199927) (xy 70.629394 65.087454) (xy 70.706712 64.901251)
+        (xy 70.706888 64.699633) (xy 70.629895 64.513296) (xy 70.487454 64.370606) (xy 70.301251 64.293288) (xy 70.099633 64.293112)
+        (xy 69.913296 64.370105) (xy 69.799927 64.483275) (xy 69.687454 64.370606) (xy 69.501251 64.293288) (xy 69.299633 64.293112)
+        (xy 69.113296 64.370105) (xy 68.970606 64.512546) (xy 68.893288 64.698749) (xy 68.893112 64.900367) (xy 68.970105 65.086704)
+        (xy 69.083275 65.200072) (xy 68.970606 65.312546) (xy 68.893288 65.498749) (xy 68.893112 65.700367) (xy 68.970105 65.886704)
+        (xy 69.083275 66.000072) (xy 68.970606 66.112546) (xy 68.893288 66.298749) (xy 68.893112 66.500367) (xy 68.970105 66.686704)
+        (xy 69.083275 66.800072) (xy 68.970606 66.912546) (xy 68.893288 67.098749) (xy 68.893112 67.300367) (xy 68.970105 67.486704)
+        (xy 69.083275 67.600072) (xy 68.970606 67.712546) (xy 68.893288 67.898749) (xy 68.893112 68.100367) (xy 68.970105 68.286704)
+        (xy 69.083275 68.400072) (xy 68.970606 68.512546) (xy 68.893288 68.698749) (xy 68.893112 68.900367) (xy 68.970105 69.086704)
+        (xy 69.083275 69.200072) (xy 68.970606 69.312546) (xy 68.893288 69.498749) (xy 68.893112 69.700367) (xy 68.970105 69.886704)
+        (xy 69.083275 70.000072) (xy 68.970606 70.112546) (xy 68.893288 70.298749) (xy 68.893112 70.500367) (xy 68.970105 70.686704)
+        (xy 69.083275 70.800072) (xy 68.970606 70.912546) (xy 68.893288 71.098749) (xy 68.893112 71.300367) (xy 68.970105 71.486704)
+        (xy 69.083275 71.600072) (xy 68.970606 71.712546) (xy 68.893288 71.898749) (xy 68.893112 72.100367) (xy 68.970105 72.286704)
+        (xy 69.083275 72.400072) (xy 68.970606 72.512546) (xy 68.893288 72.698749) (xy 68.893112 72.900367) (xy 68.970105 73.086704)
+        (xy 69.083275 73.200072) (xy 68.970606 73.312546) (xy 68.893288 73.498749) (xy 68.893112 73.700367) (xy 68.970105 73.886704)
+        (xy 69.112546 74.029394) (xy 69.298749 74.106712) (xy 69.500367 74.106888) (xy 69.686704 74.029895) (xy 69.800072 73.916724)
+        (xy 69.912546 74.029394) (xy 70.098749 74.106712) (xy 70.300367 74.106888) (xy 70.486704 74.029895) (xy 70.629394 73.887454)
+        (xy 70.706712 73.701251) (xy 70.706888 73.499633) (xy 70.629895 73.313296) (xy 70.516724 73.199927) (xy 70.600072 73.116724)
+        (xy 70.712546 73.229394) (xy 70.898749 73.306712) (xy 71.100367 73.306888) (xy 71.286704 73.229895) (xy 71.429394 73.087454)
+        (xy 71.506712 72.901251) (xy 71.506888 72.699633) (xy 71.506888 90.242556) (xy 71.353161 90.243154) (xy 71.353334 90.045886)
+        (xy 71.353334 88.045886) (xy 71.23511 87.759761) (xy 71.106888 87.631315) (xy 71.106888 75.274633) (xy 71.029895 75.088296)
+        (xy 70.887454 74.945606) (xy 70.701251 74.868288) (xy 70.499633 74.868112) (xy 70.313296 74.945105) (xy 70.234301 75.023961)
+        (xy 70.229895 75.013296) (xy 70.087454 74.870606) (xy 69.901251 74.793288) (xy 69.699633 74.793112) (xy 69.513296 74.870105)
+        (xy 69.370606 75.012546) (xy 69.293288 75.198749) (xy 69.293112 75.400367) (xy 69.370105 75.586704) (xy 69.512546 75.729394)
+        (xy 69.698749 75.806712) (xy 69.900367 75.806888) (xy 70.086704 75.729895) (xy 70.165698 75.651038) (xy 70.170105 75.661704)
+        (xy 70.312546 75.804394) (xy 70.498749 75.881712) (xy 70.700367 75.881888) (xy 70.886704 75.804895) (xy 71.029394 75.662454)
+        (xy 71.106712 75.476251) (xy 71.106888 75.274633) (xy 71.106888 87.631315) (xy 71.01639 87.54066) (xy 70.730472 87.421936)
+        (xy 70.481888 87.421719) (xy 70.481888 80.724633) (xy 70.404895 80.538296) (xy 70.262454 80.395606) (xy 70.076251 80.318288)
+        (xy 69.874633 80.318112) (xy 69.688296 80.395105) (xy 69.656888 80.426458) (xy 69.656888 78.999633) (xy 69.579895 78.813296)
+        (xy 69.437454 78.670606) (xy 69.251251 78.593288) (xy 69.23312 78.593272) (xy 69.281712 78.476251) (xy 69.281888 78.274633)
+        (xy 69.204895 78.088296) (xy 69.062454 77.945606) (xy 69.011669 77.924518) (xy 69.031712 77.876251) (xy 69.031888 77.674633)
+        (xy 68.954895 77.488296) (xy 68.812454 77.345606) (xy 68.706888 77.301771) (xy 68.706888 39.049633) (xy 68.629895 38.863296)
+        (xy 68.487454 38.720606) (xy 68.324718 38.653032) (xy 68.324718 36.794422) (xy 68.284718 36.697614) (xy 68.284718 29.989422)
+        (xy 68.180714 29.737712) (xy 67.988301 29.544963) (xy 67.736773 29.440519) (xy 67.464422 29.440282) (xy 67.212712 29.544286)
+        (xy 67.019963 29.736699) (xy 66.915519 29.988227) (xy 66.915282 30.260578) (xy 67.019286 30.512288) (xy 67.211699 30.705037)
+        (xy 67.463227 30.809481) (xy 67.735578 30.809718) (xy 67.987288 30.705714) (xy 68.180037 30.513301) (xy 68.284481 30.261773)
+        (xy 68.284718 29.989422) (xy 68.284718 36.697614) (xy 68.220714 36.542712) (xy 68.028301 36.349963) (xy 67.776773 36.245519)
+        (xy 67.504422 36.245282) (xy 67.252712 36.349286) (xy 67.059963 36.541699) (xy 66.955519 36.793227) (xy 66.955282 37.065578)
+        (xy 67.059286 37.317288) (xy 67.251699 37.510037) (xy 67.503227 37.614481) (xy 67.775578 37.614718) (xy 68.027288 37.510714)
+        (xy 68.220037 37.318301) (xy 68.324481 37.066773) (xy 68.324718 36.794422) (xy 68.324718 38.653032) (xy 68.301251 38.643288)
+        (xy 68.099633 38.643112) (xy 67.991923 38.687616) (xy 67.919895 38.513296) (xy 67.777454 38.370606) (xy 67.591251 38.293288)
+        (xy 67.389633 38.293112) (xy 67.203296 38.370105) (xy 67.060606 38.512546) (xy 66.983288 38.698749) (xy 66.983112 38.900367)
+        (xy 67.060105 39.086704) (xy 67.202546 39.229394) (xy 67.388749 39.306712) (xy 67.590367 39.306888) (xy 67.698076 39.262383)
+        (xy 67.770105 39.436704) (xy 67.912546 39.579394) (xy 68.098749 39.656712) (xy 68.300367 39.656888) (xy 68.486704 39.579895)
+        (xy 68.629394 39.437454) (xy 68.706712 39.251251) (xy 68.706888 39.049633) (xy 68.706888 77.301771) (xy 68.626251 77.268288)
+        (xy 68.424633 77.268112) (xy 68.238296 77.345105) (xy 68.109718 77.473458) (xy 68.109718 46.664422) (xy 68.005714 46.412712)
+        (xy 67.834455 46.241154) (xy 67.861704 46.229895) (xy 68.004394 46.087454) (xy 68.081712 45.901251) (xy 68.081888 45.699633)
+        (xy 68.004895 45.513296) (xy 67.862454 45.370606) (xy 67.676251 45.293288) (xy 67.474633 45.293112) (xy 67.288296 45.370105)
+        (xy 67.145606 45.512546) (xy 67.068288 45.698749) (xy 67.068112 45.900367) (xy 67.145105 46.086704) (xy 67.207445 46.149153)
+        (xy 67.037712 46.219286) (xy 66.844963 46.411699) (xy 66.740519 46.663227) (xy 66.740282 46.935578) (xy 66.844286 47.187288)
+        (xy 67.036699 47.380037) (xy 67.288227 47.484481) (xy 67.560578 47.484718) (xy 67.812288 47.380714) (xy 68.005037 47.188301)
+        (xy 68.109481 46.936773) (xy 68.109718 46.664422) (xy 68.109718 77.473458) (xy 68.095606 77.487546) (xy 68.018288 77.673749)
+        (xy 68.018112 77.875367) (xy 68.095105 78.061704) (xy 68.237546 78.204394) (xy 68.28833 78.225481) (xy 68.268288 78.273749)
+        (xy 68.268112 78.475367) (xy 68.345105 78.661704) (xy 68.487546 78.804394) (xy 68.673749 78.881712) (xy 68.691879 78.881727)
+        (xy 68.643288 78.998749) (xy 68.643227 79.06828) (xy 68.449633 79.068112) (xy 68.263296 79.145105) (xy 68.120606 79.287546)
+        (xy 68.043288 79.473749) (xy 68.043112 79.675367) (xy 68.120105 79.861704) (xy 68.262546 80.004394) (xy 68.448749 80.081712)
+        (xy 68.468259 80.081729) (xy 68.468112 80.250367) (xy 68.545105 80.436704) (xy 68.687546 80.579394) (xy 68.873749 80.656712)
+        (xy 69.075367 80.656888) (xy 69.261704 80.579895) (xy 69.404394 80.437454) (xy 69.481712 80.251251) (xy 69.481888 80.049633)
+        (xy 69.404895 79.863296) (xy 69.262454 79.720606) (xy 69.076251 79.643288) (xy 69.05674 79.64327) (xy 69.056772 79.606719)
+        (xy 69.250367 79.606888) (xy 69.436704 79.529895) (xy 69.579394 79.387454) (xy 69.656712 79.201251) (xy 69.656888 78.999633)
+        (xy 69.656888 80.426458) (xy 69.545606 80.537546) (xy 69.468288 80.723749) (xy 69.468112 80.925367) (xy 69.545105 81.111704)
+        (xy 69.687546 81.254394) (xy 69.873749 81.331712) (xy 70.075367 81.331888) (xy 70.261704 81.254895) (xy 70.404394 81.112454)
+        (xy 70.481712 80.926251) (xy 70.481888 80.724633) (xy 70.481888 87.421719) (xy 70.420886 87.421666) (xy 70.134761 87.53989)
+        (xy 69.91566 87.75861) (xy 69.796936 88.044528) (xy 69.796666 88.354114) (xy 69.91489 88.640239) (xy 70.13361 88.85934)
+        (xy 70.419528 88.978064) (xy 70.729114 88.978334) (xy 71.015239 88.86011) (xy 71.23434 88.64139) (xy 71.353064 88.355472)
+        (xy 71.353334 88.045886) (xy 71.353334 90.045886) (xy 71.23511 89.759761) (xy 71.01639 89.54066) (xy 70.730472 89.421936)
+        (xy 70.420886 89.421666) (xy 70.134761 89.53989) (xy 69.91566 89.75861) (xy 69.796936 90.044528) (xy 69.796757 90.249202)
+        (xy 69.353155 90.250926) (xy 69.353334 90.045886) (xy 69.353334 88.045886) (xy 69.23511 87.759761) (xy 69.01639 87.54066)
+        (xy 68.730472 87.421936) (xy 68.420886 87.421666) (xy 68.134761 87.53989) (xy 67.91566 87.75861) (xy 67.796936 88.044528)
+        (xy 67.796666 88.354114) (xy 67.91489 88.640239) (xy 68.13361 88.85934) (xy 68.419528 88.978064) (xy 68.729114 88.978334)
+        (xy 69.015239 88.86011) (xy 69.23434 88.64139) (xy 69.353064 88.355472) (xy 69.353334 88.045886) (xy 69.353334 90.045886)
+        (xy 69.23511 89.759761) (xy 69.01639 89.54066) (xy 68.730472 89.421936) (xy 68.420886 89.421666) (xy 68.134761 89.53989)
+        (xy 67.91566 89.75861) (xy 67.796936 90.044528) (xy 67.79675 90.256974) (xy 67.353148 90.258698) (xy 67.353334 90.045886)
+        (xy 67.353334 88.045886) (xy 67.23511 87.759761) (xy 67.01639 87.54066) (xy 66.730472 87.421936) (xy 66.531888 87.421762)
+        (xy 66.531888 49.424633) (xy 66.454895 49.238296) (xy 66.312454 49.095606) (xy 66.126251 49.018288) (xy 66.106888 49.018271)
+        (xy 66.106888 47.319633) (xy 66.029895 47.133296) (xy 65.887454 46.990606) (xy 65.781888 46.946771) (xy 65.781888 25.949633)
+        (xy 65.704895 25.763296) (xy 65.562454 25.620606) (xy 65.376251 25.543288) (xy 65.174633 25.543112) (xy 64.988296 25.620105)
+        (xy 64.845606 25.762546) (xy 64.768288 25.948749) (xy 64.768112 26.150367) (xy 64.845105 26.336704) (xy 64.987546 26.479394)
+        (xy 65.173749 26.556712) (xy 65.375367 26.556888) (xy 65.561704 26.479895) (xy 65.704394 26.337454) (xy 65.781712 26.151251)
+        (xy 65.781888 25.949633) (xy 65.781888 46.946771) (xy 65.701251 46.913288) (xy 65.499633 46.913112) (xy 65.313296 46.990105)
+        (xy 65.170606 47.132546) (xy 65.093288 47.318749) (xy 65.093112 47.520367) (xy 65.170105 47.706704) (xy 65.312546 47.849394)
+        (xy 65.498749 47.926712) (xy 65.700367 47.926888) (xy 65.886704 47.849895) (xy 66.029394 47.707454) (xy 66.106712 47.521251)
+        (xy 66.106888 47.319633) (xy 66.106888 49.018271) (xy 65.924633 49.018112) (xy 65.738296 49.095105) (xy 65.595606 49.237546)
+        (xy 65.541803 49.367116) (xy 65.529895 49.338296) (xy 65.387454 49.195606) (xy 65.201251 49.118288) (xy 64.999633 49.118112)
+        (xy 64.813296 49.195105) (xy 64.670606 49.337546) (xy 64.593288 49.523749) (xy 64.593112 49.725367) (xy 64.670105 49.911704)
+        (xy 64.812546 50.054394) (xy 64.998749 50.131712) (xy 65.200367 50.131888) (xy 65.386704 50.054895) (xy 65.529394 49.912454)
+        (xy 65.583196 49.782883) (xy 65.595105 49.811704) (xy 65.737546 49.954394) (xy 65.923749 50.031712) (xy 66.125367 50.031888)
+        (xy 66.311704 49.954895) (xy 66.454394 49.812454) (xy 66.531712 49.626251) (xy 66.531888 49.424633) (xy 66.531888 87.421762)
+        (xy 66.431888 87.421675) (xy 66.431888 82.699633) (xy 66.354895 82.513296) (xy 66.212454 82.370606) (xy 66.026251 82.293288)
+        (xy 65.824633 82.293112) (xy 65.666423 82.358482) (xy 65.731712 82.201251) (xy 65.731888 81.999633) (xy 65.662518 81.831745)
+        (xy 65.825367 81.831888) (xy 66.011704 81.754895) (xy 66.154394 81.612454) (xy 66.231712 81.426251) (xy 66.231888 81.224633)
+        (xy 66.154895 81.038296) (xy 66.012454 80.895606) (xy 65.826251 80.818288) (xy 65.624633 80.818112) (xy 65.438296 80.895105)
+        (xy 65.295606 81.037546) (xy 65.218288 81.223749) (xy 65.218112 81.425367) (xy 65.287481 81.593254) (xy 65.124633 81.593112)
+        (xy 65.106888 81.600444) (xy 65.106888 50.974633) (xy 65.029895 50.788296) (xy 64.887454 50.645606) (xy 64.701251 50.568288)
+        (xy 64.537411 50.568144) (xy 64.606712 50.401251) (xy 64.606888 50.199633) (xy 64.564718 50.097573) (xy 64.564718 36.874422)
+        (xy 64.460714 36.622712) (xy 64.268301 36.429963) (xy 64.016773 36.325519) (xy 63.744422 36.325282) (xy 63.492712 36.429286)
+        (xy 63.299963 36.621699) (xy 63.195519 36.873227) (xy 63.195282 37.145578) (xy 63.299286 37.397288) (xy 63.491699 37.590037)
+        (xy 63.743227 37.694481) (xy 64.015578 37.694718) (xy 64.267288 37.590714) (xy 64.460037 37.398301) (xy 64.564481 37.146773)
+        (xy 64.564718 36.874422) (xy 64.564718 50.097573) (xy 64.529895 50.013296) (xy 64.387454 49.870606) (xy 64.381888 49.868294)
+        (xy 64.381888 38.474633) (xy 64.304895 38.288296) (xy 64.162454 38.145606) (xy 63.976251 38.068288) (xy 63.774633 38.068112)
+        (xy 63.588296 38.145105) (xy 63.445606 38.287546) (xy 63.368288 38.473749) (xy 63.368112 38.675367) (xy 63.445105 38.861704)
+        (xy 63.587546 39.004394) (xy 63.773749 39.081712) (xy 63.975367 39.081888) (xy 64.161704 39.004895) (xy 64.304394 38.862454)
+        (xy 64.381712 38.676251) (xy 64.381888 38.474633) (xy 64.381888 49.868294) (xy 64.206888 49.795628) (xy 64.206888 46.274633)
+        (xy 64.129895 46.088296) (xy 63.987454 45.945606) (xy 63.801251 45.868288) (xy 63.599633 45.868112) (xy 63.413296 45.945105)
+        (xy 63.270606 46.087546) (xy 63.193288 46.273749) (xy 63.193112 46.475367) (xy 63.270105 46.661704) (xy 63.412546 46.804394)
+        (xy 63.598749 46.881712) (xy 63.800367 46.881888) (xy 63.986704 46.804895) (xy 64.129394 46.662454) (xy 64.206712 46.476251)
+        (xy 64.206888 46.274633) (xy 64.206888 49.795628) (xy 64.201251 49.793288) (xy 63.999633 49.793112) (xy 63.813296 49.870105)
+        (xy 63.670606 50.012546) (xy 63.593288 50.198749) (xy 63.593228 50.266575) (xy 63.529895 50.113296) (xy 63.387454 49.970606)
+        (xy 63.201251 49.893288) (xy 63.023705 49.893133) (xy 63.029394 49.887454) (xy 63.106712 49.701251) (xy 63.106888 49.499633)
+        (xy 63.029895 49.313296) (xy 62.887454 49.170606) (xy 62.701251 49.093288) (xy 62.499633 49.093112) (xy 62.313296 49.170105)
+        (xy 62.281888 49.201458) (xy 62.281888 44.049633) (xy 62.204895 43.863296) (xy 62.062454 43.720606) (xy 61.876251 43.643288)
+        (xy 61.859718 43.643273) (xy 61.859718 36.439422) (xy 61.755714 36.187712) (xy 61.563301 35.994963) (xy 61.311773 35.890519)
+        (xy 61.039422 35.890282) (xy 60.787712 35.994286) (xy 60.594963 36.186699) (xy 60.490519 36.438227) (xy 60.490282 36.710578)
+        (xy 60.594286 36.962288) (xy 60.786699 37.155037) (xy 61.038227 37.259481) (xy 61.310578 37.259718) (xy 61.562288 37.155714)
+        (xy 61.755037 36.963301) (xy 61.859481 36.711773) (xy 61.859718 36.439422) (xy 61.859718 43.643273) (xy 61.674633 43.643112)
+        (xy 61.488296 43.720105) (xy 61.359718 43.848458) (xy 61.359718 39.714422) (xy 61.255714 39.462712) (xy 61.063301 39.269963)
+        (xy 60.811773 39.165519) (xy 60.539422 39.165282) (xy 60.287712 39.269286) (xy 60.094963 39.461699) (xy 59.990519 39.713227)
+        (xy 59.990282 39.985578) (xy 60.094286 40.237288) (xy 60.286699 40.430037) (xy 60.538227 40.534481) (xy 60.810578 40.534718)
+        (xy 61.062288 40.430714) (xy 61.255037 40.238301) (xy 61.359481 39.986773) (xy 61.359718 39.714422) (xy 61.359718 43.848458)
+        (xy 61.345606 43.862546) (xy 61.268288 44.048749) (xy 61.268112 44.250367) (xy 61.345105 44.436704) (xy 61.487546 44.579394)
+        (xy 61.673749 44.656712) (xy 61.875367 44.656888) (xy 62.061704 44.579895) (xy 62.204394 44.437454) (xy 62.281712 44.251251)
+        (xy 62.281888 44.049633) (xy 62.281888 49.201458) (xy 62.170606 49.312546) (xy 62.093288 49.498749) (xy 62.093112 49.700367)
+        (xy 62.170105 49.886704) (xy 62.312546 50.029394) (xy 62.498749 50.106712) (xy 62.676294 50.106866) (xy 62.670606 50.112546)
+        (xy 62.593288 50.298749) (xy 62.593112 50.500367) (xy 62.670105 50.686704) (xy 62.812546 50.829394) (xy 62.998749 50.906712)
+        (xy 63.200367 50.906888) (xy 63.386704 50.829895) (xy 63.529394 50.687454) (xy 63.606712 50.501251) (xy 63.606771 50.433424)
+        (xy 63.670105 50.586704) (xy 63.812546 50.729394) (xy 63.998749 50.806712) (xy 64.162588 50.806855) (xy 64.093288 50.973749)
+        (xy 64.093112 51.175367) (xy 64.170105 51.361704) (xy 64.312546 51.504394) (xy 64.498749 51.581712) (xy 64.700367 51.581888)
+        (xy 64.886704 51.504895) (xy 65.029394 51.362454) (xy 65.106712 51.176251) (xy 65.106888 50.974633) (xy 65.106888 81.600444)
+        (xy 64.938296 81.670105) (xy 64.795606 81.812546) (xy 64.718288 81.998749) (xy 64.718112 82.200367) (xy 64.795105 82.386704)
+        (xy 64.937546 82.529394) (xy 65.123749 82.606712) (xy 65.325367 82.606888) (xy 65.483576 82.541517) (xy 65.418288 82.698749)
+        (xy 65.418112 82.900367) (xy 65.495105 83.086704) (xy 65.637546 83.229394) (xy 65.823749 83.306712) (xy 66.025367 83.306888)
+        (xy 66.211704 83.229895) (xy 66.354394 83.087454) (xy 66.431712 82.901251) (xy 66.431888 82.699633) (xy 66.431888 87.421675)
+        (xy 66.420886 87.421666) (xy 66.134761 87.53989) (xy 65.91566 87.75861) (xy 65.796936 88.044528) (xy 65.796666 88.354114)
+        (xy 65.91489 88.640239) (xy 66.13361 88.85934) (xy 66.419528 88.978064) (xy 66.729114 88.978334) (xy 67.015239 88.86011)
+        (xy 67.23434 88.64139) (xy 67.353064 88.355472) (xy 67.353334 88.045886) (xy 67.353334 90.045886) (xy 67.23511 89.759761)
+        (xy 67.01639 89.54066) (xy 66.730472 89.421936) (xy 66.420886 89.421666) (xy 66.134761 89.53989) (xy 65.91566 89.75861)
+        (xy 65.796936 90.044528) (xy 65.796743 90.264746) (xy 65.353141 90.26647) (xy 65.353334 90.045886) (xy 65.353334 88.045886)
+        (xy 65.23511 87.759761) (xy 65.01639 87.54066) (xy 64.730472 87.421936) (xy 64.420886 87.421666) (xy 64.356888 87.448109)
+        (xy 64.356888 63.324633) (xy 64.279895 63.138296) (xy 64.156888 63.015073) (xy 64.156888 51.649633) (xy 64.079895 51.463296)
+        (xy 63.937454 51.320606) (xy 63.751251 51.243288) (xy 63.549633 51.243112) (xy 63.363296 51.320105) (xy 63.220606 51.462546)
+        (xy 63.143288 51.648749) (xy 63.143112 51.850367) (xy 63.220105 52.036704) (xy 63.362546 52.179394) (xy 63.548749 52.256712)
+        (xy 63.750367 52.256888) (xy 63.936704 52.179895) (xy 64.079394 52.037454) (xy 64.156712 51.851251) (xy 64.156888 51.649633)
+        (xy 64.156888 63.015073) (xy 64.137454 62.995606) (xy 63.951251 62.918288) (xy 63.749633 62.918112) (xy 63.563296 62.995105)
+        (xy 63.420606 63.137546) (xy 63.343288 63.323749) (xy 63.343112 63.525367) (xy 63.420105 63.711704) (xy 63.476416 63.768113)
+        (xy 63.474633 63.768112) (xy 63.288296 63.845105) (xy 63.145606 63.987546) (xy 63.131888 64.020582) (xy 63.131888 63.749633)
+        (xy 63.054895 63.563296) (xy 62.912454 63.420606) (xy 62.726251 63.343288) (xy 62.524633 63.343112) (xy 62.338296 63.420105)
+        (xy 62.195606 63.562546) (xy 62.118288 63.748749) (xy 62.118112 63.950367) (xy 62.195105 64.136704) (xy 62.226503 64.168157)
+        (xy 62.174633 64.168112) (xy 61.988296 64.245105) (xy 61.845606 64.387546) (xy 61.768288 64.573749) (xy 61.768112 64.775367)
+        (xy 61.845105 64.961704) (xy 61.987546 65.104394) (xy 62.173749 65.181712) (xy 62.375367 65.181888) (xy 62.561704 65.104895)
+        (xy 62.704394 64.962454) (xy 62.781712 64.776251) (xy 62.781888 64.574633) (xy 62.704895 64.388296) (xy 62.673496 64.356842)
+        (xy 62.725367 64.356888) (xy 62.911704 64.279895) (xy 63.054394 64.137454) (xy 63.131712 63.951251) (xy 63.131888 63.749633)
+        (xy 63.131888 64.020582) (xy 63.068288 64.173749) (xy 63.068112 64.375367) (xy 63.145105 64.561704) (xy 63.287546 64.704394)
+        (xy 63.473749 64.781712) (xy 63.675367 64.781888) (xy 63.861704 64.704895) (xy 64.004394 64.562454) (xy 64.081712 64.376251)
+        (xy 64.081888 64.174633) (xy 64.004895 63.988296) (xy 63.948583 63.931886) (xy 63.950367 63.931888) (xy 64.136704 63.854895)
+        (xy 64.279394 63.712454) (xy 64.356712 63.526251) (xy 64.356888 63.324633) (xy 64.356888 87.448109) (xy 64.134761 87.53989)
+        (xy 63.91566 87.75861) (xy 63.796936 88.044528) (xy 63.796666 88.354114) (xy 63.91489 88.640239) (xy 64.13361 88.85934)
+        (xy 64.419528 88.978064) (xy 64.729114 88.978334) (xy 65.015239 88.86011) (xy 65.23434 88.64139) (xy 65.353064 88.355472)
+        (xy 65.353334 88.045886) (xy 65.353334 90.045886) (xy 65.23511 89.759761) (xy 65.01639 89.54066) (xy 64.730472 89.421936)
+        (xy 64.420886 89.421666) (xy 64.134761 89.53989) (xy 63.91566 89.75861) (xy 63.796936 90.044528) (xy 63.796737 90.272518)
+        (xy 63.353134 90.274242) (xy 63.353334 90.045886) (xy 63.353334 88.045886) (xy 63.23511 87.759761) (xy 63.01639 87.54066)
+        (xy 63.006888 87.536714) (xy 63.006888 82.299633) (xy 62.929895 82.113296) (xy 62.787454 81.970606) (xy 62.601251 81.893288)
+        (xy 62.506888 81.893205) (xy 62.506888 76.249633) (xy 62.429895 76.063296) (xy 62.287454 75.920606) (xy 62.101251 75.843288)
+        (xy 61.899633 75.843112) (xy 61.713296 75.920105) (xy 61.570606 76.062546) (xy 61.493288 76.248749) (xy 61.493112 76.450367)
+        (xy 61.570105 76.636704) (xy 61.712546 76.779394) (xy 61.898749 76.856712) (xy 62.100367 76.856888) (xy 62.286704 76.779895)
+        (xy 62.429394 76.637454) (xy 62.506712 76.451251) (xy 62.506888 76.249633) (xy 62.506888 81.893205) (xy 62.399633 81.893112)
+        (xy 62.213296 81.970105) (xy 62.206888 81.976501) (xy 62.206888 81.024633) (xy 62.129895 80.838296) (xy 62.106888 80.815248)
+        (xy 62.106888 77.899633) (xy 62.029895 77.713296) (xy 61.887454 77.570606) (xy 61.701251 77.493288) (xy 61.499633 77.493112)
+        (xy 61.313296 77.570105) (xy 61.309718 77.573676) (xy 61.309718 48.689422) (xy 61.205714 48.437712) (xy 61.013301 48.244963)
+        (xy 60.761773 48.140519) (xy 60.489422 48.140282) (xy 60.237712 48.244286) (xy 60.044963 48.436699) (xy 59.981888 48.588599)
+        (xy 59.981888 43.049633) (xy 59.904895 42.863296) (xy 59.762454 42.720606) (xy 59.576251 42.643288) (xy 59.374633 42.643112)
+        (xy 59.188296 42.720105) (xy 59.045606 42.862546) (xy 58.968288 43.048749) (xy 58.968112 43.250367) (xy 59.045105 43.436704)
+        (xy 59.187546 43.579394) (xy 59.373749 43.656712) (xy 59.575367 43.656888) (xy 59.761704 43.579895) (xy 59.904394 43.437454)
+        (xy 59.981712 43.251251) (xy 59.981888 43.049633) (xy 59.981888 48.588599) (xy 59.940519 48.688227) (xy 59.940282 48.960578)
+        (xy 60.044286 49.212288) (xy 60.236699 49.405037) (xy 60.488227 49.509481) (xy 60.760578 49.509718) (xy 61.012288 49.405714)
+        (xy 61.205037 49.213301) (xy 61.309481 48.961773) (xy 61.309718 48.689422) (xy 61.309718 77.573676) (xy 61.170606 77.712546)
+        (xy 61.093288 77.898749) (xy 61.093112 78.100367) (xy 61.170105 78.286704) (xy 61.312546 78.429394) (xy 61.498749 78.506712)
+        (xy 61.700367 78.506888) (xy 61.886704 78.429895) (xy 62.029394 78.287454) (xy 62.106712 78.101251) (xy 62.106888 77.899633)
+        (xy 62.106888 80.815248) (xy 61.987454 80.695606) (xy 61.801251 80.618288) (xy 61.599633 80.618112) (xy 61.413296 80.695105)
+        (xy 61.270606 80.837546) (xy 61.193288 81.023749) (xy 61.193112 81.225367) (xy 61.270105 81.411704) (xy 61.412546 81.554394)
+        (xy 61.598749 81.631712) (xy 61.800367 81.631888) (xy 61.986704 81.554895) (xy 62.129394 81.412454) (xy 62.206712 81.226251)
+        (xy 62.206888 81.024633) (xy 62.206888 81.976501) (xy 62.070606 82.112546) (xy 61.993288 82.298749) (xy 61.993112 82.500367)
+        (xy 62.070105 82.686704) (xy 62.212546 82.829394) (xy 62.398749 82.906712) (xy 62.600367 82.906888) (xy 62.786704 82.829895)
+        (xy 62.929394 82.687454) (xy 63.006712 82.501251) (xy 63.006888 82.299633) (xy 63.006888 87.536714) (xy 62.730472 87.421936)
+        (xy 62.420886 87.421666) (xy 62.134761 87.53989) (xy 61.91566 87.75861) (xy 61.796936 88.044528) (xy 61.796666 88.354114)
+        (xy 61.91489 88.640239) (xy 62.13361 88.85934) (xy 62.419528 88.978064) (xy 62.729114 88.978334) (xy 63.015239 88.86011)
+        (xy 63.23434 88.64139) (xy 63.353064 88.355472) (xy 63.353334 88.045886) (xy 63.353334 90.045886) (xy 63.23511 89.759761)
+        (xy 63.01639 89.54066) (xy 62.730472 89.421936) (xy 62.420886 89.421666) (xy 62.134761 89.53989) (xy 61.91566 89.75861)
+        (xy 61.796936 90.044528) (xy 61.79673 90.28029) (xy 61.353128 90.282014) (xy 61.353334 90.045886) (xy 61.353334 88.045886)
+        (xy 61.23511 87.759761) (xy 61.01639 87.54066) (xy 60.981888 87.526333) (xy 60.981888 81.049633) (xy 60.956888 80.989128)
+        (xy 60.956888 62.299633) (xy 60.879895 62.113296) (xy 60.737454 61.970606) (xy 60.551251 61.893288) (xy 60.349633 61.893112)
+        (xy 60.163296 61.970105) (xy 60.106888 62.026414) (xy 60.106888 57.099633) (xy 60.029895 56.913296) (xy 59.887454 56.770606)
+        (xy 59.701251 56.693288) (xy 59.499633 56.693112) (xy 59.313296 56.770105) (xy 59.170606 56.912546) (xy 59.156888 56.945582)
+        (xy 59.156888 46.699633) (xy 59.079895 46.513296) (xy 58.937454 46.370606) (xy 58.751251 46.293288) (xy 58.549633 46.293112)
+        (xy 58.403343 46.353557) (xy 58.403343 27.835984) (xy 58.277523 27.531475) (xy 58.04475 27.298296) (xy 57.740462 27.171944)
+        (xy 57.410984 27.171657) (xy 57.106475 27.297477) (xy 56.873296 27.53025) (xy 56.746944 27.834538) (xy 56.746657 28.164016)
+        (xy 56.872477 28.468525) (xy 57.10525 28.701704) (xy 57.409538 28.828056) (xy 57.739016 28.828343) (xy 58.043525 28.702523)
+        (xy 58.276704 28.46975) (xy 58.403056 28.165462) (xy 58.403343 27.835984) (xy 58.403343 46.353557) (xy 58.363296 46.370105)
+        (xy 58.220606 46.512546) (xy 58.143288 46.698749) (xy 58.143112 46.900367) (xy 58.220105 47.086704) (xy 58.362546 47.229394)
+        (xy 58.548749 47.306712) (xy 58.750367 47.306888) (xy 58.936704 47.229895) (xy 59.079394 47.087454) (xy 59.156712 46.901251)
+        (xy 59.156888 46.699633) (xy 59.156888 56.945582) (xy 59.093288 57.098749) (xy 59.093112 57.300367) (xy 59.170105 57.486704)
+        (xy 59.312546 57.629394) (xy 59.498749 57.706712) (xy 59.700367 57.706888) (xy 59.886704 57.629895) (xy 60.029394 57.487454)
+        (xy 60.106712 57.301251) (xy 60.106888 57.099633) (xy 60.106888 62.026414) (xy 60.020606 62.112546) (xy 59.943288 62.298749)
+        (xy 59.943112 62.500367) (xy 60.020105 62.686704) (xy 60.162546 62.829394) (xy 60.348749 62.906712) (xy 60.550367 62.906888)
+        (xy 60.736704 62.829895) (xy 60.879394 62.687454) (xy 60.956712 62.501251) (xy 60.956888 62.299633) (xy 60.956888 80.989128)
+        (xy 60.906888 80.868119) (xy 60.906888 76.249633) (xy 60.829895 76.063296) (xy 60.781888 76.015205) (xy 60.781888 64.299633)
+        (xy 60.704895 64.113296) (xy 60.562454 63.970606) (xy 60.376251 63.893288) (xy 60.174633 63.893112) (xy 59.988296 63.970105)
+        (xy 59.845606 64.112546) (xy 59.822461 64.168284) (xy 59.624633 64.168112) (xy 59.438296 64.245105) (xy 59.306888 64.376283)
+        (xy 59.306888 63.499633) (xy 59.229895 63.313296) (xy 59.087454 63.170606) (xy 58.906888 63.095628) (xy 58.906888 56.224633)
+        (xy 58.829895 56.038296) (xy 58.687454 55.895606) (xy 58.501251 55.818288) (xy 58.299633 55.818112) (xy 58.113296 55.895105)
+        (xy 57.970606 56.037546) (xy 57.893288 56.223749) (xy 57.893112 56.425367) (xy 57.970105 56.611704) (xy 58.112546 56.754394)
+        (xy 58.298749 56.831712) (xy 58.500367 56.831888) (xy 58.686704 56.754895) (xy 58.829394 56.612454) (xy 58.906712 56.426251)
+        (xy 58.906888 56.224633) (xy 58.906888 63.095628) (xy 58.901251 63.093288) (xy 58.699633 63.093112) (xy 58.513296 63.170105)
+        (xy 58.370606 63.312546) (xy 58.293288 63.498749) (xy 58.293112 63.700367) (xy 58.370105 63.886704) (xy 58.512546 64.029394)
+        (xy 58.698749 64.106712) (xy 58.900367 64.106888) (xy 59.086704 64.029895) (xy 59.229394 63.887454) (xy 59.306712 63.701251)
+        (xy 59.306888 63.499633) (xy 59.306888 64.376283) (xy 59.295606 64.387546) (xy 59.218288 64.573749) (xy 59.218112 64.775367)
+        (xy 59.295105 64.961704) (xy 59.437546 65.104394) (xy 59.623749 65.181712) (xy 59.825367 65.181888) (xy 60.011704 65.104895)
+        (xy 60.154394 64.962454) (xy 60.177538 64.906715) (xy 60.375367 64.906888) (xy 60.561704 64.829895) (xy 60.704394 64.687454)
+        (xy 60.781712 64.501251) (xy 60.781888 64.299633) (xy 60.781888 76.015205) (xy 60.687454 75.920606) (xy 60.501251 75.843288)
+        (xy 60.299633 75.843112) (xy 60.113296 75.920105) (xy 59.970606 76.062546) (xy 59.893288 76.248749) (xy 59.893112 76.450367)
+        (xy 59.970105 76.636704) (xy 60.112546 76.779394) (xy 60.298749 76.856712) (xy 60.500367 76.856888) (xy 60.686704 76.779895)
+        (xy 60.829394 76.637454) (xy 60.906712 76.451251) (xy 60.906888 76.249633) (xy 60.906888 80.868119) (xy 60.904895 80.863296)
+        (xy 60.762454 80.720606) (xy 60.576251 80.643288) (xy 60.506888 80.643227) (xy 60.506888 77.899633) (xy 60.429895 77.713296)
+        (xy 60.287454 77.570606) (xy 60.101251 77.493288) (xy 59.899633 77.493112) (xy 59.713296 77.570105) (xy 59.570606 77.712546)
+        (xy 59.493288 77.898749) (xy 59.493112 78.100367) (xy 59.570105 78.286704) (xy 59.712546 78.429394) (xy 59.898749 78.506712)
+        (xy 60.100367 78.506888) (xy 60.286704 78.429895) (xy 60.429394 78.287454) (xy 60.506712 78.101251) (xy 60.506888 77.899633)
+        (xy 60.506888 80.643227) (xy 60.374633 80.643112) (xy 60.256888 80.691763) (xy 60.256888 79.024633) (xy 60.179895 78.838296)
+        (xy 60.037454 78.695606) (xy 59.851251 78.618288) (xy 59.649633 78.618112) (xy 59.463296 78.695105) (xy 59.320606 78.837546)
+        (xy 59.243288 79.023749) (xy 59.243112 79.225367) (xy 59.320105 79.411704) (xy 59.462546 79.554394) (xy 59.648749 79.631712)
+        (xy 59.850367 79.631888) (xy 60.036704 79.554895) (xy 60.179394 79.412454) (xy 60.256712 79.226251) (xy 60.256888 79.024633)
+        (xy 60.256888 80.691763) (xy 60.188296 80.720105) (xy 60.045606 80.862546) (xy 59.968288 81.048749) (xy 59.968112 81.250367)
+        (xy 60.045105 81.436704) (xy 60.187546 81.579394) (xy 60.373749 81.656712) (xy 60.575367 81.656888) (xy 60.761704 81.579895)
+        (xy 60.904394 81.437454) (xy 60.981712 81.251251) (xy 60.981888 81.049633) (xy 60.981888 87.526333) (xy 60.730472 87.421936)
+        (xy 60.531888 87.421762) (xy 60.531888 83.474633) (xy 60.454895 83.288296) (xy 60.312454 83.145606) (xy 60.126251 83.068288)
+        (xy 59.924633 83.068112) (xy 59.738296 83.145105) (xy 59.624905 83.258297) (xy 59.531888 83.165117) (xy 59.531888 80.574633)
+        (xy 59.454895 80.388296) (xy 59.312454 80.245606) (xy 59.126251 80.168288) (xy 58.924633 80.168112) (xy 58.906888 80.175444)
+        (xy 58.906888 77.899633) (xy 58.829895 77.713296) (xy 58.687454 77.570606) (xy 58.501251 77.493288) (xy 58.299633 77.493112)
+        (xy 58.113296 77.570105) (xy 57.999927 77.683275) (xy 57.916724 77.599927) (xy 58.029394 77.487454) (xy 58.106712 77.301251)
+        (xy 58.106888 77.099633) (xy 58.029895 76.913296) (xy 57.948496 76.831755) (xy 58.100367 76.831888) (xy 58.286704 76.754895)
+        (xy 58.429394 76.612454) (xy 58.506712 76.426251) (xy 58.50684 76.279213) (xy 58.686704 76.204895) (xy 58.829394 76.062454)
+        (xy 58.906712 75.876251) (xy 58.906888 75.674633) (xy 58.829895 75.488296) (xy 58.687454 75.345606) (xy 58.501251 75.268288)
+        (xy 58.356888 75.268161) (xy 58.356888 64.524633) (xy 58.279895 64.338296) (xy 58.137454 64.195606) (xy 57.951251 64.118288)
+        (xy 57.749633 64.118112) (xy 57.706888 64.135773) (xy 57.706888 63.599633) (xy 57.706888 61.899633) (xy 57.706888 57.899633)
+        (xy 57.629895 57.713296) (xy 57.487454 57.570606) (xy 57.301251 57.493288) (xy 57.225898 57.493222) (xy 57.225898 35.873271)
+        (xy 57.183821 35.165199) (xy 56.993803 34.706453) (xy 56.727188 34.575956) (xy 56.474044 34.8291) (xy 56.474044 34.322812)
+        (xy 56.343547 34.056197) (xy 55.673271 33.824102) (xy 55.226064 33.850677) (xy 55.251964 33.824823) (xy 55.588136 33.01523)
+        (xy 55.588901 32.138616) (xy 55.345379 31.549247) (xy 55.345379 27.468999) (xy 55.187352 27.086544) (xy 54.894995 26.793676)
+        (xy 54.512816 26.634982) (xy 54.098999 26.634621) (xy 53.716544 26.792648) (xy 53.423676 27.085005) (xy 53.264982 27.467184)
+        (xy 53.264621 27.881001) (xy 53.422648 28.263456) (xy 53.715005 28.556324) (xy 54.097184 28.715018) (xy 54.511001 28.715379)
+        (xy 54.893456 28.557352) (xy 55.186324 28.264995) (xy 55.345018 27.882816) (xy 55.345379 27.468999) (xy 55.345379 31.549247)
+        (xy 55.254142 31.328437) (xy 54.634823 30.708036) (xy 53.82523 30.371864) (xy 52.948616 30.371099) (xy 52.795379 30.434415)
+        (xy 52.795379 27.468999) (xy 52.637352 27.086544) (xy 52.344995 26.793676) (xy 51.962816 26.634982) (xy 51.548999 26.634621)
+        (xy 51.166544 26.792648) (xy 50.873676 27.085005) (xy 50.714982 27.467184) (xy 50.714621 27.881001) (xy 50.872648 28.263456)
+        (xy 51.165005 28.556324) (xy 51.547184 28.715018) (xy 51.961001 28.715379) (xy 52.343456 28.557352) (xy 52.636324 28.264995)
+        (xy 52.795018 27.882816) (xy 52.795379 27.468999) (xy 52.795379 30.434415) (xy 52.138437 30.705858) (xy 51.518036 31.325177)
+        (xy 51.181864 32.13477) (xy 51.181099 33.011384) (xy 51.515858 33.821563) (xy 52.135177 34.441964) (xy 52.94477 34.778136)
+        (xy 53.821384 34.778901) (xy 53.832734 34.774211) (xy 53.624102 35.376729) (xy 53.666179 36.084801) (xy 53.856197 36.543547)
+        (xy 54.122812 36.674044) (xy 55.118823 35.678033) (xy 55.171856 35.625) (xy 55.425 35.371856) (xy 55.478033 35.318823)
+        (xy 56.474044 34.322812) (xy 56.474044 34.8291) (xy 55.678144 35.625) (xy 56.727188 36.674044) (xy 56.993803 36.543547)
+        (xy 57.225898 35.873271) (xy 57.225898 57.493222) (xy 57.099633 57.493112) (xy 56.913296 57.570105) (xy 56.906888 57.576501)
+        (xy 56.906888 57.099633) (xy 56.829895 56.913296) (xy 56.687454 56.770606) (xy 56.501251 56.693288) (xy 56.373574 56.693176)
+        (xy 56.429394 56.637454) (xy 56.506712 56.451251) (xy 56.506888 56.249633) (xy 56.474044 56.170144) (xy 56.474044 36.927188)
+        (xy 55.425 35.878144) (xy 54.375956 36.927188) (xy 54.506453 37.193803) (xy 55.176729 37.425898) (xy 55.884801 37.383821)
+        (xy 56.343547 37.193803) (xy 56.474044 36.927188) (xy 56.474044 56.170144) (xy 56.429895 56.063296) (xy 56.287454 55.920606)
+        (xy 56.259718 55.909089) (xy 56.259718 45.689422) (xy 56.155714 45.437712) (xy 55.963301 45.244963) (xy 55.711773 45.140519)
+        (xy 55.439422 45.140282) (xy 55.187712 45.244286) (xy 54.994963 45.436699) (xy 54.890519 45.688227) (xy 54.890282 45.960578)
+        (xy 54.994286 46.212288) (xy 55.186699 46.405037) (xy 55.438227 46.509481) (xy 55.710578 46.509718) (xy 55.962288 46.405714)
+        (xy 56.155037 46.213301) (xy 56.259481 45.961773) (xy 56.259718 45.689422) (xy 56.259718 55.909089) (xy 56.101251 55.843288)
+        (xy 55.899633 55.843112) (xy 55.834718 55.869934) (xy 55.834718 54.639422) (xy 55.730714 54.387712) (xy 55.538301 54.194963)
+        (xy 55.286773 54.090519) (xy 55.014422 54.090282) (xy 54.762712 54.194286) (xy 54.569963 54.386699) (xy 54.465519 54.638227)
+        (xy 54.465282 54.910578) (xy 54.569286 55.162288) (xy 54.761699 55.355037) (xy 55.013227 55.459481) (xy 55.285578 55.459718)
+        (xy 55.537288 55.355714) (xy 55.730037 55.163301) (xy 55.834481 54.911773) (xy 55.834718 54.639422) (xy 55.834718 55.869934)
+        (xy 55.713296 55.920105) (xy 55.570606 56.062546) (xy 55.493288 56.248749) (xy 55.493112 56.450367) (xy 55.570105 56.636704)
+        (xy 55.626525 56.693222) (xy 55.499633 56.693112) (xy 55.313296 56.770105) (xy 55.170606 56.912546) (xy 55.093288 57.098749)
+        (xy 55.093112 57.300367) (xy 55.170105 57.486704) (xy 55.312546 57.629394) (xy 55.498749 57.706712) (xy 55.700367 57.706888)
+        (xy 55.886704 57.629895) (xy 56.000072 57.516724) (xy 56.112546 57.629394) (xy 56.298749 57.706712) (xy 56.500367 57.706888)
+        (xy 56.686704 57.629895) (xy 56.829394 57.487454) (xy 56.906712 57.301251) (xy 56.906888 57.099633) (xy 56.906888 57.576501)
+        (xy 56.770606 57.712546) (xy 56.693288 57.898749) (xy 56.693112 58.100367) (xy 56.770105 58.286704) (xy 56.912546 58.429394)
+        (xy 57.098749 58.506712) (xy 57.300367 58.506888) (xy 57.486704 58.429895) (xy 57.629394 58.287454) (xy 57.706712 58.101251)
+        (xy 57.706888 57.899633) (xy 57.706888 61.899633) (xy 57.629895 61.713296) (xy 57.487454 61.570606) (xy 57.306888 61.495628)
+        (xy 57.306888 59.174633) (xy 57.229895 58.988296) (xy 57.087454 58.845606) (xy 56.901251 58.768288) (xy 56.699633 58.768112)
+        (xy 56.513296 58.845105) (xy 56.370606 58.987546) (xy 56.293288 59.173749) (xy 56.293112 59.375367) (xy 56.370105 59.561704)
+        (xy 56.512546 59.704394) (xy 56.698749 59.781712) (xy 56.900367 59.781888) (xy 57.086704 59.704895) (xy 57.229394 59.562454)
+        (xy 57.306712 59.376251) (xy 57.306888 59.174633) (xy 57.306888 61.495628) (xy 57.301251 61.493288) (xy 57.099633 61.493112)
+        (xy 56.913296 61.570105) (xy 56.770606 61.712546) (xy 56.693288 61.898749) (xy 56.693112 62.100367) (xy 56.770105 62.286704)
+        (xy 56.912546 62.429394) (xy 57.098749 62.506712) (xy 57.300367 62.506888) (xy 57.486704 62.429895) (xy 57.629394 62.287454)
+        (xy 57.706712 62.101251) (xy 57.706888 61.899633) (xy 57.706888 63.599633) (xy 57.629895 63.413296) (xy 57.487454 63.270606)
+        (xy 57.301251 63.193288) (xy 57.099633 63.193112) (xy 56.913296 63.270105) (xy 56.906888 63.276501) (xy 56.906888 62.699633)
+        (xy 56.829895 62.513296) (xy 56.687454 62.370606) (xy 56.501251 62.293288) (xy 56.299633 62.293112) (xy 56.206888 62.331433)
+        (xy 56.206888 59.774633) (xy 56.129895 59.588296) (xy 56.054289 59.512558) (xy 56.054394 59.512454) (xy 56.131712 59.326251)
+        (xy 56.131888 59.124633) (xy 56.054895 58.938296) (xy 55.912454 58.795606) (xy 55.726251 58.718288) (xy 55.524633 58.718112)
+        (xy 55.338296 58.795105) (xy 55.281733 58.851568) (xy 55.281888 58.674633) (xy 55.204895 58.488296) (xy 55.062454 58.345606)
+        (xy 54.906888 58.281009) (xy 54.906888 56.149633) (xy 54.829895 55.963296) (xy 54.687454 55.820606) (xy 54.501251 55.743288)
+        (xy 54.299633 55.743112) (xy 54.278343 55.751908) (xy 54.278343 54.060984) (xy 54.152523 53.756475) (xy 53.91975 53.523296)
+        (xy 53.615462 53.396944) (xy 53.285984 53.396657) (xy 53.165379 53.446489) (xy 53.165379 38.718999) (xy 53.007352 38.336544)
+        (xy 52.714995 38.043676) (xy 52.332816 37.884982) (xy 51.918999 37.884621) (xy 51.536544 38.042648) (xy 51.243676 38.335005)
+        (xy 51.084982 38.717184) (xy 51.084621 39.131001) (xy 51.242648 39.513456) (xy 51.535005 39.806324) (xy 51.917184 39.965018)
+        (xy 52.331001 39.965379) (xy 52.713456 39.807352) (xy 53.006324 39.514995) (xy 53.165018 39.132816) (xy 53.165379 38.718999)
+        (xy 53.165379 53.446489) (xy 52.981475 53.522477) (xy 52.748296 53.75525) (xy 52.621944 54.059538) (xy 52.621657 54.389016)
+        (xy 52.747477 54.693525) (xy 52.98025 54.926704) (xy 53.284538 55.053056) (xy 53.614016 55.053343) (xy 53.918525 54.927523)
+        (xy 54.151704 54.69475) (xy 54.278056 54.390462) (xy 54.278343 54.060984) (xy 54.278343 55.751908) (xy 54.113296 55.820105)
+        (xy 53.970606 55.962546) (xy 53.893288 56.148749) (xy 53.893112 56.350367) (xy 53.970105 56.536704) (xy 54.112546 56.679394)
+        (xy 54.298749 56.756712) (xy 54.500367 56.756888) (xy 54.686704 56.679895) (xy 54.829394 56.537454) (xy 54.906712 56.351251)
+        (xy 54.906888 56.149633) (xy 54.906888 58.281009) (xy 54.876251 58.268288) (xy 54.674633 58.268112) (xy 54.506888 58.337422)
+        (xy 54.506888 57.899633) (xy 54.429895 57.713296) (xy 54.287454 57.570606) (xy 54.101251 57.493288) (xy 53.899633 57.493112)
+        (xy 53.713296 57.570105) (xy 53.570606 57.712546) (xy 53.493288 57.898749) (xy 53.493112 58.100367) (xy 53.570105 58.286704)
+        (xy 53.712546 58.429394) (xy 53.898749 58.506712) (xy 54.100367 58.506888) (xy 54.286704 58.429895) (xy 54.429394 58.287454)
+        (xy 54.506712 58.101251) (xy 54.506888 57.899633) (xy 54.506888 58.337422) (xy 54.488296 58.345105) (xy 54.345606 58.487546)
+        (xy 54.268288 58.673749) (xy 54.268112 58.875367) (xy 54.345105 59.061704) (xy 54.487546 59.204394) (xy 54.673749 59.281712)
+        (xy 54.875367 59.281888) (xy 55.061704 59.204895) (xy 55.118266 59.148431) (xy 55.118112 59.325367) (xy 55.195105 59.511704)
+        (xy 55.27071 59.587441) (xy 55.270606 59.587546) (xy 55.193288 59.773749) (xy 55.193112 59.975367) (xy 55.270105 60.161704)
+        (xy 55.412546 60.304394) (xy 55.598749 60.381712) (xy 55.800367 60.381888) (xy 55.986704 60.304895) (xy 56.129394 60.162454)
+        (xy 56.206712 59.976251) (xy 56.206888 59.774633) (xy 56.206888 62.331433) (xy 56.113296 62.370105) (xy 56.106888 62.376501)
+        (xy 56.106888 61.899633) (xy 56.029895 61.713296) (xy 55.887454 61.570606) (xy 55.701251 61.493288) (xy 55.573574 61.493176)
+        (xy 55.629394 61.437454) (xy 55.706712 61.251251) (xy 55.706888 61.049633) (xy 55.629895 60.863296) (xy 55.487454 60.720606)
+        (xy 55.301251 60.643288) (xy 55.099633 60.643112) (xy 54.913296 60.720105) (xy 54.770606 60.862546) (xy 54.693288 61.048749)
+        (xy 54.693112 61.250367) (xy 54.770105 61.436704) (xy 54.826525 61.493222) (xy 54.699633 61.493112) (xy 54.513296 61.570105)
+        (xy 54.506888 61.576501) (xy 54.506888 60.999633) (xy 54.429895 60.813296) (xy 54.287454 60.670606) (xy 54.101251 60.593288)
+        (xy 53.899633 60.593112) (xy 53.713296 60.670105) (xy 53.570606 60.812546) (xy 53.493288 60.998749) (xy 53.493112 61.200367)
+        (xy 53.570105 61.386704) (xy 53.712546 61.529394) (xy 53.898749 61.606712) (xy 54.100367 61.606888) (xy 54.286704 61.529895)
+        (xy 54.429394 61.387454) (xy 54.506712 61.201251) (xy 54.506888 60.999633) (xy 54.506888 61.576501) (xy 54.370606 61.712546)
+        (xy 54.293288 61.898749) (xy 54.293112 62.100367) (xy 54.370105 62.286704) (xy 54.512546 62.429394) (xy 54.698749 62.506712)
+        (xy 54.900367 62.506888) (xy 55.086704 62.429895) (xy 55.200072 62.316724) (xy 55.312546 62.429394) (xy 55.498749 62.506712)
+        (xy 55.700367 62.506888) (xy 55.886704 62.429895) (xy 56.029394 62.287454) (xy 56.106712 62.101251) (xy 56.106888 61.899633)
+        (xy 56.106888 62.376501) (xy 55.970606 62.512546) (xy 55.893288 62.698749) (xy 55.893112 62.900367) (xy 55.970105 63.086704)
+        (xy 56.112546 63.229394) (xy 56.298749 63.306712) (xy 56.500367 63.306888) (xy 56.686704 63.229895) (xy 56.829394 63.087454)
+        (xy 56.906712 62.901251) (xy 56.906888 62.699633) (xy 56.906888 63.276501) (xy 56.770606 63.412546) (xy 56.693288 63.598749)
+        (xy 56.69327 63.618237) (xy 56.549633 63.618112) (xy 56.363296 63.695105) (xy 56.337558 63.720797) (xy 56.287454 63.670606)
+        (xy 56.101251 63.593288) (xy 55.899633 63.593112) (xy 55.713296 63.670105) (xy 55.570606 63.812546) (xy 55.495718 63.992895)
+        (xy 55.376251 63.943288) (xy 55.174633 63.943112) (xy 54.988296 64.020105) (xy 54.906888 64.10137) (xy 54.906888 63.549633)
+        (xy 54.829895 63.363296) (xy 54.687454 63.220606) (xy 54.501251 63.143288) (xy 54.299633 63.143112) (xy 54.113296 63.220105)
+        (xy 53.970606 63.362546) (xy 53.893288 63.548749) (xy 53.893112 63.750367) (xy 53.970105 63.936704) (xy 54.112546 64.079394)
+        (xy 54.298749 64.156712) (xy 54.500367 64.156888) (xy 54.686704 64.079895) (xy 54.829394 63.937454) (xy 54.906712 63.751251)
+        (xy 54.906888 63.549633) (xy 54.906888 64.10137) (xy 54.845606 64.162546) (xy 54.768288 64.348749) (xy 54.768112 64.550367)
+        (xy 54.845105 64.736704) (xy 54.987546 64.879394) (xy 55.173749 64.956712) (xy 55.375367 64.956888) (xy 55.561704 64.879895)
+        (xy 55.704394 64.737454) (xy 55.779281 64.557104) (xy 55.898749 64.606712) (xy 56.100367 64.606888) (xy 56.286704 64.529895)
+        (xy 56.312441 64.504202) (xy 56.362546 64.554394) (xy 56.548749 64.631712) (xy 56.750367 64.631888) (xy 56.936704 64.554895)
+        (xy 57.079394 64.412454) (xy 57.156712 64.226251) (xy 57.156729 64.206762) (xy 57.300367 64.206888) (xy 57.486704 64.129895)
+        (xy 57.629394 63.987454) (xy 57.706712 63.801251) (xy 57.706888 63.599633) (xy 57.706888 64.135773) (xy 57.563296 64.195105)
+        (xy 57.420606 64.337546) (xy 57.343288 64.523749) (xy 57.343112 64.725367) (xy 57.420105 64.911704) (xy 57.562546 65.054394)
+        (xy 57.748749 65.131712) (xy 57.950367 65.131888) (xy 58.136704 65.054895) (xy 58.279394 64.912454) (xy 58.356712 64.726251)
+        (xy 58.356888 64.524633) (xy 58.356888 75.268161) (xy 58.299633 75.268112) (xy 58.113296 75.345105) (xy 57.970606 75.487546)
+        (xy 57.893288 75.673749) (xy 57.893159 75.820786) (xy 57.713296 75.895105) (xy 57.570606 76.037546) (xy 57.493288 76.223749)
+        (xy 57.493112 76.425367) (xy 57.570105 76.611704) (xy 57.651503 76.693244) (xy 57.499633 76.693112) (xy 57.406888 76.731433)
+        (xy 57.406888 75.374633) (xy 57.329895 75.188296) (xy 57.187454 75.045606) (xy 57.001251 74.968288) (xy 56.799633 74.968112)
+        (xy 56.613296 75.045105) (xy 56.581254 75.07709) (xy 56.554895 75.013296) (xy 56.412454 74.870606) (xy 56.226251 74.793288)
+        (xy 56.024633 74.793112) (xy 55.838296 74.870105) (xy 55.695606 75.012546) (xy 55.618288 75.198749) (xy 55.618112 75.400367)
+        (xy 55.695105 75.586704) (xy 55.837546 75.729394) (xy 56.023749 75.806712) (xy 56.225367 75.806888) (xy 56.411704 75.729895)
+        (xy 56.443745 75.697909) (xy 56.470105 75.761704) (xy 56.587154 75.878958) (xy 56.501251 75.843288) (xy 56.299633 75.843112)
+        (xy 56.113296 75.920105) (xy 55.970606 76.062546) (xy 55.893288 76.248749) (xy 55.893112 76.450367) (xy 55.970105 76.636704)
+        (xy 56.112546 76.779394) (xy 56.298749 76.856712) (xy 56.500367 76.856888) (xy 56.686704 76.779895) (xy 56.829394 76.637454)
+        (xy 56.906712 76.451251) (xy 56.906888 76.249633) (xy 56.829895 76.063296) (xy 56.712845 75.946041) (xy 56.798749 75.981712)
+        (xy 57.000367 75.981888) (xy 57.186704 75.904895) (xy 57.329394 75.762454) (xy 57.406712 75.576251) (xy 57.406888 75.374633)
+        (xy 57.406888 76.731433) (xy 57.313296 76.770105) (xy 57.170606 76.912546) (xy 57.093288 77.098749) (xy 57.093112 77.300367)
+        (xy 57.170105 77.486704) (xy 57.283275 77.600072) (xy 57.170606 77.712546) (xy 57.093288 77.898749) (xy 57.093112 78.100367)
+        (xy 57.170105 78.286704) (xy 57.312546 78.429394) (xy 57.422417 78.475016) (xy 57.313296 78.520105) (xy 57.170606 78.662546)
+        (xy 57.093288 78.848749) (xy 57.093112 79.050367) (xy 57.170105 79.236704) (xy 57.312546 79.379394) (xy 57.498749 79.456712)
+        (xy 57.700367 79.456888) (xy 57.886704 79.379895) (xy 58.029394 79.237454) (xy 58.106712 79.051251) (xy 58.106888 78.849633)
+        (xy 58.029895 78.663296) (xy 57.887454 78.520606) (xy 57.777582 78.474983) (xy 57.886704 78.429895) (xy 58.000072 78.316724)
+        (xy 58.112546 78.429394) (xy 58.298749 78.506712) (xy 58.500367 78.506888) (xy 58.686704 78.429895) (xy 58.829394 78.287454)
+        (xy 58.906712 78.101251) (xy 58.906888 77.899633) (xy 58.906888 80.175444) (xy 58.738296 80.245105) (xy 58.595606 80.387546)
+        (xy 58.518288 80.573749) (xy 58.518112 80.775367) (xy 58.595105 80.961704) (xy 58.737546 81.104394) (xy 58.923749 81.181712)
+        (xy 59.125367 81.181888) (xy 59.311704 81.104895) (xy 59.454394 80.962454) (xy 59.531712 80.776251) (xy 59.531888 80.574633)
+        (xy 59.531888 83.165117) (xy 59.487454 83.120606) (xy 59.301251 83.043288) (xy 59.099633 83.043112) (xy 58.913296 83.120105)
+        (xy 58.906888 83.126501) (xy 58.906888 82.699633) (xy 58.829895 82.513296) (xy 58.687454 82.370606) (xy 58.506888 82.295628)
+        (xy 58.506888 80.524633) (xy 58.429895 80.338296) (xy 58.287454 80.195606) (xy 58.101251 80.118288) (xy 57.899633 80.118112)
+        (xy 57.713296 80.195105) (xy 57.570606 80.337546) (xy 57.493288 80.523749) (xy 57.493112 80.725367) (xy 57.511376 80.76957)
+        (xy 57.487454 80.745606) (xy 57.301251 80.668288) (xy 57.099633 80.668112) (xy 56.913296 80.745105) (xy 56.843077 80.8152)
+        (xy 56.829895 80.783296) (xy 56.687454 80.640606) (xy 56.506888 80.565628) (xy 56.506888 77.899633) (xy 56.429895 77.713296)
+        (xy 56.287454 77.570606) (xy 56.101251 77.493288) (xy 55.899633 77.493112) (xy 55.713296 77.570105) (xy 55.570606 77.712546)
+        (xy 55.493288 77.898749) (xy 55.493112 78.100367) (xy 55.570105 78.286704) (xy 55.712546 78.429394) (xy 55.898749 78.506712)
+        (xy 56.100367 78.506888) (xy 56.286704 78.429895) (xy 56.429394 78.287454) (xy 56.506712 78.101251) (xy 56.506888 77.899633)
+        (xy 56.506888 80.565628) (xy 56.501251 80.563288) (xy 56.299633 80.563112) (xy 56.113296 80.640105) (xy 55.970606 80.782546)
+        (xy 55.893288 80.968749) (xy 55.893112 81.170367) (xy 55.970105 81.356704) (xy 56.112546 81.499394) (xy 56.298749 81.576712)
+        (xy 56.500367 81.576888) (xy 56.510833 81.572563) (xy 56.370606 81.712546) (xy 56.293288 81.898749) (xy 56.293112 82.100367)
+        (xy 56.370105 82.286704) (xy 56.512546 82.429394) (xy 56.698749 82.506712) (xy 56.900367 82.506888) (xy 57.086704 82.429895)
+        (xy 57.229394 82.287454) (xy 57.306712 82.101251) (xy 57.306888 81.899633) (xy 57.229895 81.713296) (xy 57.198452 81.681799)
+        (xy 57.300367 81.681888) (xy 57.486704 81.604895) (xy 57.629394 81.462454) (xy 57.706712 81.276251) (xy 57.706888 81.074633)
+        (xy 57.688623 81.030429) (xy 57.712546 81.054394) (xy 57.898749 81.131712) (xy 58.100367 81.131888) (xy 58.286704 81.054895)
+        (xy 58.429394 80.912454) (xy 58.506712 80.726251) (xy 58.506888 80.524633) (xy 58.506888 82.295628) (xy 58.501251 82.293288)
+        (xy 58.299633 82.293112) (xy 58.113296 82.370105) (xy 57.970606 82.512546) (xy 57.893288 82.698749) (xy 57.893112 82.900367)
+        (xy 57.970105 83.086704) (xy 58.112546 83.229394) (xy 58.298749 83.306712) (xy 58.500367 83.306888) (xy 58.686704 83.229895)
+        (xy 58.829394 83.087454) (xy 58.906712 82.901251) (xy 58.906888 82.699633) (xy 58.906888 83.126501) (xy 58.770606 83.262546)
+        (xy 58.693288 83.448749) (xy 58.693112 83.650367) (xy 58.770105 83.836704) (xy 58.912546 83.979394) (xy 59.098749 84.056712)
+        (xy 59.300367 84.056888) (xy 59.486704 83.979895) (xy 59.600094 83.866702) (xy 59.737546 84.004394) (xy 59.923749 84.081712)
+        (xy 60.125367 84.081888) (xy 60.311704 84.004895) (xy 60.454394 83.862454) (xy 60.531712 83.676251) (xy 60.531888 83.474633)
+        (xy 60.531888 87.421762) (xy 60.420886 87.421666) (xy 60.134761 87.53989) (xy 59.91566 87.75861) (xy 59.796936 88.044528)
+        (xy 59.796666 88.354114) (xy 59.91489 88.640239) (xy 60.13361 88.85934) (xy 60.419528 88.978064) (xy 60.729114 88.978334)
+        (xy 61.015239 88.86011) (xy 61.23434 88.64139) (xy 61.353064 88.355472) (xy 61.353334 88.045886) (xy 61.353334 90.045886)
+        (xy 61.23511 89.759761) (xy 61.01639 89.54066) (xy 60.730472 89.421936) (xy 60.420886 89.421666) (xy 60.134761 89.53989)
+        (xy 59.91566 89.75861) (xy 59.796936 90.044528) (xy 59.796723 90.288062) (xy 59.353121 90.289786) (xy 59.353334 90.045886)
+        (xy 59.353334 88.045886) (xy 59.23511 87.759761) (xy 59.01639 87.54066) (xy 58.730472 87.421936) (xy 58.420886 87.421666)
+        (xy 58.134761 87.53989) (xy 57.91566 87.75861) (xy 57.796936 88.044528) (xy 57.796666 88.354114) (xy 57.91489 88.640239)
+        (xy 58.13361 88.85934) (xy 58.419528 88.978064) (xy 58.729114 88.978334) (xy 59.015239 88.86011) (xy 59.23434 88.64139)
+        (xy 59.353064 88.355472) (xy 59.353334 88.045886) (xy 59.353334 90.045886) (xy 59.23511 89.759761) (xy 59.01639 89.54066)
+        (xy 58.730472 89.421936) (xy 58.420886 89.421666) (xy 58.134761 89.53989) (xy 57.91566 89.75861) (xy 57.796936 90.044528)
+        (xy 57.796716 90.295834) (xy 57.353114 90.297558) (xy 57.353334 90.045886) (xy 57.353334 88.045886) (xy 57.23511 87.759761)
+        (xy 57.01639 87.54066) (xy 56.730472 87.421936) (xy 56.531888 87.421762) (xy 56.531888 83.474633) (xy 56.454895 83.288296)
+        (xy 56.312454 83.145606) (xy 56.126251 83.068288) (xy 55.924633 83.068112) (xy 55.738296 83.145105) (xy 55.706888 83.176458)
+        (xy 55.706888 82.699633) (xy 55.656888 82.578623) (xy 55.656888 81.074633) (xy 55.579895 80.888296) (xy 55.437454 80.745606)
+        (xy 55.251251 80.668288) (xy 55.049633 80.668112) (xy 54.863296 80.745105) (xy 54.76247 80.845754) (xy 54.687454 80.770606)
+        (xy 54.501251 80.693288) (xy 54.299633 80.693112) (xy 54.113296 80.770105) (xy 53.970606 80.912546) (xy 53.893288 81.098749)
+        (xy 53.893112 81.300367) (xy 53.970105 81.486704) (xy 54.083275 81.600072) (xy 53.970606 81.712546) (xy 53.893288 81.898749)
+        (xy 53.893112 82.100367) (xy 53.970105 82.286704) (xy 54.112546 82.429394) (xy 54.298749 82.506712) (xy 54.500367 82.506888)
+        (xy 54.686704 82.429895) (xy 54.829394 82.287454) (xy 54.906712 82.101251) (xy 54.906888 81.899633) (xy 54.829895 81.713296)
+        (xy 54.716724 81.599927) (xy 54.787529 81.529245) (xy 54.862546 81.604394) (xy 55.048749 81.681712) (xy 55.250367 81.681888)
+        (xy 55.436704 81.604895) (xy 55.579394 81.462454) (xy 55.656712 81.276251) (xy 55.656888 81.074633) (xy 55.656888 82.578623)
+        (xy 55.629895 82.513296) (xy 55.487454 82.370606) (xy 55.301251 82.293288) (xy 55.099633 82.293112) (xy 54.913296 82.370105)
+        (xy 54.770606 82.512546) (xy 54.693288 82.698749) (xy 54.693112 82.900367) (xy 54.770105 83.086704) (xy 54.912546 83.229394)
+        (xy 55.098749 83.306712) (xy 55.300367 83.306888) (xy 55.486704 83.229895) (xy 55.629394 83.087454) (xy 55.706712 82.901251)
+        (xy 55.706888 82.699633) (xy 55.706888 83.176458) (xy 55.595606 83.287546) (xy 55.518288 83.473749) (xy 55.518112 83.675367)
+        (xy 55.595105 83.861704) (xy 55.737546 84.004394) (xy 55.923749 84.081712) (xy 56.125367 84.081888) (xy 56.311704 84.004895)
+        (xy 56.454394 83.862454) (xy 56.531712 83.676251) (xy 56.531888 83.474633) (xy 56.531888 87.421762) (xy 56.420886 87.421666)
+        (xy 56.134761 87.53989) (xy 55.91566 87.75861) (xy 55.796936 88.044528) (xy 55.796666 88.354114) (xy 55.91489 88.640239)
+        (xy 56.13361 88.85934) (xy 56.419528 88.978064) (xy 56.729114 88.978334) (xy 57.015239 88.86011) (xy 57.23434 88.64139)
+        (xy 57.353064 88.355472) (xy 57.353334 88.045886) (xy 57.353334 90.045886) (xy 57.23511 89.759761) (xy 57.01639 89.54066)
+        (xy 56.730472 89.421936) (xy 56.420886 89.421666) (xy 56.134761 89.53989) (xy 55.91566 89.75861) (xy 55.796936 90.044528)
+        (xy 55.79671 90.303606) (xy 55.676292 90.304073) (xy 55.676292 88.28031) (xy 55.623195 87.85275) (xy 55.561727 87.704357)
+        (xy 55.367386 87.660758) (xy 55.114242 87.913902) (xy 55.114242 87.407614) (xy 55.070643 87.213273) (xy 54.65531 87.098708)
+        (xy 54.22775 87.151805) (xy 54.106888 87.201868) (xy 54.106888 82.699633) (xy 54.029895 82.513296) (xy 53.887454 82.370606)
+        (xy 53.706888 82.295628) (xy 53.706888 61.899633) (xy 53.629895 61.713296) (xy 53.487454 61.570606) (xy 53.301251 61.493288)
+        (xy 53.099633 61.493112) (xy 52.913296 61.570105) (xy 52.906888 61.576501) (xy 52.906888 57.899633) (xy 52.829895 57.713296)
+        (xy 52.687454 57.570606) (xy 52.581888 57.526771) (xy 52.581888 55.199633) (xy 52.504895 55.013296) (xy 52.362454 54.870606)
+        (xy 52.2856 54.838693) (xy 52.2856 49.617489) (xy 51.934634 48.768087) (xy 51.895379 48.728763) (xy 51.895379 41.258999)
+        (xy 51.737352 40.876544) (xy 51.444995 40.583676) (xy 51.062816 40.424982) (xy 50.648999 40.424621) (xy 50.625379 40.43438)
+        (xy 50.625379 38.718999) (xy 50.467352 38.336544) (xy 50.174995 38.043676) (xy 49.792816 37.884982) (xy 49.378999 37.884621)
+        (xy 48.996544 38.042648) (xy 48.703676 38.335005) (xy 48.544982 38.717184) (xy 48.544621 39.131001) (xy 48.702648 39.513456)
+        (xy 48.995005 39.806324) (xy 49.377184 39.965018) (xy 49.791001 39.965379) (xy 50.173456 39.807352) (xy 50.466324 39.514995)
+        (xy 50.625018 39.132816) (xy 50.625379 38.718999) (xy 50.625379 40.43438) (xy 50.266544 40.582648) (xy 49.973676 40.875005)
+        (xy 49.814982 41.257184) (xy 49.814621 41.671001) (xy 49.972648 42.053456) (xy 50.265005 42.346324) (xy 50.647184 42.505018)
+        (xy 51.061001 42.505379) (xy 51.443456 42.347352) (xy 51.736324 42.054995) (xy 51.895018 41.672816) (xy 51.895379 41.258999)
+        (xy 51.895379 48.728763) (xy 51.285332 48.11765) (xy 50.436543 47.765202) (xy 49.517489 47.7644) (xy 49.355379 47.831382)
+        (xy 49.355379 41.258999) (xy 49.197352 40.876544) (xy 48.904995 40.583676) (xy 48.522816 40.424982) (xy 48.108999 40.424621)
+        (xy 48.085379 40.43438) (xy 48.085379 38.718999) (xy 47.927352 38.336544) (xy 47.634995 38.043676) (xy 47.252816 37.884982)
+        (xy 46.838999 37.884621) (xy 46.456544 38.042648) (xy 46.163676 38.335005) (xy 46.004982 38.717184) (xy 46.004621 39.131001)
+        (xy 46.162648 39.513456) (xy 46.455005 39.806324) (xy 46.837184 39.965018) (xy 47.251001 39.965379) (xy 47.633456 39.807352)
+        (xy 47.926324 39.514995) (xy 48.085018 39.132816) (xy 48.085379 38.718999) (xy 48.085379 40.43438) (xy 47.726544 40.582648)
+        (xy 47.433676 40.875005) (xy 47.274982 41.257184) (xy 47.274621 41.671001) (xy 47.432648 42.053456) (xy 47.725005 42.346324)
+        (xy 48.107184 42.505018) (xy 48.521001 42.505379) (xy 48.903456 42.347352) (xy 49.196324 42.054995) (xy 49.355018 41.672816)
+        (xy 49.355379 41.258999) (xy 49.355379 47.831382) (xy 48.668087 48.115366) (xy 48.01765 48.764668) (xy 47.665202 49.613457)
+        (xy 47.6644 50.532511) (xy 48.015366 51.381913) (xy 48.664668 52.03235) (xy 49.513457 52.384798) (xy 50.432511 52.3856)
+        (xy 51.281913 52.034634) (xy 51.93235 51.385332) (xy 52.284798 50.536543) (xy 52.2856 49.617489) (xy 52.2856 54.838693)
+        (xy 52.176251 54.793288) (xy 51.974633 54.793112) (xy 51.788296 54.870105) (xy 51.645606 55.012546) (xy 51.568288 55.198749)
+        (xy 51.568112 55.400367) (xy 51.645105 55.586704) (xy 51.787546 55.729394) (xy 51.973749 55.806712) (xy 52.175367 55.806888)
+        (xy 52.361704 55.729895) (xy 52.504394 55.587454) (xy 52.581712 55.401251) (xy 52.581888 55.199633) (xy 52.581888 57.526771)
+        (xy 52.501251 57.493288) (xy 52.299633 57.493112) (xy 52.113296 57.570105) (xy 51.999927 57.683275) (xy 51.887454 57.570606)
+        (xy 51.701251 57.493288) (xy 51.499633 57.493112) (xy 51.313296 57.570105) (xy 51.306888 57.576501) (xy 51.306888 57.099633)
+        (xy 51.229895 56.913296) (xy 51.087454 56.770606) (xy 50.901251 56.693288) (xy 50.773574 56.693176) (xy 50.829394 56.637454)
+        (xy 50.906712 56.451251) (xy 50.906888 56.249633) (xy 50.829895 56.063296) (xy 50.687454 55.920606) (xy 50.501251 55.843288)
+        (xy 50.299633 55.843112) (xy 50.113296 55.920105) (xy 49.970606 56.062546) (xy 49.893288 56.248749) (xy 49.893112 56.450367)
+        (xy 49.970105 56.636704) (xy 50.112546 56.779394) (xy 50.298749 56.856712) (xy 50.426425 56.856823) (xy 50.370606 56.912546)
+        (xy 50.293288 57.098749) (xy 50.293112 57.300367) (xy 50.370105 57.486704) (xy 50.512546 57.629394) (xy 50.698749 57.706712)
+        (xy 50.900367 57.706888) (xy 51.086704 57.629895) (xy 51.229394 57.487454) (xy 51.306712 57.301251) (xy 51.306888 57.099633)
+        (xy 51.306888 57.576501) (xy 51.170606 57.712546) (xy 51.093288 57.898749) (xy 51.093112 58.100367) (xy 51.170105 58.286704)
+        (xy 51.312546 58.429394) (xy 51.498749 58.506712) (xy 51.700367 58.506888) (xy 51.886704 58.429895) (xy 52.000072 58.316724)
+        (xy 52.112546 58.429394) (xy 52.298749 58.506712) (xy 52.500367 58.506888) (xy 52.686704 58.429895) (xy 52.829394 58.287454)
+        (xy 52.906712 58.101251) (xy 52.906888 57.899633) (xy 52.906888 61.576501) (xy 52.799927 61.683275) (xy 52.687454 61.570606)
+        (xy 52.501251 61.493288) (xy 52.299633 61.493112) (xy 52.113296 61.570105) (xy 51.970606 61.712546) (xy 51.893288 61.898749)
+        (xy 51.893112 62.100367) (xy 51.970105 62.286704) (xy 52.083275 62.400072) (xy 51.970606 62.512546) (xy 51.893288 62.698749)
+        (xy 51.893112 62.900367) (xy 51.970105 63.086704) (xy 52.070775 63.18755) (xy 51.970606 63.287546) (xy 51.893288 63.473749)
+        (xy 51.893112 63.675367) (xy 51.970105 63.861704) (xy 52.112546 64.004394) (xy 52.298749 64.081712) (xy 52.500367 64.081888)
+        (xy 52.686704 64.004895) (xy 52.829394 63.862454) (xy 52.906712 63.676251) (xy 52.906888 63.474633) (xy 52.829895 63.288296)
+        (xy 52.729224 63.187449) (xy 52.829394 63.087454) (xy 52.906712 62.901251) (xy 52.906888 62.699633) (xy 52.829895 62.513296)
+        (xy 52.716724 62.399927) (xy 52.800072 62.316724) (xy 52.912546 62.429394) (xy 53.098749 62.506712) (xy 53.300367 62.506888)
+        (xy 53.486704 62.429895) (xy 53.629394 62.287454) (xy 53.706712 62.101251) (xy 53.706888 61.899633) (xy 53.706888 82.295628)
+        (xy 53.701251 82.293288) (xy 53.499633 82.293112) (xy 53.456888 82.310773) (xy 53.456888 64.599633) (xy 53.379895 64.413296)
+        (xy 53.237454 64.270606) (xy 53.051251 64.193288) (xy 52.849633 64.193112) (xy 52.663296 64.270105) (xy 52.520606 64.412546)
+        (xy 52.443288 64.598749) (xy 52.443112 64.800367) (xy 52.520105 64.986704) (xy 52.662546 65.129394) (xy 52.674655 65.134422)
+        (xy 52.588296 65.170105) (xy 52.445606 65.312546) (xy 52.368288 65.498749) (xy 52.368112 65.700367) (xy 52.445105 65.886704)
+        (xy 52.587546 66.029394) (xy 52.773749 66.106712) (xy 52.975367 66.106888) (xy 53.161704 66.029895) (xy 53.304394 65.887454)
+        (xy 53.381712 65.701251) (xy 53.381888 65.499633) (xy 53.304895 65.313296) (xy 53.162454 65.170606) (xy 53.150344 65.165577)
+        (xy 53.236704 65.129895) (xy 53.379394 64.987454) (xy 53.456712 64.801251) (xy 53.456888 64.599633) (xy 53.456888 82.310773)
+        (xy 53.356888 82.352093) (xy 53.356888 67.099633) (xy 53.279895 66.913296) (xy 53.137454 66.770606) (xy 52.951251 66.693288)
+        (xy 52.749633 66.693112) (xy 52.563296 66.770105) (xy 52.420606 66.912546) (xy 52.343288 67.098749) (xy 52.343112 67.300367)
+        (xy 52.420105 67.486704) (xy 52.562546 67.629394) (xy 52.748749 67.706712) (xy 52.950367 67.706888) (xy 53.136704 67.629895)
+        (xy 53.279394 67.487454) (xy 53.356712 67.301251) (xy 53.356888 67.099633) (xy 53.356888 82.352093) (xy 53.313296 82.370105)
+        (xy 53.306888 82.376501) (xy 53.306888 81.899633) (xy 53.229895 81.713296) (xy 53.087454 81.570606) (xy 53.037937 81.550044)
+        (xy 53.086704 81.529895) (xy 53.229394 81.387454) (xy 53.306712 81.201251) (xy 53.306888 80.999633) (xy 53.306888 77.899633)
+        (xy 53.229895 77.713296) (xy 53.116724 77.599927) (xy 53.229394 77.487454) (xy 53.306712 77.301251) (xy 53.306888 77.099633)
+        (xy 53.229895 76.913296) (xy 53.087454 76.770606) (xy 52.901251 76.693288) (xy 52.773574 76.693176) (xy 52.829394 76.637454)
+        (xy 52.906712 76.451251) (xy 52.906888 76.249633) (xy 52.829895 76.063296) (xy 52.687454 75.920606) (xy 52.501251 75.843288)
+        (xy 52.331888 75.84314) (xy 52.331888 65.099633) (xy 52.254895 64.913296) (xy 52.112454 64.770606) (xy 51.926251 64.693288)
+        (xy 51.724633 64.693112) (xy 51.538296 64.770105) (xy 51.395606 64.912546) (xy 51.318288 65.098749) (xy 51.318112 65.300367)
+        (xy 51.395105 65.486704) (xy 51.537546 65.629394) (xy 51.723749 65.706712) (xy 51.925367 65.706888) (xy 52.111704 65.629895)
+        (xy 52.254394 65.487454) (xy 52.331712 65.301251) (xy 52.331888 65.099633) (xy 52.331888 75.84314) (xy 52.299633 75.843112)
+        (xy 52.113296 75.920105) (xy 51.970606 76.062546) (xy 51.893288 76.248749) (xy 51.893112 76.450367) (xy 51.970105 76.636704)
+        (xy 52.112546 76.779394) (xy 52.298749 76.856712) (xy 52.426425 76.856823) (xy 52.370606 76.912546) (xy 52.293288 77.098749)
+        (xy 52.293112 77.300367) (xy 52.370105 77.486704) (xy 52.483275 77.600072) (xy 52.370606 77.712546) (xy 52.293288 77.898749)
+        (xy 52.293112 78.100367) (xy 52.370105 78.286704) (xy 52.512546 78.429394) (xy 52.698749 78.506712) (xy 52.900367 78.506888)
+        (xy 53.086704 78.429895) (xy 53.229394 78.287454) (xy 53.306712 78.101251) (xy 53.306888 77.899633) (xy 53.306888 80.999633)
+        (xy 53.229895 80.813296) (xy 53.087454 80.670606) (xy 52.901251 80.593288) (xy 52.699633 80.593112) (xy 52.513296 80.670105)
+        (xy 52.370606 80.812546) (xy 52.293288 80.998749) (xy 52.293112 81.200367) (xy 52.370105 81.386704) (xy 52.512546 81.529394)
+        (xy 52.562062 81.549955) (xy 52.513296 81.570105) (xy 52.399927 81.683275) (xy 52.287454 81.570606) (xy 52.101251 81.493288)
+        (xy 51.899633 81.493112) (xy 51.713296 81.570105) (xy 51.606888 81.676327) (xy 51.606888 65.899633) (xy 51.529895 65.713296)
+        (xy 51.387454 65.570606) (xy 51.306888 65.537152) (xy 51.306888 61.899633) (xy 51.229895 61.713296) (xy 51.087454 61.570606)
+        (xy 50.901251 61.493288) (xy 50.699633 61.493112) (xy 50.513296 61.570105) (xy 50.370606 61.712546) (xy 50.293288 61.898749)
+        (xy 50.293112 62.100367) (xy 50.370105 62.286704) (xy 50.512546 62.429394) (xy 50.698749 62.506712) (xy 50.900367 62.506888)
+        (xy 51.086704 62.429895) (xy 51.229394 62.287454) (xy 51.306712 62.101251) (xy 51.306888 61.899633) (xy 51.306888 65.537152)
+        (xy 51.201251 65.493288) (xy 50.999633 65.493112) (xy 50.906888 65.531433) (xy 50.906888 63.549633) (xy 50.829895 63.363296)
+        (xy 50.687454 63.220606) (xy 50.501251 63.143288) (xy 50.299633 63.143112) (xy 50.113296 63.220105) (xy 49.970606 63.362546)
+        (xy 49.893288 63.548749) (xy 49.893112 63.750367) (xy 49.970105 63.936704) (xy 50.112546 64.079394) (xy 50.298749 64.156712)
+        (xy 50.500367 64.156888) (xy 50.686704 64.079895) (xy 50.829394 63.937454) (xy 50.906712 63.751251) (xy 50.906888 63.549633)
+        (xy 50.906888 65.531433) (xy 50.813296 65.570105) (xy 50.670606 65.712546) (xy 50.593288 65.898749) (xy 50.593112 66.100367)
+        (xy 50.670105 66.286704) (xy 50.812546 66.429394) (xy 50.998749 66.506712) (xy 51.200367 66.506888) (xy 51.386704 66.429895)
+        (xy 51.529394 66.287454) (xy 51.606712 66.101251) (xy 51.606888 65.899633) (xy 51.606888 81.676327) (xy 51.570606 81.712546)
+        (xy 51.493288 81.898749) (xy 51.493112 82.100367) (xy 51.570105 82.286704) (xy 51.712546 82.429394) (xy 51.898749 82.506712)
+        (xy 52.100367 82.506888) (xy 52.286704 82.429895) (xy 52.400072 82.316724) (xy 52.512546 82.429394) (xy 52.698749 82.506712)
+        (xy 52.900367 82.506888) (xy 53.086704 82.429895) (xy 53.229394 82.287454) (xy 53.306712 82.101251) (xy 53.306888 81.899633)
+        (xy 53.306888 82.376501) (xy 53.170606 82.512546) (xy 53.093288 82.698749) (xy 53.093112 82.900367) (xy 53.170105 83.086704)
+        (xy 53.312546 83.229394) (xy 53.498749 83.306712) (xy 53.700367 83.306888) (xy 53.886704 83.229895) (xy 54.029394 83.087454)
+        (xy 54.106712 82.901251) (xy 54.106888 82.699633) (xy 54.106888 87.201868) (xy 54.079357 87.213273) (xy 54.035758 87.407614)
+        (xy 54.575 87.946856) (xy 55.114242 87.407614) (xy 55.114242 87.913902) (xy 54.828144 88.2) (xy 55.367386 88.739242)
+        (xy 55.561727 88.695643) (xy 55.676292 88.28031) (xy 55.676292 90.304073) (xy 55.353107 90.30533) (xy 55.353334 90.045886)
+        (xy 55.23511 89.759761) (xy 55.114242 89.638682) (xy 55.114242 88.992386) (xy 54.575 88.453144) (xy 54.321856 88.706288)
+        (xy 54.321856 88.2) (xy 53.782614 87.660758) (xy 53.588273 87.704357) (xy 53.473708 88.11969) (xy 53.526805 88.54725)
+        (xy 53.588273 88.695643) (xy 53.782614 88.739242) (xy 54.321856 88.2) (xy 54.321856 88.706288) (xy 54.035758 88.992386)
+        (xy 54.079357 89.186727) (xy 54.49469 89.301292) (xy 54.92225 89.248195) (xy 55.070643 89.186727) (xy 55.114242 88.992386)
+        (xy 55.114242 89.638682) (xy 55.01639 89.54066) (xy 54.730472 89.421936) (xy 54.420886 89.421666) (xy 54.134761 89.53989)
+        (xy 53.91566 89.75861) (xy 53.796936 90.044528) (xy 53.796703 90.311378) (xy 53.328343 90.313198) (xy 53.328343 86.710984)
+        (xy 53.202523 86.406475) (xy 52.96975 86.173296) (xy 52.906888 86.147193) (xy 52.906888 83.549633) (xy 52.829895 83.363296)
+        (xy 52.687454 83.220606) (xy 52.501251 83.143288) (xy 52.299633 83.143112) (xy 52.113296 83.220105) (xy 51.970606 83.362546)
+        (xy 51.893288 83.548749) (xy 51.893112 83.750367) (xy 51.970105 83.936704) (xy 52.112546 84.079394) (xy 52.298749 84.156712)
+        (xy 52.500367 84.156888) (xy 52.686704 84.079895) (xy 52.829394 83.937454) (xy 52.906712 83.751251) (xy 52.906888 83.549633)
+        (xy 52.906888 86.147193) (xy 52.665462 86.046944) (xy 52.335984 86.046657) (xy 52.031475 86.172477) (xy 51.798296 86.40525)
+        (xy 51.671944 86.709538) (xy 51.671657 87.039016) (xy 51.797477 87.343525) (xy 52.03025 87.576704) (xy 52.334538 87.703056)
+        (xy 52.664016 87.703343) (xy 52.968525 87.577523) (xy 53.201704 87.34475) (xy 53.328056 87.040462) (xy 53.328343 86.710984)
+        (xy 53.328343 90.313198) (xy 52.3092 90.317159) (xy 52.255714 90.187712) (xy 52.063301 89.994963) (xy 51.811773 89.890519)
+        (xy 51.539422 89.890282) (xy 51.306888 89.986362) (xy 51.306888 76.249633) (xy 51.231888 76.068119) (xy 51.231888 73.899633)
+        (xy 51.154895 73.713296) (xy 51.012454 73.570606) (xy 50.856888 73.506009) (xy 50.856888 71.099633) (xy 50.779895 70.913296)
+        (xy 50.637454 70.770606) (xy 50.456888 70.695628) (xy 50.456888 69.899633) (xy 50.379895 69.713296) (xy 50.237454 69.570606)
+        (xy 50.051251 69.493288) (xy 49.849633 69.493112) (xy 49.706888 69.552093) (xy 49.706888 66.299633) (xy 49.629895 66.113296)
+        (xy 49.487454 65.970606) (xy 49.306888 65.895628) (xy 49.306888 63.549633) (xy 49.306888 56.074633) (xy 49.229895 55.888296)
+        (xy 49.087454 55.745606) (xy 48.901251 55.668288) (xy 48.699633 55.668112) (xy 48.513296 55.745105) (xy 48.370606 55.887546)
+        (xy 48.293288 56.073749) (xy 48.293112 56.275367) (xy 48.370105 56.461704) (xy 48.512546 56.604394) (xy 48.698749 56.681712)
+        (xy 48.900367 56.681888) (xy 49.086704 56.604895) (xy 49.229394 56.462454) (xy 49.306712 56.276251) (xy 49.306888 56.074633)
+        (xy 49.306888 63.549633) (xy 49.229895 63.363296) (xy 49.087454 63.220606) (xy 48.931888 63.156009) (xy 48.931888 61.874633)
+        (xy 48.854895 61.688296) (xy 48.712454 61.545606) (xy 48.526251 61.468288) (xy 48.324633 61.468112) (xy 48.138296 61.545105)
+        (xy 47.995606 61.687546) (xy 47.918288 61.873749) (xy 47.918112 62.075367) (xy 47.995105 62.261704) (xy 48.137546 62.404394)
+        (xy 48.323749 62.481712) (xy 48.525367 62.481888) (xy 48.711704 62.404895) (xy 48.854394 62.262454) (xy 48.931712 62.076251)
+        (xy 48.931888 61.874633) (xy 48.931888 63.156009) (xy 48.901251 63.143288) (xy 48.699633 63.143112) (xy 48.513296 63.220105)
+        (xy 48.370606 63.362546) (xy 48.293288 63.548749) (xy 48.293112 63.750367) (xy 48.370105 63.936704) (xy 48.512546 64.079394)
+        (xy 48.698749 64.156712) (xy 48.900367 64.156888) (xy 49.086704 64.079895) (xy 49.229394 63.937454) (xy 49.306712 63.751251)
+        (xy 49.306888 63.549633) (xy 49.306888 65.895628) (xy 49.301251 65.893288) (xy 49.099633 65.893112) (xy 48.913296 65.970105)
+        (xy 48.770606 66.112546) (xy 48.693288 66.298749) (xy 48.693215 66.381474) (xy 48.601251 66.343288) (xy 48.399633 66.343112)
+        (xy 48.213296 66.420105) (xy 48.070606 66.562546) (xy 47.993288 66.748749) (xy 47.993112 66.950367) (xy 48.070105 67.136704)
+        (xy 48.212546 67.279394) (xy 48.398749 67.356712) (xy 48.600367 67.356888) (xy 48.786704 67.279895) (xy 48.929394 67.137454)
+        (xy 49.006712 66.951251) (xy 49.006784 66.868525) (xy 49.098749 66.906712) (xy 49.300367 66.906888) (xy 49.486704 66.829895)
+        (xy 49.629394 66.687454) (xy 49.706712 66.501251) (xy 49.706888 66.299633) (xy 49.706888 69.552093) (xy 49.663296 69.570105)
+        (xy 49.520606 69.712546) (xy 49.443288 69.898749) (xy 49.443112 70.100367) (xy 49.520105 70.286704) (xy 49.662546 70.429394)
+        (xy 49.848749 70.506712) (xy 50.050367 70.506888) (xy 50.236704 70.429895) (xy 50.379394 70.287454) (xy 50.456712 70.101251)
+        (xy 50.456888 69.899633) (xy 50.456888 70.695628) (xy 50.451251 70.693288) (xy 50.249633 70.693112) (xy 50.063296 70.770105)
+        (xy 49.920606 70.912546) (xy 49.843288 71.098749) (xy 49.843112 71.300367) (xy 49.920105 71.486704) (xy 49.962378 71.52905)
+        (xy 49.876251 71.493288) (xy 49.674633 71.493112) (xy 49.488296 71.570105) (xy 49.345606 71.712546) (xy 49.268288 71.898749)
+        (xy 49.268112 72.100367) (xy 49.345105 72.286704) (xy 49.487546 72.429394) (xy 49.673749 72.506712) (xy 49.875367 72.506888)
+        (xy 50.061704 72.429895) (xy 50.204394 72.287454) (xy 50.281712 72.101251) (xy 50.281888 71.899633) (xy 50.204895 71.713296)
+        (xy 50.162621 71.670949) (xy 50.248749 71.706712) (xy 50.450367 71.706888) (xy 50.636704 71.629895) (xy 50.779394 71.487454)
+        (xy 50.856712 71.301251) (xy 50.856888 71.099633) (xy 50.856888 73.506009) (xy 50.826251 73.493288) (xy 50.624633 73.493112)
+        (xy 50.438296 73.570105) (xy 50.295606 73.712546) (xy 50.218288 73.898749) (xy 50.218112 74.100367) (xy 50.295105 74.286704)
+        (xy 50.437546 74.429394) (xy 50.623749 74.506712) (xy 50.825367 74.506888) (xy 51.011704 74.429895) (xy 51.154394 74.287454)
+        (xy 51.231712 74.101251) (xy 51.231888 73.899633) (xy 51.231888 76.068119) (xy 51.229895 76.063296) (xy 51.087454 75.920606)
+        (xy 50.901251 75.843288) (xy 50.699633 75.843112) (xy 50.513296 75.920105) (xy 50.370606 76.062546) (xy 50.293288 76.248749)
+        (xy 50.293112 76.450367) (xy 50.370105 76.636704) (xy 50.512546 76.779394) (xy 50.698749 76.856712) (xy 50.900367 76.856888)
+        (xy 51.086704 76.779895) (xy 51.229394 76.637454) (xy 51.306712 76.451251) (xy 51.306888 76.249633) (xy 51.306888 89.986362)
+        (xy 51.287712 89.994286) (xy 51.094963 90.186699) (xy 51.03874 90.322096) (xy 50.906888 90.322608) (xy 50.906888 83.499633)
+        (xy 50.829895 83.313296) (xy 50.687454 83.170606) (xy 50.501251 83.093288) (xy 50.299633 83.093112) (xy 50.113296 83.170105)
+        (xy 49.970606 83.312546) (xy 49.893288 83.498749) (xy 49.893112 83.700367) (xy 49.970105 83.886704) (xy 50.112546 84.029394)
+        (xy 50.298749 84.106712) (xy 50.500367 84.106888) (xy 50.686704 84.029895) (xy 50.829394 83.887454) (xy 50.906712 83.701251)
+        (xy 50.906888 83.499633) (xy 50.906888 90.322608) (xy 50.601612 90.323794) (xy 50.555714 90.212712) (xy 50.363301 90.019963)
+        (xy 50.111773 89.915519) (xy 49.839422 89.915282) (xy 49.587712 90.019286) (xy 49.394963 90.211699) (xy 49.356888 90.303392)
+        (xy 49.356888 81.499633) (xy 49.281888 81.318119) (xy 49.281888 75.599633) (xy 49.204895 75.413296) (xy 49.062454 75.270606)
+        (xy 48.876251 75.193288) (xy 48.731888 75.193161) (xy 48.731888 74.299633) (xy 48.654895 74.113296) (xy 48.512454 73.970606)
+        (xy 48.346888 73.901857) (xy 48.346888 71.099633) (xy 48.269895 70.913296) (xy 48.127454 70.770606) (xy 47.941251 70.693288)
+        (xy 47.739633 70.693112) (xy 47.553296 70.770105) (xy 47.516888 70.806449) (xy 47.516888 54.979633) (xy 47.439895 54.793296)
+        (xy 47.297454 54.650606) (xy 47.111251 54.573288) (xy 46.909633 54.573112) (xy 46.815379 54.612057) (xy 46.815379 41.258999)
+        (xy 46.657352 40.876544) (xy 46.364995 40.583676) (xy 45.982816 40.424982) (xy 45.568999 40.424621) (xy 45.545379 40.43438)
+        (xy 45.545379 38.718999) (xy 45.387352 38.336544) (xy 45.094995 38.043676) (xy 44.712816 37.884982) (xy 44.635379 37.884914)
+        (xy 44.635379 27.468999) (xy 44.477352 27.086544) (xy 44.184995 26.793676) (xy 43.802816 26.634982) (xy 43.388999 26.634621)
+        (xy 43.006544 26.792648) (xy 42.713676 27.085005) (xy 42.554982 27.467184) (xy 42.554621 27.881001) (xy 42.712648 28.263456)
+        (xy 43.005005 28.556324) (xy 43.387184 28.715018) (xy 43.801001 28.715379) (xy 44.183456 28.557352) (xy 44.476324 28.264995)
+        (xy 44.635018 27.882816) (xy 44.635379 27.468999) (xy 44.635379 37.884914) (xy 44.298999 37.884621) (xy 44.158901 37.942508)
+        (xy 44.158901 32.138616) (xy 43.824142 31.328437) (xy 43.204823 30.708036) (xy 42.39523 30.371864) (xy 42.095379 30.371602)
+        (xy 42.095379 27.468999) (xy 41.937352 27.086544) (xy 41.644995 26.793676) (xy 41.262816 26.634982) (xy 40.848999 26.634621)
+        (xy 40.466544 26.792648) (xy 40.173676 27.085005) (xy 40.014982 27.467184) (xy 40.014621 27.881001) (xy 40.172648 28.263456)
+        (xy 40.465005 28.556324) (xy 40.847184 28.715018) (xy 41.261001 28.715379) (xy 41.643456 28.557352) (xy 41.936324 28.264995)
+        (xy 42.095018 27.882816) (xy 42.095379 27.468999) (xy 42.095379 30.371602) (xy 41.518616 30.371099) (xy 40.708437 30.705858)
+        (xy 40.088036 31.325177) (xy 39.751864 32.13477) (xy 39.751099 33.011384) (xy 40.085858 33.821563) (xy 40.091465 33.82718)
+        (xy 39.435199 33.866179) (xy 38.976453 34.056197) (xy 38.845956 34.322812) (xy 39.841967 35.318823) (xy 39.895 35.371856)
+        (xy 40.148144 35.625) (xy 40.201177 35.678033) (xy 41.197188 36.674044) (xy 41.463803 36.543547) (xy 41.695898 35.873271)
+        (xy 41.653821 35.165199) (xy 41.489075 34.767466) (xy 41.51477 34.778136) (xy 42.391384 34.778901) (xy 43.201563 34.444142)
+        (xy 43.821964 33.824823) (xy 44.158136 33.01523) (xy 44.158901 32.138616) (xy 44.158901 37.942508) (xy 43.916544 38.042648)
+        (xy 43.623676 38.335005) (xy 43.464982 38.717184) (xy 43.464621 39.131001) (xy 43.622648 39.513456) (xy 43.915005 39.806324)
+        (xy 44.297184 39.965018) (xy 44.711001 39.965379) (xy 45.093456 39.807352) (xy 45.386324 39.514995) (xy 45.545018 39.132816)
+        (xy 45.545379 38.718999) (xy 45.545379 40.43438) (xy 45.186544 40.582648) (xy 44.893676 40.875005) (xy 44.734982 41.257184)
+        (xy 44.734621 41.671001) (xy 44.892648 42.053456) (xy 45.185005 42.346324) (xy 45.567184 42.505018) (xy 45.981001 42.505379)
+        (xy 46.363456 42.347352) (xy 46.656324 42.054995) (xy 46.815018 41.672816) (xy 46.815379 41.258999) (xy 46.815379 54.612057)
+        (xy 46.723296 54.650105) (xy 46.580606 54.792546) (xy 46.503288 54.978749) (xy 46.503112 55.180367) (xy 46.580105 55.366704)
+        (xy 46.722546 55.509394) (xy 46.908749 55.586712) (xy 47.110367 55.586888) (xy 47.296704 55.509895) (xy 47.439394 55.367454)
+        (xy 47.516712 55.181251) (xy 47.516888 54.979633) (xy 47.516888 70.806449) (xy 47.410606 70.912546) (xy 47.406888 70.921499)
+        (xy 47.406888 68.699633) (xy 47.329895 68.513296) (xy 47.187454 68.370606) (xy 47.001251 68.293288) (xy 46.799633 68.293112)
+        (xy 46.681888 68.341763) (xy 46.681888 60.299633) (xy 46.604895 60.113296) (xy 46.556888 60.065205) (xy 46.556888 56.749633)
+        (xy 46.479895 56.563296) (xy 46.337454 56.420606) (xy 46.151251 56.343288) (xy 46.081888 56.343227) (xy 46.081888 55.499633)
+        (xy 46.004895 55.313296) (xy 45.862454 55.170606) (xy 45.676251 55.093288) (xy 45.620739 55.093239) (xy 45.620739 51.593808)
+        (xy 45.573777 51.010097) (xy 45.44075 50.688941) (xy 45.206367 50.596777) (xy 44.953223 50.849921) (xy 44.953223 50.343633)
+        (xy 44.861059 50.10925) (xy 44.6301 50.034651) (xy 44.6301 42.111543) (xy 44.6301 40.818457) (xy 44.629898 40.586526)
+        (xy 44.540954 40.372326) (xy 44.376811 40.208469) (xy 44.162457 40.1199) (xy 43.60975 40.12) (xy 43.464 40.26575)
+        (xy 43.464 41.286) (xy 44.48425 41.286) (xy 44.63 41.14025) (xy 44.6301 40.818457) (xy 44.6301 42.111543)
+        (xy 44.63 41.78975) (xy 44.48425 41.644) (xy 43.464 41.644) (xy 43.464 42.66425) (xy 43.60975 42.81)
+        (xy 44.162457 42.8101) (xy 44.376811 42.721531) (xy 44.540954 42.557674) (xy 44.629898 42.343474) (xy 44.6301 42.111543)
+        (xy 44.6301 50.034651) (xy 44.303808 49.929261) (xy 43.720097 49.976223) (xy 43.398941 50.10925) (xy 43.306777 50.343633)
+        (xy 44.13 51.166856) (xy 44.953223 50.343633) (xy 44.953223 50.849921) (xy 44.383144 51.42) (xy 45.206367 52.243223)
+        (xy 45.44075 52.151059) (xy 45.620739 51.593808) (xy 45.620739 55.093239) (xy 45.474633 55.093112) (xy 45.288296 55.170105)
+        (xy 45.145606 55.312546) (xy 45.068288 55.498749) (xy 45.068112 55.700367) (xy 45.145105 55.886704) (xy 45.287546 56.029394)
+        (xy 45.473749 56.106712) (xy 45.675367 56.106888) (xy 45.861704 56.029895) (xy 46.004394 55.887454) (xy 46.081712 55.701251)
+        (xy 46.081888 55.499633) (xy 46.081888 56.343227) (xy 45.949633 56.343112) (xy 45.763296 56.420105) (xy 45.620606 56.562546)
+        (xy 45.543288 56.748749) (xy 45.543112 56.950367) (xy 45.620105 57.136704) (xy 45.762546 57.279394) (xy 45.948749 57.356712)
+        (xy 46.150367 57.356888) (xy 46.336704 57.279895) (xy 46.479394 57.137454) (xy 46.556712 56.951251) (xy 46.556888 56.749633)
+        (xy 46.556888 60.065205) (xy 46.462454 59.970606) (xy 46.276251 59.893288) (xy 46.074633 59.893112) (xy 45.888296 59.970105)
+        (xy 45.745606 60.112546) (xy 45.668288 60.298749) (xy 45.668112 60.500367) (xy 45.745105 60.686704) (xy 45.887546 60.829394)
+        (xy 46.073749 60.906712) (xy 46.275367 60.906888) (xy 46.461704 60.829895) (xy 46.604394 60.687454) (xy 46.681712 60.501251)
+        (xy 46.681888 60.299633) (xy 46.681888 68.341763) (xy 46.613296 68.370105) (xy 46.470606 68.512546) (xy 46.393288 68.698749)
+        (xy 46.393112 68.900367) (xy 46.470105 69.086704) (xy 46.612546 69.229394) (xy 46.798749 69.306712) (xy 47.000367 69.306888)
+        (xy 47.186704 69.229895) (xy 47.329394 69.087454) (xy 47.406712 68.901251) (xy 47.406888 68.699633) (xy 47.406888 70.921499)
+        (xy 47.333288 71.098749) (xy 47.333112 71.300367) (xy 47.410105 71.486704) (xy 47.552546 71.629394) (xy 47.738749 71.706712)
+        (xy 47.940367 71.706888) (xy 48.126704 71.629895) (xy 48.269394 71.487454) (xy 48.346712 71.301251) (xy 48.346888 71.099633)
+        (xy 48.346888 73.901857) (xy 48.326251 73.893288) (xy 48.124633 73.893112) (xy 47.938296 73.970105) (xy 47.795606 74.112546)
+        (xy 47.718288 74.298749) (xy 47.718112 74.500367) (xy 47.795105 74.686704) (xy 47.937546 74.829394) (xy 48.123749 74.906712)
+        (xy 48.325367 74.906888) (xy 48.511704 74.829895) (xy 48.654394 74.687454) (xy 48.731712 74.501251) (xy 48.731888 74.299633)
+        (xy 48.731888 75.193161) (xy 48.674633 75.193112) (xy 48.488296 75.270105) (xy 48.345606 75.412546) (xy 48.291803 75.542116)
+        (xy 48.279895 75.513296) (xy 48.137454 75.370606) (xy 47.951251 75.293288) (xy 47.749633 75.293112) (xy 47.563296 75.370105)
+        (xy 47.420606 75.512546) (xy 47.343288 75.698749) (xy 47.343112 75.900367) (xy 47.420105 76.086704) (xy 47.562546 76.229394)
+        (xy 47.748749 76.306712) (xy 47.950367 76.306888) (xy 48.136704 76.229895) (xy 48.279394 76.087454) (xy 48.333196 75.957883)
+        (xy 48.345105 75.986704) (xy 48.487546 76.129394) (xy 48.673749 76.206712) (xy 48.875367 76.206888) (xy 49.061704 76.129895)
+        (xy 49.204394 75.987454) (xy 49.281712 75.801251) (xy 49.281888 75.599633) (xy 49.281888 81.318119) (xy 49.279895 81.313296)
+        (xy 49.137454 81.170606) (xy 49.056888 81.137152) (xy 49.056888 77.174633) (xy 48.979895 76.988296) (xy 48.837454 76.845606)
+        (xy 48.651251 76.768288) (xy 48.449633 76.768112) (xy 48.263296 76.845105) (xy 48.120606 76.987546) (xy 48.043288 77.173749)
+        (xy 48.043112 77.375367) (xy 48.120105 77.561704) (xy 48.262546 77.704394) (xy 48.448749 77.781712) (xy 48.650367 77.781888)
+        (xy 48.836704 77.704895) (xy 48.979394 77.562454) (xy 49.056712 77.376251) (xy 49.056888 77.174633) (xy 49.056888 81.137152)
+        (xy 48.951251 81.093288) (xy 48.749633 81.093112) (xy 48.563296 81.170105) (xy 48.420606 81.312546) (xy 48.343288 81.498749)
+        (xy 48.343112 81.700367) (xy 48.420105 81.886704) (xy 48.562546 82.029394) (xy 48.748749 82.106712) (xy 48.950367 82.106888)
+        (xy 49.136704 82.029895) (xy 49.279394 81.887454) (xy 49.356712 81.701251) (xy 49.356888 81.499633) (xy 49.356888 90.303392)
+        (xy 49.346391 90.328672) (xy 48.331888 90.332614) (xy 48.331888 80.374633) (xy 48.254895 80.188296) (xy 48.112454 80.045606)
+        (xy 47.926251 79.968288) (xy 47.724633 79.968112) (xy 47.538296 80.045105) (xy 47.395606 80.187546) (xy 47.318288 80.373749)
+        (xy 47.318112 80.575367) (xy 47.395105 80.761704) (xy 47.537546 80.904394) (xy 47.723749 80.981712) (xy 47.925367 80.981888)
+        (xy 48.111704 80.904895) (xy 48.254394 80.762454) (xy 48.331712 80.576251) (xy 48.331888 80.374633) (xy 48.331888 90.332614)
+        (xy 47.506888 90.33582) (xy 47.506888 81.999633) (xy 47.429895 81.813296) (xy 47.287454 81.670606) (xy 47.101251 81.593288)
+        (xy 46.899633 81.593112) (xy 46.713296 81.670105) (xy 46.570606 81.812546) (xy 46.556888 81.845582) (xy 46.556888 71.924633)
+        (xy 46.479895 71.738296) (xy 46.337454 71.595606) (xy 46.151251 71.518288) (xy 45.956888 71.518118) (xy 45.956888 70.299633)
+        (xy 45.879895 70.113296) (xy 45.737454 69.970606) (xy 45.551251 69.893288) (xy 45.406888 69.893161) (xy 45.406888 56.559633)
+        (xy 45.329895 56.373296) (xy 45.187454 56.230606) (xy 45.001251 56.153288) (xy 44.953223 56.153246) (xy 44.953223 52.496367)
+        (xy 44.13 51.673144) (xy 43.876856 51.926288) (xy 43.876856 51.42) (xy 43.106 50.649144) (xy 43.106 42.66425)
+        (xy 43.106 41.644) (xy 43.106 41.286) (xy 43.106 40.26575) (xy 42.96025 40.12) (xy 42.407543 40.1199)
+        (xy 42.193189 40.208469) (xy 42.029046 40.372326) (xy 41.940102 40.586526) (xy 41.9399 40.818457) (xy 41.94 41.14025)
+        (xy 42.08575 41.286) (xy 43.106 41.286) (xy 43.106 41.644) (xy 42.08575 41.644) (xy 41.94 41.78975)
+        (xy 41.9399 42.111543) (xy 41.940102 42.343474) (xy 42.029046 42.557674) (xy 42.193189 42.721531) (xy 42.407543 42.8101)
+        (xy 42.96025 42.81) (xy 43.106 42.66425) (xy 43.106 50.649144) (xy 43.053633 50.596777) (xy 42.81925 50.688941)
+        (xy 42.639261 51.246192) (xy 42.686223 51.829903) (xy 42.81925 52.151059) (xy 43.053633 52.243223) (xy 43.876856 51.42)
+        (xy 43.876856 51.926288) (xy 43.306777 52.496367) (xy 43.398941 52.73075) (xy 43.956192 52.910739) (xy 44.539903 52.863777)
+        (xy 44.861059 52.73075) (xy 44.953223 52.496367) (xy 44.953223 56.153246) (xy 44.799633 56.153112) (xy 44.613296 56.230105)
+        (xy 44.470606 56.372546) (xy 44.393288 56.558749) (xy 44.393112 56.760367) (xy 44.470105 56.946704) (xy 44.612546 57.089394)
+        (xy 44.798749 57.166712) (xy 45.000367 57.166888) (xy 45.186704 57.089895) (xy 45.329394 56.947454) (xy 45.406712 56.761251)
+        (xy 45.406888 56.559633) (xy 45.406888 69.893161) (xy 45.349633 69.893112) (xy 45.163296 69.970105) (xy 45.020606 70.112546)
+        (xy 45.006888 70.145582) (xy 45.006888 69.499633) (xy 44.929895 69.313296) (xy 44.787454 69.170606) (xy 44.601251 69.093288)
+        (xy 44.399633 69.093112) (xy 44.30686 69.131444) (xy 44.306888 69.099633) (xy 44.229895 68.913296) (xy 44.202142 68.885494)
+        (xy 44.336704 68.829895) (xy 44.479394 68.687454) (xy 44.556712 68.501251) (xy 44.556888 68.299633) (xy 44.496888 68.154422)
+        (xy 44.496888 61.719633) (xy 44.419895 61.533296) (xy 44.277454 61.390606) (xy 44.091251 61.313288) (xy 43.931888 61.313148)
+        (xy 43.931888 58.674633) (xy 43.854895 58.488296) (xy 43.781888 58.415161) (xy 43.781888 56.799633) (xy 43.704895 56.613296)
+        (xy 43.562454 56.470606) (xy 43.376251 56.393288) (xy 43.174633 56.393112) (xy 42.988296 56.470105) (xy 42.845606 56.612546)
+        (xy 42.768288 56.798749) (xy 42.768112 57.000367) (xy 42.845105 57.186704) (xy 42.987546 57.329394) (xy 43.173749 57.406712)
+        (xy 43.375367 57.406888) (xy 43.561704 57.329895) (xy 43.704394 57.187454) (xy 43.781712 57.001251) (xy 43.781888 56.799633)
+        (xy 43.781888 58.415161) (xy 43.712454 58.345606) (xy 43.526251 58.268288) (xy 43.324633 58.268112) (xy 43.146888 58.341554)
+        (xy 43.146888 57.849633) (xy 43.069895 57.663296) (xy 42.927454 57.520606) (xy 42.741251 57.443288) (xy 42.539633 57.443112)
+        (xy 42.353296 57.520105) (xy 42.210606 57.662546) (xy 42.133288 57.848749) (xy 42.133241 57.902333) (xy 42.051251 57.868288)
+        (xy 41.849633 57.868112) (xy 41.756888 57.906433) (xy 41.756888 55.929633) (xy 41.679895 55.743296) (xy 41.537454 55.600606)
+        (xy 41.351251 55.523288) (xy 41.149633 55.523112) (xy 40.963296 55.600105) (xy 40.944044 55.619323) (xy 40.944044 36.927188)
+        (xy 39.895 35.878144) (xy 39.641856 36.131288) (xy 39.641856 35.625) (xy 38.592812 34.575956) (xy 38.326197 34.706453)
+        (xy 38.094102 35.376729) (xy 38.136179 36.084801) (xy 38.326197 36.543547) (xy 38.592812 36.674044) (xy 39.641856 35.625)
+        (xy 39.641856 36.131288) (xy 38.845956 36.927188) (xy 38.976453 37.193803) (xy 39.646729 37.425898) (xy 40.354801 37.383821)
+        (xy 40.813547 37.193803) (xy 40.944044 36.927188) (xy 40.944044 55.619323) (xy 40.820606 55.742546) (xy 40.743288 55.928749)
+        (xy 40.743112 56.130367) (xy 40.820105 56.316704) (xy 40.962546 56.459394) (xy 41.148749 56.536712) (xy 41.350367 56.536888)
+        (xy 41.536704 56.459895) (xy 41.679394 56.317454) (xy 41.756712 56.131251) (xy 41.756888 55.929633) (xy 41.756888 57.906433)
+        (xy 41.663296 57.945105) (xy 41.520606 58.087546) (xy 41.443288 58.273749) (xy 41.443112 58.475367) (xy 41.520105 58.661704)
+        (xy 41.662546 58.804394) (xy 41.848749 58.881712) (xy 42.050367 58.881888) (xy 42.236704 58.804895) (xy 42.379394 58.662454)
+        (xy 42.456712 58.476251) (xy 42.456758 58.422666) (xy 42.538749 58.456712) (xy 42.740367 58.456888) (xy 42.926704 58.379895)
+        (xy 43.069394 58.237454) (xy 43.146712 58.051251) (xy 43.146888 57.849633) (xy 43.146888 58.341554) (xy 43.138296 58.345105)
+        (xy 42.995606 58.487546) (xy 42.918288 58.673749) (xy 42.918112 58.875367) (xy 42.995105 59.061704) (xy 43.137546 59.204394)
+        (xy 43.323749 59.281712) (xy 43.525367 59.281888) (xy 43.711704 59.204895) (xy 43.854394 59.062454) (xy 43.931712 58.876251)
+        (xy 43.931888 58.674633) (xy 43.931888 61.313148) (xy 43.889633 61.313112) (xy 43.703296 61.390105) (xy 43.560606 61.532546)
+        (xy 43.483288 61.718749) (xy 43.483112 61.920367) (xy 43.560105 62.106704) (xy 43.702546 62.249394) (xy 43.888749 62.326712)
+        (xy 44.090367 62.326888) (xy 44.276704 62.249895) (xy 44.419394 62.107454) (xy 44.496712 61.921251) (xy 44.496888 61.719633)
+        (xy 44.496888 68.154422) (xy 44.479895 68.113296) (xy 44.337454 67.970606) (xy 44.151251 67.893288) (xy 43.949633 67.893112)
+        (xy 43.763296 67.970105) (xy 43.620606 68.112546) (xy 43.543288 68.298749) (xy 43.543112 68.500367) (xy 43.620105 68.686704)
+        (xy 43.647857 68.714505) (xy 43.531888 68.762422) (xy 43.531888 67.899633) (xy 43.454895 67.713296) (xy 43.312454 67.570606)
+        (xy 43.186888 67.518466) (xy 43.186888 60.299633) (xy 43.109895 60.113296) (xy 42.967454 59.970606) (xy 42.781251 59.893288)
+        (xy 42.579633 59.893112) (xy 42.484242 59.932526) (xy 42.529394 59.887454) (xy 42.606712 59.701251) (xy 42.606888 59.499633)
+        (xy 42.529895 59.313296) (xy 42.387454 59.170606) (xy 42.201251 59.093288) (xy 41.999633 59.093112) (xy 41.813296 59.170105)
+        (xy 41.670606 59.312546) (xy 41.593288 59.498749) (xy 41.593112 59.700367) (xy 41.670105 59.886704) (xy 41.812546 60.029394)
+        (xy 41.998749 60.106712) (xy 42.200367 60.106888) (xy 42.295757 60.067473) (xy 42.250606 60.112546) (xy 42.173288 60.298749)
+        (xy 42.173112 60.500367) (xy 42.250105 60.686704) (xy 42.392546 60.829394) (xy 42.578749 60.906712) (xy 42.780367 60.906888)
+        (xy 42.966704 60.829895) (xy 43.109394 60.687454) (xy 43.186712 60.501251) (xy 43.186888 60.299633) (xy 43.186888 67.518466)
+        (xy 43.126251 67.493288) (xy 42.924633 67.493112) (xy 42.738296 67.570105) (xy 42.595606 67.712546) (xy 42.518288 67.898749)
+        (xy 42.518112 68.100367) (xy 42.595105 68.286704) (xy 42.737546 68.429394) (xy 42.923749 68.506712) (xy 43.125367 68.506888)
+        (xy 43.311704 68.429895) (xy 43.454394 68.287454) (xy 43.531712 68.101251) (xy 43.531888 67.899633) (xy 43.531888 68.762422)
+        (xy 43.513296 68.770105) (xy 43.370606 68.912546) (xy 43.293288 69.098749) (xy 43.293112 69.300367) (xy 43.370105 69.486704)
+        (xy 43.512546 69.629394) (xy 43.698749 69.706712) (xy 43.900367 69.706888) (xy 43.993139 69.668555) (xy 43.993112 69.700367)
+        (xy 44.070105 69.886704) (xy 44.212546 70.029394) (xy 44.398749 70.106712) (xy 44.600367 70.106888) (xy 44.786704 70.029895)
+        (xy 44.929394 69.887454) (xy 45.006712 69.701251) (xy 45.006888 69.499633) (xy 45.006888 70.145582) (xy 44.943288 70.298749)
+        (xy 44.943112 70.500367) (xy 45.020105 70.686704) (xy 45.162546 70.829394) (xy 45.348749 70.906712) (xy 45.550367 70.906888)
+        (xy 45.736704 70.829895) (xy 45.879394 70.687454) (xy 45.956712 70.501251) (xy 45.956888 70.299633) (xy 45.956888 71.518118)
+        (xy 45.949633 71.518112) (xy 45.763296 71.595105) (xy 45.620606 71.737546) (xy 45.543288 71.923749) (xy 45.543112 72.125367)
+        (xy 45.612436 72.293145) (xy 45.574633 72.293112) (xy 45.388296 72.370105) (xy 45.245606 72.512546) (xy 45.168288 72.698749)
+        (xy 45.168112 72.900367) (xy 45.245105 73.086704) (xy 45.387546 73.229394) (xy 45.573749 73.306712) (xy 45.775367 73.306888)
+        (xy 45.961704 73.229895) (xy 46.104394 73.087454) (xy 46.181712 72.901251) (xy 46.181888 72.699633) (xy 46.112563 72.531854)
+        (xy 46.150367 72.531888) (xy 46.336704 72.454895) (xy 46.479394 72.312454) (xy 46.556712 72.126251) (xy 46.556888 71.924633)
+        (xy 46.556888 81.845582) (xy 46.506888 81.965996) (xy 46.506888 78.924633) (xy 46.429895 78.738296) (xy 46.287454 78.595606)
+        (xy 46.101251 78.518288) (xy 45.899633 78.518112) (xy 45.713296 78.595105) (xy 45.570606 78.737546) (xy 45.493288 78.923749)
+        (xy 45.493112 79.125367) (xy 45.570105 79.311704) (xy 45.712546 79.454394) (xy 45.898749 79.531712) (xy 46.100367 79.531888)
+        (xy 46.286704 79.454895) (xy 46.429394 79.312454) (xy 46.506712 79.126251) (xy 46.506888 78.924633) (xy 46.506888 81.965996)
+        (xy 46.493288 81.998749) (xy 46.493112 82.200367) (xy 46.570105 82.386704) (xy 46.712546 82.529394) (xy 46.898749 82.606712)
+        (xy 47.100367 82.606888) (xy 47.286704 82.529895) (xy 47.429394 82.387454) (xy 47.506712 82.201251) (xy 47.506888 81.999633)
+        (xy 47.506888 90.33582) (xy 45.781888 90.342523) (xy 45.781888 80.349633) (xy 45.704895 80.163296) (xy 45.562454 80.020606)
+        (xy 45.533276 80.00849) (xy 45.579394 79.962454) (xy 45.656712 79.776251) (xy 45.656888 79.574633) (xy 45.579895 79.388296)
+        (xy 45.437454 79.245606) (xy 45.251251 79.168288) (xy 45.049633 79.168112) (xy 44.863296 79.245105) (xy 44.720606 79.387546)
+        (xy 44.643288 79.573749) (xy 44.643112 79.775367) (xy 44.720105 79.961704) (xy 44.862546 80.104394) (xy 44.891723 80.116509)
+        (xy 44.845606 80.162546) (xy 44.768288 80.348749) (xy 44.768112 80.550367) (xy 44.845105 80.736704) (xy 44.987546 80.879394)
+        (xy 45.173749 80.956712) (xy 45.375367 80.956888) (xy 45.561704 80.879895) (xy 45.704394 80.737454) (xy 45.781712 80.551251)
+        (xy 45.781888 80.349633) (xy 45.781888 90.342523) (xy 45.631888 90.343106) (xy 45.631888 88.074633) (xy 45.554895 87.888296)
+        (xy 45.412454 87.745606) (xy 45.226251 87.668288) (xy 45.024633 87.668112) (xy 44.881888 87.727093) (xy 44.881888 81.199633)
+        (xy 44.804895 81.013296) (xy 44.662454 80.870606) (xy 44.476251 80.793288) (xy 44.281888 80.793118) (xy 44.281888 77.974633)
+        (xy 44.204895 77.788296) (xy 44.106888 77.690117) (xy 44.106888 71.499633) (xy 44.029895 71.313296) (xy 43.887454 71.170606)
+        (xy 43.701251 71.093288) (xy 43.499633 71.093112) (xy 43.313296 71.170105) (xy 43.170606 71.312546) (xy 43.093288 71.498749)
+        (xy 43.093112 71.700367) (xy 43.170105 71.886704) (xy 43.312546 72.029394) (xy 43.498749 72.106712) (xy 43.700367 72.106888)
+        (xy 43.886704 72.029895) (xy 44.029394 71.887454) (xy 44.106712 71.701251) (xy 44.106888 71.499633) (xy 44.106888 77.690117)
+        (xy 44.062454 77.645606) (xy 43.876251 77.568288) (xy 43.674633 77.568112) (xy 43.488296 77.645105) (xy 43.345606 77.787546)
+        (xy 43.268288 77.973749) (xy 43.268112 78.175367) (xy 43.345105 78.361704) (xy 43.487546 78.504394) (xy 43.673749 78.581712)
+        (xy 43.875367 78.581888) (xy 44.061704 78.504895) (xy 44.204394 78.362454) (xy 44.281712 78.176251) (xy 44.281888 77.974633)
+        (xy 44.281888 80.793118) (xy 44.274633 80.793112) (xy 44.088296 80.870105) (xy 43.945606 81.012546) (xy 43.868288 81.198749)
+        (xy 43.868112 81.400367) (xy 43.945105 81.586704) (xy 44.087546 81.729394) (xy 44.273749 81.806712) (xy 44.475367 81.806888)
+        (xy 44.661704 81.729895) (xy 44.804394 81.587454) (xy 44.881712 81.401251) (xy 44.881888 81.199633) (xy 44.881888 87.727093)
+        (xy 44.838296 87.745105) (xy 44.695606 87.887546) (xy 44.618288 88.073749) (xy 44.618112 88.275367) (xy 44.695105 88.461704)
+        (xy 44.837546 88.604394) (xy 45.023749 88.681712) (xy 45.225367 88.681888) (xy 45.411704 88.604895) (xy 45.554394 88.462454)
+        (xy 45.631712 88.276251) (xy 45.631888 88.074633) (xy 45.631888 90.343106) (xy 44.081888 90.349129) (xy 44.081888 82.349633)
+        (xy 44.004895 82.163296) (xy 43.862454 82.020606) (xy 43.676251 81.943288) (xy 43.474633 81.943112) (xy 43.406888 81.971103)
+        (xy 43.406888 80.774633) (xy 43.329895 80.588296) (xy 43.187454 80.445606) (xy 43.001251 80.368288) (xy 42.799633 80.368112)
+        (xy 42.681888 80.416763) (xy 42.681888 70.199633) (xy 42.604895 70.013296) (xy 42.462454 69.870606) (xy 42.276251 69.793288)
+        (xy 42.074633 69.793112) (xy 41.931888 69.852093) (xy 41.931888 67.224633) (xy 41.854895 67.038296) (xy 41.712454 66.895606)
+        (xy 41.526251 66.818288) (xy 41.324633 66.818112) (xy 41.138296 66.895105) (xy 41.006888 67.026283) (xy 41.006888 61.899633)
+        (xy 40.929895 61.713296) (xy 40.787454 61.570606) (xy 40.601251 61.493288) (xy 40.399633 61.493112) (xy 40.217248 61.568472)
+        (xy 40.217248 52.253905) (xy 40.217248 50.475905) (xy 40.174984 50.373618) (xy 40.096793 50.295291) (xy 39.99458 50.252848)
+        (xy 39.883905 50.252752) (xy 38.105905 50.252752) (xy 38.003618 50.295016) (xy 37.925291 50.373207) (xy 37.882848 50.47542)
+        (xy 37.882752 50.586095) (xy 37.882752 52.364095) (xy 37.925016 52.466382) (xy 38.003207 52.544709) (xy 38.10542 52.587152)
+        (xy 38.216095 52.587248) (xy 39.994095 52.587248) (xy 40.096382 52.544984) (xy 40.174709 52.466793) (xy 40.217152 52.36458)
+        (xy 40.217248 52.253905) (xy 40.217248 61.568472) (xy 40.213296 61.570105) (xy 40.070606 61.712546) (xy 39.993288 61.898749)
+        (xy 39.993112 62.100367) (xy 40.070105 62.286704) (xy 40.212546 62.429394) (xy 40.398749 62.506712) (xy 40.600367 62.506888)
+        (xy 40.786704 62.429895) (xy 40.929394 62.287454) (xy 41.006712 62.101251) (xy 41.006888 61.899633) (xy 41.006888 67.026283)
+        (xy 40.995606 67.037546) (xy 40.946888 67.154872) (xy 40.946888 65.149633) (xy 40.869895 64.963296) (xy 40.727454 64.820606)
+        (xy 40.541251 64.743288) (xy 40.516888 64.743266) (xy 40.516888 63.989633) (xy 40.481888 63.904926) (xy 40.481888 62.899633)
+        (xy 40.404895 62.713296) (xy 40.262454 62.570606) (xy 40.076251 62.493288) (xy 39.874633 62.493112) (xy 39.688296 62.570105)
+        (xy 39.545606 62.712546) (xy 39.534718 62.738767) (xy 39.534718 59.164422) (xy 39.430714 58.912712) (xy 39.238301 58.719963)
+        (xy 38.986773 58.615519) (xy 38.714422 58.615282) (xy 38.462712 58.719286) (xy 38.269963 58.911699) (xy 38.165519 59.163227)
+        (xy 38.165282 59.435578) (xy 38.269286 59.687288) (xy 38.461699 59.880037) (xy 38.713227 59.984481) (xy 38.985578 59.984718)
+        (xy 39.237288 59.880714) (xy 39.430037 59.688301) (xy 39.534481 59.436773) (xy 39.534718 59.164422) (xy 39.534718 62.738767)
+        (xy 39.468288 62.898749) (xy 39.468112 63.100367) (xy 39.545105 63.286704) (xy 39.687546 63.429394) (xy 39.873749 63.506712)
+        (xy 40.075367 63.506888) (xy 40.261704 63.429895) (xy 40.404394 63.287454) (xy 40.481712 63.101251) (xy 40.481888 62.899633)
+        (xy 40.481888 63.904926) (xy 40.439895 63.803296) (xy 40.297454 63.660606) (xy 40.111251 63.583288) (xy 39.909633 63.583112)
+        (xy 39.723296 63.660105) (xy 39.580606 63.802546) (xy 39.503288 63.988749) (xy 39.503112 64.190367) (xy 39.580105 64.376704)
+        (xy 39.722546 64.519394) (xy 39.908749 64.596712) (xy 40.110367 64.596888) (xy 40.296704 64.519895) (xy 40.439394 64.377454)
+        (xy 40.516712 64.191251) (xy 40.516888 63.989633) (xy 40.516888 64.743266) (xy 40.339633 64.743112) (xy 40.153296 64.820105)
+        (xy 40.010606 64.962546) (xy 39.933288 65.148749) (xy 39.933112 65.350367) (xy 40.010105 65.536704) (xy 40.152546 65.679394)
+        (xy 40.338749 65.756712) (xy 40.540367 65.756888) (xy 40.726704 65.679895) (xy 40.869394 65.537454) (xy 40.946712 65.351251)
+        (xy 40.946888 65.149633) (xy 40.946888 67.154872) (xy 40.918288 67.223749) (xy 40.918112 67.425367) (xy 40.995105 67.611704)
+        (xy 41.137546 67.754394) (xy 41.323749 67.831712) (xy 41.525367 67.831888) (xy 41.711704 67.754895) (xy 41.854394 67.612454)
+        (xy 41.931712 67.426251) (xy 41.931888 67.224633) (xy 41.931888 69.852093) (xy 41.888296 69.870105) (xy 41.745606 70.012546)
+        (xy 41.668288 70.198749) (xy 41.668112 70.400367) (xy 41.745105 70.586704) (xy 41.887546 70.729394) (xy 42.073749 70.806712)
+        (xy 42.275367 70.806888) (xy 42.461704 70.729895) (xy 42.604394 70.587454) (xy 42.681712 70.401251) (xy 42.681888 70.199633)
+        (xy 42.681888 80.416763) (xy 42.613296 80.445105) (xy 42.470606 80.587546) (xy 42.393288 80.773749) (xy 42.393112 80.975367)
+        (xy 42.470105 81.161704) (xy 42.612546 81.304394) (xy 42.798749 81.381712) (xy 43.000367 81.381888) (xy 43.186704 81.304895)
+        (xy 43.329394 81.162454) (xy 43.406712 80.976251) (xy 43.406888 80.774633) (xy 43.406888 81.971103) (xy 43.288296 82.020105)
+        (xy 43.145606 82.162546) (xy 43.068288 82.348749) (xy 43.068112 82.550367) (xy 43.145105 82.736704) (xy 43.287546 82.879394)
+        (xy 43.473749 82.956712) (xy 43.675367 82.956888) (xy 43.861704 82.879895) (xy 44.004394 82.737454) (xy 44.081712 82.551251)
+        (xy 44.081888 82.349633) (xy 44.081888 90.349129) (xy 42.181888 90.356513) (xy 42.181888 75.624633) (xy 42.104895 75.438296)
+        (xy 42.006888 75.340117) (xy 42.006888 72.949633) (xy 41.929895 72.763296) (xy 41.787454 72.620606) (xy 41.601251 72.543288)
+        (xy 41.399633 72.543112) (xy 41.213296 72.620105) (xy 41.070606 72.762546) (xy 40.993288 72.948749) (xy 40.993112 73.150367)
+        (xy 41.070105 73.336704) (xy 41.212546 73.479394) (xy 41.398749 73.556712) (xy 41.600367 73.556888) (xy 41.786704 73.479895)
+        (xy 41.929394 73.337454) (xy 42.006712 73.151251) (xy 42.006888 72.949633) (xy 42.006888 75.340117) (xy 41.962454 75.295606)
+        (xy 41.776251 75.218288) (xy 41.574633 75.218112) (xy 41.388296 75.295105) (xy 41.245606 75.437546) (xy 41.168288 75.623749)
+        (xy 41.168112 75.825367) (xy 41.245105 76.011704) (xy 41.387546 76.154394) (xy 41.573749 76.231712) (xy 41.775367 76.231888)
+        (xy 41.961704 76.154895) (xy 42.104394 76.012454) (xy 42.181712 75.826251) (xy 42.181888 75.624633) (xy 42.181888 90.356513)
+        (xy 41.931888 90.357484) (xy 41.931888 79.999633) (xy 41.931888 78.374633) (xy 41.854895 78.188296) (xy 41.712454 78.045606)
+        (xy 41.526251 77.968288) (xy 41.324633 77.968112) (xy 41.138296 78.045105) (xy 40.995606 78.187546) (xy 40.918288 78.373749)
+        (xy 40.918112 78.575367) (xy 40.995105 78.761704) (xy 41.137546 78.904394) (xy 41.323749 78.981712) (xy 41.525367 78.981888)
+        (xy 41.711704 78.904895) (xy 41.854394 78.762454) (xy 41.931712 78.576251) (xy 41.931888 78.374633) (xy 41.931888 79.999633)
+        (xy 41.854895 79.813296) (xy 41.712454 79.670606) (xy 41.526251 79.593288) (xy 41.324633 79.593112) (xy 41.138296 79.670105)
+        (xy 41.131888 79.676501) (xy 41.131888 79.149633) (xy 41.054895 78.963296) (xy 40.912454 78.820606) (xy 40.726251 78.743288)
+        (xy 40.524633 78.743112) (xy 40.356888 78.812422) (xy 40.356888 75.899633) (xy 40.279895 75.713296) (xy 40.137454 75.570606)
+        (xy 39.951251 75.493288) (xy 39.749633 75.493112) (xy 39.563296 75.570105) (xy 39.420606 75.712546) (xy 39.343288 75.898749)
+        (xy 39.343112 76.100367) (xy 39.420105 76.286704) (xy 39.562546 76.429394) (xy 39.748749 76.506712) (xy 39.950367 76.506888)
+        (xy 40.136704 76.429895) (xy 40.279394 76.287454) (xy 40.356712 76.101251) (xy 40.356888 75.899633) (xy 40.356888 78.812422)
+        (xy 40.338296 78.820105) (xy 40.195606 78.962546) (xy 40.118288 79.148749) (xy 40.118112 79.350367) (xy 40.195105 79.536704)
+        (xy 40.337546 79.679394) (xy 40.523749 79.756712) (xy 40.725367 79.756888) (xy 40.911704 79.679895) (xy 41.054394 79.537454)
+        (xy 41.131712 79.351251) (xy 41.131888 79.149633) (xy 41.131888 79.676501) (xy 40.995606 79.812546) (xy 40.918288 79.998749)
+        (xy 40.918112 80.200367) (xy 40.995105 80.386704) (xy 41.137546 80.529394) (xy 41.323749 80.606712) (xy 41.525367 80.606888)
+        (xy 41.711704 80.529895) (xy 41.854394 80.387454) (xy 41.931712 80.201251) (xy 41.931888 79.999633) (xy 41.931888 90.357484)
+        (xy 40.336671 90.363684) (xy 40.534798 89.886543) (xy 40.5356 88.967489) (xy 40.184634 88.118087) (xy 39.584718 87.517122)
+        (xy 39.584718 78.989422) (xy 39.480714 78.737712) (xy 39.288301 78.544963) (xy 39.036773 78.440519) (xy 38.764422 78.440282)
+        (xy 38.512712 78.544286) (xy 38.319963 78.736699) (xy 38.215519 78.988227) (xy 38.215282 79.260578) (xy 38.319286 79.512288)
+        (xy 38.511699 79.705037) (xy 38.763227 79.809481) (xy 39.035578 79.809718) (xy 39.287288 79.705714) (xy 39.480037 79.513301)
+        (xy 39.584481 79.261773) (xy 39.584718 78.989422) (xy 39.584718 87.517122) (xy 39.535332 87.46765) (xy 38.686543 87.115202)
+        (xy 37.767489 87.1144) (xy 37.488148 87.229821) (xy 37.486318 86.732763) (xy 37.612288 86.680714) (xy 37.805037 86.488301)
+        (xy 37.909481 86.236773) (xy 37.909718 85.964422) (xy 37.805714 85.712712) (xy 37.613301 85.519963) (xy 37.481653 85.465298)
+        (xy 37.250076 22.545646) (xy 37.292554 22.47485) (xy 59.435016 22.396758) (xy 59.369963 22.461699) (xy 59.265519 22.713227)
+        (xy 59.265282 22.985578) (xy 59.369286 23.237288) (xy 59.561699 23.430037) (xy 59.813227 23.534481) (xy 60.085578 23.534718)
+        (xy 60.337288 23.430714) (xy 60.530037 23.238301) (xy 60.634481 22.986773) (xy 60.634718 22.714422) (xy 60.530714 22.462712)
+        (xy 60.461262 22.393139) (xy 115.149333 22.200267)
+      )
+    )
+  )
+  (zone (net 187) (net_name GND) (layer GND-L4) (tstamp 51AC6819) (hatch edge 0.508)
+    (connect_pads (clearance 0.2032))
+    (min_thickness 0.15)
+    (fill (arc_segments 16) (thermal_gap 0.508) (thermal_bridge_width 0.508))
+    (polygon
+      (pts
+        (xy 36.075 20) (xy 115.5 20.05) (xy 115.6 91.525) (xy 36.275 91.375) (xy 36.075 20.025)
+        (xy 36.1 20.025)
+      )
+    )
+    (filled_polygon
+      (pts
+        (xy 96.297284 87.2532) (xy 96.04414 87.506344) (xy 95.790996 87.2532) (xy 96.04414 87.000056) (xy 96.297284 87.2532)
+      )
+    )
+    (filled_polygon
+      (pts
+        (xy 100.876904 87.2532) (xy 100.62376 87.506344) (xy 100.370616 87.2532) (xy 100.62376 87.000056) (xy 100.876904 87.2532)
+      )
+    )
+    (filled_polygon
+      (pts
+        (xy 103.167984 87.2532) (xy 102.91484 87.506344) (xy 102.661696 87.2532) (xy 102.91484 87.000056) (xy 103.167984 87.2532)
+      )
+    )
+    (filled_polygon
+      (pts
+        (xy 115.524895 91.449858) (xy 115.023571 91.44891) (xy 115.023571 87.203262) (xy 114.770379 86.59049) (xy 114.770379 77.388999)
+        (xy 114.770248 77.388681) (xy 114.770248 75.761905) (xy 114.770248 74.237905) (xy 114.727984 74.135618) (xy 114.649793 74.057291)
+        (xy 114.54758 74.014848) (xy 114.436905 74.014752) (xy 114.096 74.014752) (xy 114.096 71.083) (xy 114.096 69.929)
+        (xy 114.096 69.571) (xy 114.096 68.417) (xy 114.096 64.933) (xy 114.096 63.779) (xy 114.096 63.421)
+        (xy 114.096 62.267) (xy 113.571 62.267) (xy 113.074509 62.436969) (xy 112.680855 62.783999) (xy 112.6 62.95254)
+        (xy 112.519145 62.783999) (xy 112.125491 62.436969) (xy 111.629 62.267) (xy 111.104 62.267) (xy 111.104 63.421)
+        (xy 112.576322 63.421) (xy 112.623678 63.421) (xy 114.096 63.421) (xy 114.096 63.779) (xy 112.623678 63.779)
+        (xy 112.576322 63.779) (xy 111.104 63.779) (xy 111.104 64.933) (xy 111.366925 64.933) (xy 111.420105 65.061704)
+        (xy 111.562546 65.204394) (xy 111.748749 65.281712) (xy 111.950367 65.281888) (xy 112.136704 65.204895) (xy 112.279394 65.062454)
+        (xy 112.356712 64.876251) (xy 112.356888 64.674633) (xy 112.321878 64.589903) (xy 112.519145 64.416001) (xy 112.6 64.247459)
+        (xy 112.680855 64.416001) (xy 113.074509 64.763031) (xy 113.571 64.933) (xy 114.096 64.933) (xy 114.096 68.417)
+        (xy 113.635306 68.417) (xy 113.676704 68.399895) (xy 113.819394 68.257454) (xy 113.896712 68.071251) (xy 113.896888 67.869633)
+        (xy 113.819895 67.683296) (xy 113.677454 67.540606) (xy 113.491251 67.463288) (xy 113.289633 67.463112) (xy 113.103296 67.540105)
+        (xy 112.960606 67.682546) (xy 112.883288 67.868749) (xy 112.883112 68.070367) (xy 112.960105 68.256704) (xy 113.102546 68.399394)
+        (xy 113.288749 68.476712) (xy 113.396302 68.476805) (xy 113.074509 68.586969) (xy 112.680855 68.933999) (xy 112.588006 69.12754)
+        (xy 112.519145 68.983999) (xy 112.304557 68.794826) (xy 112.461704 68.729895) (xy 112.604394 68.587454) (xy 112.681712 68.401251)
+        (xy 112.681888 68.199633) (xy 112.604895 68.013296) (xy 112.506888 67.915117) (xy 112.506888 67.074633) (xy 112.429895 66.888296)
+        (xy 112.287454 66.745606) (xy 112.156742 66.69133) (xy 112.156888 66.524633) (xy 112.079895 66.338296) (xy 112.075946 66.33434)
+        (xy 112.086704 66.329895) (xy 112.229394 66.187454) (xy 112.306712 66.001251) (xy 112.306888 65.799633) (xy 112.229895 65.613296)
+        (xy 112.087454 65.470606) (xy 111.901251 65.393288) (xy 111.699633 65.393112) (xy 111.513296 65.470105) (xy 111.370606 65.612546)
+        (xy 111.293288 65.798749) (xy 111.293112 66.000367) (xy 111.370105 66.186704) (xy 111.374053 66.190659) (xy 111.363296 66.195105)
+        (xy 111.220606 66.337546) (xy 111.143288 66.523749) (xy 111.143112 66.725367) (xy 111.220105 66.911704) (xy 111.362546 67.054394)
+        (xy 111.493257 67.108669) (xy 111.493112 67.275367) (xy 111.570105 67.461704) (xy 111.712546 67.604394) (xy 111.898749 67.681712)
+        (xy 112.100367 67.681888) (xy 112.286704 67.604895) (xy 112.429394 67.462454) (xy 112.506712 67.276251) (xy 112.506888 67.074633)
+        (xy 112.506888 67.915117) (xy 112.462454 67.870606) (xy 112.276251 67.793288) (xy 112.074633 67.793112) (xy 111.888296 67.870105)
+        (xy 111.745606 68.012546) (xy 111.668288 68.198749) (xy 111.668112 68.400367) (xy 111.706624 68.493573) (xy 111.629 68.467)
+        (xy 111.104 68.467) (xy 111.104 69.621) (xy 112.623678 69.621) (xy 112.648865 69.571) (xy 114.096 69.571)
+        (xy 114.096 69.929) (xy 112.576322 69.929) (xy 112.551134 69.979) (xy 111.104 69.979) (xy 111.104 71.133)
+        (xy 111.629 71.133) (xy 112.125491 70.963031) (xy 112.519145 70.616001) (xy 112.611993 70.422459) (xy 112.680855 70.566001)
+        (xy 113.074509 70.913031) (xy 113.571 71.083) (xy 114.096 71.083) (xy 114.096 74.014752) (xy 112.912905 74.014752)
+        (xy 112.810618 74.057016) (xy 112.732291 74.135207) (xy 112.689848 74.23742) (xy 112.689752 74.348095) (xy 112.689752 75.872095)
+        (xy 112.732016 75.974382) (xy 112.810207 76.052709) (xy 112.91242 76.095152) (xy 113.023095 76.095248) (xy 114.547095 76.095248)
+        (xy 114.649382 76.052984) (xy 114.727709 75.974793) (xy 114.770152 75.87258) (xy 114.770248 75.761905) (xy 114.770248 77.388681)
+        (xy 114.612352 77.006544) (xy 114.319995 76.713676) (xy 113.937816 76.554982) (xy 113.523999 76.554621) (xy 113.141544 76.712648)
+        (xy 112.848676 77.005005) (xy 112.689982 77.387184) (xy 112.689621 77.801001) (xy 112.847648 78.183456) (xy 113.140005 78.476324)
+        (xy 113.522184 78.635018) (xy 113.936001 78.635379) (xy 114.318456 78.477352) (xy 114.611324 78.184995) (xy 114.770018 77.802816)
+        (xy 114.770379 77.388999) (xy 114.770379 86.59049) (xy 114.601411 86.181556) (xy 113.820396 85.399177) (xy 112.799428 84.975234)
+        (xy 112.230379 84.974737) (xy 112.230379 77.388999) (xy 112.230379 74.848999) (xy 112.072352 74.466544) (xy 111.779995 74.173676)
+        (xy 111.397816 74.014982) (xy 110.983999 74.014621) (xy 110.746 74.11296) (xy 110.746 71.133) (xy 110.746 69.979)
+        (xy 110.746 69.621) (xy 110.746 68.467) (xy 110.746 64.933) (xy 110.746 63.779) (xy 110.746 63.421)
+        (xy 110.746 62.267) (xy 110.221 62.267) (xy 109.852019 62.393317) (xy 109.852019 43.022437) (xy 109.852019 37.581757)
+        (xy 109.64267 37.075094) (xy 109.255365 36.687113) (xy 108.749068 36.47688) (xy 108.200857 36.476401) (xy 107.694194 36.68575)
+        (xy 107.306213 37.073055) (xy 107.09598 37.579352) (xy 107.095501 38.127563) (xy 107.30485 38.634226) (xy 107.692155 39.022207)
+        (xy 108.198452 39.23244) (xy 108.746663 39.232919) (xy 109.253326 39.02357) (xy 109.641307 38.636265) (xy 109.85154 38.129968)
+        (xy 109.852019 37.581757) (xy 109.852019 43.022437) (xy 109.64267 42.515774) (xy 109.255365 42.127793) (xy 108.773727 41.927799)
+        (xy 108.773727 40.371263) (xy 108.617437 39.99301) (xy 108.328292 39.70336) (xy 107.950313 39.54641) (xy 107.541043 39.546053)
+        (xy 107.16279 39.702343) (xy 106.87314 39.991488) (xy 106.745168 40.299677) (xy 106.618457 39.99301) (xy 106.329312 39.70336)
+        (xy 105.951333 39.54641) (xy 105.542063 39.546053) (xy 105.16381 39.702343) (xy 104.87416 39.991488) (xy 104.744915 40.302744)
+        (xy 104.616937 39.99301) (xy 104.334718 39.710298) (xy 104.334718 27.364422) (xy 104.230714 27.112712) (xy 104.038301 26.919963)
+        (xy 103.786773 26.815519) (xy 103.514422 26.815282) (xy 103.262712 26.919286) (xy 103.069963 27.111699) (xy 102.965519 27.363227)
+        (xy 102.965282 27.635578) (xy 103.069286 27.887288) (xy 103.261699 28.080037) (xy 103.513227 28.184481) (xy 103.785578 28.184718)
+        (xy 104.037288 28.080714) (xy 104.230037 27.888301) (xy 104.334481 27.636773) (xy 104.334718 27.364422) (xy 104.334718 39.710298)
+        (xy 104.327792 39.70336) (xy 103.949813 39.54641) (xy 103.540543 39.546053) (xy 103.177123 39.696214) (xy 103.177123 38.078963)
+        (xy 103.133474 37.41092) (xy 102.9618 36.996463) (xy 102.705577 36.878287) (xy 102.452433 37.131431) (xy 102.452433 36.625143)
+        (xy 102.334257 36.36892) (xy 101.700363 36.153597) (xy 101.531888 36.164604) (xy 101.531888 31.799633) (xy 101.531888 30.724633)
+        (xy 101.531888 28.524633) (xy 101.481888 28.403623) (xy 101.481888 25.199633) (xy 101.431888 25.078623) (xy 101.431888 24.099633)
+        (xy 101.354895 23.913296) (xy 101.212454 23.770606) (xy 101.026251 23.693288) (xy 100.824633 23.693112) (xy 100.638296 23.770105)
+        (xy 100.495606 23.912546) (xy 100.418288 24.098749) (xy 100.418112 24.300367) (xy 100.495105 24.486704) (xy 100.637546 24.629394)
+        (xy 100.823749 24.706712) (xy 101.025367 24.706888) (xy 101.211704 24.629895) (xy 101.354394 24.487454) (xy 101.431712 24.301251)
+        (xy 101.431888 24.099633) (xy 101.431888 25.078623) (xy 101.404895 25.013296) (xy 101.262454 24.870606) (xy 101.076251 24.793288)
+        (xy 100.874633 24.793112) (xy 100.688296 24.870105) (xy 100.545606 25.012546) (xy 100.468288 25.198749) (xy 100.468112 25.400367)
+        (xy 100.545105 25.586704) (xy 100.687546 25.729394) (xy 100.873749 25.806712) (xy 101.075367 25.806888) (xy 101.261704 25.729895)
+        (xy 101.404394 25.587454) (xy 101.481712 25.401251) (xy 101.481888 25.199633) (xy 101.481888 28.403623) (xy 101.454895 28.338296)
+        (xy 101.431888 28.315248) (xy 101.431888 26.324633) (xy 101.354895 26.138296) (xy 101.212454 25.995606) (xy 101.026251 25.918288)
+        (xy 100.824633 25.918112) (xy 100.638296 25.995105) (xy 100.495606 26.137546) (xy 100.418288 26.323749) (xy 100.418112 26.525367)
+        (xy 100.495105 26.711704) (xy 100.637546 26.854394) (xy 100.823749 26.931712) (xy 101.025367 26.931888) (xy 101.211704 26.854895)
+        (xy 101.354394 26.712454) (xy 101.431712 26.526251) (xy 101.431888 26.324633) (xy 101.431888 28.315248) (xy 101.312454 28.195606)
+        (xy 101.126251 28.118288) (xy 100.924633 28.118112) (xy 100.738296 28.195105) (xy 100.595606 28.337546) (xy 100.518288 28.523749)
+        (xy 100.518112 28.725367) (xy 100.595105 28.911704) (xy 100.737546 29.054394) (xy 100.923749 29.131712) (xy 101.125367 29.131888)
+        (xy 101.311704 29.054895) (xy 101.454394 28.912454) (xy 101.531712 28.726251) (xy 101.531888 28.524633) (xy 101.531888 30.724633)
+        (xy 101.454895 30.538296) (xy 101.312454 30.395606) (xy 101.126251 30.318288) (xy 100.924633 30.318112) (xy 100.738296 30.395105)
+        (xy 100.595606 30.537546) (xy 100.518288 30.723749) (xy 100.518112 30.925367) (xy 100.595105 31.111704) (xy 100.737546 31.254394)
+        (xy 100.923749 31.331712) (xy 101.125367 31.331888) (xy 101.311704 31.254895) (xy 101.454394 31.112454) (xy 101.531712 30.926251)
+        (xy 101.531888 30.724633) (xy 101.531888 31.799633) (xy 101.454895 31.613296) (xy 101.312454 31.470606) (xy 101.126251 31.393288)
+        (xy 100.924633 31.393112) (xy 100.738296 31.470105) (xy 100.595606 31.612546) (xy 100.518288 31.798749) (xy 100.518112 32.000367)
+        (xy 100.595105 32.186704) (xy 100.737546 32.329394) (xy 100.923749 32.406712) (xy 101.125367 32.406888) (xy 101.311704 32.329895)
+        (xy 101.454394 32.187454) (xy 101.531712 32.001251) (xy 101.531888 31.799633) (xy 101.531888 36.164604) (xy 101.03232 36.197246)
+        (xy 100.617863 36.36892) (xy 100.499687 36.625143) (xy 101.47606 37.601516) (xy 102.452433 36.625143) (xy 102.452433 37.131431)
+        (xy 101.729204 37.85466) (xy 102.705577 38.831033) (xy 102.9618 38.712857) (xy 103.177123 38.078963) (xy 103.177123 39.696214)
+        (xy 103.16229 39.702343) (xy 102.937768 39.926473) (xy 102.720878 39.852566) (xy 102.467734 40.10571) (xy 102.467734 39.599422)
+        (xy 102.39274 39.379343) (xy 102.305429 39.35234) (xy 102.334257 39.3404) (xy 102.452433 39.084177) (xy 101.47606 38.107804)
+        (xy 101.222916 38.360948) (xy 101.222916 37.85466) (xy 100.246543 36.878287) (xy 99.99032 36.996463) (xy 99.774997 37.630357)
+        (xy 99.818646 38.2984) (xy 99.99032 38.712857) (xy 100.246543 38.831033) (xy 101.222916 37.85466) (xy 101.222916 38.360948)
+        (xy 100.499687 39.084177) (xy 100.617863 39.3404) (xy 101.059957 39.490572) (xy 101.022866 39.599422) (xy 101.7453 40.321856)
+        (xy 102.467734 39.599422) (xy 102.467734 40.10571) (xy 101.998444 40.575) (xy 102.720878 41.297434) (xy 102.937973 41.223456)
+        (xy 103.160768 41.44664) (xy 103.538747 41.60359) (xy 103.948017 41.603947) (xy 104.32627 41.447657) (xy 104.61592 41.158512)
+        (xy 104.745164 40.847255) (xy 104.873143 41.15699) (xy 105.162288 41.44664) (xy 105.540267 41.60359) (xy 105.949537 41.603947)
+        (xy 106.32779 41.447657) (xy 106.61744 41.158512) (xy 106.745411 40.850322) (xy 106.872123 41.15699) (xy 107.161268 41.44664)
+        (xy 107.539247 41.60359) (xy 107.948517 41.603947) (xy 108.32677 41.447657) (xy 108.61642 41.158512) (xy 108.77337 40.780533)
+        (xy 108.773727 40.371263) (xy 108.773727 41.927799) (xy 108.749068 41.91756) (xy 108.200857 41.917081) (xy 107.694194 42.12643)
+        (xy 107.306213 42.513735) (xy 107.09598 43.020032) (xy 107.095501 43.568243) (xy 107.30485 44.074906) (xy 107.692155 44.462887)
+        (xy 108.198452 44.67312) (xy 108.746663 44.673599) (xy 109.253326 44.46425) (xy 109.641307 44.076945) (xy 109.85154 43.570648)
+        (xy 109.852019 43.022437) (xy 109.852019 62.393317) (xy 109.724509 62.436969) (xy 109.330855 62.783999) (xy 109.123339 63.216565)
+        (xy 109.226322 63.421) (xy 110.746 63.421) (xy 110.746 63.779) (xy 109.226322 63.779) (xy 109.123339 63.983435)
+        (xy 109.330855 64.416001) (xy 109.724509 64.763031) (xy 110.221 64.933) (xy 110.746 64.933) (xy 110.746 68.467)
+        (xy 110.221 68.467) (xy 109.724509 68.636969) (xy 109.330855 68.983999) (xy 109.123339 69.416565) (xy 109.226322 69.621)
+        (xy 110.746 69.621) (xy 110.746 69.979) (xy 109.226322 69.979) (xy 109.123339 70.183435) (xy 109.330855 70.616001)
+        (xy 109.724509 70.963031) (xy 110.221 71.133) (xy 110.746 71.133) (xy 110.746 74.11296) (xy 110.601544 74.172648)
+        (xy 110.308676 74.465005) (xy 110.149982 74.847184) (xy 110.149621 75.261001) (xy 110.307648 75.643456) (xy 110.600005 75.936324)
+        (xy 110.982184 76.095018) (xy 111.396001 76.095379) (xy 111.778456 75.937352) (xy 112.071324 75.644995) (xy 112.230018 75.262816)
+        (xy 112.230379 74.848999) (xy 112.230379 77.388999) (xy 112.072352 77.006544) (xy 111.779995 76.713676) (xy 111.397816 76.554982)
+        (xy 110.983999 76.554621) (xy 110.601544 76.712648) (xy 110.308676 77.005005) (xy 110.149982 77.387184) (xy 110.149621 77.801001)
+        (xy 110.307648 78.183456) (xy 110.600005 78.476324) (xy 110.982184 78.635018) (xy 111.396001 78.635379) (xy 111.778456 78.477352)
+        (xy 112.071324 78.184995) (xy 112.230018 77.802816) (xy 112.230379 77.388999) (xy 112.230379 84.974737) (xy 111.693942 84.974269)
+        (xy 110.672236 85.396429) (xy 110.013837 86.05368) (xy 110.013837 75.198343) (xy 109.964876 74.665514) (xy 109.855167 74.400651)
+        (xy 109.633909 74.324236) (xy 109.380764 74.57738) (xy 109.380764 74.071091) (xy 109.304349 73.849833) (xy 108.799435 73.693054)
+        (xy 108.799435 46.869943) (xy 108.762062 46.04217) (xy 108.517188 45.450992) (xy 108.219437 45.283708) (xy 107.966292 45.536852)
+        (xy 107.966292 45.030563) (xy 107.799008 44.732812) (xy 107.019943 44.450565) (xy 106.19217 44.487938) (xy 105.600992 44.732812)
+        (xy 105.433708 45.030563) (xy 106.7 46.296856) (xy 107.966292 45.030563) (xy 107.966292 45.536852) (xy 106.953144 46.55)
+        (xy 108.219437 47.816292) (xy 108.517188 47.649008) (xy 108.799435 46.869943) (xy 108.799435 73.693054) (xy 108.793343 73.691163)
+        (xy 108.774435 73.6929) (xy 108.774435 59.819943) (xy 108.737062 58.99217) (xy 108.492188 58.400992) (xy 108.194437 58.233708)
+        (xy 107.966292 58.461852) (xy 107.966292 48.069437) (xy 106.7 46.803144) (xy 106.446856 47.056288) (xy 106.446856 46.55)
+        (xy 105.180563 45.283708) (xy 104.882812 45.450992) (xy 104.600565 46.230057) (xy 104.637938 47.05783) (xy 104.882812 47.649008)
+        (xy 105.180563 47.816292) (xy 106.446856 46.55) (xy 106.446856 47.056288) (xy 105.433708 48.069437) (xy 105.600992 48.367188)
+        (xy 106.380057 48.649435) (xy 107.20783 48.612062) (xy 107.799008 48.367188) (xy 107.966292 48.069437) (xy 107.966292 58.461852)
+        (xy 107.941292 58.486852) (xy 107.941292 57.980563) (xy 107.774008 57.682812) (xy 106.994943 57.400565) (xy 106.16717 57.437938)
+        (xy 105.575992 57.682812) (xy 105.408708 57.980563) (xy 106.675 59.246856) (xy 107.941292 57.980563) (xy 107.941292 58.486852)
+        (xy 106.928144 59.5) (xy 108.194437 60.766292) (xy 108.492188 60.599008) (xy 108.774435 59.819943) (xy 108.774435 73.6929)
+        (xy 108.666888 73.702782) (xy 108.666888 69.949633) (xy 108.589895 69.763296) (xy 108.447454 69.620606) (xy 108.281888 69.551857)
+        (xy 108.281888 67.724633) (xy 108.204895 67.538296) (xy 108.062454 67.395606) (xy 107.941292 67.345295) (xy 107.941292 61.019437)
+        (xy 106.675 59.753144) (xy 106.421856 60.006288) (xy 106.421856 59.5) (xy 105.155563 58.233708) (xy 105.077407 58.277618)
+        (xy 105.077407 49.740598) (xy 105.028266 49.212353) (xy 104.920657 48.95256) (xy 104.700578 48.877566) (xy 104.447434 49.13071)
+        (xy 104.447434 48.624422) (xy 104.37244 48.404343) (xy 103.865598 48.247593) (xy 103.337353 48.296734) (xy 103.177123 48.363102)
+        (xy 103.177123 43.519643) (xy 103.133474 42.8516) (xy 102.9618 42.437143) (xy 102.705577 42.318967) (xy 102.467734 42.55681)
+        (xy 102.467734 41.550578) (xy 101.7453 40.828144) (xy 101.492156 41.081288) (xy 101.492156 40.575) (xy 100.769722 39.852566)
+        (xy 100.549643 39.92756) (xy 100.392893 40.434402) (xy 100.442034 40.962647) (xy 100.549643 41.22244) (xy 100.769722 41.297434)
+        (xy 101.492156 40.575) (xy 101.492156 41.081288) (xy 101.022866 41.550578) (xy 101.052188 41.636627) (xy 101.03232 41.637926)
+        (xy 100.617863 41.8096) (xy 100.499687 42.065823) (xy 101.47606 43.042196) (xy 102.452433 42.065823) (xy 102.334257 41.8096)
+        (xy 102.314733 41.802968) (xy 102.39274 41.770657) (xy 102.467734 41.550578) (xy 102.467734 42.55681) (xy 101.729204 43.29534)
+        (xy 102.705577 44.271713) (xy 102.9618 44.153537) (xy 103.177123 43.519643) (xy 103.177123 48.363102) (xy 103.07756 48.404343)
+        (xy 103.002566 48.624422) (xy 103.725 49.346856) (xy 104.447434 48.624422) (xy 104.447434 49.13071) (xy 103.978144 49.6)
+        (xy 104.700578 50.322434) (xy 104.920657 50.24744) (xy 105.077407 49.740598) (xy 105.077407 58.277618) (xy 104.857812 58.400992)
+        (xy 104.763947 58.66008) (xy 104.763947 51.916263) (xy 104.607657 51.53801) (xy 104.447434 51.377507) (xy 104.447434 50.575578)
+        (xy 103.725 49.853144) (xy 103.471856 50.106288) (xy 103.471856 49.6) (xy 102.749422 48.877566) (xy 102.529343 48.95256)
+        (xy 102.452433 49.201244) (xy 102.452433 44.524857) (xy 101.529093 43.601517) (xy 101.47606 43.548484) (xy 101.222916 43.29534)
+        (xy 101.169883 43.242307) (xy 100.246543 42.318967) (xy 99.99032 42.437143) (xy 99.774997 43.071037) (xy 99.818646 43.73908)
+        (xy 99.99032 44.153537) (xy 100.173955 44.238233) (xy 100.084248 44.327941) (xy 100.226875 44.470568) (xy 99.84217 44.487938)
+        (xy 99.250992 44.732812) (xy 99.083708 45.030563) (xy 100.35 46.296856) (xy 101.616292 45.030563) (xy 101.584871 44.974637)
+        (xy 101.9198 44.952754) (xy 102.334257 44.78108) (xy 102.452433 44.524857) (xy 102.452433 49.201244) (xy 102.449435 49.210937)
+        (xy 102.449435 46.869943) (xy 102.412062 46.04217) (xy 102.167188 45.450992) (xy 101.869437 45.283708) (xy 100.603144 46.55)
+        (xy 101.869437 47.816292) (xy 102.167188 47.649008) (xy 102.449435 46.869943) (xy 102.449435 49.210937) (xy 102.372593 49.459402)
+        (xy 102.421734 49.987647) (xy 102.529343 50.24744) (xy 102.749422 50.322434) (xy 103.471856 49.6) (xy 103.471856 50.106288)
+        (xy 103.002566 50.575578) (xy 103.07756 50.795657) (xy 103.584402 50.952407) (xy 104.112647 50.903266) (xy 104.37244 50.795657)
+        (xy 104.447434 50.575578) (xy 104.447434 51.377507) (xy 104.318512 51.24836) (xy 103.940533 51.09141) (xy 103.531263 51.091053)
+        (xy 103.15301 51.247343) (xy 102.86336 51.536488) (xy 102.70641 51.914467) (xy 102.706053 52.323737) (xy 102.862343 52.70199)
+        (xy 103.151488 52.99164) (xy 103.492134 53.133088) (xy 103.14301 53.277343) (xy 102.85336 53.566488) (xy 102.69641 53.944467)
+        (xy 102.696053 54.353737) (xy 102.852343 54.73199) (xy 103.141488 55.02164) (xy 103.519467 55.17859) (xy 103.928737 55.178947)
+        (xy 104.30699 55.022657) (xy 104.59664 54.733512) (xy 104.75359 54.355533) (xy 104.753947 53.946263) (xy 104.597657 53.56801)
+        (xy 104.308512 53.27836) (xy 103.967865 53.136911) (xy 104.31699 52.992657) (xy 104.60664 52.703512) (xy 104.76359 52.325533)
+        (xy 104.763947 51.916263) (xy 104.763947 58.66008) (xy 104.753947 58.687682) (xy 104.753947 56.496263) (xy 104.597657 56.11801)
+        (xy 104.308512 55.82836) (xy 103.930533 55.67141) (xy 103.521263 55.671053) (xy 103.14301 55.827343) (xy 102.85336 56.116488)
+        (xy 102.69641 56.494467) (xy 102.696053 56.903737) (xy 102.852343 57.28199) (xy 103.141488 57.57164) (xy 103.519467 57.72859)
+        (xy 103.928737 57.728947) (xy 104.30699 57.572657) (xy 104.59664 57.283512) (xy 104.75359 56.905533) (xy 104.753947 56.496263)
+        (xy 104.753947 58.687682) (xy 104.575565 59.180057) (xy 104.612938 60.00783) (xy 104.857812 60.599008) (xy 105.155563 60.766292)
+        (xy 106.421856 59.5) (xy 106.421856 60.006288) (xy 105.408708 61.019437) (xy 105.575992 61.317188) (xy 106.355057 61.599435)
+        (xy 107.18283 61.562062) (xy 107.774008 61.317188) (xy 107.941292 61.019437) (xy 107.941292 67.345295) (xy 107.876251 67.318288)
+        (xy 107.831888 67.318249) (xy 107.831888 64.524633) (xy 107.754895 64.338296) (xy 107.726313 64.309664) (xy 107.781712 64.176251)
+        (xy 107.781888 63.974633) (xy 107.704895 63.788296) (xy 107.562454 63.645606) (xy 107.376251 63.568288) (xy 107.174633 63.568112)
+        (xy 106.988296 63.645105) (xy 106.845606 63.787546) (xy 106.768288 63.973749) (xy 106.768112 64.175367) (xy 106.845105 64.361704)
+        (xy 106.873686 64.390335) (xy 106.818288 64.523749) (xy 106.818112 64.725367) (xy 106.895105 64.911704) (xy 107.037546 65.054394)
+        (xy 107.223749 65.131712) (xy 107.425367 65.131888) (xy 107.611704 65.054895) (xy 107.754394 64.912454) (xy 107.831712 64.726251)
+        (xy 107.831888 64.524633) (xy 107.831888 67.318249) (xy 107.781806 67.318205) (xy 107.781888 67.224633) (xy 107.704895 67.038296)
+        (xy 107.562454 66.895606) (xy 107.376251 66.818288) (xy 107.174633 66.818112) (xy 106.988296 66.895105) (xy 106.845606 67.037546)
+        (xy 106.768288 67.223749) (xy 106.768112 67.425367) (xy 106.845105 67.611704) (xy 106.987546 67.754394) (xy 107.173749 67.831712)
+        (xy 107.268193 67.831794) (xy 107.268112 67.925367) (xy 107.345105 68.111704) (xy 107.487546 68.254394) (xy 107.673749 68.331712)
+        (xy 107.875367 68.331888) (xy 108.061704 68.254895) (xy 108.204394 68.112454) (xy 108.281712 67.926251) (xy 108.281888 67.724633)
+        (xy 108.281888 69.551857) (xy 108.261251 69.543288) (xy 108.059633 69.543112) (xy 107.873296 69.620105) (xy 107.730606 69.762546)
+        (xy 107.653288 69.948749) (xy 107.653112 70.150367) (xy 107.730105 70.336704) (xy 107.872546 70.479394) (xy 108.058749 70.556712)
+        (xy 108.260367 70.556888) (xy 108.446704 70.479895) (xy 108.589394 70.337454) (xy 108.666712 70.151251) (xy 108.666888 69.949633)
+        (xy 108.666888 73.702782) (xy 108.260514 73.740124) (xy 107.995651 73.849833) (xy 107.919236 74.071091) (xy 108.65 74.801856)
+        (xy 109.380764 74.071091) (xy 109.380764 74.57738) (xy 108.903144 75.055) (xy 109.633909 75.785764) (xy 109.855167 75.709349)
+        (xy 110.013837 75.198343) (xy 110.013837 86.05368) (xy 109.889857 86.177444) (xy 109.690379 86.65784) (xy 109.690379 77.388999)
+        (xy 109.532352 77.006544) (xy 109.380764 76.854691) (xy 109.380764 76.038909) (xy 108.65 75.308144) (xy 108.396856 75.561288)
+        (xy 108.396856 75.055) (xy 107.666091 74.324236) (xy 107.444833 74.400651) (xy 107.286163 74.911657) (xy 107.335124 75.444486)
+        (xy 107.444833 75.709349) (xy 107.666091 75.785764) (xy 108.396856 75.055) (xy 108.396856 75.561288) (xy 107.919236 76.038909)
+        (xy 107.995651 76.260167) (xy 108.506657 76.418837) (xy 109.039486 76.369876) (xy 109.304349 76.260167) (xy 109.380764 76.038909)
+        (xy 109.380764 76.854691) (xy 109.239995 76.713676) (xy 108.857816 76.554982) (xy 108.443999 76.554621) (xy 108.061544 76.712648)
+        (xy 107.768676 77.005005) (xy 107.609982 77.387184) (xy 107.609621 77.801001) (xy 107.767648 78.183456) (xy 108.060005 78.476324)
+        (xy 108.442184 78.635018) (xy 108.856001 78.635379) (xy 109.238456 78.477352) (xy 109.531324 78.184995) (xy 109.690018 77.802816)
+        (xy 109.690379 77.388999) (xy 109.690379 86.65784) (xy 109.465914 87.198412) (xy 109.464949 88.303898) (xy 109.887109 89.325604)
+        (xy 110.668124 90.107983) (xy 111.689092 90.531926) (xy 112.794578 90.532891) (xy 113.816284 90.110731) (xy 114.598663 89.329716)
+        (xy 115.022606 88.308748) (xy 115.023571 87.203262) (xy 115.023571 91.44891) (xy 107.150379 91.434022) (xy 107.150379 77.388999)
+        (xy 107.150379 74.848999) (xy 106.992352 74.466544) (xy 106.699995 74.173676) (xy 106.317816 74.014982) (xy 105.903999 74.014621)
+        (xy 105.521544 74.172648) (xy 105.228676 74.465005) (xy 105.069982 74.847184) (xy 105.069621 75.261001) (xy 105.227648 75.643456)
+        (xy 105.520005 75.936324) (xy 105.902184 76.095018) (xy 106.316001 76.095379) (xy 106.698456 75.937352) (xy 106.991324 75.644995)
+        (xy 107.150018 75.262816) (xy 107.150379 74.848999) (xy 107.150379 77.388999) (xy 106.992352 77.006544) (xy 106.699995 76.713676)
+        (xy 106.317816 76.554982) (xy 105.903999 76.554621) (xy 105.521544 76.712648) (xy 105.228676 77.005005) (xy 105.069982 77.387184)
+        (xy 105.069621 77.801001) (xy 105.227648 78.183456) (xy 105.520005 78.476324) (xy 105.902184 78.635018) (xy 106.316001 78.635379)
+        (xy 106.698456 78.477352) (xy 106.991324 78.184995) (xy 107.150018 77.802816) (xy 107.150379 77.388999) (xy 107.150379 91.434022)
+        (xy 106.407007 91.432616) (xy 106.407007 87.357469) (xy 106.355481 86.889896) (xy 106.275678 86.697232) (xy 106.071156 86.641108)
+        (xy 105.818012 86.894252) (xy 105.459064 87.2532) (xy 106.071156 87.865292) (xy 106.275678 87.809168) (xy 106.407007 87.357469)
+        (xy 106.407007 91.432616) (xy 105.818012 91.431502) (xy 105.818012 88.118436) (xy 105.258953 87.559377) (xy 105.20592 87.506344)
+        (xy 104.952776 87.2532) (xy 105.20592 87.000056) (xy 105.258953 86.947023) (xy 105.818012 86.387964) (xy 105.761888 86.183442)
+        (xy 105.310189 86.052113) (xy 105.056888 86.080026) (xy 105.056888 69.074633) (xy 104.979895 68.888296) (xy 104.837454 68.745606)
+        (xy 104.651251 68.668288) (xy 104.449633 68.668112) (xy 104.263296 68.745105) (xy 104.120606 68.887546) (xy 104.043288 69.073749)
+        (xy 104.043199 69.175325) (xy 104.026251 69.168288) (xy 103.824633 69.168112) (xy 103.638296 69.245105) (xy 103.495606 69.387546)
+        (xy 103.418288 69.573749) (xy 103.418112 69.775367) (xy 103.495105 69.961704) (xy 103.637546 70.104394) (xy 103.823749 70.181712)
+        (xy 103.891575 70.181771) (xy 103.738296 70.245105) (xy 103.595606 70.387546) (xy 103.518288 70.573749) (xy 103.518112 70.775367)
+        (xy 103.595105 70.961704) (xy 103.737546 71.104394) (xy 103.923749 71.181712) (xy 104.018193 71.181794) (xy 104.018112 71.275367)
+        (xy 104.095105 71.461704) (xy 104.237546 71.604394) (xy 104.423749 71.681712) (xy 104.625367 71.681888) (xy 104.811704 71.604895)
+        (xy 104.954394 71.462454) (xy 105.031712 71.276251) (xy 105.031888 71.074633) (xy 104.954895 70.888296) (xy 104.812454 70.745606)
+        (xy 104.626251 70.668288) (xy 104.531806 70.668205) (xy 104.531888 70.574633) (xy 104.454895 70.388296) (xy 104.312454 70.245606)
+        (xy 104.126251 70.168288) (xy 104.058424 70.168228) (xy 104.211704 70.104895) (xy 104.354394 69.962454) (xy 104.431712 69.776251)
+        (xy 104.4318 69.674674) (xy 104.448749 69.681712) (xy 104.650367 69.681888) (xy 104.836704 69.604895) (xy 104.979394 69.462454)
+        (xy 105.056712 69.276251) (xy 105.056888 69.074633) (xy 105.056888 86.080026) (xy 104.866848 86.100968) (xy 104.937866 85.929939)
+        (xy 104.938171 85.580793) (xy 104.933837 85.570303) (xy 104.933837 77.738343) (xy 104.884876 77.205514) (xy 104.775167 76.940651)
+        (xy 104.610379 76.883738) (xy 104.610379 74.848999) (xy 104.452352 74.466544) (xy 104.159995 74.173676) (xy 103.777816 74.014982)
+        (xy 103.363999 74.014621) (xy 102.981544 74.172648) (xy 102.688676 74.465005) (xy 102.529982 74.847184) (xy 102.529621 75.261001)
+        (xy 102.687648 75.643456) (xy 102.980005 75.936324) (xy 103.362184 76.095018) (xy 103.776001 76.095379) (xy 104.158456 75.937352)
+        (xy 104.451324 75.644995) (xy 104.610018 75.262816) (xy 104.610379 74.848999) (xy 104.610379 76.883738) (xy 104.553909 76.864236)
+        (xy 104.300764 77.11738) (xy 104.300764 76.611091) (xy 104.224349 76.389833) (xy 103.713343 76.231163) (xy 103.180514 76.280124)
+        (xy 102.915651 76.389833) (xy 102.839236 76.611091) (xy 103.57 77.341856) (xy 104.300764 76.611091) (xy 104.300764 77.11738)
+        (xy 103.823144 77.595) (xy 104.553909 78.325764) (xy 104.775167 78.249349) (xy 104.933837 77.738343) (xy 104.933837 85.570303)
+        (xy 104.80484 85.258107) (xy 104.558172 85.011008) (xy 104.300764 84.904123) (xy 104.300764 78.578909) (xy 103.57 77.848144)
+        (xy 103.316856 78.101288) (xy 103.316856 77.595) (xy 102.586091 76.864236) (xy 102.499435 76.894164) (xy 102.499435 60.144943)
+        (xy 102.462062 59.31717) (xy 102.377407 59.112794) (xy 102.377407 49.734598) (xy 102.328266 49.206353) (xy 102.220657 48.94656)
+        (xy 102.000578 48.871566) (xy 101.747434 49.12471) (xy 101.747434 48.618422) (xy 101.67244 48.398343) (xy 101.46717 48.334859)
+        (xy 101.616292 48.069437) (xy 100.35 46.803144) (xy 100.096856 47.056288) (xy 100.096856 46.55) (xy 98.830563 45.283708)
+        (xy 98.532812 45.450992) (xy 98.250565 46.230057) (xy 98.287938 47.05783) (xy 98.532812 47.649008) (xy 98.830563 47.816292)
+        (xy 100.096856 46.55) (xy 100.096856 47.056288) (xy 99.083708 48.069437) (xy 99.250992 48.367188) (xy 100.030057 48.649435)
+        (xy 100.049626 48.648551) (xy 99.884984 48.813194) (xy 99.970313 48.898523) (xy 99.829343 48.94656) (xy 99.672593 49.453402)
+        (xy 99.721734 49.981647) (xy 99.829343 50.24144) (xy 100.049422 50.316434) (xy 100.718823 49.647033) (xy 100.771856 49.594)
+        (xy 101.025 49.340856) (xy 101.078033 49.287823) (xy 101.747434 48.618422) (xy 101.747434 49.12471) (xy 101.278144 49.594)
+        (xy 102.000578 50.316434) (xy 102.220657 50.24144) (xy 102.377407 49.734598) (xy 102.377407 59.112794) (xy 102.217188 58.725992)
+        (xy 102.078947 58.648324) (xy 102.078947 53.971263) (xy 101.922657 53.59301) (xy 101.633512 53.30336) (xy 101.255533 53.14641)
+        (xy 101.246996 53.146402) (xy 101.60699 52.997657) (xy 101.89664 52.708512) (xy 102.05359 52.330533) (xy 102.053947 51.921263)
+        (xy 101.897657 51.54301) (xy 101.747434 51.392524) (xy 101.747434 50.569578) (xy 101.025 49.847144) (xy 100.771856 50.100288)
+        (xy 100.302566 50.569578) (xy 100.37756 50.789657) (xy 100.884402 50.946407) (xy 101.412647 50.897266) (xy 101.67244 50.789657)
+        (xy 101.747434 50.569578) (xy 101.747434 51.392524) (xy 101.608512 51.25336) (xy 101.230533 51.09641) (xy 100.821263 51.096053)
+        (xy 100.44301 51.252343) (xy 100.15336 51.541488) (xy 99.99641 51.919467) (xy 99.996053 52.328737) (xy 100.152343 52.70699)
+        (xy 100.441488 52.99664) (xy 100.819467 53.15359) (xy 100.828003 53.153597) (xy 100.46801 53.302343) (xy 100.17836 53.591488)
+        (xy 100.02141 53.969467) (xy 100.021053 54.378737) (xy 100.177343 54.75699) (xy 100.466488 55.04664) (xy 100.844467 55.20359)
+        (xy 101.253737 55.203947) (xy 101.63199 55.047657) (xy 101.92164 54.758512) (xy 102.07859 54.380533) (xy 102.078947 53.971263)
+        (xy 102.078947 58.648324) (xy 102.053947 58.634279) (xy 102.053947 56.502263) (xy 101.897657 56.12401) (xy 101.608512 55.83436)
+        (xy 101.230533 55.67741) (xy 100.821263 55.677053) (xy 100.44301 55.833343) (xy 100.15336 56.122488) (xy 99.99641 56.500467)
+        (xy 99.996053 56.909737) (xy 100.152343 57.28799) (xy 100.441488 57.57764) (xy 100.819467 57.73459) (xy 101.228737 57.734947)
+        (xy 101.60699 57.578657) (xy 101.89664 57.289512) (xy 102.05359 56.911533) (xy 102.053947 56.502263) (xy 102.053947 58.634279)
+        (xy 101.919437 58.558708) (xy 101.666292 58.811852) (xy 101.666292 58.305563) (xy 101.499008 58.007812) (xy 100.719943 57.725565)
+        (xy 99.89217 57.762938) (xy 99.300992 58.007812) (xy 99.133708 58.305563) (xy 100.4 59.571856) (xy 101.666292 58.305563)
+        (xy 101.666292 58.811852) (xy 100.653144 59.825) (xy 101.919437 61.091292) (xy 102.217188 60.924008) (xy 102.499435 60.144943)
+        (xy 102.499435 76.894164) (xy 102.364833 76.940651) (xy 102.206163 77.451657) (xy 102.255124 77.984486) (xy 102.364833 78.249349)
+        (xy 102.586091 78.325764) (xy 103.316856 77.595) (xy 103.316856 78.101288) (xy 102.839236 78.578909) (xy 102.915651 78.800167)
+        (xy 103.426657 78.958837) (xy 103.959486 78.909876) (xy 104.224349 78.800167) (xy 104.300764 78.578909) (xy 104.300764 84.904123)
+        (xy 104.235719 84.877114) (xy 103.886573 84.876809) (xy 103.563887 85.01014) (xy 103.316788 85.256808) (xy 103.182894 85.579261)
+        (xy 103.182589 85.928407) (xy 103.263002 86.123023) (xy 103.019109 86.052113) (xy 102.575768 86.100968) (xy 102.646786 85.929939)
+        (xy 102.647091 85.580793) (xy 102.51376 85.258107) (xy 102.267092 85.011008) (xy 101.944639 84.877114) (xy 101.666292 84.87687)
+        (xy 101.666292 61.344437) (xy 100.4 60.078144) (xy 100.146856 60.331288) (xy 100.146856 59.825) (xy 99.128343 58.806487)
+        (xy 99.128343 50.285984) (xy 99.002523 49.981475) (xy 98.76975 49.748296) (xy 98.465462 49.621944) (xy 98.135984 49.621657)
+        (xy 97.831475 49.747477) (xy 97.598296 49.98025) (xy 97.531888 50.140177) (xy 97.531888 32.549633) (xy 97.531888 29.199633)
+        (xy 97.454895 29.013296) (xy 97.312454 28.870606) (xy 97.126251 28.793288) (xy 96.981888 28.793161) (xy 96.981888 26.349633)
+        (xy 96.904895 26.163296) (xy 96.806888 26.065117) (xy 96.806888 25.199633) (xy 96.781888 25.139128) (xy 96.781888 24.099633)
+        (xy 96.704895 23.913296) (xy 96.562454 23.770606) (xy 96.376251 23.693288) (xy 96.174633 23.693112) (xy 95.988296 23.770105)
+        (xy 95.845606 23.912546) (xy 95.768288 24.098749) (xy 95.768112 24.300367) (xy 95.845105 24.486704) (xy 95.987546 24.629394)
+        (xy 96.173749 24.706712) (xy 96.375367 24.706888) (xy 96.561704 24.629895) (xy 96.704394 24.487454) (xy 96.781712 24.301251)
+        (xy 96.781888 24.099633) (xy 96.781888 25.139128) (xy 96.729895 25.013296) (xy 96.587454 24.870606) (xy 96.401251 24.793288)
+        (xy 96.199633 24.793112) (xy 96.013296 24.870105) (xy 95.870606 25.012546) (xy 95.793288 25.198749) (xy 95.793112 25.400367)
+        (xy 95.870105 25.586704) (xy 96.012546 25.729394) (xy 96.198749 25.806712) (xy 96.400367 25.806888) (xy 96.586704 25.729895)
+        (xy 96.729394 25.587454) (xy 96.806712 25.401251) (xy 96.806888 25.199633) (xy 96.806888 26.065117) (xy 96.762454 26.020606)
+        (xy 96.576251 25.943288) (xy 96.374633 25.943112) (xy 96.188296 26.020105) (xy 96.045606 26.162546) (xy 95.968288 26.348749)
+        (xy 95.968112 26.550367) (xy 96.045105 26.736704) (xy 96.187546 26.879394) (xy 96.373749 26.956712) (xy 96.575367 26.956888)
+        (xy 96.761704 26.879895) (xy 96.904394 26.737454) (xy 96.981712 26.551251) (xy 96.981888 26.349633) (xy 96.981888 28.793161)
+        (xy 96.924633 28.793112) (xy 96.738296 28.870105) (xy 96.595606 29.012546) (xy 96.518288 29.198749) (xy 96.518112 29.400367)
+        (xy 96.595105 29.586704) (xy 96.737546 29.729394) (xy 96.923749 29.806712) (xy 97.125367 29.806888) (xy 97.311704 29.729895)
+        (xy 97.454394 29.587454) (xy 97.531712 29.401251) (xy 97.531888 29.199633) (xy 97.531888 32.549633) (xy 97.454895 32.363296)
+        (xy 97.312454 32.220606) (xy 97.126251 32.143288) (xy 96.931888 32.143118) (xy 96.931888 30.949633) (xy 96.854895 30.763296)
+        (xy 96.712454 30.620606) (xy 96.526251 30.543288) (xy 96.324633 30.543112) (xy 96.138296 30.620105) (xy 95.995606 30.762546)
+        (xy 95.918288 30.948749) (xy 95.918112 31.150367) (xy 95.995105 31.336704) (xy 96.137546 31.479394) (xy 96.323749 31.556712)
+        (xy 96.525367 31.556888) (xy 96.711704 31.479895) (xy 96.854394 31.337454) (xy 96.931712 31.151251) (xy 96.931888 30.949633)
+        (xy 96.931888 32.143118) (xy 96.924633 32.143112) (xy 96.738296 32.220105) (xy 96.595606 32.362546) (xy 96.518288 32.548749)
+        (xy 96.518112 32.750367) (xy 96.595105 32.936704) (xy 96.737546 33.079394) (xy 96.923749 33.156712) (xy 97.125367 33.156888)
+        (xy 97.311704 33.079895) (xy 97.454394 32.937454) (xy 97.531712 32.751251) (xy 97.531888 32.549633) (xy 97.531888 50.140177)
+        (xy 97.471944 50.284538) (xy 97.471657 50.614016) (xy 97.597477 50.918525) (xy 97.83025 51.151704) (xy 98.134538 51.278056)
+        (xy 98.464016 51.278343) (xy 98.768525 51.152523) (xy 99.001704 50.91975) (xy 99.128056 50.615462) (xy 99.128343 50.285984)
+        (xy 99.128343 58.806487) (xy 98.880563 58.558708) (xy 98.582812 58.725992) (xy 98.300565 59.505057) (xy 98.337938 60.33283)
+        (xy 98.582812 60.924008) (xy 98.880563 61.091292) (xy 100.146856 59.825) (xy 100.146856 60.331288) (xy 99.133708 61.344437)
+        (xy 99.300992 61.642188) (xy 100.080057 61.924435) (xy 100.90783 61.887062) (xy 101.499008 61.642188) (xy 101.666292 61.344437)
+        (xy 101.666292 84.87687) (xy 101.595493 84.876809) (xy 101.272807 85.01014) (xy 101.025708 85.256808) (xy 100.891814 85.579261)
+        (xy 100.891509 85.928407) (xy 100.971922 86.123023) (xy 100.728029 86.052113) (xy 100.290011 86.100381) (xy 100.360786 85.929939)
+        (xy 100.361091 85.580793) (xy 100.22776 85.258107) (xy 99.981092 85.011008) (xy 99.658639 84.877114) (xy 99.309493 84.876809)
+        (xy 98.986807 85.01014) (xy 98.739708 85.256808) (xy 98.726888 85.287682) (xy 98.726888 83.819633) (xy 98.649895 83.633296)
+        (xy 98.507454 83.490606) (xy 98.321251 83.413288) (xy 98.119633 83.413112) (xy 97.933296 83.490105) (xy 97.790606 83.632546)
+        (xy 97.713288 83.818749) (xy 97.713112 84.020367) (xy 97.790105 84.206704) (xy 97.932546 84.349394) (xy 98.118749 84.426712)
+        (xy 98.320367 84.426888) (xy 98.506704 84.349895) (xy 98.649394 84.207454) (xy 98.726712 84.021251) (xy 98.726888 83.819633)
+        (xy 98.726888 85.287682) (xy 98.605814 85.579261) (xy 98.605509 85.928407) (xy 98.73884 86.251093) (xy 98.985508 86.498192)
+        (xy 99.307961 86.632086) (xy 99.643729 86.632379) (xy 99.675296 86.663946) (xy 99.554002 86.697232) (xy 99.422673 87.148931)
+        (xy 99.474199 87.616504) (xy 99.554002 87.809168) (xy 99.675296 87.842453) (xy 99.643447 87.874302) (xy 99.306953 87.874009)
+        (xy 99.213011 87.912825) (xy 99.213011 87.079393) (xy 99.07968 86.756707) (xy 98.833012 86.509608) (xy 98.510559 86.375714)
+        (xy 98.161413 86.375409) (xy 98.067471 86.414225) (xy 97.838727 86.50874) (xy 97.591628 86.755408) (xy 97.457734 87.077861)
+        (xy 97.457429 87.427007) (xy 97.59076 87.749693) (xy 97.837428 87.996792) (xy 98.159881 88.130686) (xy 98.509027 88.130991)
+        (xy 98.831713 87.99766) (xy 99.078812 87.750992) (xy 99.212706 87.428539) (xy 99.213011 87.079393) (xy 99.213011 87.912825)
+        (xy 98.984267 88.00734) (xy 98.737168 88.254008) (xy 98.603274 88.576461) (xy 98.602969 88.925607) (xy 98.7363 89.248293)
+        (xy 98.982968 89.495392) (xy 99.305421 89.629286) (xy 99.654567 89.629591) (xy 99.977253 89.49626) (xy 100.224352 89.249592)
+        (xy 100.358246 88.927139) (xy 100.358551 88.577993) (xy 100.278483 88.384215) (xy 100.519491 88.454287) (xy 100.963936 88.405309)
+        (xy 100.891814 88.579001) (xy 100.891509 88.928147) (xy 101.02484 89.250833) (xy 101.271508 89.497932) (xy 101.593961 89.631826)
+        (xy 101.943107 89.632131) (xy 102.265793 89.4988) (xy 102.512892 89.252132) (xy 102.646786 88.929679) (xy 102.647091 88.580533)
+        (xy 102.565484 88.383029) (xy 102.810571 88.454287) (xy 102.919712 88.442259) (xy 102.859293 88.650071) (xy 102.910819 89.117644)
+        (xy 102.990622 89.310308) (xy 103.195144 89.366432) (xy 103.754203 88.807373) (xy 103.807236 88.75434) (xy 104.06038 88.501196)
+        (xy 104.313524 88.75434) (xy 104.366557 88.807373) (xy 104.925616 89.366432) (xy 105.130138 89.310308) (xy 105.261467 88.858609)
+        (xy 105.215528 88.441737) (xy 105.569224 88.402761) (xy 105.761888 88.322958) (xy 105.818012 88.118436) (xy 105.818012 91.431502)
+        (xy 104.672472 91.429336) (xy 104.672472 89.619576) (xy 104.06038 89.007484) (xy 103.807236 89.260628) (xy 103.448288 89.619576)
+        (xy 103.504412 89.824098) (xy 103.956111 89.955427) (xy 104.423684 89.903901) (xy 104.616348 89.824098) (xy 104.672472 89.619576)
+        (xy 104.672472 91.429336) (xy 98.067471 91.416846) (xy 98.067471 88.580533) (xy 97.93414 88.257847) (xy 97.687472 88.010748)
+        (xy 97.365019 87.876854) (xy 97.245227 87.876749) (xy 97.026708 87.876558) (xy 96.992603 87.842453) (xy 97.113898 87.809168)
+        (xy 97.245227 87.357469) (xy 97.193701 86.889896) (xy 97.113898 86.697232) (xy 96.992603 86.663946) (xy 97.024455 86.632094)
+        (xy 97.363487 86.632391) (xy 97.686173 86.49906) (xy 97.933272 86.252392) (xy 98.067166 85.929939) (xy 98.067471 85.580793)
+        (xy 97.93414 85.258107) (xy 97.687472 85.011008) (xy 97.365019 84.877114) (xy 97.015873 84.876809) (xy 96.693187 85.01014)
+        (xy 96.446088 85.256808) (xy 96.315688 85.570846) (xy 96.315688 80.239833) (xy 96.238695 80.053496) (xy 96.096254 79.910806)
+        (xy 95.910051 79.833488) (xy 95.708433 79.833312) (xy 95.522096 79.910305) (xy 95.379406 80.052746) (xy 95.302088 80.238949)
+        (xy 95.301912 80.440567) (xy 95.378905 80.626904) (xy 95.521346 80.769594) (xy 95.707549 80.846912) (xy 95.909167 80.847088)
+        (xy 96.095504 80.770095) (xy 96.238194 80.627654) (xy 96.315512 80.441451) (xy 96.315688 80.239833) (xy 96.315688 85.570846)
+        (xy 96.312194 85.579261) (xy 96.311889 85.928407) (xy 96.392302 86.123023) (xy 96.148409 86.052113) (xy 96.042654 86.063767)
+        (xy 96.102227 85.858869) (xy 96.050701 85.391296) (xy 95.970898 85.198632) (xy 95.766376 85.142508) (xy 95.513232 85.395652)
+        (xy 95.513232 84.889364) (xy 95.457108 84.684842) (xy 95.005409 84.553513) (xy 94.537836 84.605039) (xy 94.345172 84.684842)
+        (xy 94.289048 84.889364) (xy 94.90114 85.501456) (xy 95.513232 84.889364) (xy 95.513232 85.395652) (xy 95.207317 85.701567)
+        (xy 95.154284 85.7546) (xy 94.90114 86.007744) (xy 94.848107 86.060777) (xy 94.647996 86.260888) (xy 94.647996 85.7546)
+        (xy 94.035904 85.142508) (xy 93.831382 85.198632) (xy 93.77 85.409752) (xy 93.77 58.051627) (xy 93.722238 57.48826)
+        (xy 93.598534 57.189612) (xy 93.4856 57.147286) (xy 93.4856 31.642489) (xy 93.134718 30.79329) (xy 93.134718 28.239422)
+        (xy 93.030714 27.987712) (xy 92.838301 27.794963) (xy 92.586773 27.690519) (xy 92.314422 27.690282) (xy 92.062712 27.794286)
+        (xy 91.869963 27.986699) (xy 91.765519 28.238227) (xy 91.765282 28.510578) (xy 91.869286 28.762288) (xy 92.061699 28.955037)
+        (xy 92.313227 29.059481) (xy 92.585578 29.059718) (xy 92.837288 28.955714) (xy 93.030037 28.763301) (xy 93.134481 28.511773)
+        (xy 93.134718 28.239422) (xy 93.134718 30.79329) (xy 93.134634 30.793087) (xy 92.485332 30.14265) (xy 91.636543 29.790202)
+        (xy 90.717489 29.7894) (xy 89.868087 30.140366) (xy 89.21765 30.789668) (xy 88.865202 31.638457) (xy 88.8644 32.557511)
+        (xy 89.215366 33.406913) (xy 89.864668 34.05735) (xy 90.713457 34.409798) (xy 91.632511 34.4106) (xy 92.481913 34.059634)
+        (xy 93.13235 33.410332) (xy 93.484798 32.561543) (xy 93.4856 31.642489) (xy 93.4856 57.147286) (xy 93.369405 57.103739)
+        (xy 93.116261 57.356883) (xy 93.116261 56.850595) (xy 93.030388 56.621466) (xy 92.491627 56.45) (xy 91.92826 56.497762)
+        (xy 91.629612 56.621466) (xy 91.543739 56.850595) (xy 92.33 57.636856) (xy 93.116261 56.850595) (xy 93.116261 57.356883)
+        (xy 92.583144 57.89) (xy 93.369405 58.676261) (xy 93.598534 58.590388) (xy 93.77 58.051627) (xy 93.77 85.409752)
+        (xy 93.700053 85.650331) (xy 93.751579 86.117904) (xy 93.831382 86.310568) (xy 94.035904 86.366692) (xy 94.647996 85.7546)
+        (xy 94.647996 86.260888) (xy 94.289048 86.619836) (xy 94.345172 86.824358) (xy 94.796871 86.955687) (xy 94.902625 86.944032)
+        (xy 94.843053 87.148931) (xy 94.894579 87.616504) (xy 94.974382 87.809168) (xy 95.095676 87.842453) (xy 95.061289 87.87684)
+        (xy 94.727333 87.876549) (xy 94.404647 88.00988) (xy 94.157548 88.256548) (xy 94.023654 88.579001) (xy 94.023349 88.928147)
+        (xy 94.15668 89.250833) (xy 94.403348 89.497932) (xy 94.725801 89.631826) (xy 95.074947 89.632131) (xy 95.397633 89.4988)
+        (xy 95.644732 89.252132) (xy 95.778626 88.929679) (xy 95.778931 88.580533) (xy 95.697671 88.383868) (xy 95.939871 88.454287)
+        (xy 96.384316 88.405309) (xy 96.312194 88.579001) (xy 96.311889 88.928147) (xy 96.44522 89.250833) (xy 96.691888 89.497932)
+        (xy 97.014341 89.631826) (xy 97.363487 89.632131) (xy 97.686173 89.4988) (xy 97.933272 89.252132) (xy 98.067166 88.929679)
+        (xy 98.067471 88.580533) (xy 98.067471 91.416846) (xy 93.446593 91.408108) (xy 93.446593 60.208909) (xy 93.276989 59.798437)
+        (xy 92.963215 59.484114) (xy 92.55304 59.313795) (xy 92.361489 59.313627) (xy 92.73174 59.282238) (xy 93.030388 59.158534)
+        (xy 93.116261 58.929405) (xy 92.33 58.143144) (xy 92.076856 58.396288) (xy 92.076856 57.89) (xy 91.290595 57.103739)
+        (xy 91.061466 57.189612) (xy 90.89 57.728373) (xy 90.937762 58.29174) (xy 91.061466 58.590388) (xy 91.290595 58.676261)
+        (xy 92.076856 57.89) (xy 92.076856 58.396288) (xy 91.543739 58.929405) (xy 91.629612 59.158534) (xy 92.116256 59.313413)
+        (xy 92.108909 59.313407) (xy 91.698437 59.483011) (xy 91.384114 59.796785) (xy 91.213795 60.20696) (xy 91.213407 60.651091)
+        (xy 91.383011 61.061563) (xy 91.696785 61.375886) (xy 92.10696 61.546205) (xy 92.551091 61.546593) (xy 92.961563 61.376989)
+        (xy 93.275886 61.063215) (xy 93.446205 60.65304) (xy 93.446593 60.208909) (xy 93.446593 91.408108) (xy 92.073918 91.405512)
+        (xy 92.073918 78.248822) (xy 91.969914 77.997112) (xy 91.777501 77.804363) (xy 91.674718 77.761683) (xy 91.674718 62.054422)
+        (xy 91.570714 61.802712) (xy 91.378301 61.609963) (xy 91.126773 61.505519) (xy 90.854422 61.505282) (xy 90.602712 61.609286)
+        (xy 90.409963 61.801699) (xy 90.305519 62.053227) (xy 90.305282 62.325578) (xy 90.409286 62.577288) (xy 90.601699 62.770037)
+        (xy 90.853227 62.874481) (xy 91.125578 62.874718) (xy 91.377288 62.770714) (xy 91.570037 62.578301) (xy 91.674481 62.326773)
+        (xy 91.674718 62.054422) (xy 91.674718 77.761683) (xy 91.525973 77.699919) (xy 91.253622 77.699682) (xy 91.001912 77.803686)
+        (xy 90.809163 77.996099) (xy 90.704719 78.247627) (xy 90.704482 78.519978) (xy 90.808486 78.771688) (xy 91.000899 78.964437)
+        (xy 91.252427 79.068881) (xy 91.524778 79.069118) (xy 91.776488 78.965114) (xy 91.969237 78.772701) (xy 92.073681 78.521173)
+        (xy 92.073918 78.248822) (xy 92.073918 91.405512) (xy 90.626088 91.402775) (xy 90.626088 80.595433) (xy 90.549095 80.409096)
+        (xy 90.406654 80.266406) (xy 90.220451 80.189088) (xy 90.0566 80.188944) (xy 90.0566 61.708957) (xy 90.0566 60.081043)
+        (xy 89.968031 59.866689) (xy 89.804174 59.702546) (xy 89.751868 59.680826) (xy 89.751868 58.161575) (xy 89.704718 58.047462)
+        (xy 89.704718 46.494422) (xy 89.600714 46.242712) (xy 89.584718 46.226688) (xy 89.584718 42.414422) (xy 89.480714 42.162712)
+        (xy 89.288301 41.969963) (xy 89.036773 41.865519) (xy 88.764422 41.865282) (xy 88.512712 41.969286) (xy 88.458848 42.023056)
+        (xy 88.458848 40.630833) (xy 88.381855 40.444496) (xy 88.239414 40.301806) (xy 88.053211 40.224488) (xy 87.851593 40.224312)
+        (xy 87.665256 40.301305) (xy 87.522566 40.443746) (xy 87.445248 40.629949) (xy 87.445072 40.831567) (xy 87.522065 41.017904)
+        (xy 87.664506 41.160594) (xy 87.850709 41.237912) (xy 88.052327 41.238088) (xy 88.238664 41.161095) (xy 88.381354 41.018654)
+        (xy 88.458672 40.832451) (xy 88.458848 40.630833) (xy 88.458848 42.023056) (xy 88.319963 42.161699) (xy 88.215519 42.413227)
+        (xy 88.215282 42.685578) (xy 88.319286 42.937288) (xy 88.457508 43.075751) (xy 88.395606 43.137546) (xy 88.318288 43.323749)
+        (xy 88.318112 43.525367) (xy 88.395105 43.711704) (xy 88.537546 43.854394) (xy 88.723749 43.931712) (xy 88.925367 43.931888)
+        (xy 89.111704 43.854895) (xy 89.254394 43.712454) (xy 89.331712 43.526251) (xy 89.331888 43.324633) (xy 89.256942 43.143252)
+        (xy 89.287288 43.130714) (xy 89.480037 42.938301) (xy 89.584481 42.686773) (xy 89.584718 42.414422) (xy 89.584718 46.226688)
+        (xy 89.408301 46.049963) (xy 89.156773 45.945519) (xy 88.884422 45.945282) (xy 88.632712 46.049286) (xy 88.439963 46.241699)
+        (xy 88.335519 46.493227) (xy 88.335282 46.765578) (xy 88.439286 47.017288) (xy 88.631699 47.210037) (xy 88.883227 47.314481)
+        (xy 89.155578 47.314718) (xy 89.407288 47.210714) (xy 89.600037 47.018301) (xy 89.704481 46.766773) (xy 89.704718 46.494422)
+        (xy 89.704718 58.047462) (xy 89.603488 57.802467) (xy 89.328978 57.527477) (xy 89.136888 57.447714) (xy 89.136888 48.379633)
+        (xy 89.059895 48.193296) (xy 88.917454 48.050606) (xy 88.731251 47.973288) (xy 88.529633 47.973112) (xy 88.343296 48.050105)
+        (xy 88.200606 48.192546) (xy 88.123288 48.378749) (xy 88.123112 48.580367) (xy 88.200105 48.766704) (xy 88.342546 48.909394)
+        (xy 88.528749 48.986712) (xy 88.730367 48.986888) (xy 88.916704 48.909895) (xy 89.059394 48.767454) (xy 89.136712 48.581251)
+        (xy 89.136888 48.379633) (xy 89.136888 57.447714) (xy 88.97013 57.378471) (xy 88.581575 57.378132) (xy 88.556888 57.388332)
+        (xy 88.556888 56.349633) (xy 88.479895 56.163296) (xy 88.337454 56.020606) (xy 88.253343 55.98568) (xy 88.253343 53.435984)
+        (xy 88.127523 53.131475) (xy 87.89475 52.898296) (xy 87.590462 52.771944) (xy 87.260984 52.771657) (xy 87.016888 52.872514)
+        (xy 87.016888 39.069633) (xy 86.939895 38.883296) (xy 86.797454 38.740606) (xy 86.611251 38.663288) (xy 86.409633 38.663112)
+        (xy 86.223296 38.740105) (xy 86.080606 38.882546) (xy 86.003288 39.068749) (xy 86.003112 39.270367) (xy 86.080105 39.456704)
+        (xy 86.222546 39.599394) (xy 86.408749 39.676712) (xy 86.610367 39.676888) (xy 86.796704 39.599895) (xy 86.939394 39.457454)
+        (xy 87.016712 39.271251) (xy 87.016888 39.069633) (xy 87.016888 52.872514) (xy 86.956475 52.897477) (xy 86.723296 53.13025)
+        (xy 86.596944 53.434538) (xy 86.596657 53.764016) (xy 86.722477 54.068525) (xy 86.95525 54.301704) (xy 87.259538 54.428056)
+        (xy 87.589016 54.428343) (xy 87.893525 54.302523) (xy 88.126704 54.06975) (xy 88.253056 53.765462) (xy 88.253343 53.435984)
+        (xy 88.253343 55.98568) (xy 88.151251 55.943288) (xy 87.949633 55.943112) (xy 87.763296 56.020105) (xy 87.620606 56.162546)
+        (xy 87.543288 56.348749) (xy 87.543112 56.550367) (xy 87.620105 56.736704) (xy 87.762546 56.879394) (xy 87.948749 56.956712)
+        (xy 88.150367 56.956888) (xy 88.336704 56.879895) (xy 88.479394 56.737454) (xy 88.556712 56.551251) (xy 88.556888 56.349633)
+        (xy 88.556888 57.388332) (xy 88.222467 57.526512) (xy 87.947477 57.801022) (xy 87.798471 58.15987) (xy 87.798132 58.548425)
+        (xy 87.946512 58.907533) (xy 88.221022 59.182523) (xy 88.57987 59.331529) (xy 88.968425 59.331868) (xy 89.327533 59.183488)
+        (xy 89.602523 58.908978) (xy 89.751529 58.55013) (xy 89.751868 58.161575) (xy 89.751868 59.680826) (xy 89.589974 59.613602)
+        (xy 89.358043 59.6134) (xy 89.09975 59.6135) (xy 88.954 59.75925) (xy 88.954 60.716) (xy 89.91075 60.716)
+        (xy 90.0565 60.57025) (xy 90.0566 60.081043) (xy 90.0566 61.708957) (xy 90.0565 61.21975) (xy 89.91075 61.074)
+        (xy 88.954 61.074) (xy 88.954 62.03075) (xy 89.09975 62.1765) (xy 89.358043 62.1766) (xy 89.589974 62.176398)
+        (xy 89.804174 62.087454) (xy 89.968031 61.923311) (xy 90.0566 61.708957) (xy 90.0566 80.188944) (xy 90.018833 80.188912)
+        (xy 89.832496 80.265905) (xy 89.689806 80.408346) (xy 89.660888 80.477988) (xy 89.660888 79.630233) (xy 89.583895 79.443896)
+        (xy 89.441454 79.301206) (xy 89.255251 79.223888) (xy 89.053633 79.223712) (xy 88.867296 79.300705) (xy 88.724606 79.443146)
+        (xy 88.647288 79.629349) (xy 88.647112 79.830967) (xy 88.724105 80.017304) (xy 88.866546 80.159994) (xy 89.052749 80.237312)
+        (xy 89.254367 80.237488) (xy 89.440704 80.160495) (xy 89.583394 80.018054) (xy 89.660712 79.831851) (xy 89.660888 79.630233)
+        (xy 89.660888 80.477988) (xy 89.612488 80.594549) (xy 89.612312 80.796167) (xy 89.689305 80.982504) (xy 89.831746 81.125194)
+        (xy 90.017949 81.202512) (xy 90.219567 81.202688) (xy 90.405904 81.125695) (xy 90.548594 80.983254) (xy 90.625912 80.797051)
+        (xy 90.626088 80.595433) (xy 90.626088 91.402775) (xy 90.035051 91.401657) (xy 90.035051 87.203262) (xy 89.612891 86.181556)
+        (xy 88.831876 85.399177) (xy 88.596 85.301232) (xy 88.596 62.03075) (xy 88.596 61.074) (xy 88.596 60.716)
+        (xy 88.596 59.75925) (xy 88.45025 59.6135) (xy 88.191957 59.6134) (xy 87.960026 59.613602) (xy 87.745826 59.702546)
+        (xy 87.581969 59.866689) (xy 87.4934 60.081043) (xy 87.4935 60.57025) (xy 87.63925 60.716) (xy 88.596 60.716)
+        (xy 88.596 61.074) (xy 87.63925 61.074) (xy 87.4935 61.21975) (xy 87.4934 61.708957) (xy 87.581969 61.923311)
+        (xy 87.745826 62.087454) (xy 87.960026 62.176398) (xy 88.191957 62.1766) (xy 88.45025 62.1765) (xy 88.596 62.03075)
+        (xy 88.596 85.301232) (xy 88.231888 85.15004) (xy 88.231888 67.499633) (xy 88.154895 67.313296) (xy 88.012454 67.170606)
+        (xy 87.826251 67.093288) (xy 87.624633 67.093112) (xy 87.438296 67.170105) (xy 87.295606 67.312546) (xy 87.218288 67.498749)
+        (xy 87.218233 67.56072) (xy 87.176251 67.543288) (xy 86.974633 67.543112) (xy 86.788296 67.620105) (xy 86.645606 67.762546)
+        (xy 86.622869 67.817301) (xy 86.579895 67.713296) (xy 86.437454 67.570606) (xy 86.251251 67.493288) (xy 86.049633 67.493112)
+        (xy 85.906888 67.552093) (xy 85.906888 59.899633) (xy 85.829895 59.713296) (xy 85.716724 59.599927) (xy 85.829394 59.487454)
+        (xy 85.906712 59.301251) (xy 85.906888 59.099633) (xy 85.829895 58.913296) (xy 85.716724 58.799927) (xy 85.829394 58.687454)
+        (xy 85.906712 58.501251) (xy 85.906888 58.299633) (xy 85.829895 58.113296) (xy 85.716724 57.999927) (xy 85.829394 57.887454)
+        (xy 85.906712 57.701251) (xy 85.906888 57.499633) (xy 85.884718 57.445977) (xy 85.884718 34.414422) (xy 85.860379 34.355516)
+        (xy 85.860379 31.903999) (xy 85.860379 29.363999) (xy 85.860379 26.823999) (xy 85.860379 24.283999) (xy 85.702352 23.901544)
+        (xy 85.409995 23.608676) (xy 85.027816 23.449982) (xy 84.613999 23.449621) (xy 84.231544 23.607648) (xy 83.938676 23.900005)
+        (xy 83.779982 24.282184) (xy 83.779621 24.696001) (xy 83.937648 25.078456) (xy 84.230005 25.371324) (xy 84.612184 25.530018)
+        (xy 85.026001 25.530379) (xy 85.408456 25.372352) (xy 85.701324 25.079995) (xy 85.860018 24.697816) (xy 85.860379 24.283999)
+        (xy 85.860379 26.823999) (xy 85.702352 26.441544) (xy 85.409995 26.148676) (xy 85.027816 25.989982) (xy 84.613999 25.989621)
+        (xy 84.231544 26.147648) (xy 83.938676 26.440005) (xy 83.779982 26.822184) (xy 83.779621 27.236001) (xy 83.937648 27.618456)
+        (xy 84.230005 27.911324) (xy 84.612184 28.070018) (xy 85.026001 28.070379) (xy 85.408456 27.912352) (xy 85.701324 27.619995)
+        (xy 85.860018 27.237816) (xy 85.860379 26.823999) (xy 85.860379 29.363999) (xy 85.702352 28.981544) (xy 85.409995 28.688676)
+        (xy 85.027816 28.529982) (xy 84.613999 28.529621) (xy 84.231544 28.687648) (xy 83.938676 28.980005) (xy 83.779982 29.362184)
+        (xy 83.779621 29.776001) (xy 83.937648 30.158456) (xy 84.230005 30.451324) (xy 84.612184 30.610018) (xy 85.026001 30.610379)
+        (xy 85.408456 30.452352) (xy 85.701324 30.159995) (xy 85.860018 29.777816) (xy 85.860379 29.363999) (xy 85.860379 31.903999)
+        (xy 85.702352 31.521544) (xy 85.409995 31.228676) (xy 85.027816 31.069982) (xy 84.613999 31.069621) (xy 84.231544 31.227648)
+        (xy 83.938676 31.520005) (xy 83.779982 31.902184) (xy 83.779621 32.316001) (xy 83.937648 32.698456) (xy 84.230005 32.991324)
+        (xy 84.612184 33.150018) (xy 85.026001 33.150379) (xy 85.408456 32.992352) (xy 85.701324 32.699995) (xy 85.860018 32.317816)
+        (xy 85.860379 31.903999) (xy 85.860379 34.355516) (xy 85.780714 34.162712) (xy 85.588301 33.969963) (xy 85.336773 33.865519)
+        (xy 85.064422 33.865282) (xy 84.812712 33.969286) (xy 84.619963 34.161699) (xy 84.515519 34.413227) (xy 84.515282 34.685578)
+        (xy 84.619286 34.937288) (xy 84.811699 35.130037) (xy 85.063227 35.234481) (xy 85.335578 35.234718) (xy 85.587288 35.130714)
+        (xy 85.780037 34.938301) (xy 85.884481 34.686773) (xy 85.884718 34.414422) (xy 85.884718 57.445977) (xy 85.829895 57.313296)
+        (xy 85.687454 57.170606) (xy 85.501251 57.093288) (xy 85.299633 57.093112) (xy 85.113296 57.170105) (xy 84.999927 57.283275)
+        (xy 84.887454 57.170606) (xy 84.701251 57.093288) (xy 84.631888 57.093227) (xy 84.631888 48.374633) (xy 84.554895 48.188296)
+        (xy 84.412454 48.045606) (xy 84.226251 47.968288) (xy 84.024633 47.968112) (xy 83.838296 48.045105) (xy 83.695606 48.187546)
+        (xy 83.618288 48.373749) (xy 83.618112 48.575367) (xy 83.695105 48.761704) (xy 83.837546 48.904394) (xy 84.023749 48.981712)
+        (xy 84.225367 48.981888) (xy 84.411704 48.904895) (xy 84.554394 48.762454) (xy 84.631712 48.576251) (xy 84.631888 48.374633)
+        (xy 84.631888 57.093227) (xy 84.499633 57.093112) (xy 84.313296 57.170105) (xy 84.170606 57.312546) (xy 84.093288 57.498749)
+        (xy 84.093112 57.700367) (xy 84.170105 57.886704) (xy 84.283275 58.000072) (xy 84.170606 58.112546) (xy 84.093288 58.298749)
+        (xy 84.093112 58.500367) (xy 84.170105 58.686704) (xy 84.283275 58.800072) (xy 84.170606 58.912546) (xy 84.093288 59.098749)
+        (xy 84.093112 59.300367) (xy 84.170105 59.486704) (xy 84.283275 59.600072) (xy 84.170606 59.712546) (xy 84.093288 59.898749)
+        (xy 84.093112 60.100367) (xy 84.170105 60.286704) (xy 84.312546 60.429394) (xy 84.498749 60.506712) (xy 84.700367 60.506888)
+        (xy 84.886704 60.429895) (xy 85.000072 60.316724) (xy 85.112546 60.429394) (xy 85.298749 60.506712) (xy 85.500367 60.506888)
+        (xy 85.686704 60.429895) (xy 85.829394 60.287454) (xy 85.906712 60.101251) (xy 85.906888 59.899633) (xy 85.906888 67.552093)
+        (xy 85.863296 67.570105) (xy 85.720606 67.712546) (xy 85.643288 67.898749) (xy 85.643112 68.100367) (xy 85.720105 68.286704)
+        (xy 85.833275 68.400072) (xy 85.720606 68.512546) (xy 85.643288 68.698749) (xy 85.643112 68.900367) (xy 85.720105 69.086704)
+        (xy 85.862546 69.229394) (xy 86.048749 69.306712) (xy 86.250367 69.306888) (xy 86.436704 69.229895) (xy 86.579394 69.087454)
+        (xy 86.622841 68.982821) (xy 86.645105 69.036704) (xy 86.787546 69.179394) (xy 86.973749 69.256712) (xy 87.175367 69.256888)
+        (xy 87.361704 69.179895) (xy 87.504394 69.037454) (xy 87.581712 68.851251) (xy 87.581888 68.649633) (xy 87.504895 68.463296)
+        (xy 87.441724 68.400014) (xy 87.504394 68.337454) (xy 87.581712 68.151251) (xy 87.581766 68.089279) (xy 87.623749 68.106712)
+        (xy 87.825367 68.106888) (xy 88.011704 68.029895) (xy 88.154394 67.887454) (xy 88.231712 67.701251) (xy 88.231888 67.499633)
+        (xy 88.231888 85.15004) (xy 87.810908 84.975234) (xy 87.527288 84.974986) (xy 87.527288 79.858833) (xy 87.450295 79.672496)
+        (xy 87.307854 79.529806) (xy 87.121651 79.452488) (xy 86.920033 79.452312) (xy 86.733696 79.529305) (xy 86.591006 79.671746)
+        (xy 86.513688 79.857949) (xy 86.513512 80.059567) (xy 86.590505 80.245904) (xy 86.732946 80.388594) (xy 86.919149 80.465912)
+        (xy 87.120767 80.466088) (xy 87.307104 80.389095) (xy 87.449794 80.246654) (xy 87.527112 80.060451) (xy 87.527288 79.858833)
+        (xy 87.527288 84.974986) (xy 86.705422 84.974269) (xy 85.683716 85.396429) (xy 85.106888 85.972251) (xy 85.106888 73.499633)
+        (xy 85.029895 73.313296) (xy 84.916724 73.199927) (xy 85.029394 73.087454) (xy 85.106712 72.901251) (xy 85.106888 72.699633)
+        (xy 85.106888 71.099633) (xy 85.106888 67.899633) (xy 85.029895 67.713296) (xy 84.916724 67.599927) (xy 85.029394 67.487454)
+        (xy 85.106712 67.301251) (xy 85.106888 67.099633) (xy 85.029895 66.913296) (xy 84.916724 66.799927) (xy 85.029394 66.687454)
+        (xy 85.106712 66.501251) (xy 85.106888 66.299633) (xy 85.029895 66.113296) (xy 84.887454 65.970606) (xy 84.701251 65.893288)
+        (xy 84.499633 65.893112) (xy 84.313296 65.970105) (xy 84.199927 66.083275) (xy 84.116724 65.999927) (xy 84.229394 65.887454)
+        (xy 84.306712 65.701251) (xy 84.306888 65.499633) (xy 84.229895 65.313296) (xy 84.116724 65.199927) (xy 84.229394 65.087454)
+        (xy 84.306712 64.901251) (xy 84.306888 64.699633) (xy 84.229895 64.513296) (xy 84.116724 64.399927) (xy 84.229394 64.287454)
+        (xy 84.306712 64.101251) (xy 84.306888 63.899633) (xy 84.229895 63.713296) (xy 84.087454 63.570606) (xy 83.901251 63.493288)
+        (xy 83.699633 63.493112) (xy 83.513296 63.570105) (xy 83.399927 63.683275) (xy 83.316724 63.599927) (xy 83.429394 63.487454)
+        (xy 83.506712 63.301251) (xy 83.506888 63.099633) (xy 83.429895 62.913296) (xy 83.320379 62.803588) (xy 83.320379 31.903999)
+        (xy 83.320379 29.363999) (xy 83.320379 26.823999) (xy 83.320248 26.823681) (xy 83.320248 25.196905) (xy 83.320248 23.672905)
+        (xy 83.277984 23.570618) (xy 83.199793 23.492291) (xy 83.09758 23.449848) (xy 82.986905 23.449752) (xy 81.462905 23.449752)
+        (xy 81.360618 23.492016) (xy 81.282291 23.570207) (xy 81.239848 23.67242) (xy 81.239752 23.783095) (xy 81.239752 25.307095)
+        (xy 81.282016 25.409382) (xy 81.360207 25.487709) (xy 81.46242 25.530152) (xy 81.573095 25.530248) (xy 83.097095 25.530248)
+        (xy 83.199382 25.487984) (xy 83.277709 25.409793) (xy 83.320152 25.30758) (xy 83.320248 25.196905) (xy 83.320248 26.823681)
+        (xy 83.162352 26.441544) (xy 82.869995 26.148676) (xy 82.487816 25.989982) (xy 82.073999 25.989621) (xy 81.691544 26.147648)
+        (xy 81.398676 26.440005) (xy 81.239982 26.822184) (xy 81.239621 27.236001) (xy 81.397648 27.618456) (xy 81.690005 27.911324)
+        (xy 82.072184 28.070018) (xy 82.486001 28.070379) (xy 82.868456 27.912352) (xy 83.161324 27.619995) (xy 83.320018 27.237816)
+        (xy 83.320379 26.823999) (xy 83.320379 29.363999) (xy 83.162352 28.981544) (xy 82.869995 28.688676) (xy 82.487816 28.529982)
+        (xy 82.073999 28.529621) (xy 81.691544 28.687648) (xy 81.398676 28.980005) (xy 81.239982 29.362184) (xy 81.239621 29.776001)
+        (xy 81.397648 30.158456) (xy 81.690005 30.451324) (xy 82.072184 30.610018) (xy 82.486001 30.610379) (xy 82.868456 30.452352)
+        (xy 83.161324 30.159995) (xy 83.320018 29.777816) (xy 83.320379 29.363999) (xy 83.320379 31.903999) (xy 83.162352 31.521544)
+        (xy 82.869995 31.228676) (xy 82.487816 31.069982) (xy 82.073999 31.069621) (xy 81.691544 31.227648) (xy 81.398676 31.520005)
+        (xy 81.239982 31.902184) (xy 81.239621 32.316001) (xy 81.397648 32.698456) (xy 81.690005 32.991324) (xy 82.072184 33.150018)
+        (xy 82.486001 33.150379) (xy 82.868456 32.992352) (xy 83.161324 32.699995) (xy 83.320018 32.317816) (xy 83.320379 31.903999)
+        (xy 83.320379 62.803588) (xy 83.287454 62.770606) (xy 83.101251 62.693288) (xy 82.899633 62.693112) (xy 82.713296 62.770105)
+        (xy 82.706888 62.776501) (xy 82.706888 60.699633) (xy 82.629895 60.513296) (xy 82.487454 60.370606) (xy 82.301251 60.293288)
+        (xy 82.156888 60.293161) (xy 82.156888 39.674633) (xy 82.079895 39.488296) (xy 81.937454 39.345606) (xy 81.751251 39.268288)
+        (xy 81.549633 39.268112) (xy 81.363296 39.345105) (xy 81.220606 39.487546) (xy 81.143288 39.673749) (xy 81.143112 39.875367)
+        (xy 81.220105 40.061704) (xy 81.362546 40.204394) (xy 81.548749 40.281712) (xy 81.750367 40.281888) (xy 81.936704 40.204895)
+        (xy 82.079394 40.062454) (xy 82.156712 39.876251) (xy 82.156888 39.674633) (xy 82.156888 60.293161) (xy 82.099633 60.293112)
+        (xy 81.913296 60.370105) (xy 81.770606 60.512546) (xy 81.693288 60.698749) (xy 81.693112 60.900367) (xy 81.770105 61.086704)
+        (xy 81.912546 61.229394) (xy 82.098749 61.306712) (xy 82.300367 61.306888) (xy 82.486704 61.229895) (xy 82.629394 61.087454)
+        (xy 82.706712 60.901251) (xy 82.706888 60.699633) (xy 82.706888 62.776501) (xy 82.570606 62.912546) (xy 82.493288 63.098749)
+        (xy 82.493112 63.300367) (xy 82.570105 63.486704) (xy 82.683275 63.600072) (xy 82.570606 63.712546) (xy 82.493288 63.898749)
+        (xy 82.493112 64.100367) (xy 82.570105 64.286704) (xy 82.683275 64.400072) (xy 82.570606 64.512546) (xy 82.493288 64.698749)
+        (xy 82.493112 64.900367) (xy 82.570105 65.086704) (xy 82.683275 65.200072) (xy 82.570606 65.312546) (xy 82.493288 65.498749)
+        (xy 82.493112 65.700367) (xy 82.570105 65.886704) (xy 82.712546 66.029394) (xy 82.898749 66.106712) (xy 83.100367 66.106888)
+        (xy 83.286704 66.029895) (xy 83.400072 65.916724) (xy 83.483275 66.000072) (xy 83.370606 66.112546) (xy 83.293288 66.298749)
+        (xy 83.293112 66.500367) (xy 83.370105 66.686704) (xy 83.483275 66.800072) (xy 83.370606 66.912546) (xy 83.293288 67.098749)
+        (xy 83.293112 67.300367) (xy 83.370105 67.486704) (xy 83.483275 67.600072) (xy 83.370606 67.712546) (xy 83.293288 67.898749)
+        (xy 83.293112 68.100367) (xy 83.370105 68.286704) (xy 83.512546 68.429394) (xy 83.698749 68.506712) (xy 83.900367 68.506888)
+        (xy 84.086704 68.429895) (xy 84.200072 68.316724) (xy 84.312546 68.429394) (xy 84.498749 68.506712) (xy 84.700367 68.506888)
+        (xy 84.886704 68.429895) (xy 85.029394 68.287454) (xy 85.106712 68.101251) (xy 85.106888 67.899633) (xy 85.106888 71.099633)
+        (xy 85.029895 70.913296) (xy 84.887454 70.770606) (xy 84.701251 70.693288) (xy 84.499633 70.693112) (xy 84.313296 70.770105)
+        (xy 84.170606 70.912546) (xy 84.093288 71.098749) (xy 84.093112 71.300367) (xy 84.170105 71.486704) (xy 84.312546 71.629394)
+        (xy 84.498749 71.706712) (xy 84.700367 71.706888) (xy 84.886704 71.629895) (xy 85.029394 71.487454) (xy 85.106712 71.301251)
+        (xy 85.106888 71.099633) (xy 85.106888 72.699633) (xy 85.029895 72.513296) (xy 84.887454 72.370606) (xy 84.701251 72.293288)
+        (xy 84.499633 72.293112) (xy 84.313296 72.370105) (xy 84.306888 72.376501) (xy 84.306888 71.899633) (xy 84.229895 71.713296)
+        (xy 84.087454 71.570606) (xy 83.901251 71.493288) (xy 83.699633 71.493112) (xy 83.513296 71.570105) (xy 83.506888 71.576501)
+        (xy 83.506888 71.099633) (xy 83.429895 70.913296) (xy 83.287454 70.770606) (xy 83.101251 70.693288) (xy 82.899633 70.693112)
+        (xy 82.713296 70.770105) (xy 82.570606 70.912546) (xy 82.556888 70.945582) (xy 82.556888 68.799633) (xy 82.479895 68.613296)
+        (xy 82.406888 68.540161) (xy 82.406888 66.899633) (xy 82.329895 66.713296) (xy 82.187454 66.570606) (xy 82.001251 66.493288)
+        (xy 81.799633 66.493112) (xy 81.613296 66.570105) (xy 81.470606 66.712546) (xy 81.393288 66.898749) (xy 81.393112 67.100367)
+        (xy 81.470105 67.286704) (xy 81.612546 67.429394) (xy 81.798749 67.506712) (xy 82.000367 67.506888) (xy 82.186704 67.429895)
+        (xy 82.329394 67.287454) (xy 82.406712 67.101251) (xy 82.406888 66.899633) (xy 82.406888 68.540161) (xy 82.337454 68.470606)
+        (xy 82.151251 68.393288) (xy 81.949633 68.393112) (xy 81.763296 68.470105) (xy 81.620606 68.612546) (xy 81.543288 68.798749)
+        (xy 81.543112 69.000367) (xy 81.620105 69.186704) (xy 81.762546 69.329394) (xy 81.948749 69.406712) (xy 82.150367 69.406888)
+        (xy 82.336704 69.329895) (xy 82.479394 69.187454) (xy 82.556712 69.001251) (xy 82.556888 68.799633) (xy 82.556888 70.945582)
+        (xy 82.493288 71.098749) (xy 82.493112 71.300367) (xy 82.570105 71.486704) (xy 82.712546 71.629394) (xy 82.898749 71.706712)
+        (xy 83.100367 71.706888) (xy 83.286704 71.629895) (xy 83.429394 71.487454) (xy 83.506712 71.301251) (xy 83.506888 71.099633)
+        (xy 83.506888 71.576501) (xy 83.370606 71.712546) (xy 83.293288 71.898749) (xy 83.293112 72.100367) (xy 83.370105 72.286704)
+        (xy 83.512546 72.429394) (xy 83.698749 72.506712) (xy 83.900367 72.506888) (xy 84.086704 72.429895) (xy 84.229394 72.287454)
+        (xy 84.306712 72.101251) (xy 84.306888 71.899633) (xy 84.306888 72.376501) (xy 84.170606 72.512546) (xy 84.093288 72.698749)
+        (xy 84.093112 72.900367) (xy 84.170105 73.086704) (xy 84.283275 73.200072) (xy 84.170606 73.312546) (xy 84.093288 73.498749)
+        (xy 84.093112 73.700367) (xy 84.170105 73.886704) (xy 84.312546 74.029394) (xy 84.498749 74.106712) (xy 84.700367 74.106888)
+        (xy 84.886704 74.029895) (xy 85.029394 73.887454) (xy 85.106712 73.701251) (xy 85.106888 73.499633) (xy 85.106888 85.972251)
+        (xy 84.901337 86.177444) (xy 84.477394 87.198412) (xy 84.476429 88.303898) (xy 84.898589 89.325604) (xy 85.679604 90.107983)
+        (xy 86.700572 90.531926) (xy 87.806058 90.532891) (xy 88.827764 90.110731) (xy 89.610143 89.329716) (xy 90.034086 88.308748)
+        (xy 90.035051 87.203262) (xy 90.035051 91.401657) (xy 84.306888 91.390825) (xy 84.306888 74.299633) (xy 84.229895 74.113296)
+        (xy 84.087454 73.970606) (xy 83.901251 73.893288) (xy 83.699633 73.893112) (xy 83.513296 73.970105) (xy 83.370606 74.112546)
+        (xy 83.293288 74.298749) (xy 83.293112 74.500367) (xy 83.370105 74.686704) (xy 83.512546 74.829394) (xy 83.698749 74.906712)
+        (xy 83.900367 74.906888) (xy 84.086704 74.829895) (xy 84.229394 74.687454) (xy 84.306712 74.501251) (xy 84.306888 74.299633)
+        (xy 84.306888 91.390825) (xy 84.013837 91.390271) (xy 84.013837 80.588343) (xy 83.964876 80.055514) (xy 83.855167 79.790651)
+        (xy 83.690248 79.733693) (xy 83.690248 78.611905) (xy 83.690248 77.087905) (xy 83.647984 76.985618) (xy 83.569793 76.907291)
+        (xy 83.46758 76.864848) (xy 83.356905 76.864752) (xy 82.706888 76.864752) (xy 82.706888 75.099633) (xy 82.629895 74.913296)
+        (xy 82.487454 74.770606) (xy 82.301251 74.693288) (xy 82.099633 74.693112) (xy 81.913296 74.770105) (xy 81.770606 74.912546)
+        (xy 81.693288 75.098749) (xy 81.693112 75.300367) (xy 81.770105 75.486704) (xy 81.912546 75.629394) (xy 82.098749 75.706712)
+        (xy 82.300367 75.706888) (xy 82.486704 75.629895) (xy 82.629394 75.487454) (xy 82.706712 75.301251) (xy 82.706888 75.099633)
+        (xy 82.706888 76.864752) (xy 81.832905 76.864752) (xy 81.730618 76.907016) (xy 81.652291 76.985207) (xy 81.609848 77.08742)
+        (xy 81.609752 77.198095) (xy 81.609752 78.722095) (xy 81.652016 78.824382) (xy 81.730207 78.902709) (xy 81.83242 78.945152)
+        (xy 81.943095 78.945248) (xy 83.467095 78.945248) (xy 83.569382 78.902984) (xy 83.647709 78.824793) (xy 83.690152 78.72258)
+        (xy 83.690248 78.611905) (xy 83.690248 79.733693) (xy 83.633909 79.714236) (xy 83.380764 79.96738) (xy 83.380764 79.461091)
+        (xy 83.304349 79.239833) (xy 82.793343 79.081163) (xy 82.260514 79.130124) (xy 81.995651 79.239833) (xy 81.919236 79.461091)
+        (xy 82.65 80.191856) (xy 83.380764 79.461091) (xy 83.380764 79.96738) (xy 82.903144 80.445) (xy 83.633909 81.175764)
+        (xy 83.855167 81.099349) (xy 84.013837 80.588343) (xy 84.013837 91.390271) (xy 83.380764 91.389074) (xy 83.380764 81.428909)
+        (xy 82.65 80.698144) (xy 82.396856 80.951288) (xy 82.396856 80.445) (xy 81.666091 79.714236) (xy 81.444833 79.790651)
+        (xy 81.286163 80.301657) (xy 81.335124 80.834486) (xy 81.444833 81.099349) (xy 81.666091 81.175764) (xy 82.396856 80.445)
+        (xy 82.396856 80.951288) (xy 81.919236 81.428909) (xy 81.995651 81.650167) (xy 82.506657 81.808837) (xy 83.039486 81.759876)
+        (xy 83.304349 81.650167) (xy 83.380764 81.428909) (xy 83.380764 91.389074) (xy 83.353334 91.389022) (xy 83.353334 90.045886)
+        (xy 83.353334 88.045886) (xy 83.23511 87.759761) (xy 83.01639 87.54066) (xy 82.730472 87.421936) (xy 82.420886 87.421666)
+        (xy 82.134761 87.53989) (xy 81.91566 87.75861) (xy 81.796936 88.044528) (xy 81.796666 88.354114) (xy 81.91489 88.640239)
+        (xy 82.13361 88.85934) (xy 82.419528 88.978064) (xy 82.729114 88.978334) (xy 83.015239 88.86011) (xy 83.23434 88.64139)
+        (xy 83.353064 88.355472) (xy 83.353334 88.045886) (xy 83.353334 90.045886) (xy 83.23511 89.759761) (xy 83.01639 89.54066)
+        (xy 82.730472 89.421936) (xy 82.420886 89.421666) (xy 82.134761 89.53989) (xy 81.91566 89.75861) (xy 81.796936 90.044528)
+        (xy 81.796666 90.354114) (xy 81.91489 90.640239) (xy 82.13361 90.85934) (xy 82.419528 90.978064) (xy 82.729114 90.978334)
+        (xy 83.015239 90.86011) (xy 83.23434 90.64139) (xy 83.353064 90.355472) (xy 83.353334 90.045886) (xy 83.353334 91.389022)
+        (xy 81.353334 91.38524) (xy 81.353334 90.045886) (xy 81.353334 88.045886) (xy 81.281888 87.872972) (xy 81.281888 70.624633)
+        (xy 81.204895 70.438296) (xy 81.181888 70.415248) (xy 81.181888 48.874633) (xy 81.104895 48.688296) (xy 80.962454 48.545606)
+        (xy 80.776251 48.468288) (xy 80.759718 48.468273) (xy 80.759718 44.989422) (xy 80.659718 44.747402) (xy 80.659718 42.164422)
+        (xy 80.555714 41.912712) (xy 80.363301 41.719963) (xy 80.223837 41.662052) (xy 80.223837 27.063343) (xy 80.174876 26.530514)
+        (xy 80.065167 26.265651) (xy 79.900379 26.208738) (xy 79.900379 24.173999) (xy 79.742352 23.791544) (xy 79.449995 23.498676)
+        (xy 79.067816 23.339982) (xy 78.653999 23.339621) (xy 78.271544 23.497648) (xy 77.978676 23.790005) (xy 77.819982 24.172184)
+        (xy 77.819621 24.586001) (xy 77.977648 24.968456) (xy 78.270005 25.261324) (xy 78.652184 25.420018) (xy 79.066001 25.420379)
+        (xy 79.448456 25.262352) (xy 79.741324 24.969995) (xy 79.900018 24.587816) (xy 79.900379 24.173999) (xy 79.900379 26.208738)
+        (xy 79.843909 26.189236) (xy 79.590764 26.44238) (xy 79.590764 25.936091) (xy 79.514349 25.714833) (xy 79.003343 25.556163)
+        (xy 78.470514 25.605124) (xy 78.205651 25.714833) (xy 78.129236 25.936091) (xy 78.86 26.666856) (xy 79.590764 25.936091)
+        (xy 79.590764 26.44238) (xy 79.113144 26.92) (xy 79.843909 27.650764) (xy 80.065167 27.574349) (xy 80.223837 27.063343)
+        (xy 80.223837 41.662052) (xy 80.111773 41.615519) (xy 79.900379 41.615335) (xy 79.900379 32.313999) (xy 79.900248 32.313681)
+        (xy 79.900248 30.686905) (xy 79.900248 29.162905) (xy 79.857984 29.060618) (xy 79.779793 28.982291) (xy 79.67758 28.939848)
+        (xy 79.590764 28.939772) (xy 79.590764 27.903909) (xy 78.86 27.173144) (xy 78.606856 27.426288) (xy 78.606856 26.92)
+        (xy 77.876091 26.189236) (xy 77.654833 26.265651) (xy 77.496163 26.776657) (xy 77.545124 27.309486) (xy 77.654833 27.574349)
+        (xy 77.876091 27.650764) (xy 78.606856 26.92) (xy 78.606856 27.426288) (xy 78.129236 27.903909) (xy 78.205651 28.125167)
+        (xy 78.716657 28.283837) (xy 79.249486 28.234876) (xy 79.514349 28.125167) (xy 79.590764 27.903909) (xy 79.590764 28.939772)
+        (xy 79.566905 28.939752) (xy 78.042905 28.939752) (xy 77.940618 28.982016) (xy 77.862291 29.060207) (xy 77.819848 29.16242)
+        (xy 77.819752 29.273095) (xy 77.819752 30.797095) (xy 77.862016 30.899382) (xy 77.940207 30.977709) (xy 78.04242 31.020152)
+        (xy 78.153095 31.020248) (xy 79.677095 31.020248) (xy 79.779382 30.977984) (xy 79.857709 30.899793) (xy 79.900152 30.79758)
+        (xy 79.900248 30.686905) (xy 79.900248 32.313681) (xy 79.742352 31.931544) (xy 79.449995 31.638676) (xy 79.067816 31.479982)
+        (xy 78.653999 31.479621) (xy 78.271544 31.637648) (xy 77.978676 31.930005) (xy 77.819982 32.312184) (xy 77.819621 32.726001)
+        (xy 77.977648 33.108456) (xy 78.270005 33.401324) (xy 78.652184 33.560018) (xy 79.066001 33.560379) (xy 79.448456 33.402352)
+        (xy 79.741324 33.109995) (xy 79.900018 32.727816) (xy 79.900379 32.313999) (xy 79.900379 41.615335) (xy 79.839422 41.615282)
+        (xy 79.587712 41.719286) (xy 79.394963 41.911699) (xy 79.290519 42.163227) (xy 79.290282 42.435578) (xy 79.394286 42.687288)
+        (xy 79.586699 42.880037) (xy 79.838227 42.984481) (xy 80.110578 42.984718) (xy 80.362288 42.880714) (xy 80.555037 42.688301)
+        (xy 80.659481 42.436773) (xy 80.659718 42.164422) (xy 80.659718 44.747402) (xy 80.655714 44.737712) (xy 80.463301 44.544963)
+        (xy 80.211773 44.440519) (xy 79.939422 44.440282) (xy 79.687712 44.544286) (xy 79.494963 44.736699) (xy 79.390519 44.988227)
+        (xy 79.390282 45.260578) (xy 79.494286 45.512288) (xy 79.686699 45.705037) (xy 79.938227 45.809481) (xy 80.210578 45.809718)
+        (xy 80.462288 45.705714) (xy 80.655037 45.513301) (xy 80.759481 45.261773) (xy 80.759718 44.989422) (xy 80.759718 48.468273)
+        (xy 80.574633 48.468112) (xy 80.506888 48.496103) (xy 80.506888 46.424633) (xy 80.429895 46.238296) (xy 80.287454 46.095606)
+        (xy 80.101251 46.018288) (xy 79.899633 46.018112) (xy 79.713296 46.095105) (xy 79.570606 46.237546) (xy 79.493288 46.423749)
+        (xy 79.493112 46.625367) (xy 79.570105 46.811704) (xy 79.712546 46.954394) (xy 79.898749 47.031712) (xy 80.100367 47.031888)
+        (xy 80.286704 46.954895) (xy 80.429394 46.812454) (xy 80.506712 46.626251) (xy 80.506888 46.424633) (xy 80.506888 48.496103)
+        (xy 80.388296 48.545105) (xy 80.245606 48.687546) (xy 80.174921 48.857773) (xy 80.104895 48.688296) (xy 79.962454 48.545606)
+        (xy 79.776251 48.468288) (xy 79.574633 48.468112) (xy 79.388296 48.545105) (xy 79.245606 48.687546) (xy 79.168288 48.873749)
+        (xy 79.168112 49.075367) (xy 79.245105 49.261704) (xy 79.387546 49.404394) (xy 79.573749 49.481712) (xy 79.775367 49.481888)
+        (xy 79.961704 49.404895) (xy 80.104394 49.262454) (xy 80.175078 49.092226) (xy 80.245105 49.261704) (xy 80.387546 49.404394)
+        (xy 80.573749 49.481712) (xy 80.775367 49.481888) (xy 80.961704 49.404895) (xy 81.104394 49.262454) (xy 81.181712 49.076251)
+        (xy 81.181888 48.874633) (xy 81.181888 70.415248) (xy 81.166888 70.400222) (xy 81.166888 63.889633) (xy 81.089895 63.703296)
+        (xy 80.947454 63.560606) (xy 80.761251 63.483288) (xy 80.559633 63.483112) (xy 80.484718 63.514066) (xy 80.484718 61.164422)
+        (xy 80.380714 60.912712) (xy 80.306888 60.838757) (xy 80.306888 58.299633) (xy 80.229895 58.113296) (xy 80.087454 57.970606)
+        (xy 79.901251 57.893288) (xy 79.699633 57.893112) (xy 79.513296 57.970105) (xy 79.370606 58.112546) (xy 79.293288 58.298749)
+        (xy 79.293112 58.500367) (xy 79.370105 58.686704) (xy 79.512546 58.829394) (xy 79.698749 58.906712) (xy 79.900367 58.906888)
+        (xy 80.086704 58.829895) (xy 80.229394 58.687454) (xy 80.306712 58.501251) (xy 80.306888 58.299633) (xy 80.306888 60.838757)
+        (xy 80.188301 60.719963) (xy 79.936773 60.615519) (xy 79.664422 60.615282) (xy 79.412712 60.719286) (xy 79.219963 60.911699)
+        (xy 79.115519 61.163227) (xy 79.115282 61.435578) (xy 79.219286 61.687288) (xy 79.411699 61.880037) (xy 79.663227 61.984481)
+        (xy 79.935578 61.984718) (xy 80.187288 61.880714) (xy 80.380037 61.688301) (xy 80.484481 61.436773) (xy 80.484718 61.164422)
+        (xy 80.484718 63.514066) (xy 80.373296 63.560105) (xy 80.230606 63.702546) (xy 80.227244 63.710641) (xy 80.087454 63.570606)
+        (xy 79.901251 63.493288) (xy 79.699633 63.493112) (xy 79.513296 63.570105) (xy 79.506888 63.576501) (xy 79.506888 63.099633)
+        (xy 79.429895 62.913296) (xy 79.287454 62.770606) (xy 79.101251 62.693288) (xy 78.899633 62.693112) (xy 78.713296 62.770105)
+        (xy 78.599927 62.883275) (xy 78.487454 62.770606) (xy 78.301251 62.693288) (xy 78.099633 62.693112) (xy 77.913296 62.770105)
+        (xy 77.906888 62.776501) (xy 77.906888 59.099633) (xy 77.829895 58.913296) (xy 77.716724 58.799927) (xy 77.829394 58.687454)
+        (xy 77.906712 58.501251) (xy 77.906888 58.299633) (xy 77.829895 58.113296) (xy 77.687454 57.970606) (xy 77.501251 57.893288)
+        (xy 77.360379 57.893165) (xy 77.360379 32.313999) (xy 77.360379 29.773999) (xy 77.360379 26.713999) (xy 77.360379 24.173999)
+        (xy 77.202352 23.791544) (xy 76.909995 23.498676) (xy 76.527816 23.339982) (xy 76.113999 23.339621) (xy 75.731544 23.497648)
+        (xy 75.438676 23.790005) (xy 75.279982 24.172184) (xy 75.279621 24.586001) (xy 75.437648 24.968456) (xy 75.730005 25.261324)
+        (xy 76.112184 25.420018) (xy 76.526001 25.420379) (xy 76.908456 25.262352) (xy 77.201324 24.969995) (xy 77.360018 24.587816)
+        (xy 77.360379 24.173999) (xy 77.360379 26.713999) (xy 77.202352 26.331544) (xy 76.909995 26.038676) (xy 76.527816 25.879982)
+        (xy 76.113999 25.879621) (xy 75.731544 26.037648) (xy 75.438676 26.330005) (xy 75.279982 26.712184) (xy 75.279621 27.126001)
+        (xy 75.437648 27.508456) (xy 75.730005 27.801324) (xy 76.112184 27.960018) (xy 76.526001 27.960379) (xy 76.908456 27.802352)
+        (xy 77.201324 27.509995) (xy 77.360018 27.127816) (xy 77.360379 26.713999) (xy 77.360379 29.773999) (xy 77.202352 29.391544)
+        (xy 76.909995 29.098676) (xy 76.527816 28.939982) (xy 76.113999 28.939621) (xy 75.731544 29.097648) (xy 75.438676 29.390005)
+        (xy 75.279982 29.772184) (xy 75.279621 30.186001) (xy 75.437648 30.568456) (xy 75.730005 30.861324) (xy 76.112184 31.020018)
+        (xy 76.526001 31.020379) (xy 76.908456 30.862352) (xy 77.201324 30.569995) (xy 77.360018 30.187816) (xy 77.360379 29.773999)
+        (xy 77.360379 32.313999) (xy 77.202352 31.931544) (xy 76.909995 31.638676) (xy 76.527816 31.479982) (xy 76.113999 31.479621)
+        (xy 75.731544 31.637648) (xy 75.438676 31.930005) (xy 75.279982 32.312184) (xy 75.279621 32.726001) (xy 75.437648 33.108456)
+        (xy 75.730005 33.401324) (xy 76.112184 33.560018) (xy 76.526001 33.560379) (xy 76.908456 33.402352) (xy 77.201324 33.109995)
+        (xy 77.360018 32.727816) (xy 77.360379 32.313999) (xy 77.360379 57.893165) (xy 77.299633 57.893112) (xy 77.113296 57.970105)
+        (xy 77.031888 58.05137) (xy 77.031888 54.874633) (xy 76.954895 54.688296) (xy 76.812454 54.545606) (xy 76.626251 54.468288)
+        (xy 76.424633 54.468112) (xy 76.238296 54.545105) (xy 76.156888 54.62637) (xy 76.156888 53.674633) (xy 76.079895 53.488296)
+        (xy 75.937454 53.345606) (xy 75.751251 53.268288) (xy 75.549633 53.268112) (xy 75.363296 53.345105) (xy 75.220606 53.487546)
+        (xy 75.143288 53.673749) (xy 75.143112 53.875367) (xy 75.181454 53.968161) (xy 75.124633 53.968112) (xy 74.938296 54.045105)
+        (xy 74.820379 54.162816) (xy 74.820379 32.313999) (xy 74.820379 29.773999) (xy 74.820379 26.713999) (xy 74.820379 24.173999)
+        (xy 74.662352 23.791544) (xy 74.369995 23.498676) (xy 73.987816 23.339982) (xy 73.573999 23.339621) (xy 73.191544 23.497648)
+        (xy 72.898676 23.790005) (xy 72.739982 24.172184) (xy 72.739621 24.586001) (xy 72.897648 24.968456) (xy 73.190005 25.261324)
+        (xy 73.572184 25.420018) (xy 73.986001 25.420379) (xy 74.368456 25.262352) (xy 74.661324 24.969995) (xy 74.820018 24.587816)
+        (xy 74.820379 24.173999) (xy 74.820379 26.713999) (xy 74.662352 26.331544) (xy 74.369995 26.038676) (xy 73.987816 25.879982)
+        (xy 73.573999 25.879621) (xy 73.191544 26.037648) (xy 72.898676 26.330005) (xy 72.739982 26.712184) (xy 72.739621 27.126001)
+        (xy 72.897648 27.508456) (xy 73.190005 27.801324) (xy 73.572184 27.960018) (xy 73.986001 27.960379) (xy 74.368456 27.802352)
+        (xy 74.661324 27.509995) (xy 74.820018 27.127816) (xy 74.820379 26.713999) (xy 74.820379 29.773999) (xy 74.662352 29.391544)
+        (xy 74.369995 29.098676) (xy 73.987816 28.939982) (xy 73.573999 28.939621) (xy 73.191544 29.097648) (xy 72.898676 29.390005)
+        (xy 72.739982 29.772184) (xy 72.739621 30.186001) (xy 72.897648 30.568456) (xy 73.190005 30.861324) (xy 73.572184 31.020018)
+        (xy 73.986001 31.020379) (xy 74.368456 30.862352) (xy 74.661324 30.569995) (xy 74.820018 30.187816) (xy 74.820379 29.773999)
+        (xy 74.820379 32.313999) (xy 74.662352 31.931544) (xy 74.369995 31.638676) (xy 73.987816 31.479982) (xy 73.573999 31.479621)
+        (xy 73.191544 31.637648) (xy 72.898676 31.930005) (xy 72.739982 32.312184) (xy 72.739621 32.726001) (xy 72.897648 33.108456)
+        (xy 73.190005 33.401324) (xy 73.572184 33.560018) (xy 73.986001 33.560379) (xy 74.368456 33.402352) (xy 74.661324 33.109995)
+        (xy 74.820018 32.727816) (xy 74.820379 32.313999) (xy 74.820379 54.162816) (xy 74.795606 54.187546) (xy 74.718288 54.373749)
+        (xy 74.718112 54.575367) (xy 74.795105 54.761704) (xy 74.937546 54.904394) (xy 75.123749 54.981712) (xy 75.325367 54.981888)
+        (xy 75.511704 54.904895) (xy 75.654394 54.762454) (xy 75.731712 54.576251) (xy 75.731888 54.374633) (xy 75.693545 54.281838)
+        (xy 75.750367 54.281888) (xy 75.936704 54.204895) (xy 76.079394 54.062454) (xy 76.156712 53.876251) (xy 76.156888 53.674633)
+        (xy 76.156888 54.62637) (xy 76.095606 54.687546) (xy 76.018288 54.873749) (xy 76.018118 55.068149) (xy 75.974633 55.068112)
+        (xy 75.788296 55.145105) (xy 75.645606 55.287546) (xy 75.568288 55.473749) (xy 75.568112 55.675367) (xy 75.645105 55.861704)
+        (xy 75.787546 56.004394) (xy 75.973749 56.081712) (xy 76.175367 56.081888) (xy 76.361704 56.004895) (xy 76.504394 55.862454)
+        (xy 76.581712 55.676251) (xy 76.581881 55.48185) (xy 76.625367 55.481888) (xy 76.811704 55.404895) (xy 76.954394 55.262454)
+        (xy 77.031712 55.076251) (xy 77.031888 54.874633) (xy 77.031888 58.05137) (xy 76.970606 58.112546) (xy 76.893288 58.298749)
+        (xy 76.893112 58.500367) (xy 76.970105 58.686704) (xy 77.083275 58.800072) (xy 76.999927 58.883275) (xy 76.887454 58.770606)
+        (xy 76.701251 58.693288) (xy 76.499633 58.693112) (xy 76.313296 58.770105) (xy 76.199927 58.883275) (xy 76.087454 58.770606)
+        (xy 75.901251 58.693288) (xy 75.699633 58.693112) (xy 75.513296 58.770105) (xy 75.399927 58.883275) (xy 75.287454 58.770606)
+        (xy 75.101251 58.693288) (xy 74.899633 58.693112) (xy 74.713296 58.770105) (xy 74.706888 58.776501) (xy 74.706888 56.374633)
+        (xy 74.629895 56.188296) (xy 74.487454 56.045606) (xy 74.302767 55.968917) (xy 74.336704 55.954895) (xy 74.479394 55.812454)
+        (xy 74.556712 55.626251) (xy 74.556888 55.424633) (xy 74.479895 55.238296) (xy 74.337454 55.095606) (xy 74.151251 55.018288)
+        (xy 74.031888 55.018183) (xy 74.031888 42.099633) (xy 73.954895 41.913296) (xy 73.812454 41.770606) (xy 73.626251 41.693288)
+        (xy 73.424633 41.693112) (xy 73.238296 41.770105) (xy 73.095606 41.912546) (xy 73.018288 42.098749) (xy 73.018112 42.300367)
+        (xy 73.095105 42.486704) (xy 73.155077 42.546781) (xy 73.026251 42.493288) (xy 72.824633 42.493112) (xy 72.806888 42.500444)
+        (xy 72.806888 38.324633) (xy 72.729895 38.138296) (xy 72.603837 38.012017) (xy 72.603837 32.663343) (xy 72.554876 32.130514)
+        (xy 72.445167 31.865651) (xy 72.280379 31.808738) (xy 72.280379 29.773999) (xy 72.280379 24.173999) (xy 72.122352 23.791544)
+        (xy 71.829995 23.498676) (xy 71.447816 23.339982) (xy 71.033999 23.339621) (xy 70.651544 23.497648) (xy 70.358676 23.790005)
+        (xy 70.199982 24.172184) (xy 70.199621 24.586001) (xy 70.357648 24.968456) (xy 70.650005 25.261324) (xy 71.032184 25.420018)
+        (xy 71.446001 25.420379) (xy 71.828456 25.262352) (xy 72.121324 24.969995) (xy 72.280018 24.587816) (xy 72.280379 24.173999)
+        (xy 72.280379 29.773999) (xy 72.280248 29.773681) (xy 72.280248 27.626905) (xy 72.280248 26.102905) (xy 72.237984 26.000618)
+        (xy 72.159793 25.922291) (xy 72.05758 25.879848) (xy 71.946905 25.879752) (xy 70.422905 25.879752) (xy 70.320618 25.922016)
+        (xy 70.242291 26.000207) (xy 70.199848 26.10242) (xy 70.199752 26.213095) (xy 70.199752 27.737095) (xy 70.242016 27.839382)
+        (xy 70.320207 27.917709) (xy 70.42242 27.960152) (xy 70.533095 27.960248) (xy 72.057095 27.960248) (xy 72.159382 27.917984)
+        (xy 72.237709 27.839793) (xy 72.280152 27.73758) (xy 72.280248 27.626905) (xy 72.280248 29.773681) (xy 72.122352 29.391544)
+        (xy 71.829995 29.098676) (xy 71.447816 28.939982) (xy 71.033999 28.939621) (xy 70.651544 29.097648) (xy 70.358676 29.390005)
+        (xy 70.199982 29.772184) (xy 70.199621 30.186001) (xy 70.357648 30.568456) (xy 70.650005 30.861324) (xy 71.032184 31.020018)
+        (xy 71.446001 31.020379) (xy 71.828456 30.862352) (xy 72.121324 30.569995) (xy 72.280018 30.187816) (xy 72.280379 29.773999)
+        (xy 72.280379 31.808738) (xy 72.223909 31.789236) (xy 71.970764 32.04238) (xy 71.970764 31.536091) (xy 71.894349 31.314833)
+        (xy 71.383343 31.156163) (xy 70.850514 31.205124) (xy 70.585651 31.314833) (xy 70.509236 31.536091) (xy 71.24 32.266856)
+        (xy 71.970764 31.536091) (xy 71.970764 32.04238) (xy 71.493144 32.52) (xy 72.223909 33.250764) (xy 72.445167 33.174349)
+        (xy 72.603837 32.663343) (xy 72.603837 38.012017) (xy 72.587454 37.995606) (xy 72.401251 37.918288) (xy 72.199633 37.918112)
+        (xy 72.013296 37.995105) (xy 71.970764 38.037562) (xy 71.970764 33.503909) (xy 71.24 32.773144) (xy 70.986856 33.026288)
+        (xy 70.986856 32.52) (xy 70.256091 31.789236) (xy 70.034833 31.865651) (xy 69.876163 32.376657) (xy 69.925124 32.909486)
+        (xy 70.034833 33.174349) (xy 70.256091 33.250764) (xy 70.986856 32.52) (xy 70.986856 33.026288) (xy 70.509236 33.503909)
+        (xy 70.585651 33.725167) (xy 71.096657 33.883837) (xy 71.629486 33.834876) (xy 71.894349 33.725167) (xy 71.970764 33.503909)
+        (xy 71.970764 38.037562) (xy 71.870606 38.137546) (xy 71.793288 38.323749) (xy 71.793112 38.525367) (xy 71.870105 38.711704)
+        (xy 72.012546 38.854394) (xy 72.198749 38.931712) (xy 72.400367 38.931888) (xy 72.586704 38.854895) (xy 72.729394 38.712454)
+        (xy 72.806712 38.526251) (xy 72.806888 38.324633) (xy 72.806888 42.500444) (xy 72.638296 42.570105) (xy 72.495606 42.712546)
+        (xy 72.418288 42.898749) (xy 72.418112 43.100367) (xy 72.495105 43.286704) (xy 72.637546 43.429394) (xy 72.823749 43.506712)
+        (xy 73.025367 43.506888) (xy 73.211704 43.429895) (xy 73.354394 43.287454) (xy 73.431712 43.101251) (xy 73.431888 42.899633)
+        (xy 73.354895 42.713296) (xy 73.294922 42.653218) (xy 73.423749 42.706712) (xy 73.625367 42.706888) (xy 73.811704 42.629895)
+        (xy 73.954394 42.487454) (xy 74.031712 42.301251) (xy 74.031888 42.099633) (xy 74.031888 55.018183) (xy 73.949633 55.018112)
+        (xy 73.763296 55.095105) (xy 73.620606 55.237546) (xy 73.543288 55.423749) (xy 73.543112 55.625367) (xy 73.620105 55.811704)
+        (xy 73.762546 55.954394) (xy 73.947232 56.031082) (xy 73.913296 56.045105) (xy 73.770606 56.187546) (xy 73.693288 56.373749)
+        (xy 73.693112 56.575367) (xy 73.770105 56.761704) (xy 73.912546 56.904394) (xy 74.098749 56.981712) (xy 74.300367 56.981888)
+        (xy 74.486704 56.904895) (xy 74.629394 56.762454) (xy 74.706712 56.576251) (xy 74.706888 56.374633) (xy 74.706888 58.776501)
+        (xy 74.599927 58.883275) (xy 74.487454 58.770606) (xy 74.301251 58.693288) (xy 74.099633 58.693112) (xy 73.913296 58.770105)
+        (xy 73.799927 58.883275) (xy 73.687454 58.770606) (xy 73.501251 58.693288) (xy 73.299633 58.693112) (xy 73.113296 58.770105)
+        (xy 73.081888 58.801457) (xy 73.081888 46.399633) (xy 73.004895 46.213296) (xy 72.862454 46.070606) (xy 72.676251 45.993288)
+        (xy 72.474633 45.993112) (xy 72.288296 46.070105) (xy 72.145606 46.212546) (xy 72.068288 46.398749) (xy 72.068112 46.600367)
+        (xy 72.145105 46.786704) (xy 72.287546 46.929394) (xy 72.473749 47.006712) (xy 72.675367 47.006888) (xy 72.861704 46.929895)
+        (xy 73.004394 46.787454) (xy 73.081712 46.601251) (xy 73.081888 46.399633) (xy 73.081888 58.801457) (xy 72.999927 58.883275)
+        (xy 72.887454 58.770606) (xy 72.701251 58.693288) (xy 72.499633 58.693112) (xy 72.313296 58.770105) (xy 72.199927 58.883275)
+        (xy 72.087454 58.770606) (xy 71.981888 58.726771) (xy 71.981888 43.549633) (xy 71.904895 43.363296) (xy 71.762454 43.220606)
+        (xy 71.576251 43.143288) (xy 71.456888 43.143183) (xy 71.456888 41.549633) (xy 71.379895 41.363296) (xy 71.237454 41.220606)
+        (xy 71.051251 41.143288) (xy 70.849633 41.143112) (xy 70.663296 41.220105) (xy 70.520606 41.362546) (xy 70.443288 41.548749)
+        (xy 70.443112 41.750367) (xy 70.520105 41.936704) (xy 70.662546 42.079394) (xy 70.848749 42.156712) (xy 71.050367 42.156888)
+        (xy 71.236704 42.079895) (xy 71.379394 41.937454) (xy 71.456712 41.751251) (xy 71.456888 41.549633) (xy 71.456888 43.143183)
+        (xy 71.374633 43.143112) (xy 71.33179 43.160814) (xy 71.331888 43.049633) (xy 71.254895 42.863296) (xy 71.112454 42.720606)
+        (xy 70.926251 42.643288) (xy 70.724633 42.643112) (xy 70.538296 42.720105) (xy 70.395606 42.862546) (xy 70.318288 43.048749)
+        (xy 70.318112 43.250367) (xy 70.395105 43.436704) (xy 70.537546 43.579394) (xy 70.723749 43.656712) (xy 70.925367 43.656888)
+        (xy 70.968209 43.639185) (xy 70.968112 43.750367) (xy 71.045105 43.936704) (xy 71.187546 44.079394) (xy 71.373749 44.156712)
+        (xy 71.575367 44.156888) (xy 71.761704 44.079895) (xy 71.904394 43.937454) (xy 71.981712 43.751251) (xy 71.981888 43.549633)
+        (xy 71.981888 58.726771) (xy 71.901251 58.693288) (xy 71.699633 58.693112) (xy 71.513296 58.770105) (xy 71.399927 58.883275)
+        (xy 71.331888 58.815117) (xy 71.331888 44.549633) (xy 71.254895 44.363296) (xy 71.112454 44.220606) (xy 70.926251 44.143288)
+        (xy 70.724633 44.143112) (xy 70.706799 44.15048) (xy 70.706888 44.049633) (xy 70.629895 43.863296) (xy 70.487454 43.720606)
+        (xy 70.301251 43.643288) (xy 70.099633 43.643112) (xy 69.913296 43.720105) (xy 69.770606 43.862546) (xy 69.693288 44.048749)
+        (xy 69.693112 44.250367) (xy 69.770105 44.436704) (xy 69.912546 44.579394) (xy 70.098749 44.656712) (xy 70.300367 44.656888)
+        (xy 70.3182 44.649519) (xy 70.318112 44.750367) (xy 70.395105 44.936704) (xy 70.537546 45.079394) (xy 70.723749 45.156712)
+        (xy 70.925367 45.156888) (xy 71.111704 45.079895) (xy 71.254394 44.937454) (xy 71.331712 44.751251) (xy 71.331888 44.549633)
+        (xy 71.331888 58.815117) (xy 71.287454 58.770606) (xy 71.101251 58.693288) (xy 70.899633 58.693112) (xy 70.713296 58.770105)
+        (xy 70.570606 58.912546) (xy 70.493288 59.098749) (xy 70.493112 59.300367) (xy 70.570105 59.486704) (xy 70.683275 59.600072)
+        (xy 70.570606 59.712546) (xy 70.493288 59.898749) (xy 70.493112 60.100367) (xy 70.570105 60.286704) (xy 70.712546 60.429394)
+        (xy 70.898749 60.506712) (xy 71.100367 60.506888) (xy 71.286704 60.429895) (xy 71.400072 60.316724) (xy 71.512546 60.429394)
+        (xy 71.698749 60.506712) (xy 71.900367 60.506888) (xy 72.086704 60.429895) (xy 72.200072 60.316724) (xy 72.312546 60.429394)
+        (xy 72.498749 60.506712) (xy 72.700367 60.506888) (xy 72.886704 60.429895) (xy 73.000072 60.316724) (xy 73.112546 60.429394)
+        (xy 73.298749 60.506712) (xy 73.500367 60.506888) (xy 73.686704 60.429895) (xy 73.800072 60.316724) (xy 73.912546 60.429394)
+        (xy 74.098749 60.506712) (xy 74.300367 60.506888) (xy 74.486704 60.429895) (xy 74.600072 60.316724) (xy 74.712546 60.429394)
+        (xy 74.898749 60.506712) (xy 75.100367 60.506888) (xy 75.286704 60.429895) (xy 75.400072 60.316724) (xy 75.512546 60.429394)
+        (xy 75.698749 60.506712) (xy 75.900367 60.506888) (xy 76.086704 60.429895) (xy 76.200072 60.316724) (xy 76.312546 60.429394)
+        (xy 76.498749 60.506712) (xy 76.700367 60.506888) (xy 76.886704 60.429895) (xy 77.029394 60.287454) (xy 77.106712 60.101251)
+        (xy 77.106888 59.899633) (xy 77.029895 59.713296) (xy 76.916724 59.599927) (xy 77.000072 59.516724) (xy 77.112546 59.629394)
+        (xy 77.298749 59.706712) (xy 77.500367 59.706888) (xy 77.686704 59.629895) (xy 77.829394 59.487454) (xy 77.906712 59.301251)
+        (xy 77.906888 59.099633) (xy 77.906888 62.776501) (xy 77.799927 62.883275) (xy 77.687454 62.770606) (xy 77.501251 62.693288)
+        (xy 77.299633 62.693112) (xy 77.113296 62.770105) (xy 76.999927 62.883275) (xy 76.887454 62.770606) (xy 76.701251 62.693288)
+        (xy 76.499633 62.693112) (xy 76.313296 62.770105) (xy 76.170606 62.912546) (xy 76.093288 63.098749) (xy 76.093112 63.300367)
+        (xy 76.170105 63.486704) (xy 76.312546 63.629394) (xy 76.498749 63.706712) (xy 76.700367 63.706888) (xy 76.886704 63.629895)
+        (xy 77.000072 63.516724) (xy 77.112546 63.629394) (xy 77.298749 63.706712) (xy 77.500367 63.706888) (xy 77.686704 63.629895)
+        (xy 77.800072 63.516724) (xy 77.912546 63.629394) (xy 78.098749 63.706712) (xy 78.300367 63.706888) (xy 78.486704 63.629895)
+        (xy 78.600072 63.516724) (xy 78.712546 63.629394) (xy 78.898749 63.706712) (xy 79.100367 63.706888) (xy 79.286704 63.629895)
+        (xy 79.429394 63.487454) (xy 79.506712 63.301251) (xy 79.506888 63.099633) (xy 79.506888 63.576501) (xy 79.370606 63.712546)
+        (xy 79.293288 63.898749) (xy 79.293112 64.100367) (xy 79.370105 64.286704) (xy 79.512546 64.429394) (xy 79.698749 64.506712)
+        (xy 79.900367 64.506888) (xy 80.086704 64.429895) (xy 80.229394 64.287454) (xy 80.232755 64.279358) (xy 80.372546 64.419394)
+        (xy 80.558749 64.496712) (xy 80.760367 64.496888) (xy 80.946704 64.419895) (xy 81.089394 64.277454) (xy 81.166712 64.091251)
+        (xy 81.166888 63.889633) (xy 81.166888 70.400222) (xy 81.062454 70.295606) (xy 80.876251 70.218288) (xy 80.674633 70.218112)
+        (xy 80.488296 70.295105) (xy 80.345606 70.437546) (xy 80.306888 70.530789) (xy 80.306888 67.899633) (xy 80.306888 65.499633)
+        (xy 80.229895 65.313296) (xy 80.087454 65.170606) (xy 79.901251 65.093288) (xy 79.699633 65.093112) (xy 79.513296 65.170105)
+        (xy 79.370606 65.312546) (xy 79.293288 65.498749) (xy 79.293112 65.700367) (xy 79.370105 65.886704) (xy 79.512546 66.029394)
+        (xy 79.698749 66.106712) (xy 79.900367 66.106888) (xy 80.086704 66.029895) (xy 80.229394 65.887454) (xy 80.306712 65.701251)
+        (xy 80.306888 65.499633) (xy 80.306888 67.899633) (xy 80.229895 67.713296) (xy 80.087454 67.570606) (xy 79.901251 67.493288)
+        (xy 79.699633 67.493112) (xy 79.513296 67.570105) (xy 79.370606 67.712546) (xy 79.293288 67.898749) (xy 79.293112 68.100367)
+        (xy 79.370105 68.286704) (xy 79.512546 68.429394) (xy 79.698749 68.506712) (xy 79.900367 68.506888) (xy 80.086704 68.429895)
+        (xy 80.229394 68.287454) (xy 80.306712 68.101251) (xy 80.306888 67.899633) (xy 80.306888 70.530789) (xy 80.268288 70.623749)
+        (xy 80.268112 70.825367) (xy 80.345105 71.011704) (xy 80.487546 71.154394) (xy 80.673749 71.231712) (xy 80.875367 71.231888)
+        (xy 81.061704 71.154895) (xy 81.204394 71.012454) (xy 81.281712 70.826251) (xy 81.281888 70.624633) (xy 81.281888 87.872972)
+        (xy 81.23511 87.759761) (xy 81.01639 87.54066) (xy 80.730472 87.421936) (xy 80.420886 87.421666) (xy 80.306888 87.468768)
+        (xy 80.306888 73.499633) (xy 80.229895 73.313296) (xy 80.087454 73.170606) (xy 79.901251 73.093288) (xy 79.699633 73.093112)
+        (xy 79.513296 73.170105) (xy 79.404927 73.278284) (xy 79.321724 73.194936) (xy 79.429394 73.087454) (xy 79.506712 72.901251)
+        (xy 79.506888 72.699633) (xy 79.429895 72.513296) (xy 79.316724 72.399927) (xy 79.429394 72.287454) (xy 79.506712 72.101251)
+        (xy 79.506888 71.899633) (xy 79.429895 71.713296) (xy 79.287454 71.570606) (xy 79.101251 71.493288) (xy 78.899633 71.493112)
+        (xy 78.713296 71.570105) (xy 78.570606 71.712546) (xy 78.493288 71.898749) (xy 78.493112 72.100367) (xy 78.570105 72.286704)
+        (xy 78.683275 72.400072) (xy 78.599927 72.483275) (xy 78.487454 72.370606) (xy 78.301251 72.293288) (xy 78.099633 72.293112)
+        (xy 77.913296 72.370105) (xy 77.799927 72.483275) (xy 77.716724 72.399927) (xy 77.829394 72.287454) (xy 77.906712 72.101251)
+        (xy 77.906888 71.899633) (xy 77.829895 71.713296) (xy 77.687454 71.570606) (xy 77.501251 71.493288) (xy 77.299633 71.493112)
+        (xy 77.113296 71.570105) (xy 76.970606 71.712546) (xy 76.893288 71.898749) (xy 76.893112 72.100367) (xy 76.970105 72.286704)
+        (xy 77.083275 72.400072) (xy 76.999927 72.483275) (xy 76.887454 72.370606) (xy 76.701251 72.293288) (xy 76.499633 72.293112)
+        (xy 76.313296 72.370105) (xy 76.199927 72.483275) (xy 76.087454 72.370606) (xy 75.931888 72.306009) (xy 75.931888 69.599633)
+        (xy 75.854895 69.413296) (xy 75.712454 69.270606) (xy 75.526251 69.193288) (xy 75.324633 69.193112) (xy 75.322787 69.193874)
+        (xy 75.429394 69.087454) (xy 75.506712 68.901251) (xy 75.506888 68.699633) (xy 75.506888 63.099633) (xy 75.429895 62.913296)
+        (xy 75.287454 62.770606) (xy 75.101251 62.693288) (xy 74.899633 62.693112) (xy 74.713296 62.770105) (xy 74.599927 62.883275)
+        (xy 74.487454 62.770606) (xy 74.301251 62.693288) (xy 74.099633 62.693112) (xy 73.913296 62.770105) (xy 73.770606 62.912546)
+        (xy 73.693288 63.098749) (xy 73.693286 63.099908) (xy 73.669895 63.043296) (xy 73.527454 62.900606) (xy 73.341251 62.823288)
+        (xy 73.139633 62.823112) (xy 72.953296 62.900105) (xy 72.810606 63.042546) (xy 72.733288 63.228749) (xy 72.733112 63.430367)
+        (xy 72.810105 63.616704) (xy 72.952546 63.759394) (xy 73.138749 63.836712) (xy 73.340367 63.836888) (xy 73.526704 63.759895)
+        (xy 73.669394 63.617454) (xy 73.746712 63.431251) (xy 73.746713 63.430091) (xy 73.770105 63.486704) (xy 73.912546 63.629394)
+        (xy 74.098749 63.706712) (xy 74.300367 63.706888) (xy 74.486704 63.629895) (xy 74.600072 63.516724) (xy 74.712546 63.629394)
+        (xy 74.898749 63.706712) (xy 75.100367 63.706888) (xy 75.286704 63.629895) (xy 75.429394 63.487454) (xy 75.506712 63.301251)
+        (xy 75.506888 63.099633) (xy 75.506888 68.699633) (xy 75.429895 68.513296) (xy 75.287454 68.370606) (xy 75.101251 68.293288)
+        (xy 74.899633 68.293112) (xy 74.713296 68.370105) (xy 74.592321 68.490868) (xy 74.503724 68.402116) (xy 74.622794 68.283254)
+        (xy 74.700112 68.097051) (xy 74.700288 67.895433) (xy 74.623295 67.709096) (xy 74.515518 67.60113) (xy 74.629394 67.487454)
+        (xy 74.706712 67.301251) (xy 74.706888 67.099633) (xy 74.706888 65.499633) (xy 74.629895 65.313296) (xy 74.516724 65.199927)
+        (xy 74.629394 65.087454) (xy 74.706712 64.901251) (xy 74.706888 64.699633) (xy 74.629895 64.513296) (xy 74.487454 64.370606)
+        (xy 74.301251 64.293288) (xy 74.099633 64.293112) (xy 73.913296 64.370105) (xy 73.770606 64.512546) (xy 73.693288 64.698749)
+        (xy 73.693112 64.900367) (xy 73.770105 65.086704) (xy 73.883275 65.200072) (xy 73.770606 65.312546) (xy 73.693288 65.498749)
+        (xy 73.693112 65.700367) (xy 73.770105 65.886704) (xy 73.912546 66.029394) (xy 74.098749 66.106712) (xy 74.300367 66.106888)
+        (xy 74.486704 66.029895) (xy 74.629394 65.887454) (xy 74.706712 65.701251) (xy 74.706888 65.499633) (xy 74.706888 67.099633)
+        (xy 74.629895 66.913296) (xy 74.487454 66.770606) (xy 74.301251 66.693288) (xy 74.099633 66.693112) (xy 73.913296 66.770105)
+        (xy 73.770606 66.912546) (xy 73.693288 67.098749) (xy 73.693112 67.300367) (xy 73.770105 67.486704) (xy 73.877881 67.594669)
+        (xy 73.764006 67.708346) (xy 73.686688 67.894549) (xy 73.686512 68.096167) (xy 73.763505 68.282504) (xy 73.883075 68.402283)
+        (xy 73.764006 68.521146) (xy 73.686688 68.707349) (xy 73.686512 68.908967) (xy 73.763505 69.095304) (xy 73.905946 69.237994)
+        (xy 74.092149 69.315312) (xy 74.293767 69.315488) (xy 74.480104 69.238495) (xy 74.601078 69.117731) (xy 74.712546 69.229394)
+        (xy 74.898749 69.306712) (xy 75.100367 69.306888) (xy 75.102212 69.306125) (xy 74.995606 69.412546) (xy 74.918288 69.598749)
+        (xy 74.918112 69.800367) (xy 74.995105 69.986704) (xy 75.137546 70.129394) (xy 75.323749 70.206712) (xy 75.525367 70.206888)
+        (xy 75.711704 70.129895) (xy 75.854394 69.987454) (xy 75.931712 69.801251) (xy 75.931888 69.599633) (xy 75.931888 72.306009)
+        (xy 75.901251 72.293288) (xy 75.699633 72.293112) (xy 75.513296 72.370105) (xy 75.399927 72.483275) (xy 75.287454 72.370606)
+        (xy 75.101251 72.293288) (xy 74.899633 72.293112) (xy 74.713296 72.370105) (xy 74.599927 72.483275) (xy 74.516724 72.399927)
+        (xy 74.629394 72.287454) (xy 74.706712 72.101251) (xy 74.706888 71.899633) (xy 74.629895 71.713296) (xy 74.487454 71.570606)
+        (xy 74.301251 71.493288) (xy 74.099633 71.493112) (xy 73.913296 71.570105) (xy 73.770606 71.712546) (xy 73.693288 71.898749)
+        (xy 73.693112 72.100367) (xy 73.770105 72.286704) (xy 73.883275 72.400072) (xy 73.770606 72.512546) (xy 73.693288 72.698749)
+        (xy 73.693112 72.900367) (xy 73.770105 73.086704) (xy 73.912546 73.229394) (xy 74.098749 73.306712) (xy 74.300367 73.306888)
+        (xy 74.486704 73.229895) (xy 74.600072 73.116724) (xy 74.683275 73.200072) (xy 74.570606 73.312546) (xy 74.493288 73.498749)
+        (xy 74.493112 73.700367) (xy 74.570105 73.886704) (xy 74.712546 74.029394) (xy 74.898749 74.106712) (xy 75.100367 74.106888)
+        (xy 75.286704 74.029895) (xy 75.400072 73.916724) (xy 75.512546 74.029394) (xy 75.698749 74.106712) (xy 75.900367 74.106888)
+        (xy 76.086704 74.029895) (xy 76.200072 73.916724) (xy 76.312546 74.029394) (xy 76.498749 74.106712) (xy 76.700367 74.106888)
+        (xy 76.886704 74.029895) (xy 77.000072 73.916724) (xy 77.112546 74.029394) (xy 77.298749 74.106712) (xy 77.500367 74.106888)
+        (xy 77.686704 74.029895) (xy 77.800072 73.916724) (xy 77.912546 74.029394) (xy 78.098749 74.106712) (xy 78.300367 74.106888)
+        (xy 78.486704 74.029895) (xy 78.605072 73.911732) (xy 78.712546 74.019394) (xy 78.898749 74.096712) (xy 79.100367 74.096888)
+        (xy 79.286704 74.019895) (xy 79.395072 73.911715) (xy 79.512546 74.029394) (xy 79.698749 74.106712) (xy 79.900367 74.106888)
+        (xy 80.086704 74.029895) (xy 80.229394 73.887454) (xy 80.306712 73.701251) (xy 80.306888 73.499633) (xy 80.306888 87.468768)
+        (xy 80.134761 87.53989) (xy 79.91566 87.75861) (xy 79.796936 88.044528) (xy 79.796666 88.354114) (xy 79.91489 88.640239)
+        (xy 80.13361 88.85934) (xy 80.419528 88.978064) (xy 80.729114 88.978334) (xy 81.015239 88.86011) (xy 81.23434 88.64139)
+        (xy 81.353064 88.355472) (xy 81.353334 88.045886) (xy 81.353334 90.045886) (xy 81.23511 89.759761) (xy 81.01639 89.54066)
+        (xy 80.730472 89.421936) (xy 80.420886 89.421666) (xy 80.134761 89.53989) (xy 79.91566 89.75861) (xy 79.796936 90.044528)
+        (xy 79.796666 90.354114) (xy 79.91489 90.640239) (xy 80.13361 90.85934) (xy 80.419528 90.978064) (xy 80.729114 90.978334)
+        (xy 81.015239 90.86011) (xy 81.23434 90.64139) (xy 81.353064 90.355472) (xy 81.353334 90.045886) (xy 81.353334 91.38524)
+        (xy 79.353334 91.381458) (xy 79.353334 90.045886) (xy 79.353334 88.045886) (xy 79.23511 87.759761) (xy 79.01639 87.54066)
+        (xy 78.730472 87.421936) (xy 78.420886 87.421666) (xy 78.134761 87.53989) (xy 77.91566 87.75861) (xy 77.796936 88.044528)
+        (xy 77.796666 88.354114) (xy 77.91489 88.640239) (xy 78.13361 88.85934) (xy 78.419528 88.978064) (xy 78.729114 88.978334)
+        (xy 79.015239 88.86011) (xy 79.23434 88.64139) (xy 79.353064 88.355472) (xy 79.353334 88.045886) (xy 79.353334 90.045886)
+        (xy 79.23511 89.759761) (xy 79.01639 89.54066) (xy 78.730472 89.421936) (xy 78.420886 89.421666) (xy 78.134761 89.53989)
+        (xy 77.91566 89.75861) (xy 77.796936 90.044528) (xy 77.796666 90.354114) (xy 77.91489 90.640239) (xy 78.13361 90.85934)
+        (xy 78.419528 90.978064) (xy 78.729114 90.978334) (xy 79.015239 90.86011) (xy 79.23434 90.64139) (xy 79.353064 90.355472)
+        (xy 79.353334 90.045886) (xy 79.353334 91.381458) (xy 77.353334 91.377676) (xy 77.353334 90.045886) (xy 77.353334 88.045886)
+        (xy 77.23511 87.759761) (xy 77.01639 87.54066) (xy 76.730472 87.421936) (xy 76.420886 87.421666) (xy 76.134761 87.53989)
+        (xy 75.91566 87.75861) (xy 75.796936 88.044528) (xy 75.796666 88.354114) (xy 75.91489 88.640239) (xy 76.13361 88.85934)
+        (xy 76.419528 88.978064) (xy 76.729114 88.978334) (xy 77.015239 88.86011) (xy 77.23434 88.64139) (xy 77.353064 88.355472)
+        (xy 77.353334 88.045886) (xy 77.353334 90.045886) (xy 77.23511 89.759761) (xy 77.01639 89.54066) (xy 76.730472 89.421936)
+        (xy 76.420886 89.421666) (xy 76.134761 89.53989) (xy 75.91566 89.75861) (xy 75.796936 90.044528) (xy 75.796666 90.354114)
+        (xy 75.91489 90.640239) (xy 76.13361 90.85934) (xy 76.419528 90.978064) (xy 76.729114 90.978334) (xy 77.015239 90.86011)
+        (xy 77.23434 90.64139) (xy 77.353064 90.355472) (xy 77.353334 90.045886) (xy 77.353334 91.377676) (xy 75.353334 91.373894)
+        (xy 75.353334 90.045886) (xy 75.353334 88.045886) (xy 75.23511 87.759761) (xy 75.01639 87.54066) (xy 74.730472 87.421936)
+        (xy 74.420886 87.421666) (xy 74.134761 87.53989) (xy 73.91566 87.75861) (xy 73.796936 88.044528) (xy 73.796666 88.354114)
+        (xy 73.91489 88.640239) (xy 74.13361 88.85934) (xy 74.419528 88.978064) (xy 74.729114 88.978334) (xy 75.015239 88.86011)
+        (xy 75.23434 88.64139) (xy 75.353064 88.355472) (xy 75.353334 88.045886) (xy 75.353334 90.045886) (xy 75.23511 89.759761)
+        (xy 75.01639 89.54066) (xy 74.730472 89.421936) (xy 74.420886 89.421666) (xy 74.134761 89.53989) (xy 73.91566 89.75861)
+        (xy 73.796936 90.044528) (xy 73.796666 90.354114) (xy 73.91489 90.640239) (xy 74.13361 90.85934) (xy 74.419528 90.978064)
+        (xy 74.729114 90.978334) (xy 75.015239 90.86011) (xy 75.23434 90.64139) (xy 75.353064 90.355472) (xy 75.353334 90.045886)
+        (xy 75.353334 91.373894) (xy 73.353334 91.370112) (xy 73.353334 90.045886) (xy 73.353334 88.045886) (xy 73.23511 87.759761)
+        (xy 73.106888 87.631315) (xy 73.106888 72.699633) (xy 73.029895 72.513296) (xy 72.916724 72.399927) (xy 73.029394 72.287454)
+        (xy 73.106712 72.101251) (xy 73.106888 71.899633) (xy 73.029895 71.713296) (xy 72.887454 71.570606) (xy 72.701251 71.493288)
+        (xy 72.499633 71.493112) (xy 72.331888 71.562422) (xy 72.331888 71.099633) (xy 72.306888 71.039128) (xy 72.306888 68.699633)
+        (xy 72.229895 68.513296) (xy 72.087454 68.370606) (xy 71.901251 68.293288) (xy 71.699633 68.293112) (xy 71.513296 68.370105)
+        (xy 71.370606 68.512546) (xy 71.293288 68.698749) (xy 71.293112 68.900367) (xy 71.370105 69.086704) (xy 71.512546 69.229394)
+        (xy 71.698749 69.306712) (xy 71.900367 69.306888) (xy 72.086704 69.229895) (xy 72.229394 69.087454) (xy 72.306712 68.901251)
+        (xy 72.306888 68.699633) (xy 72.306888 71.039128) (xy 72.254895 70.913296) (xy 72.112454 70.770606) (xy 71.926251 70.693288)
+        (xy 71.724633 70.693112) (xy 71.538296 70.770105) (xy 71.395606 70.912546) (xy 71.318288 71.098749) (xy 71.318112 71.300367)
+        (xy 71.395105 71.486704) (xy 71.537546 71.629394) (xy 71.723749 71.706712) (xy 71.925367 71.706888) (xy 72.111704 71.629895)
+        (xy 72.254394 71.487454) (xy 72.331712 71.301251) (xy 72.331888 71.099633) (xy 72.331888 71.562422) (xy 72.313296 71.570105)
+        (xy 72.170606 71.712546) (xy 72.093288 71.898749) (xy 72.093112 72.100367) (xy 72.170105 72.286704) (xy 72.283275 72.400072)
+        (xy 72.170606 72.512546) (xy 72.093288 72.698749) (xy 72.093112 72.900367) (xy 72.170105 73.086704) (xy 72.312546 73.229394)
+        (xy 72.498749 73.306712) (xy 72.700367 73.306888) (xy 72.886704 73.229895) (xy 73.029394 73.087454) (xy 73.106712 72.901251)
+        (xy 73.106888 72.699633) (xy 73.106888 87.631315) (xy 73.01639 87.54066) (xy 72.730472 87.421936) (xy 72.420886 87.421666)
+        (xy 72.134761 87.53989) (xy 71.91566 87.75861) (xy 71.796936 88.044528) (xy 71.796666 88.354114) (xy 71.91489 88.640239)
+        (xy 72.13361 88.85934) (xy 72.419528 88.978064) (xy 72.729114 88.978334) (xy 73.015239 88.86011) (xy 73.23434 88.64139)
+        (xy 73.353064 88.355472) (xy 73.353334 88.045886) (xy 73.353334 90.045886) (xy 73.23511 89.759761) (xy 73.01639 89.54066)
+        (xy 72.730472 89.421936) (xy 72.420886 89.421666) (xy 72.134761 89.53989) (xy 71.91566 89.75861) (xy 71.796936 90.044528)
+        (xy 71.796666 90.354114) (xy 71.91489 90.640239) (xy 72.13361 90.85934) (xy 72.419528 90.978064) (xy 72.729114 90.978334)
+        (xy 73.015239 90.86011) (xy 73.23434 90.64139) (xy 73.353064 90.355472) (xy 73.353334 90.045886) (xy 73.353334 91.370112)
+        (xy 71.506888 91.366621) (xy 71.506888 72.699633) (xy 71.429895 72.513296) (xy 71.316724 72.399927) (xy 71.429394 72.287454)
+        (xy 71.506712 72.101251) (xy 71.506888 71.899633) (xy 71.429895 71.713296) (xy 71.287454 71.570606) (xy 71.101251 71.493288)
+        (xy 70.899633 71.493112) (xy 70.713296 71.570105) (xy 70.599927 71.683275) (xy 70.516724 71.599927) (xy 70.629394 71.487454)
+        (xy 70.706712 71.301251) (xy 70.706888 71.099633) (xy 70.629895 70.913296) (xy 70.516724 70.799927) (xy 70.629394 70.687454)
+        (xy 70.706712 70.501251) (xy 70.706888 70.299633) (xy 70.629895 70.113296) (xy 70.516724 69.999927) (xy 70.629394 69.887454)
+        (xy 70.706712 69.701251) (xy 70.706888 69.499633) (xy 70.629895 69.313296) (xy 70.516724 69.199927) (xy 70.629394 69.087454)
+        (xy 70.706712 68.901251) (xy 70.706888 68.699633) (xy 70.629895 68.513296) (xy 70.516724 68.399927) (xy 70.629394 68.287454)
+        (xy 70.706712 68.101251) (xy 70.706888 67.899633) (xy 70.629895 67.713296) (xy 70.516724 67.599927) (xy 70.629394 67.487454)
+        (xy 70.706712 67.301251) (xy 70.706888 67.099633) (xy 70.629895 66.913296) (xy 70.516724 66.799927) (xy 70.629394 66.687454)
+        (xy 70.706712 66.501251) (xy 70.706888 66.299633) (xy 70.629895 66.113296) (xy 70.516724 65.999927) (xy 70.600072 65.916724)
+        (xy 70.712546 66.029394) (xy 70.898749 66.106712) (xy 71.100367 66.106888) (xy 71.286704 66.029895) (xy 71.429394 65.887454)
+        (xy 71.506712 65.701251) (xy 71.506888 65.499633) (xy 71.506888 62.299633) (xy 71.429895 62.113296) (xy 71.287454 61.970606)
+        (xy 71.101251 61.893288) (xy 70.899633 61.893112) (xy 70.713296 61.970105) (xy 70.599927 62.083275) (xy 70.487454 61.970606)
+        (xy 70.301251 61.893288) (xy 70.099633 61.893112) (xy 69.913296 61.970105) (xy 69.770606 62.112546) (xy 69.693288 62.298749)
+        (xy 69.693112 62.500367) (xy 69.770105 62.686704) (xy 69.912546 62.829394) (xy 70.098749 62.906712) (xy 70.300367 62.906888)
+        (xy 70.486704 62.829895) (xy 70.600072 62.716724) (xy 70.712546 62.829394) (xy 70.898749 62.906712) (xy 71.100367 62.906888)
+        (xy 71.286704 62.829895) (xy 71.429394 62.687454) (xy 71.506712 62.501251) (xy 71.506888 62.299633) (xy 71.506888 65.499633)
+        (xy 71.429895 65.313296) (xy 71.287454 65.170606) (xy 71.101251 65.093288) (xy 70.899633 65.093112) (xy 70.713296 65.170105)
+        (xy 70.599927 65.283275) (xy 70.516724 65.199927) (xy 70.629394 65.087454) (xy 70.706712 64.901251) (xy 70.706888 64.699633)
+        (xy 70.629895 64.513296) (xy 70.487454 64.370606) (xy 70.301251 64.293288) (xy 70.099633 64.293112) (xy 69.913296 64.370105)
+        (xy 69.799927 64.483275) (xy 69.687454 64.370606) (xy 69.501251 64.293288) (xy 69.299633 64.293112) (xy 69.113296 64.370105)
+        (xy 68.970606 64.512546) (xy 68.893288 64.698749) (xy 68.893112 64.900367) (xy 68.970105 65.086704) (xy 69.083275 65.200072)
+        (xy 68.970606 65.312546) (xy 68.893288 65.498749) (xy 68.893112 65.700367) (xy 68.970105 65.886704) (xy 69.083275 66.000072)
+        (xy 68.970606 66.112546) (xy 68.893288 66.298749) (xy 68.893112 66.500367) (xy 68.970105 66.686704) (xy 69.083275 66.800072)
+        (xy 68.970606 66.912546) (xy 68.893288 67.098749) (xy 68.893112 67.300367) (xy 68.970105 67.486704) (xy 69.083275 67.600072)
+        (xy 68.970606 67.712546) (xy 68.893288 67.898749) (xy 68.893112 68.100367) (xy 68.970105 68.286704) (xy 69.083275 68.400072)
+        (xy 68.970606 68.512546) (xy 68.893288 68.698749) (xy 68.893112 68.900367) (xy 68.970105 69.086704) (xy 69.083275 69.200072)
+        (xy 68.970606 69.312546) (xy 68.893288 69.498749) (xy 68.893112 69.700367) (xy 68.970105 69.886704) (xy 69.083275 70.000072)
+        (xy 68.970606 70.112546) (xy 68.893288 70.298749) (xy 68.893112 70.500367) (xy 68.970105 70.686704) (xy 69.083275 70.800072)
+        (xy 68.970606 70.912546) (xy 68.893288 71.098749) (xy 68.893112 71.300367) (xy 68.970105 71.486704) (xy 69.083275 71.600072)
+        (xy 68.970606 71.712546) (xy 68.893288 71.898749) (xy 68.893112 72.100367) (xy 68.970105 72.286704) (xy 69.083275 72.400072)
+        (xy 68.970606 72.512546) (xy 68.893288 72.698749) (xy 68.893112 72.900367) (xy 68.970105 73.086704) (xy 69.083275 73.200072)
+        (xy 68.970606 73.312546) (xy 68.893288 73.498749) (xy 68.893112 73.700367) (xy 68.970105 73.886704) (xy 69.112546 74.029394)
+        (xy 69.298749 74.106712) (xy 69.500367 74.106888) (xy 69.686704 74.029895) (xy 69.800072 73.916724) (xy 69.912546 74.029394)
+        (xy 70.098749 74.106712) (xy 70.300367 74.106888) (xy 70.486704 74.029895) (xy 70.629394 73.887454) (xy 70.706712 73.701251)
+        (xy 70.706888 73.499633) (xy 70.629895 73.313296) (xy 70.516724 73.199927) (xy 70.600072 73.116724) (xy 70.712546 73.229394)
+        (xy 70.898749 73.306712) (xy 71.100367 73.306888) (xy 71.286704 73.229895) (xy 71.429394 73.087454) (xy 71.506712 72.901251)
+        (xy 71.506888 72.699633) (xy 71.506888 91.366621) (xy 71.353334 91.36633) (xy 71.353334 90.045886) (xy 71.353334 88.045886)
+        (xy 71.23511 87.759761) (xy 71.106888 87.631315) (xy 71.106888 75.274633) (xy 71.029895 75.088296) (xy 70.887454 74.945606)
+        (xy 70.701251 74.868288) (xy 70.499633 74.868112) (xy 70.313296 74.945105) (xy 70.234301 75.023961) (xy 70.229895 75.013296)
+        (xy 70.087454 74.870606) (xy 69.901251 74.793288) (xy 69.699633 74.793112) (xy 69.513296 74.870105) (xy 69.370606 75.012546)
+        (xy 69.293288 75.198749) (xy 69.293112 75.400367) (xy 69.370105 75.586704) (xy 69.512546 75.729394) (xy 69.698749 75.806712)
+        (xy 69.900367 75.806888) (xy 70.086704 75.729895) (xy 70.165698 75.651038) (xy 70.170105 75.661704) (xy 70.312546 75.804394)
+        (xy 70.498749 75.881712) (xy 70.700367 75.881888) (xy 70.886704 75.804895) (xy 71.029394 75.662454) (xy 71.106712 75.476251)
+        (xy 71.106888 75.274633) (xy 71.106888 87.631315) (xy 71.01639 87.54066) (xy 70.730472 87.421936) (xy 70.481888 87.421719)
+        (xy 70.481888 80.724633) (xy 70.404895 80.538296) (xy 70.262454 80.395606) (xy 70.076251 80.318288) (xy 69.874633 80.318112)
+        (xy 69.688296 80.395105) (xy 69.656888 80.426458) (xy 69.656888 78.999633) (xy 69.579895 78.813296) (xy 69.437454 78.670606)
+        (xy 69.251251 78.593288) (xy 69.23312 78.593272) (xy 69.281712 78.476251) (xy 69.281888 78.274633) (xy 69.204895 78.088296)
+        (xy 69.062454 77.945606) (xy 69.011669 77.924518) (xy 69.031712 77.876251) (xy 69.031888 77.674633) (xy 68.954895 77.488296)
+        (xy 68.812454 77.345606) (xy 68.706888 77.301771) (xy 68.706888 39.049633) (xy 68.629895 38.863296) (xy 68.487454 38.720606)
+        (xy 68.324718 38.653032) (xy 68.324718 36.794422) (xy 68.284718 36.697614) (xy 68.284718 29.989422) (xy 68.180714 29.737712)
+        (xy 67.988301 29.544963) (xy 67.736773 29.440519) (xy 67.464422 29.440282) (xy 67.212712 29.544286) (xy 67.019963 29.736699)
+        (xy 66.915519 29.988227) (xy 66.915282 30.260578) (xy 67.019286 30.512288) (xy 67.211699 30.705037) (xy 67.463227 30.809481)
+        (xy 67.735578 30.809718) (xy 67.987288 30.705714) (xy 68.180037 30.513301) (xy 68.284481 30.261773) (xy 68.284718 29.989422)
+        (xy 68.284718 36.697614) (xy 68.220714 36.542712) (xy 68.028301 36.349963) (xy 67.776773 36.245519) (xy 67.504422 36.245282)
+        (xy 67.252712 36.349286) (xy 67.059963 36.541699) (xy 66.955519 36.793227) (xy 66.955282 37.065578) (xy 67.059286 37.317288)
+        (xy 67.251699 37.510037) (xy 67.503227 37.614481) (xy 67.775578 37.614718) (xy 68.027288 37.510714) (xy 68.220037 37.318301)
+        (xy 68.324481 37.066773) (xy 68.324718 36.794422) (xy 68.324718 38.653032) (xy 68.301251 38.643288) (xy 68.099633 38.643112)
+        (xy 67.991923 38.687616) (xy 67.919895 38.513296) (xy 67.777454 38.370606) (xy 67.591251 38.293288) (xy 67.389633 38.293112)
+        (xy 67.203296 38.370105) (xy 67.060606 38.512546) (xy 66.983288 38.698749) (xy 66.983112 38.900367) (xy 67.060105 39.086704)
+        (xy 67.202546 39.229394) (xy 67.388749 39.306712) (xy 67.590367 39.306888) (xy 67.698076 39.262383) (xy 67.770105 39.436704)
+        (xy 67.912546 39.579394) (xy 68.098749 39.656712) (xy 68.300367 39.656888) (xy 68.486704 39.579895) (xy 68.629394 39.437454)
+        (xy 68.706712 39.251251) (xy 68.706888 39.049633) (xy 68.706888 77.301771) (xy 68.626251 77.268288) (xy 68.424633 77.268112)
+        (xy 68.238296 77.345105) (xy 68.109718 77.473458) (xy 68.109718 46.664422) (xy 68.005714 46.412712) (xy 67.834455 46.241154)
+        (xy 67.861704 46.229895) (xy 68.004394 46.087454) (xy 68.081712 45.901251) (xy 68.081888 45.699633) (xy 68.004895 45.513296)
+        (xy 67.862454 45.370606) (xy 67.676251 45.293288) (xy 67.474633 45.293112) (xy 67.288296 45.370105) (xy 67.145606 45.512546)
+        (xy 67.068288 45.698749) (xy 67.068112 45.900367) (xy 67.145105 46.086704) (xy 67.207445 46.149153) (xy 67.037712 46.219286)
+        (xy 66.844963 46.411699) (xy 66.740519 46.663227) (xy 66.740282 46.935578) (xy 66.844286 47.187288) (xy 67.036699 47.380037)
+        (xy 67.288227 47.484481) (xy 67.560578 47.484718) (xy 67.812288 47.380714) (xy 68.005037 47.188301) (xy 68.109481 46.936773)
+        (xy 68.109718 46.664422) (xy 68.109718 77.473458) (xy 68.095606 77.487546) (xy 68.018288 77.673749) (xy 68.018112 77.875367)
+        (xy 68.095105 78.061704) (xy 68.237546 78.204394) (xy 68.28833 78.225481) (xy 68.268288 78.273749) (xy 68.268112 78.475367)
+        (xy 68.345105 78.661704) (xy 68.487546 78.804394) (xy 68.673749 78.881712) (xy 68.691879 78.881727) (xy 68.643288 78.998749)
+        (xy 68.643227 79.06828) (xy 68.449633 79.068112) (xy 68.263296 79.145105) (xy 68.120606 79.287546) (xy 68.043288 79.473749)
+        (xy 68.043112 79.675367) (xy 68.120105 79.861704) (xy 68.262546 80.004394) (xy 68.448749 80.081712) (xy 68.468259 80.081729)
+        (xy 68.468112 80.250367) (xy 68.545105 80.436704) (xy 68.687546 80.579394) (xy 68.873749 80.656712) (xy 69.075367 80.656888)
+        (xy 69.261704 80.579895) (xy 69.404394 80.437454) (xy 69.481712 80.251251) (xy 69.481888 80.049633) (xy 69.404895 79.863296)
+        (xy 69.262454 79.720606) (xy 69.076251 79.643288) (xy 69.05674 79.64327) (xy 69.056772 79.606719) (xy 69.250367 79.606888)
+        (xy 69.436704 79.529895) (xy 69.579394 79.387454) (xy 69.656712 79.201251) (xy 69.656888 78.999633) (xy 69.656888 80.426458)
+        (xy 69.545606 80.537546) (xy 69.468288 80.723749) (xy 69.468112 80.925367) (xy 69.545105 81.111704) (xy 69.687546 81.254394)
+        (xy 69.873749 81.331712) (xy 70.075367 81.331888) (xy 70.261704 81.254895) (xy 70.404394 81.112454) (xy 70.481712 80.926251)
+        (xy 70.481888 80.724633) (xy 70.481888 87.421719) (xy 70.420886 87.421666) (xy 70.134761 87.53989) (xy 69.91566 87.75861)
+        (xy 69.796936 88.044528) (xy 69.796666 88.354114) (xy 69.91489 88.640239) (xy 70.13361 88.85934) (xy 70.419528 88.978064)
+        (xy 70.729114 88.978334) (xy 71.015239 88.86011) (xy 71.23434 88.64139) (xy 71.353064 88.355472) (xy 71.353334 88.045886)
+        (xy 71.353334 90.045886) (xy 71.23511 89.759761) (xy 71.01639 89.54066) (xy 70.730472 89.421936) (xy 70.420886 89.421666)
+        (xy 70.134761 89.53989) (xy 69.91566 89.75861) (xy 69.796936 90.044528) (xy 69.796666 90.354114) (xy 69.91489 90.640239)
+        (xy 70.13361 90.85934) (xy 70.419528 90.978064) (xy 70.729114 90.978334) (xy 71.015239 90.86011) (xy 71.23434 90.64139)
+        (xy 71.353064 90.355472) (xy 71.353334 90.045886) (xy 71.353334 91.36633) (xy 69.353334 91.362549) (xy 69.353334 90.045886)
+        (xy 69.353334 88.045886) (xy 69.23511 87.759761) (xy 69.01639 87.54066) (xy 68.730472 87.421936) (xy 68.420886 87.421666)
+        (xy 68.134761 87.53989) (xy 67.91566 87.75861) (xy 67.796936 88.044528) (xy 67.796666 88.354114) (xy 67.91489 88.640239)
+        (xy 68.13361 88.85934) (xy 68.419528 88.978064) (xy 68.729114 88.978334) (xy 69.015239 88.86011) (xy 69.23434 88.64139)
+        (xy 69.353064 88.355472) (xy 69.353334 88.045886) (xy 69.353334 90.045886) (xy 69.23511 89.759761) (xy 69.01639 89.54066)
+        (xy 68.730472 89.421936) (xy 68.420886 89.421666) (xy 68.134761 89.53989) (xy 67.91566 89.75861) (xy 67.796936 90.044528)
+        (xy 67.796666 90.354114) (xy 67.91489 90.640239) (xy 68.13361 90.85934) (xy 68.419528 90.978064) (xy 68.729114 90.978334)
+        (xy 69.015239 90.86011) (xy 69.23434 90.64139) (xy 69.353064 90.355472) (xy 69.353334 90.045886) (xy 69.353334 91.362549)
+        (xy 67.353334 91.358767) (xy 67.353334 90.045886) (xy 67.353334 88.045886) (xy 67.23511 87.759761) (xy 67.01639 87.54066)
+        (xy 66.730472 87.421936) (xy 66.531888 87.421762) (xy 66.531888 49.424633) (xy 66.454895 49.238296) (xy 66.312454 49.095606)
+        (xy 66.126251 49.018288) (xy 66.106888 49.018271) (xy 66.106888 47.319633) (xy 66.029895 47.133296) (xy 65.887454 46.990606)
+        (xy 65.781888 46.946771) (xy 65.781888 25.949633) (xy 65.704895 25.763296) (xy 65.562454 25.620606) (xy 65.376251 25.543288)
+        (xy 65.174633 25.543112) (xy 64.988296 25.620105) (xy 64.845606 25.762546) (xy 64.768288 25.948749) (xy 64.768112 26.150367)
+        (xy 64.845105 26.336704) (xy 64.987546 26.479394) (xy 65.173749 26.556712) (xy 65.375367 26.556888) (xy 65.561704 26.479895)
+        (xy 65.704394 26.337454) (xy 65.781712 26.151251) (xy 65.781888 25.949633) (xy 65.781888 46.946771) (xy 65.701251 46.913288)
+        (xy 65.499633 46.913112) (xy 65.313296 46.990105) (xy 65.170606 47.132546) (xy 65.093288 47.318749) (xy 65.093112 47.520367)
+        (xy 65.170105 47.706704) (xy 65.312546 47.849394) (xy 65.498749 47.926712) (xy 65.700367 47.926888) (xy 65.886704 47.849895)
+        (xy 66.029394 47.707454) (xy 66.106712 47.521251) (xy 66.106888 47.319633) (xy 66.106888 49.018271) (xy 65.924633 49.018112)
+        (xy 65.738296 49.095105) (xy 65.595606 49.237546) (xy 65.541803 49.367116) (xy 65.529895 49.338296) (xy 65.387454 49.195606)
+        (xy 65.201251 49.118288) (xy 64.999633 49.118112) (xy 64.813296 49.195105) (xy 64.670606 49.337546) (xy 64.593288 49.523749)
+        (xy 64.593112 49.725367) (xy 64.670105 49.911704) (xy 64.812546 50.054394) (xy 64.998749 50.131712) (xy 65.200367 50.131888)
+        (xy 65.386704 50.054895) (xy 65.529394 49.912454) (xy 65.583196 49.782883) (xy 65.595105 49.811704) (xy 65.737546 49.954394)
+        (xy 65.923749 50.031712) (xy 66.125367 50.031888) (xy 66.311704 49.954895) (xy 66.454394 49.812454) (xy 66.531712 49.626251)
+        (xy 66.531888 49.424633) (xy 66.531888 87.421762) (xy 66.431888 87.421675) (xy 66.431888 82.699633) (xy 66.354895 82.513296)
+        (xy 66.212454 82.370606) (xy 66.026251 82.293288) (xy 65.824633 82.293112) (xy 65.666423 82.358482) (xy 65.731712 82.201251)
+        (xy 65.731888 81.999633) (xy 65.662518 81.831745) (xy 65.825367 81.831888) (xy 66.011704 81.754895) (xy 66.154394 81.612454)
+        (xy 66.231712 81.426251) (xy 66.231888 81.224633) (xy 66.154895 81.038296) (xy 66.012454 80.895606) (xy 65.826251 80.818288)
+        (xy 65.624633 80.818112) (xy 65.438296 80.895105) (xy 65.295606 81.037546) (xy 65.218288 81.223749) (xy 65.218112 81.425367)
+        (xy 65.287481 81.593254) (xy 65.124633 81.593112) (xy 65.106888 81.600444) (xy 65.106888 50.974633) (xy 65.029895 50.788296)
+        (xy 64.887454 50.645606) (xy 64.701251 50.568288) (xy 64.537411 50.568144) (xy 64.606712 50.401251) (xy 64.606888 50.199633)
+        (xy 64.564718 50.097573) (xy 64.564718 36.874422) (xy 64.460714 36.622712) (xy 64.268301 36.429963) (xy 64.016773 36.325519)
+        (xy 63.744422 36.325282) (xy 63.492712 36.429286) (xy 63.299963 36.621699) (xy 63.195519 36.873227) (xy 63.195282 37.145578)
+        (xy 63.299286 37.397288) (xy 63.491699 37.590037) (xy 63.743227 37.694481) (xy 64.015578 37.694718) (xy 64.267288 37.590714)
+        (xy 64.460037 37.398301) (xy 64.564481 37.146773) (xy 64.564718 36.874422) (xy 64.564718 50.097573) (xy 64.529895 50.013296)
+        (xy 64.387454 49.870606) (xy 64.381888 49.868294) (xy 64.381888 38.474633) (xy 64.304895 38.288296) (xy 64.162454 38.145606)
+        (xy 63.976251 38.068288) (xy 63.774633 38.068112) (xy 63.588296 38.145105) (xy 63.445606 38.287546) (xy 63.368288 38.473749)
+        (xy 63.368112 38.675367) (xy 63.445105 38.861704) (xy 63.587546 39.004394) (xy 63.773749 39.081712) (xy 63.975367 39.081888)
+        (xy 64.161704 39.004895) (xy 64.304394 38.862454) (xy 64.381712 38.676251) (xy 64.381888 38.474633) (xy 64.381888 49.868294)
+        (xy 64.206888 49.795628) (xy 64.206888 46.274633) (xy 64.129895 46.088296) (xy 63.987454 45.945606) (xy 63.801251 45.868288)
+        (xy 63.599633 45.868112) (xy 63.413296 45.945105) (xy 63.270606 46.087546) (xy 63.193288 46.273749) (xy 63.193112 46.475367)
+        (xy 63.270105 46.661704) (xy 63.412546 46.804394) (xy 63.598749 46.881712) (xy 63.800367 46.881888) (xy 63.986704 46.804895)
+        (xy 64.129394 46.662454) (xy 64.206712 46.476251) (xy 64.206888 46.274633) (xy 64.206888 49.795628) (xy 64.201251 49.793288)
+        (xy 63.999633 49.793112) (xy 63.813296 49.870105) (xy 63.670606 50.012546) (xy 63.593288 50.198749) (xy 63.593228 50.266575)
+        (xy 63.529895 50.113296) (xy 63.387454 49.970606) (xy 63.201251 49.893288) (xy 63.023705 49.893133) (xy 63.029394 49.887454)
+        (xy 63.106712 49.701251) (xy 63.106888 49.499633) (xy 63.029895 49.313296) (xy 62.887454 49.170606) (xy 62.701251 49.093288)
+        (xy 62.499633 49.093112) (xy 62.313296 49.170105) (xy 62.281888 49.201458) (xy 62.281888 44.049633) (xy 62.204895 43.863296)
+        (xy 62.062454 43.720606) (xy 61.876251 43.643288) (xy 61.859718 43.643273) (xy 61.859718 36.439422) (xy 61.755714 36.187712)
+        (xy 61.563301 35.994963) (xy 61.311773 35.890519) (xy 61.039422 35.890282) (xy 60.787712 35.994286) (xy 60.634718 36.147013)
+        (xy 60.634718 22.714422) (xy 60.530714 22.462712) (xy 60.338301 22.269963) (xy 60.086773 22.165519) (xy 59.814422 22.165282)
+        (xy 59.562712 22.269286) (xy 59.369963 22.461699) (xy 59.265519 22.713227) (xy 59.265282 22.985578) (xy 59.369286 23.237288)
+        (xy 59.561699 23.430037) (xy 59.813227 23.534481) (xy 60.085578 23.534718) (xy 60.337288 23.430714) (xy 60.530037 23.238301)
+        (xy 60.634481 22.986773) (xy 60.634718 22.714422) (xy 60.634718 36.147013) (xy 60.594963 36.186699) (xy 60.490519 36.438227)
+        (xy 60.490282 36.710578) (xy 60.594286 36.962288) (xy 60.786699 37.155037) (xy 61.038227 37.259481) (xy 61.310578 37.259718)
+        (xy 61.562288 37.155714) (xy 61.755037 36.963301) (xy 61.859481 36.711773) (xy 61.859718 36.439422) (xy 61.859718 43.643273)
+        (xy 61.674633 43.643112) (xy 61.488296 43.720105) (xy 61.359718 43.848458) (xy 61.359718 39.714422) (xy 61.255714 39.462712)
+        (xy 61.063301 39.269963) (xy 60.811773 39.165519) (xy 60.539422 39.165282) (xy 60.287712 39.269286) (xy 60.094963 39.461699)
+        (xy 59.990519 39.713227) (xy 59.990282 39.985578) (xy 60.094286 40.237288) (xy 60.286699 40.430037) (xy 60.538227 40.534481)
+        (xy 60.810578 40.534718) (xy 61.062288 40.430714) (xy 61.255037 40.238301) (xy 61.359481 39.986773) (xy 61.359718 39.714422)
+        (xy 61.359718 43.848458) (xy 61.345606 43.862546) (xy 61.268288 44.048749) (xy 61.268112 44.250367) (xy 61.345105 44.436704)
+        (xy 61.487546 44.579394) (xy 61.673749 44.656712) (xy 61.875367 44.656888) (xy 62.061704 44.579895) (xy 62.204394 44.437454)
+        (xy 62.281712 44.251251) (xy 62.281888 44.049633) (xy 62.281888 49.201458) (xy 62.170606 49.312546) (xy 62.093288 49.498749)
+        (xy 62.093112 49.700367) (xy 62.170105 49.886704) (xy 62.312546 50.029394) (xy 62.498749 50.106712) (xy 62.676294 50.106866)
+        (xy 62.670606 50.112546) (xy 62.593288 50.298749) (xy 62.593112 50.500367) (xy 62.670105 50.686704) (xy 62.812546 50.829394)
+        (xy 62.998749 50.906712) (xy 63.200367 50.906888) (xy 63.386704 50.829895) (xy 63.529394 50.687454) (xy 63.606712 50.501251)
+        (xy 63.606771 50.433424) (xy 63.670105 50.586704) (xy 63.812546 50.729394) (xy 63.998749 50.806712) (xy 64.162588 50.806855)
+        (xy 64.093288 50.973749) (xy 64.093112 51.175367) (xy 64.170105 51.361704) (xy 64.312546 51.504394) (xy 64.498749 51.581712)
+        (xy 64.700367 51.581888) (xy 64.886704 51.504895) (xy 65.029394 51.362454) (xy 65.106712 51.176251) (xy 65.106888 50.974633)
+        (xy 65.106888 81.600444) (xy 64.938296 81.670105) (xy 64.795606 81.812546) (xy 64.718288 81.998749) (xy 64.718112 82.200367)
+        (xy 64.795105 82.386704) (xy 64.937546 82.529394) (xy 65.123749 82.606712) (xy 65.325367 82.606888) (xy 65.483576 82.541517)
+        (xy 65.418288 82.698749) (xy 65.418112 82.900367) (xy 65.495105 83.086704) (xy 65.637546 83.229394) (xy 65.823749 83.306712)
+        (xy 66.025367 83.306888) (xy 66.211704 83.229895) (xy 66.354394 83.087454) (xy 66.431712 82.901251) (xy 66.431888 82.699633)
+        (xy 66.431888 87.421675) (xy 66.420886 87.421666) (xy 66.134761 87.53989) (xy 65.91566 87.75861) (xy 65.796936 88.044528)
+        (xy 65.796666 88.354114) (xy 65.91489 88.640239) (xy 66.13361 88.85934) (xy 66.419528 88.978064) (xy 66.729114 88.978334)
+        (xy 67.015239 88.86011) (xy 67.23434 88.64139) (xy 67.353064 88.355472) (xy 67.353334 88.045886) (xy 67.353334 90.045886)
+        (xy 67.23511 89.759761) (xy 67.01639 89.54066) (xy 66.730472 89.421936) (xy 66.420886 89.421666) (xy 66.134761 89.53989)
+        (xy 65.91566 89.75861) (xy 65.796936 90.044528) (xy 65.796666 90.354114) (xy 65.91489 90.640239) (xy 66.13361 90.85934)
+        (xy 66.419528 90.978064) (xy 66.729114 90.978334) (xy 67.015239 90.86011) (xy 67.23434 90.64139) (xy 67.353064 90.355472)
+        (xy 67.353334 90.045886) (xy 67.353334 91.358767) (xy 65.353334 91.354985) (xy 65.353334 90.045886) (xy 65.353334 88.045886)
+        (xy 65.23511 87.759761) (xy 65.01639 87.54066) (xy 64.730472 87.421936) (xy 64.420886 87.421666) (xy 64.356888 87.448109)
+        (xy 64.356888 63.324633) (xy 64.279895 63.138296) (xy 64.156888 63.015073) (xy 64.156888 51.649633) (xy 64.079895 51.463296)
+        (xy 63.937454 51.320606) (xy 63.751251 51.243288) (xy 63.549633 51.243112) (xy 63.363296 51.320105) (xy 63.220606 51.462546)
+        (xy 63.143288 51.648749) (xy 63.143112 51.850367) (xy 63.220105 52.036704) (xy 63.362546 52.179394) (xy 63.548749 52.256712)
+        (xy 63.750367 52.256888) (xy 63.936704 52.179895) (xy 64.079394 52.037454) (xy 64.156712 51.851251) (xy 64.156888 51.649633)
+        (xy 64.156888 63.015073) (xy 64.137454 62.995606) (xy 63.951251 62.918288) (xy 63.749633 62.918112) (xy 63.563296 62.995105)
+        (xy 63.420606 63.137546) (xy 63.343288 63.323749) (xy 63.343112 63.525367) (xy 63.420105 63.711704) (xy 63.476416 63.768113)
+        (xy 63.474633 63.768112) (xy 63.288296 63.845105) (xy 63.145606 63.987546) (xy 63.131888 64.020582) (xy 63.131888 63.749633)
+        (xy 63.054895 63.563296) (xy 62.912454 63.420606) (xy 62.726251 63.343288) (xy 62.524633 63.343112) (xy 62.338296 63.420105)
+        (xy 62.195606 63.562546) (xy 62.118288 63.748749) (xy 62.118112 63.950367) (xy 62.195105 64.136704) (xy 62.226503 64.168157)
+        (xy 62.174633 64.168112) (xy 61.988296 64.245105) (xy 61.845606 64.387546) (xy 61.768288 64.573749) (xy 61.768112 64.775367)
+        (xy 61.845105 64.961704) (xy 61.987546 65.104394) (xy 62.173749 65.181712) (xy 62.375367 65.181888) (xy 62.561704 65.104895)
+        (xy 62.704394 64.962454) (xy 62.781712 64.776251) (xy 62.781888 64.574633) (xy 62.704895 64.388296) (xy 62.673496 64.356842)
+        (xy 62.725367 64.356888) (xy 62.911704 64.279895) (xy 63.054394 64.137454) (xy 63.131712 63.951251) (xy 63.131888 63.749633)
+        (xy 63.131888 64.020582) (xy 63.068288 64.173749) (xy 63.068112 64.375367) (xy 63.145105 64.561704) (xy 63.287546 64.704394)
+        (xy 63.473749 64.781712) (xy 63.675367 64.781888) (xy 63.861704 64.704895) (xy 64.004394 64.562454) (xy 64.081712 64.376251)
+        (xy 64.081888 64.174633) (xy 64.004895 63.988296) (xy 63.948583 63.931886) (xy 63.950367 63.931888) (xy 64.136704 63.854895)
+        (xy 64.279394 63.712454) (xy 64.356712 63.526251) (xy 64.356888 63.324633) (xy 64.356888 87.448109) (xy 64.134761 87.53989)
+        (xy 63.91566 87.75861) (xy 63.796936 88.044528) (xy 63.796666 88.354114) (xy 63.91489 88.640239) (xy 64.13361 88.85934)
+        (xy 64.419528 88.978064) (xy 64.729114 88.978334) (xy 65.015239 88.86011) (xy 65.23434 88.64139) (xy 65.353064 88.355472)
+        (xy 65.353334 88.045886) (xy 65.353334 90.045886) (xy 65.23511 89.759761) (xy 65.01639 89.54066) (xy 64.730472 89.421936)
+        (xy 64.420886 89.421666) (xy 64.134761 89.53989) (xy 63.91566 89.75861) (xy 63.796936 90.044528) (xy 63.796666 90.354114)
+        (xy 63.91489 90.640239) (xy 64.13361 90.85934) (xy 64.419528 90.978064) (xy 64.729114 90.978334) (xy 65.015239 90.86011)
+        (xy 65.23434 90.64139) (xy 65.353064 90.355472) (xy 65.353334 90.045886) (xy 65.353334 91.354985) (xy 63.353334 91.351203)
+        (xy 63.353334 90.045886) (xy 63.353334 88.045886) (xy 63.23511 87.759761) (xy 63.01639 87.54066) (xy 63.006888 87.536714)
+        (xy 63.006888 82.299633) (xy 62.929895 82.113296) (xy 62.787454 81.970606) (xy 62.601251 81.893288) (xy 62.506888 81.893205)
+        (xy 62.506888 76.249633) (xy 62.429895 76.063296) (xy 62.287454 75.920606) (xy 62.101251 75.843288) (xy 61.899633 75.843112)
+        (xy 61.713296 75.920105) (xy 61.570606 76.062546) (xy 61.493288 76.248749) (xy 61.493112 76.450367) (xy 61.570105 76.636704)
+        (xy 61.712546 76.779394) (xy 61.898749 76.856712) (xy 62.100367 76.856888) (xy 62.286704 76.779895) (xy 62.429394 76.637454)
+        (xy 62.506712 76.451251) (xy 62.506888 76.249633) (xy 62.506888 81.893205) (xy 62.399633 81.893112) (xy 62.213296 81.970105)
+        (xy 62.206888 81.976501) (xy 62.206888 81.024633) (xy 62.129895 80.838296) (xy 62.106888 80.815248) (xy 62.106888 77.899633)
+        (xy 62.029895 77.713296) (xy 61.887454 77.570606) (xy 61.701251 77.493288) (xy 61.499633 77.493112) (xy 61.313296 77.570105)
+        (xy 61.309718 77.573676) (xy 61.309718 48.689422) (xy 61.205714 48.437712) (xy 61.013301 48.244963) (xy 60.761773 48.140519)
+        (xy 60.489422 48.140282) (xy 60.237712 48.244286) (xy 60.044963 48.436699) (xy 59.981888 48.588599) (xy 59.981888 43.049633)
+        (xy 59.904895 42.863296) (xy 59.762454 42.720606) (xy 59.576251 42.643288) (xy 59.374633 42.643112) (xy 59.188296 42.720105)
+        (xy 59.045606 42.862546) (xy 58.968288 43.048749) (xy 58.968112 43.250367) (xy 59.045105 43.436704) (xy 59.187546 43.579394)
+        (xy 59.373749 43.656712) (xy 59.575367 43.656888) (xy 59.761704 43.579895) (xy 59.904394 43.437454) (xy 59.981712 43.251251)
+        (xy 59.981888 43.049633) (xy 59.981888 48.588599) (xy 59.940519 48.688227) (xy 59.940282 48.960578) (xy 60.044286 49.212288)
+        (xy 60.236699 49.405037) (xy 60.488227 49.509481) (xy 60.760578 49.509718) (xy 61.012288 49.405714) (xy 61.205037 49.213301)
+        (xy 61.309481 48.961773) (xy 61.309718 48.689422) (xy 61.309718 77.573676) (xy 61.170606 77.712546) (xy 61.093288 77.898749)
+        (xy 61.093112 78.100367) (xy 61.170105 78.286704) (xy 61.312546 78.429394) (xy 61.498749 78.506712) (xy 61.700367 78.506888)
+        (xy 61.886704 78.429895) (xy 62.029394 78.287454) (xy 62.106712 78.101251) (xy 62.106888 77.899633) (xy 62.106888 80.815248)
+        (xy 61.987454 80.695606) (xy 61.801251 80.618288) (xy 61.599633 80.618112) (xy 61.413296 80.695105) (xy 61.270606 80.837546)
+        (xy 61.193288 81.023749) (xy 61.193112 81.225367) (xy 61.270105 81.411704) (xy 61.412546 81.554394) (xy 61.598749 81.631712)
+        (xy 61.800367 81.631888) (xy 61.986704 81.554895) (xy 62.129394 81.412454) (xy 62.206712 81.226251) (xy 62.206888 81.024633)
+        (xy 62.206888 81.976501) (xy 62.070606 82.112546) (xy 61.993288 82.298749) (xy 61.993112 82.500367) (xy 62.070105 82.686704)
+        (xy 62.212546 82.829394) (xy 62.398749 82.906712) (xy 62.600367 82.906888) (xy 62.786704 82.829895) (xy 62.929394 82.687454)
+        (xy 63.006712 82.501251) (xy 63.006888 82.299633) (xy 63.006888 87.536714) (xy 62.730472 87.421936) (xy 62.420886 87.421666)
+        (xy 62.134761 87.53989) (xy 61.91566 87.75861) (xy 61.796936 88.044528) (xy 61.796666 88.354114) (xy 61.91489 88.640239)
+        (xy 62.13361 88.85934) (xy 62.419528 88.978064) (xy 62.729114 88.978334) (xy 63.015239 88.86011) (xy 63.23434 88.64139)
+        (xy 63.353064 88.355472) (xy 63.353334 88.045886) (xy 63.353334 90.045886) (xy 63.23511 89.759761) (xy 63.01639 89.54066)
+        (xy 62.730472 89.421936) (xy 62.420886 89.421666) (xy 62.134761 89.53989) (xy 61.91566 89.75861) (xy 61.796936 90.044528)
+        (xy 61.796666 90.354114) (xy 61.91489 90.640239) (xy 62.13361 90.85934) (xy 62.419528 90.978064) (xy 62.729114 90.978334)
+        (xy 63.015239 90.86011) (xy 63.23434 90.64139) (xy 63.353064 90.355472) (xy 63.353334 90.045886) (xy 63.353334 91.351203)
+        (xy 61.353334 91.347421) (xy 61.353334 90.045886) (xy 61.353334 88.045886) (xy 61.23511 87.759761) (xy 61.01639 87.54066)
+        (xy 60.981888 87.526333) (xy 60.981888 81.049633) (xy 60.956888 80.989128) (xy 60.956888 62.299633) (xy 60.879895 62.113296)
+        (xy 60.737454 61.970606) (xy 60.551251 61.893288) (xy 60.349633 61.893112) (xy 60.163296 61.970105) (xy 60.106888 62.026414)
+        (xy 60.106888 57.099633) (xy 60.029895 56.913296) (xy 59.887454 56.770606) (xy 59.701251 56.693288) (xy 59.499633 56.693112)
+        (xy 59.313296 56.770105) (xy 59.170606 56.912546) (xy 59.156888 56.945582) (xy 59.156888 46.699633) (xy 59.079895 46.513296)
+        (xy 58.937454 46.370606) (xy 58.751251 46.293288) (xy 58.549633 46.293112) (xy 58.403343 46.353557) (xy 58.403343 27.835984)
+        (xy 58.277523 27.531475) (xy 58.04475 27.298296) (xy 57.740462 27.171944) (xy 57.410984 27.171657) (xy 57.106475 27.297477)
+        (xy 56.873296 27.53025) (xy 56.746944 27.834538) (xy 56.746657 28.164016) (xy 56.872477 28.468525) (xy 57.10525 28.701704)
+        (xy 57.409538 28.828056) (xy 57.739016 28.828343) (xy 58.043525 28.702523) (xy 58.276704 28.46975) (xy 58.403056 28.165462)
+        (xy 58.403343 27.835984) (xy 58.403343 46.353557) (xy 58.363296 46.370105) (xy 58.220606 46.512546) (xy 58.143288 46.698749)
+        (xy 58.143112 46.900367) (xy 58.220105 47.086704) (xy 58.362546 47.229394) (xy 58.548749 47.306712) (xy 58.750367 47.306888)
+        (xy 58.936704 47.229895) (xy 59.079394 47.087454) (xy 59.156712 46.901251) (xy 59.156888 46.699633) (xy 59.156888 56.945582)
+        (xy 59.093288 57.098749) (xy 59.093112 57.300367) (xy 59.170105 57.486704) (xy 59.312546 57.629394) (xy 59.498749 57.706712)
+        (xy 59.700367 57.706888) (xy 59.886704 57.629895) (xy 60.029394 57.487454) (xy 60.106712 57.301251) (xy 60.106888 57.099633)
+        (xy 60.106888 62.026414) (xy 60.020606 62.112546) (xy 59.943288 62.298749) (xy 59.943112 62.500367) (xy 60.020105 62.686704)
+        (xy 60.162546 62.829394) (xy 60.348749 62.906712) (xy 60.550367 62.906888) (xy 60.736704 62.829895) (xy 60.879394 62.687454)
+        (xy 60.956712 62.501251) (xy 60.956888 62.299633) (xy 60.956888 80.989128) (xy 60.906888 80.868119) (xy 60.906888 76.249633)
+        (xy 60.829895 76.063296) (xy 60.781888 76.015205) (xy 60.781888 64.299633) (xy 60.704895 64.113296) (xy 60.562454 63.970606)
+        (xy 60.376251 63.893288) (xy 60.174633 63.893112) (xy 59.988296 63.970105) (xy 59.845606 64.112546) (xy 59.822461 64.168284)
+        (xy 59.624633 64.168112) (xy 59.438296 64.245105) (xy 59.306888 64.376283) (xy 59.306888 63.499633) (xy 59.229895 63.313296)
+        (xy 59.087454 63.170606) (xy 58.906888 63.095628) (xy 58.906888 56.224633) (xy 58.829895 56.038296) (xy 58.687454 55.895606)
+        (xy 58.501251 55.818288) (xy 58.299633 55.818112) (xy 58.113296 55.895105) (xy 57.970606 56.037546) (xy 57.893288 56.223749)
+        (xy 57.893112 56.425367) (xy 57.970105 56.611704) (xy 58.112546 56.754394) (xy 58.298749 56.831712) (xy 58.500367 56.831888)
+        (xy 58.686704 56.754895) (xy 58.829394 56.612454) (xy 58.906712 56.426251) (xy 58.906888 56.224633) (xy 58.906888 63.095628)
+        (xy 58.901251 63.093288) (xy 58.699633 63.093112) (xy 58.513296 63.170105) (xy 58.370606 63.312546) (xy 58.293288 63.498749)
+        (xy 58.293112 63.700367) (xy 58.370105 63.886704) (xy 58.512546 64.029394) (xy 58.698749 64.106712) (xy 58.900367 64.106888)
+        (xy 59.086704 64.029895) (xy 59.229394 63.887454) (xy 59.306712 63.701251) (xy 59.306888 63.499633) (xy 59.306888 64.376283)
+        (xy 59.295606 64.387546) (xy 59.218288 64.573749) (xy 59.218112 64.775367) (xy 59.295105 64.961704) (xy 59.437546 65.104394)
+        (xy 59.623749 65.181712) (xy 59.825367 65.181888) (xy 60.011704 65.104895) (xy 60.154394 64.962454) (xy 60.177538 64.906715)
+        (xy 60.375367 64.906888) (xy 60.561704 64.829895) (xy 60.704394 64.687454) (xy 60.781712 64.501251) (xy 60.781888 64.299633)
+        (xy 60.781888 76.015205) (xy 60.687454 75.920606) (xy 60.501251 75.843288) (xy 60.299633 75.843112) (xy 60.113296 75.920105)
+        (xy 59.970606 76.062546) (xy 59.893288 76.248749) (xy 59.893112 76.450367) (xy 59.970105 76.636704) (xy 60.112546 76.779394)
+        (xy 60.298749 76.856712) (xy 60.500367 76.856888) (xy 60.686704 76.779895) (xy 60.829394 76.637454) (xy 60.906712 76.451251)
+        (xy 60.906888 76.249633) (xy 60.906888 80.868119) (xy 60.904895 80.863296) (xy 60.762454 80.720606) (xy 60.576251 80.643288)
+        (xy 60.506888 80.643227) (xy 60.506888 77.899633) (xy 60.429895 77.713296) (xy 60.287454 77.570606) (xy 60.101251 77.493288)
+        (xy 59.899633 77.493112) (xy 59.713296 77.570105) (xy 59.570606 77.712546) (xy 59.493288 77.898749) (xy 59.493112 78.100367)
+        (xy 59.570105 78.286704) (xy 59.712546 78.429394) (xy 59.898749 78.506712) (xy 60.100367 78.506888) (xy 60.286704 78.429895)
+        (xy 60.429394 78.287454) (xy 60.506712 78.101251) (xy 60.506888 77.899633) (xy 60.506888 80.643227) (xy 60.374633 80.643112)
+        (xy 60.256888 80.691763) (xy 60.256888 79.024633) (xy 60.179895 78.838296) (xy 60.037454 78.695606) (xy 59.851251 78.618288)
+        (xy 59.649633 78.618112) (xy 59.463296 78.695105) (xy 59.320606 78.837546) (xy 59.243288 79.023749) (xy 59.243112 79.225367)
+        (xy 59.320105 79.411704) (xy 59.462546 79.554394) (xy 59.648749 79.631712) (xy 59.850367 79.631888) (xy 60.036704 79.554895)
+        (xy 60.179394 79.412454) (xy 60.256712 79.226251) (xy 60.256888 79.024633) (xy 60.256888 80.691763) (xy 60.188296 80.720105)
+        (xy 60.045606 80.862546) (xy 59.968288 81.048749) (xy 59.968112 81.250367) (xy 60.045105 81.436704) (xy 60.187546 81.579394)
+        (xy 60.373749 81.656712) (xy 60.575367 81.656888) (xy 60.761704 81.579895) (xy 60.904394 81.437454) (xy 60.981712 81.251251)
+        (xy 60.981888 81.049633) (xy 60.981888 87.526333) (xy 60.730472 87.421936) (xy 60.531888 87.421762) (xy 60.531888 83.474633)
+        (xy 60.454895 83.288296) (xy 60.312454 83.145606) (xy 60.126251 83.068288) (xy 59.924633 83.068112) (xy 59.738296 83.145105)
+        (xy 59.624905 83.258297) (xy 59.531888 83.165117) (xy 59.531888 80.574633) (xy 59.454895 80.388296) (xy 59.312454 80.245606)
+        (xy 59.126251 80.168288) (xy 58.924633 80.168112) (xy 58.906888 80.175444) (xy 58.906888 77.899633) (xy 58.829895 77.713296)
+        (xy 58.687454 77.570606) (xy 58.501251 77.493288) (xy 58.299633 77.493112) (xy 58.113296 77.570105) (xy 57.999927 77.683275)
+        (xy 57.916724 77.599927) (xy 58.029394 77.487454) (xy 58.106712 77.301251) (xy 58.106888 77.099633) (xy 58.029895 76.913296)
+        (xy 57.948496 76.831755) (xy 58.100367 76.831888) (xy 58.286704 76.754895) (xy 58.429394 76.612454) (xy 58.506712 76.426251)
+        (xy 58.50684 76.279213) (xy 58.686704 76.204895) (xy 58.829394 76.062454) (xy 58.906712 75.876251) (xy 58.906888 75.674633)
+        (xy 58.829895 75.488296) (xy 58.687454 75.345606) (xy 58.501251 75.268288) (xy 58.356888 75.268161) (xy 58.356888 64.524633)
+        (xy 58.279895 64.338296) (xy 58.137454 64.195606) (xy 57.951251 64.118288) (xy 57.749633 64.118112) (xy 57.706888 64.135773)
+        (xy 57.706888 63.599633) (xy 57.706888 61.899633) (xy 57.706888 57.899633) (xy 57.629895 57.713296) (xy 57.487454 57.570606)
+        (xy 57.301251 57.493288) (xy 57.225898 57.493222) (xy 57.225898 35.873271) (xy 57.183821 35.165199) (xy 56.993803 34.706453)
+        (xy 56.727188 34.575956) (xy 56.474044 34.8291) (xy 56.474044 34.322812) (xy 56.343547 34.056197) (xy 55.673271 33.824102)
+        (xy 55.226064 33.850677) (xy 55.251964 33.824823) (xy 55.588136 33.01523) (xy 55.588901 32.138616) (xy 55.345379 31.549247)
+        (xy 55.345379 27.468999) (xy 55.187352 27.086544) (xy 54.894995 26.793676) (xy 54.512816 26.634982) (xy 54.098999 26.634621)
+        (xy 53.716544 26.792648) (xy 53.423676 27.085005) (xy 53.264982 27.467184) (xy 53.264621 27.881001) (xy 53.422648 28.263456)
+        (xy 53.715005 28.556324) (xy 54.097184 28.715018) (xy 54.511001 28.715379) (xy 54.893456 28.557352) (xy 55.186324 28.264995)
+        (xy 55.345018 27.882816) (xy 55.345379 27.468999) (xy 55.345379 31.549247) (xy 55.254142 31.328437) (xy 54.634823 30.708036)
+        (xy 53.82523 30.371864) (xy 52.948616 30.371099) (xy 52.795379 30.434415) (xy 52.795379 27.468999) (xy 52.637352 27.086544)
+        (xy 52.344995 26.793676) (xy 51.962816 26.634982) (xy 51.548999 26.634621) (xy 51.166544 26.792648) (xy 50.873676 27.085005)
+        (xy 50.714982 27.467184) (xy 50.714621 27.881001) (xy 50.872648 28.263456) (xy 51.165005 28.556324) (xy 51.547184 28.715018)
+        (xy 51.961001 28.715379) (xy 52.343456 28.557352) (xy 52.636324 28.264995) (xy 52.795018 27.882816) (xy 52.795379 27.468999)
+        (xy 52.795379 30.434415) (xy 52.138437 30.705858) (xy 51.518036 31.325177) (xy 51.181864 32.13477) (xy 51.181099 33.011384)
+        (xy 51.515858 33.821563) (xy 52.135177 34.441964) (xy 52.94477 34.778136) (xy 53.821384 34.778901) (xy 53.832734 34.774211)
+        (xy 53.624102 35.376729) (xy 53.666179 36.084801) (xy 53.856197 36.543547) (xy 54.122812 36.674044) (xy 55.118823 35.678033)
+        (xy 55.171856 35.625) (xy 55.425 35.371856) (xy 55.478033 35.318823) (xy 56.474044 34.322812) (xy 56.474044 34.8291)
+        (xy 55.678144 35.625) (xy 56.727188 36.674044) (xy 56.993803 36.543547) (xy 57.225898 35.873271) (xy 57.225898 57.493222)
+        (xy 57.099633 57.493112) (xy 56.913296 57.570105) (xy 56.906888 57.576501) (xy 56.906888 57.099633) (xy 56.829895 56.913296)
+        (xy 56.687454 56.770606) (xy 56.501251 56.693288) (xy 56.373574 56.693176) (xy 56.429394 56.637454) (xy 56.506712 56.451251)
+        (xy 56.506888 56.249633) (xy 56.474044 56.170144) (xy 56.474044 36.927188) (xy 55.425 35.878144) (xy 54.375956 36.927188)
+        (xy 54.506453 37.193803) (xy 55.176729 37.425898) (xy 55.884801 37.383821) (xy 56.343547 37.193803) (xy 56.474044 36.927188)
+        (xy 56.474044 56.170144) (xy 56.429895 56.063296) (xy 56.287454 55.920606) (xy 56.259718 55.909089) (xy 56.259718 45.689422)
+        (xy 56.155714 45.437712) (xy 55.963301 45.244963) (xy 55.711773 45.140519) (xy 55.439422 45.140282) (xy 55.187712 45.244286)
+        (xy 54.994963 45.436699) (xy 54.890519 45.688227) (xy 54.890282 45.960578) (xy 54.994286 46.212288) (xy 55.186699 46.405037)
+        (xy 55.438227 46.509481) (xy 55.710578 46.509718) (xy 55.962288 46.405714) (xy 56.155037 46.213301) (xy 56.259481 45.961773)
+        (xy 56.259718 45.689422) (xy 56.259718 55.909089) (xy 56.101251 55.843288) (xy 55.899633 55.843112) (xy 55.834718 55.869934)
+        (xy 55.834718 54.639422) (xy 55.730714 54.387712) (xy 55.538301 54.194963) (xy 55.286773 54.090519) (xy 55.014422 54.090282)
+        (xy 54.762712 54.194286) (xy 54.569963 54.386699) (xy 54.465519 54.638227) (xy 54.465282 54.910578) (xy 54.569286 55.162288)
+        (xy 54.761699 55.355037) (xy 55.013227 55.459481) (xy 55.285578 55.459718) (xy 55.537288 55.355714) (xy 55.730037 55.163301)
+        (xy 55.834481 54.911773) (xy 55.834718 54.639422) (xy 55.834718 55.869934) (xy 55.713296 55.920105) (xy 55.570606 56.062546)
+        (xy 55.493288 56.248749) (xy 55.493112 56.450367) (xy 55.570105 56.636704) (xy 55.626525 56.693222) (xy 55.499633 56.693112)
+        (xy 55.313296 56.770105) (xy 55.170606 56.912546) (xy 55.093288 57.098749) (xy 55.093112 57.300367) (xy 55.170105 57.486704)
+        (xy 55.312546 57.629394) (xy 55.498749 57.706712) (xy 55.700367 57.706888) (xy 55.886704 57.629895) (xy 56.000072 57.516724)
+        (xy 56.112546 57.629394) (xy 56.298749 57.706712) (xy 56.500367 57.706888) (xy 56.686704 57.629895) (xy 56.829394 57.487454)
+        (xy 56.906712 57.301251) (xy 56.906888 57.099633) (xy 56.906888 57.576501) (xy 56.770606 57.712546) (xy 56.693288 57.898749)
+        (xy 56.693112 58.100367) (xy 56.770105 58.286704) (xy 56.912546 58.429394) (xy 57.098749 58.506712) (xy 57.300367 58.506888)
+        (xy 57.486704 58.429895) (xy 57.629394 58.287454) (xy 57.706712 58.101251) (xy 57.706888 57.899633) (xy 57.706888 61.899633)
+        (xy 57.629895 61.713296) (xy 57.487454 61.570606) (xy 57.306888 61.495628) (xy 57.306888 59.174633) (xy 57.229895 58.988296)
+        (xy 57.087454 58.845606) (xy 56.901251 58.768288) (xy 56.699633 58.768112) (xy 56.513296 58.845105) (xy 56.370606 58.987546)
+        (xy 56.293288 59.173749) (xy 56.293112 59.375367) (xy 56.370105 59.561704) (xy 56.512546 59.704394) (xy 56.698749 59.781712)
+        (xy 56.900367 59.781888) (xy 57.086704 59.704895) (xy 57.229394 59.562454) (xy 57.306712 59.376251) (xy 57.306888 59.174633)
+        (xy 57.306888 61.495628) (xy 57.301251 61.493288) (xy 57.099633 61.493112) (xy 56.913296 61.570105) (xy 56.770606 61.712546)
+        (xy 56.693288 61.898749) (xy 56.693112 62.100367) (xy 56.770105 62.286704) (xy 56.912546 62.429394) (xy 57.098749 62.506712)
+        (xy 57.300367 62.506888) (xy 57.486704 62.429895) (xy 57.629394 62.287454) (xy 57.706712 62.101251) (xy 57.706888 61.899633)
+        (xy 57.706888 63.599633) (xy 57.629895 63.413296) (xy 57.487454 63.270606) (xy 57.301251 63.193288) (xy 57.099633 63.193112)
+        (xy 56.913296 63.270105) (xy 56.906888 63.276501) (xy 56.906888 62.699633) (xy 56.829895 62.513296) (xy 56.687454 62.370606)
+        (xy 56.501251 62.293288) (xy 56.299633 62.293112) (xy 56.206888 62.331433) (xy 56.206888 59.774633) (xy 56.129895 59.588296)
+        (xy 56.054289 59.512558) (xy 56.054394 59.512454) (xy 56.131712 59.326251) (xy 56.131888 59.124633) (xy 56.054895 58.938296)
+        (xy 55.912454 58.795606) (xy 55.726251 58.718288) (xy 55.524633 58.718112) (xy 55.338296 58.795105) (xy 55.281733 58.851568)
+        (xy 55.281888 58.674633) (xy 55.204895 58.488296) (xy 55.062454 58.345606) (xy 54.906888 58.281009) (xy 54.906888 56.149633)
+        (xy 54.829895 55.963296) (xy 54.687454 55.820606) (xy 54.501251 55.743288) (xy 54.299633 55.743112) (xy 54.278343 55.751908)
+        (xy 54.278343 54.060984) (xy 54.152523 53.756475) (xy 53.91975 53.523296) (xy 53.615462 53.396944) (xy 53.285984 53.396657)
+        (xy 53.165379 53.446489) (xy 53.165379 38.718999) (xy 53.007352 38.336544) (xy 52.714995 38.043676) (xy 52.332816 37.884982)
+        (xy 51.918999 37.884621) (xy 51.536544 38.042648) (xy 51.243676 38.335005) (xy 51.084982 38.717184) (xy 51.084621 39.131001)
+        (xy 51.242648 39.513456) (xy 51.535005 39.806324) (xy 51.917184 39.965018) (xy 52.331001 39.965379) (xy 52.713456 39.807352)
+        (xy 53.006324 39.514995) (xy 53.165018 39.132816) (xy 53.165379 38.718999) (xy 53.165379 53.446489) (xy 52.981475 53.522477)
+        (xy 52.748296 53.75525) (xy 52.621944 54.059538) (xy 52.621657 54.389016) (xy 52.747477 54.693525) (xy 52.98025 54.926704)
+        (xy 53.284538 55.053056) (xy 53.614016 55.053343) (xy 53.918525 54.927523) (xy 54.151704 54.69475) (xy 54.278056 54.390462)
+        (xy 54.278343 54.060984) (xy 54.278343 55.751908) (xy 54.113296 55.820105) (xy 53.970606 55.962546) (xy 53.893288 56.148749)
+        (xy 53.893112 56.350367) (xy 53.970105 56.536704) (xy 54.112546 56.679394) (xy 54.298749 56.756712) (xy 54.500367 56.756888)
+        (xy 54.686704 56.679895) (xy 54.829394 56.537454) (xy 54.906712 56.351251) (xy 54.906888 56.149633) (xy 54.906888 58.281009)
+        (xy 54.876251 58.268288) (xy 54.674633 58.268112) (xy 54.506888 58.337422) (xy 54.506888 57.899633) (xy 54.429895 57.713296)
+        (xy 54.287454 57.570606) (xy 54.101251 57.493288) (xy 53.899633 57.493112) (xy 53.713296 57.570105) (xy 53.570606 57.712546)
+        (xy 53.493288 57.898749) (xy 53.493112 58.100367) (xy 53.570105 58.286704) (xy 53.712546 58.429394) (xy 53.898749 58.506712)
+        (xy 54.100367 58.506888) (xy 54.286704 58.429895) (xy 54.429394 58.287454) (xy 54.506712 58.101251) (xy 54.506888 57.899633)
+        (xy 54.506888 58.337422) (xy 54.488296 58.345105) (xy 54.345606 58.487546) (xy 54.268288 58.673749) (xy 54.268112 58.875367)
+        (xy 54.345105 59.061704) (xy 54.487546 59.204394) (xy 54.673749 59.281712) (xy 54.875367 59.281888) (xy 55.061704 59.204895)
+        (xy 55.118266 59.148431) (xy 55.118112 59.325367) (xy 55.195105 59.511704) (xy 55.27071 59.587441) (xy 55.270606 59.587546)
+        (xy 55.193288 59.773749) (xy 55.193112 59.975367) (xy 55.270105 60.161704) (xy 55.412546 60.304394) (xy 55.598749 60.381712)
+        (xy 55.800367 60.381888) (xy 55.986704 60.304895) (xy 56.129394 60.162454) (xy 56.206712 59.976251) (xy 56.206888 59.774633)
+        (xy 56.206888 62.331433) (xy 56.113296 62.370105) (xy 56.106888 62.376501) (xy 56.106888 61.899633) (xy 56.029895 61.713296)
+        (xy 55.887454 61.570606) (xy 55.701251 61.493288) (xy 55.573574 61.493176) (xy 55.629394 61.437454) (xy 55.706712 61.251251)
+        (xy 55.706888 61.049633) (xy 55.629895 60.863296) (xy 55.487454 60.720606) (xy 55.301251 60.643288) (xy 55.099633 60.643112)
+        (xy 54.913296 60.720105) (xy 54.770606 60.862546) (xy 54.693288 61.048749) (xy 54.693112 61.250367) (xy 54.770105 61.436704)
+        (xy 54.826525 61.493222) (xy 54.699633 61.493112) (xy 54.513296 61.570105) (xy 54.506888 61.576501) (xy 54.506888 60.999633)
+        (xy 54.429895 60.813296) (xy 54.287454 60.670606) (xy 54.101251 60.593288) (xy 53.899633 60.593112) (xy 53.713296 60.670105)
+        (xy 53.570606 60.812546) (xy 53.493288 60.998749) (xy 53.493112 61.200367) (xy 53.570105 61.386704) (xy 53.712546 61.529394)
+        (xy 53.898749 61.606712) (xy 54.100367 61.606888) (xy 54.286704 61.529895) (xy 54.429394 61.387454) (xy 54.506712 61.201251)
+        (xy 54.506888 60.999633) (xy 54.506888 61.576501) (xy 54.370606 61.712546) (xy 54.293288 61.898749) (xy 54.293112 62.100367)
+        (xy 54.370105 62.286704) (xy 54.512546 62.429394) (xy 54.698749 62.506712) (xy 54.900367 62.506888) (xy 55.086704 62.429895)
+        (xy 55.200072 62.316724) (xy 55.312546 62.429394) (xy 55.498749 62.506712) (xy 55.700367 62.506888) (xy 55.886704 62.429895)
+        (xy 56.029394 62.287454) (xy 56.106712 62.101251) (xy 56.106888 61.899633) (xy 56.106888 62.376501) (xy 55.970606 62.512546)
+        (xy 55.893288 62.698749) (xy 55.893112 62.900367) (xy 55.970105 63.086704) (xy 56.112546 63.229394) (xy 56.298749 63.306712)
+        (xy 56.500367 63.306888) (xy 56.686704 63.229895) (xy 56.829394 63.087454) (xy 56.906712 62.901251) (xy 56.906888 62.699633)
+        (xy 56.906888 63.276501) (xy 56.770606 63.412546) (xy 56.693288 63.598749) (xy 56.69327 63.618237) (xy 56.549633 63.618112)
+        (xy 56.363296 63.695105) (xy 56.337558 63.720797) (xy 56.287454 63.670606) (xy 56.101251 63.593288) (xy 55.899633 63.593112)
+        (xy 55.713296 63.670105) (xy 55.570606 63.812546) (xy 55.495718 63.992895) (xy 55.376251 63.943288) (xy 55.174633 63.943112)
+        (xy 54.988296 64.020105) (xy 54.906888 64.10137) (xy 54.906888 63.549633) (xy 54.829895 63.363296) (xy 54.687454 63.220606)
+        (xy 54.501251 63.143288) (xy 54.299633 63.143112) (xy 54.113296 63.220105) (xy 53.970606 63.362546) (xy 53.893288 63.548749)
+        (xy 53.893112 63.750367) (xy 53.970105 63.936704) (xy 54.112546 64.079394) (xy 54.298749 64.156712) (xy 54.500367 64.156888)
+        (xy 54.686704 64.079895) (xy 54.829394 63.937454) (xy 54.906712 63.751251) (xy 54.906888 63.549633) (xy 54.906888 64.10137)
+        (xy 54.845606 64.162546) (xy 54.768288 64.348749) (xy 54.768112 64.550367) (xy 54.845105 64.736704) (xy 54.987546 64.879394)
+        (xy 55.173749 64.956712) (xy 55.375367 64.956888) (xy 55.561704 64.879895) (xy 55.704394 64.737454) (xy 55.779281 64.557104)
+        (xy 55.898749 64.606712) (xy 56.100367 64.606888) (xy 56.286704 64.529895) (xy 56.312441 64.504202) (xy 56.362546 64.554394)
+        (xy 56.548749 64.631712) (xy 56.750367 64.631888) (xy 56.936704 64.554895) (xy 57.079394 64.412454) (xy 57.156712 64.226251)
+        (xy 57.156729 64.206762) (xy 57.300367 64.206888) (xy 57.486704 64.129895) (xy 57.629394 63.987454) (xy 57.706712 63.801251)
+        (xy 57.706888 63.599633) (xy 57.706888 64.135773) (xy 57.563296 64.195105) (xy 57.420606 64.337546) (xy 57.343288 64.523749)
+        (xy 57.343112 64.725367) (xy 57.420105 64.911704) (xy 57.562546 65.054394) (xy 57.748749 65.131712) (xy 57.950367 65.131888)
+        (xy 58.136704 65.054895) (xy 58.279394 64.912454) (xy 58.356712 64.726251) (xy 58.356888 64.524633) (xy 58.356888 75.268161)
+        (xy 58.299633 75.268112) (xy 58.113296 75.345105) (xy 57.970606 75.487546) (xy 57.893288 75.673749) (xy 57.893159 75.820786)
+        (xy 57.713296 75.895105) (xy 57.570606 76.037546) (xy 57.493288 76.223749) (xy 57.493112 76.425367) (xy 57.570105 76.611704)
+        (xy 57.651503 76.693244) (xy 57.499633 76.693112) (xy 57.406888 76.731433) (xy 57.406888 75.374633) (xy 57.329895 75.188296)
+        (xy 57.187454 75.045606) (xy 57.001251 74.968288) (xy 56.799633 74.968112) (xy 56.613296 75.045105) (xy 56.581254 75.07709)
+        (xy 56.554895 75.013296) (xy 56.412454 74.870606) (xy 56.226251 74.793288) (xy 56.024633 74.793112) (xy 55.838296 74.870105)
+        (xy 55.695606 75.012546) (xy 55.618288 75.198749) (xy 55.618112 75.400367) (xy 55.695105 75.586704) (xy 55.837546 75.729394)
+        (xy 56.023749 75.806712) (xy 56.225367 75.806888) (xy 56.411704 75.729895) (xy 56.443745 75.697909) (xy 56.470105 75.761704)
+        (xy 56.587154 75.878958) (xy 56.501251 75.843288) (xy 56.299633 75.843112) (xy 56.113296 75.920105) (xy 55.970606 76.062546)
+        (xy 55.893288 76.248749) (xy 55.893112 76.450367) (xy 55.970105 76.636704) (xy 56.112546 76.779394) (xy 56.298749 76.856712)
+        (xy 56.500367 76.856888) (xy 56.686704 76.779895) (xy 56.829394 76.637454) (xy 56.906712 76.451251) (xy 56.906888 76.249633)
+        (xy 56.829895 76.063296) (xy 56.712845 75.946041) (xy 56.798749 75.981712) (xy 57.000367 75.981888) (xy 57.186704 75.904895)
+        (xy 57.329394 75.762454) (xy 57.406712 75.576251) (xy 57.406888 75.374633) (xy 57.406888 76.731433) (xy 57.313296 76.770105)
+        (xy 57.170606 76.912546) (xy 57.093288 77.098749) (xy 57.093112 77.300367) (xy 57.170105 77.486704) (xy 57.283275 77.600072)
+        (xy 57.170606 77.712546) (xy 57.093288 77.898749) (xy 57.093112 78.100367) (xy 57.170105 78.286704) (xy 57.312546 78.429394)
+        (xy 57.422417 78.475016) (xy 57.313296 78.520105) (xy 57.170606 78.662546) (xy 57.093288 78.848749) (xy 57.093112 79.050367)
+        (xy 57.170105 79.236704) (xy 57.312546 79.379394) (xy 57.498749 79.456712) (xy 57.700367 79.456888) (xy 57.886704 79.379895)
+        (xy 58.029394 79.237454) (xy 58.106712 79.051251) (xy 58.106888 78.849633) (xy 58.029895 78.663296) (xy 57.887454 78.520606)
+        (xy 57.777582 78.474983) (xy 57.886704 78.429895) (xy 58.000072 78.316724) (xy 58.112546 78.429394) (xy 58.298749 78.506712)
+        (xy 58.500367 78.506888) (xy 58.686704 78.429895) (xy 58.829394 78.287454) (xy 58.906712 78.101251) (xy 58.906888 77.899633)
+        (xy 58.906888 80.175444) (xy 58.738296 80.245105) (xy 58.595606 80.387546) (xy 58.518288 80.573749) (xy 58.518112 80.775367)
+        (xy 58.595105 80.961704) (xy 58.737546 81.104394) (xy 58.923749 81.181712) (xy 59.125367 81.181888) (xy 59.311704 81.104895)
+        (xy 59.454394 80.962454) (xy 59.531712 80.776251) (xy 59.531888 80.574633) (xy 59.531888 83.165117) (xy 59.487454 83.120606)
+        (xy 59.301251 83.043288) (xy 59.099633 83.043112) (xy 58.913296 83.120105) (xy 58.906888 83.126501) (xy 58.906888 82.699633)
+        (xy 58.829895 82.513296) (xy 58.687454 82.370606) (xy 58.506888 82.295628) (xy 58.506888 80.524633) (xy 58.429895 80.338296)
+        (xy 58.287454 80.195606) (xy 58.101251 80.118288) (xy 57.899633 80.118112) (xy 57.713296 80.195105) (xy 57.570606 80.337546)
+        (xy 57.493288 80.523749) (xy 57.493112 80.725367) (xy 57.511376 80.76957) (xy 57.487454 80.745606) (xy 57.301251 80.668288)
+        (xy 57.099633 80.668112) (xy 56.913296 80.745105) (xy 56.843077 80.8152) (xy 56.829895 80.783296) (xy 56.687454 80.640606)
+        (xy 56.506888 80.565628) (xy 56.506888 77.899633) (xy 56.429895 77.713296) (xy 56.287454 77.570606) (xy 56.101251 77.493288)
+        (xy 55.899633 77.493112) (xy 55.713296 77.570105) (xy 55.570606 77.712546) (xy 55.493288 77.898749) (xy 55.493112 78.100367)
+        (xy 55.570105 78.286704) (xy 55.712546 78.429394) (xy 55.898749 78.506712) (xy 56.100367 78.506888) (xy 56.286704 78.429895)
+        (xy 56.429394 78.287454) (xy 56.506712 78.101251) (xy 56.506888 77.899633) (xy 56.506888 80.565628) (xy 56.501251 80.563288)
+        (xy 56.299633 80.563112) (xy 56.113296 80.640105) (xy 55.970606 80.782546) (xy 55.893288 80.968749) (xy 55.893112 81.170367)
+        (xy 55.970105 81.356704) (xy 56.112546 81.499394) (xy 56.298749 81.576712) (xy 56.500367 81.576888) (xy 56.510833 81.572563)
+        (xy 56.370606 81.712546) (xy 56.293288 81.898749) (xy 56.293112 82.100367) (xy 56.370105 82.286704) (xy 56.512546 82.429394)
+        (xy 56.698749 82.506712) (xy 56.900367 82.506888) (xy 57.086704 82.429895) (xy 57.229394 82.287454) (xy 57.306712 82.101251)
+        (xy 57.306888 81.899633) (xy 57.229895 81.713296) (xy 57.198452 81.681799) (xy 57.300367 81.681888) (xy 57.486704 81.604895)
+        (xy 57.629394 81.462454) (xy 57.706712 81.276251) (xy 57.706888 81.074633) (xy 57.688623 81.030429) (xy 57.712546 81.054394)
+        (xy 57.898749 81.131712) (xy 58.100367 81.131888) (xy 58.286704 81.054895) (xy 58.429394 80.912454) (xy 58.506712 80.726251)
+        (xy 58.506888 80.524633) (xy 58.506888 82.295628) (xy 58.501251 82.293288) (xy 58.299633 82.293112) (xy 58.113296 82.370105)
+        (xy 57.970606 82.512546) (xy 57.893288 82.698749) (xy 57.893112 82.900367) (xy 57.970105 83.086704) (xy 58.112546 83.229394)
+        (xy 58.298749 83.306712) (xy 58.500367 83.306888) (xy 58.686704 83.229895) (xy 58.829394 83.087454) (xy 58.906712 82.901251)
+        (xy 58.906888 82.699633) (xy 58.906888 83.126501) (xy 58.770606 83.262546) (xy 58.693288 83.448749) (xy 58.693112 83.650367)
+        (xy 58.770105 83.836704) (xy 58.912546 83.979394) (xy 59.098749 84.056712) (xy 59.300367 84.056888) (xy 59.486704 83.979895)
+        (xy 59.600094 83.866702) (xy 59.737546 84.004394) (xy 59.923749 84.081712) (xy 60.125367 84.081888) (xy 60.311704 84.004895)
+        (xy 60.454394 83.862454) (xy 60.531712 83.676251) (xy 60.531888 83.474633) (xy 60.531888 87.421762) (xy 60.420886 87.421666)
+        (xy 60.134761 87.53989) (xy 59.91566 87.75861) (xy 59.796936 88.044528) (xy 59.796666 88.354114) (xy 59.91489 88.640239)
+        (xy 60.13361 88.85934) (xy 60.419528 88.978064) (xy 60.729114 88.978334) (xy 61.015239 88.86011) (xy 61.23434 88.64139)
+        (xy 61.353064 88.355472) (xy 61.353334 88.045886) (xy 61.353334 90.045886) (xy 61.23511 89.759761) (xy 61.01639 89.54066)
+        (xy 60.730472 89.421936) (xy 60.420886 89.421666) (xy 60.134761 89.53989) (xy 59.91566 89.75861) (xy 59.796936 90.044528)
+        (xy 59.796666 90.354114) (xy 59.91489 90.640239) (xy 60.13361 90.85934) (xy 60.419528 90.978064) (xy 60.729114 90.978334)
+        (xy 61.015239 90.86011) (xy 61.23434 90.64139) (xy 61.353064 90.355472) (xy 61.353334 90.045886) (xy 61.353334 91.347421)
+        (xy 59.353334 91.343639) (xy 59.353334 90.045886) (xy 59.353334 88.045886) (xy 59.23511 87.759761) (xy 59.01639 87.54066)
+        (xy 58.730472 87.421936) (xy 58.420886 87.421666) (xy 58.134761 87.53989) (xy 57.91566 87.75861) (xy 57.796936 88.044528)
+        (xy 57.796666 88.354114) (xy 57.91489 88.640239) (xy 58.13361 88.85934) (xy 58.419528 88.978064) (xy 58.729114 88.978334)
+        (xy 59.015239 88.86011) (xy 59.23434 88.64139) (xy 59.353064 88.355472) (xy 59.353334 88.045886) (xy 59.353334 90.045886)
+        (xy 59.23511 89.759761) (xy 59.01639 89.54066) (xy 58.730472 89.421936) (xy 58.420886 89.421666) (xy 58.134761 89.53989)
+        (xy 57.91566 89.75861) (xy 57.796936 90.044528) (xy 57.796666 90.354114) (xy 57.91489 90.640239) (xy 58.13361 90.85934)
+        (xy 58.419528 90.978064) (xy 58.729114 90.978334) (xy 59.015239 90.86011) (xy 59.23434 90.64139) (xy 59.353064 90.355472)
+        (xy 59.353334 90.045886) (xy 59.353334 91.343639) (xy 57.353334 91.339857) (xy 57.353334 90.045886) (xy 57.353334 88.045886)
+        (xy 57.23511 87.759761) (xy 57.01639 87.54066) (xy 56.730472 87.421936) (xy 56.531888 87.421762) (xy 56.531888 83.474633)
+        (xy 56.454895 83.288296) (xy 56.312454 83.145606) (xy 56.126251 83.068288) (xy 55.924633 83.068112) (xy 55.738296 83.145105)
+        (xy 55.706888 83.176458) (xy 55.706888 82.699633) (xy 55.656888 82.578623) (xy 55.656888 81.074633) (xy 55.579895 80.888296)
+        (xy 55.437454 80.745606) (xy 55.251251 80.668288) (xy 55.049633 80.668112) (xy 54.863296 80.745105) (xy 54.76247 80.845754)
+        (xy 54.687454 80.770606) (xy 54.501251 80.693288) (xy 54.299633 80.693112) (xy 54.113296 80.770105) (xy 53.970606 80.912546)
+        (xy 53.893288 81.098749) (xy 53.893112 81.300367) (xy 53.970105 81.486704) (xy 54.083275 81.600072) (xy 53.970606 81.712546)
+        (xy 53.893288 81.898749) (xy 53.893112 82.100367) (xy 53.970105 82.286704) (xy 54.112546 82.429394) (xy 54.298749 82.506712)
+        (xy 54.500367 82.506888) (xy 54.686704 82.429895) (xy 54.829394 82.287454) (xy 54.906712 82.101251) (xy 54.906888 81.899633)
+        (xy 54.829895 81.713296) (xy 54.716724 81.599927) (xy 54.787529 81.529245) (xy 54.862546 81.604394) (xy 55.048749 81.681712)
+        (xy 55.250367 81.681888) (xy 55.436704 81.604895) (xy 55.579394 81.462454) (xy 55.656712 81.276251) (xy 55.656888 81.074633)
+        (xy 55.656888 82.578623) (xy 55.629895 82.513296) (xy 55.487454 82.370606) (xy 55.301251 82.293288) (xy 55.099633 82.293112)
+        (xy 54.913296 82.370105) (xy 54.770606 82.512546) (xy 54.693288 82.698749) (xy 54.693112 82.900367) (xy 54.770105 83.086704)
+        (xy 54.912546 83.229394) (xy 55.098749 83.306712) (xy 55.300367 83.306888) (xy 55.486704 83.229895) (xy 55.629394 83.087454)
+        (xy 55.706712 82.901251) (xy 55.706888 82.699633) (xy 55.706888 83.176458) (xy 55.595606 83.287546) (xy 55.518288 83.473749)
+        (xy 55.518112 83.675367) (xy 55.595105 83.861704) (xy 55.737546 84.004394) (xy 55.923749 84.081712) (xy 56.125367 84.081888)
+        (xy 56.311704 84.004895) (xy 56.454394 83.862454) (xy 56.531712 83.676251) (xy 56.531888 83.474633) (xy 56.531888 87.421762)
+        (xy 56.420886 87.421666) (xy 56.134761 87.53989) (xy 55.91566 87.75861) (xy 55.796936 88.044528) (xy 55.796666 88.354114)
+        (xy 55.91489 88.640239) (xy 56.13361 88.85934) (xy 56.419528 88.978064) (xy 56.729114 88.978334) (xy 57.015239 88.86011)
+        (xy 57.23434 88.64139) (xy 57.353064 88.355472) (xy 57.353334 88.045886) (xy 57.353334 90.045886) (xy 57.23511 89.759761)
+        (xy 57.01639 89.54066) (xy 56.730472 89.421936) (xy 56.420886 89.421666) (xy 56.134761 89.53989) (xy 55.91566 89.75861)
+        (xy 55.796936 90.044528) (xy 55.796666 90.354114) (xy 55.91489 90.640239) (xy 56.13361 90.85934) (xy 56.419528 90.978064)
+        (xy 56.729114 90.978334) (xy 57.015239 90.86011) (xy 57.23434 90.64139) (xy 57.353064 90.355472) (xy 57.353334 90.045886)
+        (xy 57.353334 91.339857) (xy 55.676292 91.336686) (xy 55.676292 88.28031) (xy 55.623195 87.85275) (xy 55.561727 87.704357)
+        (xy 55.367386 87.660758) (xy 55.114242 87.913902) (xy 55.114242 87.407614) (xy 55.070643 87.213273) (xy 54.65531 87.098708)
+        (xy 54.22775 87.151805) (xy 54.106888 87.201868) (xy 54.106888 82.699633) (xy 54.029895 82.513296) (xy 53.887454 82.370606)
+        (xy 53.706888 82.295628) (xy 53.706888 61.899633) (xy 53.629895 61.713296) (xy 53.487454 61.570606) (xy 53.301251 61.493288)
+        (xy 53.099633 61.493112) (xy 52.913296 61.570105) (xy 52.906888 61.576501) (xy 52.906888 57.899633) (xy 52.829895 57.713296)
+        (xy 52.687454 57.570606) (xy 52.581888 57.526771) (xy 52.581888 55.199633) (xy 52.504895 55.013296) (xy 52.362454 54.870606)
+        (xy 52.2856 54.838693) (xy 52.2856 49.617489) (xy 51.934634 48.768087) (xy 51.895379 48.728763) (xy 51.895379 41.258999)
+        (xy 51.737352 40.876544) (xy 51.444995 40.583676) (xy 51.062816 40.424982) (xy 50.648999 40.424621) (xy 50.625379 40.43438)
+        (xy 50.625379 38.718999) (xy 50.467352 38.336544) (xy 50.174995 38.043676) (xy 49.792816 37.884982) (xy 49.378999 37.884621)
+        (xy 48.996544 38.042648) (xy 48.703676 38.335005) (xy 48.544982 38.717184) (xy 48.544621 39.131001) (xy 48.702648 39.513456)
+        (xy 48.995005 39.806324) (xy 49.377184 39.965018) (xy 49.791001 39.965379) (xy 50.173456 39.807352) (xy 50.466324 39.514995)
+        (xy 50.625018 39.132816) (xy 50.625379 38.718999) (xy 50.625379 40.43438) (xy 50.266544 40.582648) (xy 49.973676 40.875005)
+        (xy 49.814982 41.257184) (xy 49.814621 41.671001) (xy 49.972648 42.053456) (xy 50.265005 42.346324) (xy 50.647184 42.505018)
+        (xy 51.061001 42.505379) (xy 51.443456 42.347352) (xy 51.736324 42.054995) (xy 51.895018 41.672816) (xy 51.895379 41.258999)
+        (xy 51.895379 48.728763) (xy 51.285332 48.11765) (xy 50.436543 47.765202) (xy 49.517489 47.7644) (xy 49.355379 47.831382)
+        (xy 49.355379 41.258999) (xy 49.197352 40.876544) (xy 48.904995 40.583676) (xy 48.522816 40.424982) (xy 48.108999 40.424621)
+        (xy 48.085379 40.43438) (xy 48.085379 38.718999) (xy 47.927352 38.336544) (xy 47.634995 38.043676) (xy 47.252816 37.884982)
+        (xy 46.838999 37.884621) (xy 46.456544 38.042648) (xy 46.163676 38.335005) (xy 46.004982 38.717184) (xy 46.004621 39.131001)
+        (xy 46.162648 39.513456) (xy 46.455005 39.806324) (xy 46.837184 39.965018) (xy 47.251001 39.965379) (xy 47.633456 39.807352)
+        (xy 47.926324 39.514995) (xy 48.085018 39.132816) (xy 48.085379 38.718999) (xy 48.085379 40.43438) (xy 47.726544 40.582648)
+        (xy 47.433676 40.875005) (xy 47.274982 41.257184) (xy 47.274621 41.671001) (xy 47.432648 42.053456) (xy 47.725005 42.346324)
+        (xy 48.107184 42.505018) (xy 48.521001 42.505379) (xy 48.903456 42.347352) (xy 49.196324 42.054995) (xy 49.355018 41.672816)
+        (xy 49.355379 41.258999) (xy 49.355379 47.831382) (xy 48.668087 48.115366) (xy 48.01765 48.764668) (xy 47.665202 49.613457)
+        (xy 47.6644 50.532511) (xy 48.015366 51.381913) (xy 48.664668 52.03235) (xy 49.513457 52.384798) (xy 50.432511 52.3856)
+        (xy 51.281913 52.034634) (xy 51.93235 51.385332) (xy 52.284798 50.536543) (xy 52.2856 49.617489) (xy 52.2856 54.838693)
+        (xy 52.176251 54.793288) (xy 51.974633 54.793112) (xy 51.788296 54.870105) (xy 51.645606 55.012546) (xy 51.568288 55.198749)
+        (xy 51.568112 55.400367) (xy 51.645105 55.586704) (xy 51.787546 55.729394) (xy 51.973749 55.806712) (xy 52.175367 55.806888)
+        (xy 52.361704 55.729895) (xy 52.504394 55.587454) (xy 52.581712 55.401251) (xy 52.581888 55.199633) (xy 52.581888 57.526771)
+        (xy 52.501251 57.493288) (xy 52.299633 57.493112) (xy 52.113296 57.570105) (xy 51.999927 57.683275) (xy 51.887454 57.570606)
+        (xy 51.701251 57.493288) (xy 51.499633 57.493112) (xy 51.313296 57.570105) (xy 51.306888 57.576501) (xy 51.306888 57.099633)
+        (xy 51.229895 56.913296) (xy 51.087454 56.770606) (xy 50.901251 56.693288) (xy 50.773574 56.693176) (xy 50.829394 56.637454)
+        (xy 50.906712 56.451251) (xy 50.906888 56.249633) (xy 50.829895 56.063296) (xy 50.687454 55.920606) (xy 50.501251 55.843288)
+        (xy 50.299633 55.843112) (xy 50.113296 55.920105) (xy 49.970606 56.062546) (xy 49.893288 56.248749) (xy 49.893112 56.450367)
+        (xy 49.970105 56.636704) (xy 50.112546 56.779394) (xy 50.298749 56.856712) (xy 50.426425 56.856823) (xy 50.370606 56.912546)
+        (xy 50.293288 57.098749) (xy 50.293112 57.300367) (xy 50.370105 57.486704) (xy 50.512546 57.629394) (xy 50.698749 57.706712)
+        (xy 50.900367 57.706888) (xy 51.086704 57.629895) (xy 51.229394 57.487454) (xy 51.306712 57.301251) (xy 51.306888 57.099633)
+        (xy 51.306888 57.576501) (xy 51.170606 57.712546) (xy 51.093288 57.898749) (xy 51.093112 58.100367) (xy 51.170105 58.286704)
+        (xy 51.312546 58.429394) (xy 51.498749 58.506712) (xy 51.700367 58.506888) (xy 51.886704 58.429895) (xy 52.000072 58.316724)
+        (xy 52.112546 58.429394) (xy 52.298749 58.506712) (xy 52.500367 58.506888) (xy 52.686704 58.429895) (xy 52.829394 58.287454)
+        (xy 52.906712 58.101251) (xy 52.906888 57.899633) (xy 52.906888 61.576501) (xy 52.799927 61.683275) (xy 52.687454 61.570606)
+        (xy 52.501251 61.493288) (xy 52.299633 61.493112) (xy 52.113296 61.570105) (xy 51.970606 61.712546) (xy 51.893288 61.898749)
+        (xy 51.893112 62.100367) (xy 51.970105 62.286704) (xy 52.083275 62.400072) (xy 51.970606 62.512546) (xy 51.893288 62.698749)
+        (xy 51.893112 62.900367) (xy 51.970105 63.086704) (xy 52.070775 63.18755) (xy 51.970606 63.287546) (xy 51.893288 63.473749)
+        (xy 51.893112 63.675367) (xy 51.970105 63.861704) (xy 52.112546 64.004394) (xy 52.298749 64.081712) (xy 52.500367 64.081888)
+        (xy 52.686704 64.004895) (xy 52.829394 63.862454) (xy 52.906712 63.676251) (xy 52.906888 63.474633) (xy 52.829895 63.288296)
+        (xy 52.729224 63.187449) (xy 52.829394 63.087454) (xy 52.906712 62.901251) (xy 52.906888 62.699633) (xy 52.829895 62.513296)
+        (xy 52.716724 62.399927) (xy 52.800072 62.316724) (xy 52.912546 62.429394) (xy 53.098749 62.506712) (xy 53.300367 62.506888)
+        (xy 53.486704 62.429895) (xy 53.629394 62.287454) (xy 53.706712 62.101251) (xy 53.706888 61.899633) (xy 53.706888 82.295628)
+        (xy 53.701251 82.293288) (xy 53.499633 82.293112) (xy 53.456888 82.310773) (xy 53.456888 64.599633) (xy 53.379895 64.413296)
+        (xy 53.237454 64.270606) (xy 53.051251 64.193288) (xy 52.849633 64.193112) (xy 52.663296 64.270105) (xy 52.520606 64.412546)
+        (xy 52.443288 64.598749) (xy 52.443112 64.800367) (xy 52.520105 64.986704) (xy 52.662546 65.129394) (xy 52.674655 65.134422)
+        (xy 52.588296 65.170105) (xy 52.445606 65.312546) (xy 52.368288 65.498749) (xy 52.368112 65.700367) (xy 52.445105 65.886704)
+        (xy 52.587546 66.029394) (xy 52.773749 66.106712) (xy 52.975367 66.106888) (xy 53.161704 66.029895) (xy 53.304394 65.887454)
+        (xy 53.381712 65.701251) (xy 53.381888 65.499633) (xy 53.304895 65.313296) (xy 53.162454 65.170606) (xy 53.150344 65.165577)
+        (xy 53.236704 65.129895) (xy 53.379394 64.987454) (xy 53.456712 64.801251) (xy 53.456888 64.599633) (xy 53.456888 82.310773)
+        (xy 53.356888 82.352093) (xy 53.356888 67.099633) (xy 53.279895 66.913296) (xy 53.137454 66.770606) (xy 52.951251 66.693288)
+        (xy 52.749633 66.693112) (xy 52.563296 66.770105) (xy 52.420606 66.912546) (xy 52.343288 67.098749) (xy 52.343112 67.300367)
+        (xy 52.420105 67.486704) (xy 52.562546 67.629394) (xy 52.748749 67.706712) (xy 52.950367 67.706888) (xy 53.136704 67.629895)
+        (xy 53.279394 67.487454) (xy 53.356712 67.301251) (xy 53.356888 67.099633) (xy 53.356888 82.352093) (xy 53.313296 82.370105)
+        (xy 53.306888 82.376501) (xy 53.306888 81.899633) (xy 53.229895 81.713296) (xy 53.087454 81.570606) (xy 53.037937 81.550044)
+        (xy 53.086704 81.529895) (xy 53.229394 81.387454) (xy 53.306712 81.201251) (xy 53.306888 80.999633) (xy 53.306888 77.899633)
+        (xy 53.229895 77.713296) (xy 53.116724 77.599927) (xy 53.229394 77.487454) (xy 53.306712 77.301251) (xy 53.306888 77.099633)
+        (xy 53.229895 76.913296) (xy 53.087454 76.770606) (xy 52.901251 76.693288) (xy 52.773574 76.693176) (xy 52.829394 76.637454)
+        (xy 52.906712 76.451251) (xy 52.906888 76.249633) (xy 52.829895 76.063296) (xy 52.687454 75.920606) (xy 52.501251 75.843288)
+        (xy 52.331888 75.84314) (xy 52.331888 65.099633) (xy 52.254895 64.913296) (xy 52.112454 64.770606) (xy 51.926251 64.693288)
+        (xy 51.724633 64.693112) (xy 51.538296 64.770105) (xy 51.395606 64.912546) (xy 51.318288 65.098749) (xy 51.318112 65.300367)
+        (xy 51.395105 65.486704) (xy 51.537546 65.629394) (xy 51.723749 65.706712) (xy 51.925367 65.706888) (xy 52.111704 65.629895)
+        (xy 52.254394 65.487454) (xy 52.331712 65.301251) (xy 52.331888 65.099633) (xy 52.331888 75.84314) (xy 52.299633 75.843112)
+        (xy 52.113296 75.920105) (xy 51.970606 76.062546) (xy 51.893288 76.248749) (xy 51.893112 76.450367) (xy 51.970105 76.636704)
+        (xy 52.112546 76.779394) (xy 52.298749 76.856712) (xy 52.426425 76.856823) (xy 52.370606 76.912546) (xy 52.293288 77.098749)
+        (xy 52.293112 77.300367) (xy 52.370105 77.486704) (xy 52.483275 77.600072) (xy 52.370606 77.712546) (xy 52.293288 77.898749)
+        (xy 52.293112 78.100367) (xy 52.370105 78.286704) (xy 52.512546 78.429394) (xy 52.698749 78.506712) (xy 52.900367 78.506888)
+        (xy 53.086704 78.429895) (xy 53.229394 78.287454) (xy 53.306712 78.101251) (xy 53.306888 77.899633) (xy 53.306888 80.999633)
+        (xy 53.229895 80.813296) (xy 53.087454 80.670606) (xy 52.901251 80.593288) (xy 52.699633 80.593112) (xy 52.513296 80.670105)
+        (xy 52.370606 80.812546) (xy 52.293288 80.998749) (xy 52.293112 81.200367) (xy 52.370105 81.386704) (xy 52.512546 81.529394)
+        (xy 52.562062 81.549955) (xy 52.513296 81.570105) (xy 52.399927 81.683275) (xy 52.287454 81.570606) (xy 52.101251 81.493288)
+        (xy 51.899633 81.493112) (xy 51.713296 81.570105) (xy 51.606888 81.676327) (xy 51.606888 65.899633) (xy 51.529895 65.713296)
+        (xy 51.387454 65.570606) (xy 51.306888 65.537152) (xy 51.306888 61.899633) (xy 51.229895 61.713296) (xy 51.087454 61.570606)
+        (xy 50.901251 61.493288) (xy 50.699633 61.493112) (xy 50.513296 61.570105) (xy 50.370606 61.712546) (xy 50.293288 61.898749)
+        (xy 50.293112 62.100367) (xy 50.370105 62.286704) (xy 50.512546 62.429394) (xy 50.698749 62.506712) (xy 50.900367 62.506888)
+        (xy 51.086704 62.429895) (xy 51.229394 62.287454) (xy 51.306712 62.101251) (xy 51.306888 61.899633) (xy 51.306888 65.537152)
+        (xy 51.201251 65.493288) (xy 50.999633 65.493112) (xy 50.906888 65.531433) (xy 50.906888 63.549633) (xy 50.829895 63.363296)
+        (xy 50.687454 63.220606) (xy 50.501251 63.143288) (xy 50.299633 63.143112) (xy 50.113296 63.220105) (xy 49.970606 63.362546)
+        (xy 49.893288 63.548749) (xy 49.893112 63.750367) (xy 49.970105 63.936704) (xy 50.112546 64.079394) (xy 50.298749 64.156712)
+        (xy 50.500367 64.156888) (xy 50.686704 64.079895) (xy 50.829394 63.937454) (xy 50.906712 63.751251) (xy 50.906888 63.549633)
+        (xy 50.906888 65.531433) (xy 50.813296 65.570105) (xy 50.670606 65.712546) (xy 50.593288 65.898749) (xy 50.593112 66.100367)
+        (xy 50.670105 66.286704) (xy 50.812546 66.429394) (xy 50.998749 66.506712) (xy 51.200367 66.506888) (xy 51.386704 66.429895)
+        (xy 51.529394 66.287454) (xy 51.606712 66.101251) (xy 51.606888 65.899633) (xy 51.606888 81.676327) (xy 51.570606 81.712546)
+        (xy 51.493288 81.898749) (xy 51.493112 82.100367) (xy 51.570105 82.286704) (xy 51.712546 82.429394) (xy 51.898749 82.506712)
+        (xy 52.100367 82.506888) (xy 52.286704 82.429895) (xy 52.400072 82.316724) (xy 52.512546 82.429394) (xy 52.698749 82.506712)
+        (xy 52.900367 82.506888) (xy 53.086704 82.429895) (xy 53.229394 82.287454) (xy 53.306712 82.101251) (xy 53.306888 81.899633)
+        (xy 53.306888 82.376501) (xy 53.170606 82.512546) (xy 53.093288 82.698749) (xy 53.093112 82.900367) (xy 53.170105 83.086704)
+        (xy 53.312546 83.229394) (xy 53.498749 83.306712) (xy 53.700367 83.306888) (xy 53.886704 83.229895) (xy 54.029394 83.087454)
+        (xy 54.106712 82.901251) (xy 54.106888 82.699633) (xy 54.106888 87.201868) (xy 54.079357 87.213273) (xy 54.035758 87.407614)
+        (xy 54.575 87.946856) (xy 55.114242 87.407614) (xy 55.114242 87.913902) (xy 54.828144 88.2) (xy 55.367386 88.739242)
+        (xy 55.561727 88.695643) (xy 55.676292 88.28031) (xy 55.676292 91.336686) (xy 55.353334 91.336075) (xy 55.353334 90.045886)
+        (xy 55.23511 89.759761) (xy 55.114242 89.638682) (xy 55.114242 88.992386) (xy 54.575 88.453144) (xy 54.321856 88.706288)
+        (xy 54.321856 88.2) (xy 53.782614 87.660758) (xy 53.588273 87.704357) (xy 53.473708 88.11969) (xy 53.526805 88.54725)
+        (xy 53.588273 88.695643) (xy 53.782614 88.739242) (xy 54.321856 88.2) (xy 54.321856 88.706288) (xy 54.035758 88.992386)
+        (xy 54.079357 89.186727) (xy 54.49469 89.301292) (xy 54.92225 89.248195) (xy 55.070643 89.186727) (xy 55.114242 88.992386)
+        (xy 55.114242 89.638682) (xy 55.01639 89.54066) (xy 54.730472 89.421936) (xy 54.420886 89.421666) (xy 54.134761 89.53989)
+        (xy 53.91566 89.75861) (xy 53.796936 90.044528) (xy 53.796666 90.354114) (xy 53.91489 90.640239) (xy 54.13361 90.85934)
+        (xy 54.419528 90.978064) (xy 54.729114 90.978334) (xy 55.015239 90.86011) (xy 55.23434 90.64139) (xy 55.353064 90.355472)
+        (xy 55.353334 90.045886) (xy 55.353334 91.336075) (xy 53.328343 91.332246) (xy 53.328343 86.710984) (xy 53.202523 86.406475)
+        (xy 52.96975 86.173296) (xy 52.906888 86.147193) (xy 52.906888 83.549633) (xy 52.829895 83.363296) (xy 52.687454 83.220606)
+        (xy 52.501251 83.143288) (xy 52.299633 83.143112) (xy 52.113296 83.220105) (xy 51.970606 83.362546) (xy 51.893288 83.548749)
+        (xy 51.893112 83.750367) (xy 51.970105 83.936704) (xy 52.112546 84.079394) (xy 52.298749 84.156712) (xy 52.500367 84.156888)
+        (xy 52.686704 84.079895) (xy 52.829394 83.937454) (xy 52.906712 83.751251) (xy 52.906888 83.549633) (xy 52.906888 86.147193)
+        (xy 52.665462 86.046944) (xy 52.335984 86.046657) (xy 52.031475 86.172477) (xy 51.798296 86.40525) (xy 51.671944 86.709538)
+        (xy 51.671657 87.039016) (xy 51.797477 87.343525) (xy 52.03025 87.576704) (xy 52.334538 87.703056) (xy 52.664016 87.703343)
+        (xy 52.968525 87.577523) (xy 53.201704 87.34475) (xy 53.328056 87.040462) (xy 53.328343 86.710984) (xy 53.328343 91.332246)
+        (xy 52.359718 91.330414) (xy 52.359718 90.439422) (xy 52.255714 90.187712) (xy 52.063301 89.994963) (xy 51.811773 89.890519)
+        (xy 51.539422 89.890282) (xy 51.306888 89.986362) (xy 51.306888 76.249633) (xy 51.231888 76.068119) (xy 51.231888 73.899633)
+        (xy 51.154895 73.713296) (xy 51.012454 73.570606) (xy 50.856888 73.506009) (xy 50.856888 71.099633) (xy 50.779895 70.913296)
+        (xy 50.637454 70.770606) (xy 50.456888 70.695628) (xy 50.456888 69.899633) (xy 50.379895 69.713296) (xy 50.237454 69.570606)
+        (xy 50.051251 69.493288) (xy 49.849633 69.493112) (xy 49.706888 69.552093) (xy 49.706888 66.299633) (xy 49.629895 66.113296)
+        (xy 49.487454 65.970606) (xy 49.306888 65.895628) (xy 49.306888 63.549633) (xy 49.306888 56.074633) (xy 49.229895 55.888296)
+        (xy 49.087454 55.745606) (xy 48.901251 55.668288) (xy 48.699633 55.668112) (xy 48.513296 55.745105) (xy 48.370606 55.887546)
+        (xy 48.293288 56.073749) (xy 48.293112 56.275367) (xy 48.370105 56.461704) (xy 48.512546 56.604394) (xy 48.698749 56.681712)
+        (xy 48.900367 56.681888) (xy 49.086704 56.604895) (xy 49.229394 56.462454) (xy 49.306712 56.276251) (xy 49.306888 56.074633)
+        (xy 49.306888 63.549633) (xy 49.229895 63.363296) (xy 49.087454 63.220606) (xy 48.931888 63.156009) (xy 48.931888 61.874633)
+        (xy 48.854895 61.688296) (xy 48.712454 61.545606) (xy 48.526251 61.468288) (xy 48.324633 61.468112) (xy 48.138296 61.545105)
+        (xy 47.995606 61.687546) (xy 47.918288 61.873749) (xy 47.918112 62.075367) (xy 47.995105 62.261704) (xy 48.137546 62.404394)
+        (xy 48.323749 62.481712) (xy 48.525367 62.481888) (xy 48.711704 62.404895) (xy 48.854394 62.262454) (xy 48.931712 62.076251)
+        (xy 48.931888 61.874633) (xy 48.931888 63.156009) (xy 48.901251 63.143288) (xy 48.699633 63.143112) (xy 48.513296 63.220105)
+        (xy 48.370606 63.362546) (xy 48.293288 63.548749) (xy 48.293112 63.750367) (xy 48.370105 63.936704) (xy 48.512546 64.079394)
+        (xy 48.698749 64.156712) (xy 48.900367 64.156888) (xy 49.086704 64.079895) (xy 49.229394 63.937454) (xy 49.306712 63.751251)
+        (xy 49.306888 63.549633) (xy 49.306888 65.895628) (xy 49.301251 65.893288) (xy 49.099633 65.893112) (xy 48.913296 65.970105)
+        (xy 48.770606 66.112546) (xy 48.693288 66.298749) (xy 48.693215 66.381474) (xy 48.601251 66.343288) (xy 48.399633 66.343112)
+        (xy 48.213296 66.420105) (xy 48.070606 66.562546) (xy 47.993288 66.748749) (xy 47.993112 66.950367) (xy 48.070105 67.136704)
+        (xy 48.212546 67.279394) (xy 48.398749 67.356712) (xy 48.600367 67.356888) (xy 48.786704 67.279895) (xy 48.929394 67.137454)
+        (xy 49.006712 66.951251) (xy 49.006784 66.868525) (xy 49.098749 66.906712) (xy 49.300367 66.906888) (xy 49.486704 66.829895)
+        (xy 49.629394 66.687454) (xy 49.706712 66.501251) (xy 49.706888 66.299633) (xy 49.706888 69.552093) (xy 49.663296 69.570105)
+        (xy 49.520606 69.712546) (xy 49.443288 69.898749) (xy 49.443112 70.100367) (xy 49.520105 70.286704) (xy 49.662546 70.429394)
+        (xy 49.848749 70.506712) (xy 50.050367 70.506888) (xy 50.236704 70.429895) (xy 50.379394 70.287454) (xy 50.456712 70.101251)
+        (xy 50.456888 69.899633) (xy 50.456888 70.695628) (xy 50.451251 70.693288) (xy 50.249633 70.693112) (xy 50.063296 70.770105)
+        (xy 49.920606 70.912546) (xy 49.843288 71.098749) (xy 49.843112 71.300367) (xy 49.920105 71.486704) (xy 49.962378 71.52905)
+        (xy 49.876251 71.493288) (xy 49.674633 71.493112) (xy 49.488296 71.570105) (xy 49.345606 71.712546) (xy 49.268288 71.898749)
+        (xy 49.268112 72.100367) (xy 49.345105 72.286704) (xy 49.487546 72.429394) (xy 49.673749 72.506712) (xy 49.875367 72.506888)
+        (xy 50.061704 72.429895) (xy 50.204394 72.287454) (xy 50.281712 72.101251) (xy 50.281888 71.899633) (xy 50.204895 71.713296)
+        (xy 50.162621 71.670949) (xy 50.248749 71.706712) (xy 50.450367 71.706888) (xy 50.636704 71.629895) (xy 50.779394 71.487454)
+        (xy 50.856712 71.301251) (xy 50.856888 71.099633) (xy 50.856888 73.506009) (xy 50.826251 73.493288) (xy 50.624633 73.493112)
+        (xy 50.438296 73.570105) (xy 50.295606 73.712546) (xy 50.218288 73.898749) (xy 50.218112 74.100367) (xy 50.295105 74.286704)
+        (xy 50.437546 74.429394) (xy 50.623749 74.506712) (xy 50.825367 74.506888) (xy 51.011704 74.429895) (xy 51.154394 74.287454)
+        (xy 51.231712 74.101251) (xy 51.231888 73.899633) (xy 51.231888 76.068119) (xy 51.229895 76.063296) (xy 51.087454 75.920606)
+        (xy 50.901251 75.843288) (xy 50.699633 75.843112) (xy 50.513296 75.920105) (xy 50.370606 76.062546) (xy 50.293288 76.248749)
+        (xy 50.293112 76.450367) (xy 50.370105 76.636704) (xy 50.512546 76.779394) (xy 50.698749 76.856712) (xy 50.900367 76.856888)
+        (xy 51.086704 76.779895) (xy 51.229394 76.637454) (xy 51.306712 76.451251) (xy 51.306888 76.249633) (xy 51.306888 89.986362)
+        (xy 51.287712 89.994286) (xy 51.094963 90.186699) (xy 50.990519 90.438227) (xy 50.990282 90.710578) (xy 51.094286 90.962288)
+        (xy 51.286699 91.155037) (xy 51.538227 91.259481) (xy 51.810578 91.259718) (xy 52.062288 91.155714) (xy 52.255037 90.963301)
+        (xy 52.359481 90.711773) (xy 52.359718 90.439422) (xy 52.359718 91.330414) (xy 50.906888 91.327667) (xy 50.906888 83.499633)
+        (xy 50.829895 83.313296) (xy 50.687454 83.170606) (xy 50.501251 83.093288) (xy 50.299633 83.093112) (xy 50.113296 83.170105)
+        (xy 49.970606 83.312546) (xy 49.893288 83.498749) (xy 49.893112 83.700367) (xy 49.970105 83.886704) (xy 50.112546 84.029394)
+        (xy 50.298749 84.106712) (xy 50.500367 84.106888) (xy 50.686704 84.029895) (xy 50.829394 83.887454) (xy 50.906712 83.701251)
+        (xy 50.906888 83.499633) (xy 50.906888 91.327667) (xy 50.659718 91.327199) (xy 50.659718 90.464422) (xy 50.555714 90.212712)
+        (xy 50.363301 90.019963) (xy 50.111773 89.915519) (xy 49.839422 89.915282) (xy 49.587712 90.019286) (xy 49.394963 90.211699)
+        (xy 49.356888 90.303393) (xy 49.356888 81.499633) (xy 49.281888 81.318119) (xy 49.281888 75.599633) (xy 49.204895 75.413296)
+        (xy 49.062454 75.270606) (xy 48.876251 75.193288) (xy 48.731888 75.193161) (xy 48.731888 74.299633) (xy 48.654895 74.113296)
+        (xy 48.512454 73.970606) (xy 48.346888 73.901857) (xy 48.346888 71.099633) (xy 48.269895 70.913296) (xy 48.127454 70.770606)
+        (xy 47.941251 70.693288) (xy 47.739633 70.693112) (xy 47.553296 70.770105) (xy 47.516888 70.806449) (xy 47.516888 54.979633)
+        (xy 47.439895 54.793296) (xy 47.297454 54.650606) (xy 47.111251 54.573288) (xy 46.909633 54.573112) (xy 46.815379 54.612057)
+        (xy 46.815379 41.258999) (xy 46.657352 40.876544) (xy 46.364995 40.583676) (xy 45.982816 40.424982) (xy 45.568999 40.424621)
+        (xy 45.545379 40.43438) (xy 45.545379 38.718999) (xy 45.387352 38.336544) (xy 45.094995 38.043676) (xy 44.712816 37.884982)
+        (xy 44.635379 37.884914) (xy 44.635379 27.468999) (xy 44.477352 27.086544) (xy 44.184995 26.793676) (xy 43.802816 26.634982)
+        (xy 43.388999 26.634621) (xy 43.006544 26.792648) (xy 42.713676 27.085005) (xy 42.554982 27.467184) (xy 42.554621 27.881001)
+        (xy 42.712648 28.263456) (xy 43.005005 28.556324) (xy 43.387184 28.715018) (xy 43.801001 28.715379) (xy 44.183456 28.557352)
+        (xy 44.476324 28.264995) (xy 44.635018 27.882816) (xy 44.635379 27.468999) (xy 44.635379 37.884914) (xy 44.298999 37.884621)
+        (xy 44.158901 37.942508) (xy 44.158901 32.138616) (xy 43.824142 31.328437) (xy 43.204823 30.708036) (xy 42.39523 30.371864)
+        (xy 42.095379 30.371602) (xy 42.095379 27.468999) (xy 41.937352 27.086544) (xy 41.644995 26.793676) (xy 41.262816 26.634982)
+        (xy 40.848999 26.634621) (xy 40.466544 26.792648) (xy 40.173676 27.085005) (xy 40.014982 27.467184) (xy 40.014621 27.881001)
+        (xy 40.172648 28.263456) (xy 40.465005 28.556324) (xy 40.847184 28.715018) (xy 41.261001 28.715379) (xy 41.643456 28.557352)
+        (xy 41.936324 28.264995) (xy 42.095018 27.882816) (xy 42.095379 27.468999) (xy 42.095379 30.371602) (xy 41.518616 30.371099)
+        (xy 40.708437 30.705858) (xy 40.088036 31.325177) (xy 39.751864 32.13477) (xy 39.751099 33.011384) (xy 40.085858 33.821563)
+        (xy 40.091465 33.82718) (xy 39.435199 33.866179) (xy 38.976453 34.056197) (xy 38.845956 34.322812) (xy 39.841967 35.318823)
+        (xy 39.895 35.371856) (xy 40.148144 35.625) (xy 40.201177 35.678033) (xy 41.197188 36.674044) (xy 41.463803 36.543547)
+        (xy 41.695898 35.873271) (xy 41.653821 35.165199) (xy 41.489075 34.767466) (xy 41.51477 34.778136) (xy 42.391384 34.778901)
+        (xy 43.201563 34.444142) (xy 43.821964 33.824823) (xy 44.158136 33.01523) (xy 44.158901 32.138616) (xy 44.158901 37.942508)
+        (xy 43.916544 38.042648) (xy 43.623676 38.335005) (xy 43.464982 38.717184) (xy 43.464621 39.131001) (xy 43.622648 39.513456)
+        (xy 43.915005 39.806324) (xy 44.297184 39.965018) (xy 44.711001 39.965379) (xy 45.093456 39.807352) (xy 45.386324 39.514995)
+        (xy 45.545018 39.132816) (xy 45.545379 38.718999) (xy 45.545379 40.43438) (xy 45.186544 40.582648) (xy 44.893676 40.875005)
+        (xy 44.734982 41.257184) (xy 44.734621 41.671001) (xy 44.892648 42.053456) (xy 45.185005 42.346324) (xy 45.567184 42.505018)
+        (xy 45.981001 42.505379) (xy 46.363456 42.347352) (xy 46.656324 42.054995) (xy 46.815018 41.672816) (xy 46.815379 41.258999)
+        (xy 46.815379 54.612057) (xy 46.723296 54.650105) (xy 46.580606 54.792546) (xy 46.503288 54.978749) (xy 46.503112 55.180367)
+        (xy 46.580105 55.366704) (xy 46.722546 55.509394) (xy 46.908749 55.586712) (xy 47.110367 55.586888) (xy 47.296704 55.509895)
+        (xy 47.439394 55.367454) (xy 47.516712 55.181251) (xy 47.516888 54.979633) (xy 47.516888 70.806449) (xy 47.410606 70.912546)
+        (xy 47.406888 70.921499) (xy 47.406888 68.699633) (xy 47.329895 68.513296) (xy 47.187454 68.370606) (xy 47.001251 68.293288)
+        (xy 46.799633 68.293112) (xy 46.681888 68.341763) (xy 46.681888 60.299633) (xy 46.604895 60.113296) (xy 46.556888 60.065205)
+        (xy 46.556888 56.749633) (xy 46.479895 56.563296) (xy 46.337454 56.420606) (xy 46.151251 56.343288) (xy 46.081888 56.343227)
+        (xy 46.081888 55.499633) (xy 46.004895 55.313296) (xy 45.862454 55.170606) (xy 45.676251 55.093288) (xy 45.620739 55.093239)
+        (xy 45.620739 51.593808) (xy 45.573777 51.010097) (xy 45.44075 50.688941) (xy 45.206367 50.596777) (xy 44.953223 50.849921)
+        (xy 44.953223 50.343633) (xy 44.861059 50.10925) (xy 44.6301 50.034651) (xy 44.6301 42.111543) (xy 44.6301 40.818457)
+        (xy 44.629898 40.586526) (xy 44.540954 40.372326) (xy 44.376811 40.208469) (xy 44.162457 40.1199) (xy 43.60975 40.12)
+        (xy 43.464 40.26575) (xy 43.464 41.286) (xy 44.48425 41.286) (xy 44.63 41.14025) (xy 44.6301 40.818457)
+        (xy 44.6301 42.111543) (xy 44.63 41.78975) (xy 44.48425 41.644) (xy 43.464 41.644) (xy 43.464 42.66425)
+        (xy 43.60975 42.81) (xy 44.162457 42.8101) (xy 44.376811 42.721531) (xy 44.540954 42.557674) (xy 44.629898 42.343474)
+        (xy 44.6301 42.111543) (xy 44.6301 50.034651) (xy 44.303808 49.929261) (xy 43.720097 49.976223) (xy 43.398941 50.10925)
+        (xy 43.306777 50.343633) (xy 44.13 51.166856) (xy 44.953223 50.343633) (xy 44.953223 50.849921) (xy 44.383144 51.42)
+        (xy 45.206367 52.243223) (xy 45.44075 52.151059) (xy 45.620739 51.593808) (xy 45.620739 55.093239) (xy 45.474633 55.093112)
+        (xy 45.288296 55.170105) (xy 45.145606 55.312546) (xy 45.068288 55.498749) (xy 45.068112 55.700367) (xy 45.145105 55.886704)
+        (xy 45.287546 56.029394) (xy 45.473749 56.106712) (xy 45.675367 56.106888) (xy 45.861704 56.029895) (xy 46.004394 55.887454)
+        (xy 46.081712 55.701251) (xy 46.081888 55.499633) (xy 46.081888 56.343227) (xy 45.949633 56.343112) (xy 45.763296 56.420105)
+        (xy 45.620606 56.562546) (xy 45.543288 56.748749) (xy 45.543112 56.950367) (xy 45.620105 57.136704) (xy 45.762546 57.279394)
+        (xy 45.948749 57.356712) (xy 46.150367 57.356888) (xy 46.336704 57.279895) (xy 46.479394 57.137454) (xy 46.556712 56.951251)
+        (xy 46.556888 56.749633) (xy 46.556888 60.065205) (xy 46.462454 59.970606) (xy 46.276251 59.893288) (xy 46.074633 59.893112)
+        (xy 45.888296 59.970105) (xy 45.745606 60.112546) (xy 45.668288 60.298749) (xy 45.668112 60.500367) (xy 45.745105 60.686704)
+        (xy 45.887546 60.829394) (xy 46.073749 60.906712) (xy 46.275367 60.906888) (xy 46.461704 60.829895) (xy 46.604394 60.687454)
+        (xy 46.681712 60.501251) (xy 46.681888 60.299633) (xy 46.681888 68.341763) (xy 46.613296 68.370105) (xy 46.470606 68.512546)
+        (xy 46.393288 68.698749) (xy 46.393112 68.900367) (xy 46.470105 69.086704) (xy 46.612546 69.229394) (xy 46.798749 69.306712)
+        (xy 47.000367 69.306888) (xy 47.186704 69.229895) (xy 47.329394 69.087454) (xy 47.406712 68.901251) (xy 47.406888 68.699633)
+        (xy 47.406888 70.921499) (xy 47.333288 71.098749) (xy 47.333112 71.300367) (xy 47.410105 71.486704) (xy 47.552546 71.629394)
+        (xy 47.738749 71.706712) (xy 47.940367 71.706888) (xy 48.126704 71.629895) (xy 48.269394 71.487454) (xy 48.346712 71.301251)
+        (xy 48.346888 71.099633) (xy 48.346888 73.901857) (xy 48.326251 73.893288) (xy 48.124633 73.893112) (xy 47.938296 73.970105)
+        (xy 47.795606 74.112546) (xy 47.718288 74.298749) (xy 47.718112 74.500367) (xy 47.795105 74.686704) (xy 47.937546 74.829394)
+        (xy 48.123749 74.906712) (xy 48.325367 74.906888) (xy 48.511704 74.829895) (xy 48.654394 74.687454) (xy 48.731712 74.501251)
+        (xy 48.731888 74.299633) (xy 48.731888 75.193161) (xy 48.674633 75.193112) (xy 48.488296 75.270105) (xy 48.345606 75.412546)
+        (xy 48.291803 75.542116) (xy 48.279895 75.513296) (xy 48.137454 75.370606) (xy 47.951251 75.293288) (xy 47.749633 75.293112)
+        (xy 47.563296 75.370105) (xy 47.420606 75.512546) (xy 47.343288 75.698749) (xy 47.343112 75.900367) (xy 47.420105 76.086704)
+        (xy 47.562546 76.229394) (xy 47.748749 76.306712) (xy 47.950367 76.306888) (xy 48.136704 76.229895) (xy 48.279394 76.087454)
+        (xy 48.333196 75.957883) (xy 48.345105 75.986704) (xy 48.487546 76.129394) (xy 48.673749 76.206712) (xy 48.875367 76.206888)
+        (xy 49.061704 76.129895) (xy 49.204394 75.987454) (xy 49.281712 75.801251) (xy 49.281888 75.599633) (xy 49.281888 81.318119)
+        (xy 49.279895 81.313296) (xy 49.137454 81.170606) (xy 49.056888 81.137152) (xy 49.056888 77.174633) (xy 48.979895 76.988296)
+        (xy 48.837454 76.845606) (xy 48.651251 76.768288) (xy 48.449633 76.768112) (xy 48.263296 76.845105) (xy 48.120606 76.987546)
+        (xy 48.043288 77.173749) (xy 48.043112 77.375367) (xy 48.120105 77.561704) (xy 48.262546 77.704394) (xy 48.448749 77.781712)
+        (xy 48.650367 77.781888) (xy 48.836704 77.704895) (xy 48.979394 77.562454) (xy 49.056712 77.376251) (xy 49.056888 77.174633)
+        (xy 49.056888 81.137152) (xy 48.951251 81.093288) (xy 48.749633 81.093112) (xy 48.563296 81.170105) (xy 48.420606 81.312546)
+        (xy 48.343288 81.498749) (xy 48.343112 81.700367) (xy 48.420105 81.886704) (xy 48.562546 82.029394) (xy 48.748749 82.106712)
+        (xy 48.950367 82.106888) (xy 49.136704 82.029895) (xy 49.279394 81.887454) (xy 49.356712 81.701251) (xy 49.356888 81.499633)
+        (xy 49.356888 90.303393) (xy 49.290519 90.463227) (xy 49.290282 90.735578) (xy 49.394286 90.987288) (xy 49.586699 91.180037)
+        (xy 49.838227 91.284481) (xy 50.110578 91.284718) (xy 50.362288 91.180714) (xy 50.555037 90.988301) (xy 50.659481 90.736773)
+        (xy 50.659718 90.464422) (xy 50.659718 91.327199) (xy 48.331888 91.322798) (xy 48.331888 80.374633) (xy 48.254895 80.188296)
+        (xy 48.112454 80.045606) (xy 47.926251 79.968288) (xy 47.724633 79.968112) (xy 47.538296 80.045105) (xy 47.395606 80.187546)
+        (xy 47.318288 80.373749) (xy 47.318112 80.575367) (xy 47.395105 80.761704) (xy 47.537546 80.904394) (xy 47.723749 80.981712)
+        (xy 47.925367 80.981888) (xy 48.111704 80.904895) (xy 48.254394 80.762454) (xy 48.331712 80.576251) (xy 48.331888 80.374633)
+        (xy 48.331888 91.322798) (xy 47.506888 91.321238) (xy 47.506888 81.999633) (xy 47.429895 81.813296) (xy 47.287454 81.670606)
+        (xy 47.101251 81.593288) (xy 46.899633 81.593112) (xy 46.713296 81.670105) (xy 46.570606 81.812546) (xy 46.556888 81.845582)
+        (xy 46.556888 71.924633) (xy 46.479895 71.738296) (xy 46.337454 71.595606) (xy 46.151251 71.518288) (xy 45.956888 71.518118)
+        (xy 45.956888 70.299633) (xy 45.879895 70.113296) (xy 45.737454 69.970606) (xy 45.551251 69.893288) (xy 45.406888 69.893161)
+        (xy 45.406888 56.559633) (xy 45.329895 56.373296) (xy 45.187454 56.230606) (xy 45.001251 56.153288) (xy 44.953223 56.153246)
+        (xy 44.953223 52.496367) (xy 44.13 51.673144) (xy 43.876856 51.926288) (xy 43.876856 51.42) (xy 43.106 50.649144)
+        (xy 43.106 42.66425) (xy 43.106 41.644) (xy 43.106 41.286) (xy 43.106 40.26575) (xy 42.96025 40.12)
+        (xy 42.407543 40.1199) (xy 42.193189 40.208469) (xy 42.029046 40.372326) (xy 41.940102 40.586526) (xy 41.9399 40.818457)
+        (xy 41.94 41.14025) (xy 42.08575 41.286) (xy 43.106 41.286) (xy 43.106 41.644) (xy 42.08575 41.644)
+        (xy 41.94 41.78975) (xy 41.9399 42.111543) (xy 41.940102 42.343474) (xy 42.029046 42.557674) (xy 42.193189 42.721531)
+        (xy 42.407543 42.8101) (xy 42.96025 42.81) (xy 43.106 42.66425) (xy 43.106 50.649144) (xy 43.053633 50.596777)
+        (xy 42.81925 50.688941) (xy 42.639261 51.246192) (xy 42.686223 51.829903) (xy 42.81925 52.151059) (xy 43.053633 52.243223)
+        (xy 43.876856 51.42) (xy 43.876856 51.926288) (xy 43.306777 52.496367) (xy 43.398941 52.73075) (xy 43.956192 52.910739)
+        (xy 44.539903 52.863777) (xy 44.861059 52.73075) (xy 44.953223 52.496367) (xy 44.953223 56.153246) (xy 44.799633 56.153112)
+        (xy 44.613296 56.230105) (xy 44.470606 56.372546) (xy 44.393288 56.558749) (xy 44.393112 56.760367) (xy 44.470105 56.946704)
+        (xy 44.612546 57.089394) (xy 44.798749 57.166712) (xy 45.000367 57.166888) (xy 45.186704 57.089895) (xy 45.329394 56.947454)
+        (xy 45.406712 56.761251) (xy 45.406888 56.559633) (xy 45.406888 69.893161) (xy 45.349633 69.893112) (xy 45.163296 69.970105)
+        (xy 45.020606 70.112546) (xy 45.006888 70.145582) (xy 45.006888 69.499633) (xy 44.929895 69.313296) (xy 44.787454 69.170606)
+        (xy 44.601251 69.093288) (xy 44.399633 69.093112) (xy 44.30686 69.131444) (xy 44.306888 69.099633) (xy 44.229895 68.913296)
+        (xy 44.202142 68.885494) (xy 44.336704 68.829895) (xy 44.479394 68.687454) (xy 44.556712 68.501251) (xy 44.556888 68.299633)
+        (xy 44.496888 68.154422) (xy 44.496888 61.719633) (xy 44.419895 61.533296) (xy 44.277454 61.390606) (xy 44.091251 61.313288)
+        (xy 43.931888 61.313148) (xy 43.931888 58.674633) (xy 43.854895 58.488296) (xy 43.781888 58.415161) (xy 43.781888 56.799633)
+        (xy 43.704895 56.613296) (xy 43.562454 56.470606) (xy 43.376251 56.393288) (xy 43.174633 56.393112) (xy 42.988296 56.470105)
+        (xy 42.845606 56.612546) (xy 42.768288 56.798749) (xy 42.768112 57.000367) (xy 42.845105 57.186704) (xy 42.987546 57.329394)
+        (xy 43.173749 57.406712) (xy 43.375367 57.406888) (xy 43.561704 57.329895) (xy 43.704394 57.187454) (xy 43.781712 57.001251)
+        (xy 43.781888 56.799633) (xy 43.781888 58.415161) (xy 43.712454 58.345606) (xy 43.526251 58.268288) (xy 43.324633 58.268112)
+        (xy 43.146888 58.341554) (xy 43.146888 57.849633) (xy 43.069895 57.663296) (xy 42.927454 57.520606) (xy 42.741251 57.443288)
+        (xy 42.539633 57.443112) (xy 42.353296 57.520105) (xy 42.210606 57.662546) (xy 42.133288 57.848749) (xy 42.133241 57.902333)
+        (xy 42.051251 57.868288) (xy 41.849633 57.868112) (xy 41.756888 57.906433) (xy 41.756888 55.929633) (xy 41.679895 55.743296)
+        (xy 41.537454 55.600606) (xy 41.351251 55.523288) (xy 41.149633 55.523112) (xy 40.963296 55.600105) (xy 40.944044 55.619323)
+        (xy 40.944044 36.927188) (xy 39.895 35.878144) (xy 39.641856 36.131288) (xy 39.641856 35.625) (xy 38.592812 34.575956)
+        (xy 38.326197 34.706453) (xy 38.094102 35.376729) (xy 38.136179 36.084801) (xy 38.326197 36.543547) (xy 38.592812 36.674044)
+        (xy 39.641856 35.625) (xy 39.641856 36.131288) (xy 38.845956 36.927188) (xy 38.976453 37.193803) (xy 39.646729 37.425898)
+        (xy 40.354801 37.383821) (xy 40.813547 37.193803) (xy 40.944044 36.927188) (xy 40.944044 55.619323) (xy 40.820606 55.742546)
+        (xy 40.743288 55.928749) (xy 40.743112 56.130367) (xy 40.820105 56.316704) (xy 40.962546 56.459394) (xy 41.148749 56.536712)
+        (xy 41.350367 56.536888) (xy 41.536704 56.459895) (xy 41.679394 56.317454) (xy 41.756712 56.131251) (xy 41.756888 55.929633)
+        (xy 41.756888 57.906433) (xy 41.663296 57.945105) (xy 41.520606 58.087546) (xy 41.443288 58.273749) (xy 41.443112 58.475367)
+        (xy 41.520105 58.661704) (xy 41.662546 58.804394) (xy 41.848749 58.881712) (xy 42.050367 58.881888) (xy 42.236704 58.804895)
+        (xy 42.379394 58.662454) (xy 42.456712 58.476251) (xy 42.456758 58.422666) (xy 42.538749 58.456712) (xy 42.740367 58.456888)
+        (xy 42.926704 58.379895) (xy 43.069394 58.237454) (xy 43.146712 58.051251) (xy 43.146888 57.849633) (xy 43.146888 58.341554)
+        (xy 43.138296 58.345105) (xy 42.995606 58.487546) (xy 42.918288 58.673749) (xy 42.918112 58.875367) (xy 42.995105 59.061704)
+        (xy 43.137546 59.204394) (xy 43.323749 59.281712) (xy 43.525367 59.281888) (xy 43.711704 59.204895) (xy 43.854394 59.062454)
+        (xy 43.931712 58.876251) (xy 43.931888 58.674633) (xy 43.931888 61.313148) (xy 43.889633 61.313112) (xy 43.703296 61.390105)
+        (xy 43.560606 61.532546) (xy 43.483288 61.718749) (xy 43.483112 61.920367) (xy 43.560105 62.106704) (xy 43.702546 62.249394)
+        (xy 43.888749 62.326712) (xy 44.090367 62.326888) (xy 44.276704 62.249895) (xy 44.419394 62.107454) (xy 44.496712 61.921251)
+        (xy 44.496888 61.719633) (xy 44.496888 68.154422) (xy 44.479895 68.113296) (xy 44.337454 67.970606) (xy 44.151251 67.893288)
+        (xy 43.949633 67.893112) (xy 43.763296 67.970105) (xy 43.620606 68.112546) (xy 43.543288 68.298749) (xy 43.543112 68.500367)
+        (xy 43.620105 68.686704) (xy 43.647857 68.714505) (xy 43.531888 68.762422) (xy 43.531888 67.899633) (xy 43.454895 67.713296)
+        (xy 43.312454 67.570606) (xy 43.186888 67.518466) (xy 43.186888 60.299633) (xy 43.109895 60.113296) (xy 42.967454 59.970606)
+        (xy 42.781251 59.893288) (xy 42.579633 59.893112) (xy 42.484242 59.932526) (xy 42.529394 59.887454) (xy 42.606712 59.701251)
+        (xy 42.606888 59.499633) (xy 42.529895 59.313296) (xy 42.387454 59.170606) (xy 42.201251 59.093288) (xy 41.999633 59.093112)
+        (xy 41.813296 59.170105) (xy 41.670606 59.312546) (xy 41.593288 59.498749) (xy 41.593112 59.700367) (xy 41.670105 59.886704)
+        (xy 41.812546 60.029394) (xy 41.998749 60.106712) (xy 42.200367 60.106888) (xy 42.295757 60.067473) (xy 42.250606 60.112546)
+        (xy 42.173288 60.298749) (xy 42.173112 60.500367) (xy 42.250105 60.686704) (xy 42.392546 60.829394) (xy 42.578749 60.906712)
+        (xy 42.780367 60.906888) (xy 42.966704 60.829895) (xy 43.109394 60.687454) (xy 43.186712 60.501251) (xy 43.186888 60.299633)
+        (xy 43.186888 67.518466) (xy 43.126251 67.493288) (xy 42.924633 67.493112) (xy 42.738296 67.570105) (xy 42.595606 67.712546)
+        (xy 42.518288 67.898749) (xy 42.518112 68.100367) (xy 42.595105 68.286704) (xy 42.737546 68.429394) (xy 42.923749 68.506712)
+        (xy 43.125367 68.506888) (xy 43.311704 68.429895) (xy 43.454394 68.287454) (xy 43.531712 68.101251) (xy 43.531888 67.899633)
+        (xy 43.531888 68.762422) (xy 43.513296 68.770105) (xy 43.370606 68.912546) (xy 43.293288 69.098749) (xy 43.293112 69.300367)
+        (xy 43.370105 69.486704) (xy 43.512546 69.629394) (xy 43.698749 69.706712) (xy 43.900367 69.706888) (xy 43.993139 69.668555)
+        (xy 43.993112 69.700367) (xy 44.070105 69.886704) (xy 44.212546 70.029394) (xy 44.398749 70.106712) (xy 44.600367 70.106888)
+        (xy 44.786704 70.029895) (xy 44.929394 69.887454) (xy 45.006712 69.701251) (xy 45.006888 69.499633) (xy 45.006888 70.145582)
+        (xy 44.943288 70.298749) (xy 44.943112 70.500367) (xy 45.020105 70.686704) (xy 45.162546 70.829394) (xy 45.348749 70.906712)
+        (xy 45.550367 70.906888) (xy 45.736704 70.829895) (xy 45.879394 70.687454) (xy 45.956712 70.501251) (xy 45.956888 70.299633)
+        (xy 45.956888 71.518118) (xy 45.949633 71.518112) (xy 45.763296 71.595105) (xy 45.620606 71.737546) (xy 45.543288 71.923749)
+        (xy 45.543112 72.125367) (xy 45.612436 72.293145) (xy 45.574633 72.293112) (xy 45.388296 72.370105) (xy 45.245606 72.512546)
+        (xy 45.168288 72.698749) (xy 45.168112 72.900367) (xy 45.245105 73.086704) (xy 45.387546 73.229394) (xy 45.573749 73.306712)
+        (xy 45.775367 73.306888) (xy 45.961704 73.229895) (xy 46.104394 73.087454) (xy 46.181712 72.901251) (xy 46.181888 72.699633)
+        (xy 46.112563 72.531854) (xy 46.150367 72.531888) (xy 46.336704 72.454895) (xy 46.479394 72.312454) (xy 46.556712 72.126251)
+        (xy 46.556888 71.924633) (xy 46.556888 81.845582) (xy 46.506888 81.965996) (xy 46.506888 78.924633) (xy 46.429895 78.738296)
+        (xy 46.287454 78.595606) (xy 46.101251 78.518288) (xy 45.899633 78.518112) (xy 45.713296 78.595105) (xy 45.570606 78.737546)
+        (xy 45.493288 78.923749) (xy 45.493112 79.125367) (xy 45.570105 79.311704) (xy 45.712546 79.454394) (xy 45.898749 79.531712)
+        (xy 46.100367 79.531888) (xy 46.286704 79.454895) (xy 46.429394 79.312454) (xy 46.506712 79.126251) (xy 46.506888 78.924633)
+        (xy 46.506888 81.965996) (xy 46.493288 81.998749) (xy 46.493112 82.200367) (xy 46.570105 82.386704) (xy 46.712546 82.529394)
+        (xy 46.898749 82.606712) (xy 47.100367 82.606888) (xy 47.286704 82.529895) (xy 47.429394 82.387454) (xy 47.506712 82.201251)
+        (xy 47.506888 81.999633) (xy 47.506888 91.321238) (xy 45.781888 91.317976) (xy 45.781888 80.349633) (xy 45.704895 80.163296)
+        (xy 45.562454 80.020606) (xy 45.533276 80.00849) (xy 45.579394 79.962454) (xy 45.656712 79.776251) (xy 45.656888 79.574633)
+        (xy 45.579895 79.388296) (xy 45.437454 79.245606) (xy 45.251251 79.168288) (xy 45.049633 79.168112) (xy 44.863296 79.245105)
+        (xy 44.720606 79.387546) (xy 44.643288 79.573749) (xy 44.643112 79.775367) (xy 44.720105 79.961704) (xy 44.862546 80.104394)
+        (xy 44.891723 80.116509) (xy 44.845606 80.162546) (xy 44.768288 80.348749) (xy 44.768112 80.550367) (xy 44.845105 80.736704)
+        (xy 44.987546 80.879394) (xy 45.173749 80.956712) (xy 45.375367 80.956888) (xy 45.561704 80.879895) (xy 45.704394 80.737454)
+        (xy 45.781712 80.551251) (xy 45.781888 80.349633) (xy 45.781888 91.317976) (xy 45.631888 91.317692) (xy 45.631888 88.074633)
+        (xy 45.554895 87.888296) (xy 45.412454 87.745606) (xy 45.226251 87.668288) (xy 45.024633 87.668112) (xy 44.881888 87.727093)
+        (xy 44.881888 81.199633) (xy 44.804895 81.013296) (xy 44.662454 80.870606) (xy 44.476251 80.793288) (xy 44.281888 80.793118)
+        (xy 44.281888 77.974633) (xy 44.204895 77.788296) (xy 44.106888 77.690117) (xy 44.106888 71.499633) (xy 44.029895 71.313296)
+        (xy 43.887454 71.170606) (xy 43.701251 71.093288) (xy 43.499633 71.093112) (xy 43.313296 71.170105) (xy 43.170606 71.312546)
+        (xy 43.093288 71.498749) (xy 43.093112 71.700367) (xy 43.170105 71.886704) (xy 43.312546 72.029394) (xy 43.498749 72.106712)
+        (xy 43.700367 72.106888) (xy 43.886704 72.029895) (xy 44.029394 71.887454) (xy 44.106712 71.701251) (xy 44.106888 71.499633)
+        (xy 44.106888 77.690117) (xy 44.062454 77.645606) (xy 43.876251 77.568288) (xy 43.674633 77.568112) (xy 43.488296 77.645105)
+        (xy 43.345606 77.787546) (xy 43.268288 77.973749) (xy 43.268112 78.175367) (xy 43.345105 78.361704) (xy 43.487546 78.504394)
+        (xy 43.673749 78.581712) (xy 43.875367 78.581888) (xy 44.061704 78.504895) (xy 44.204394 78.362454) (xy 44.281712 78.176251)
+        (xy 44.281888 77.974633) (xy 44.281888 80.793118) (xy 44.274633 80.793112) (xy 44.088296 80.870105) (xy 43.945606 81.012546)
+        (xy 43.868288 81.198749) (xy 43.868112 81.400367) (xy 43.945105 81.586704) (xy 44.087546 81.729394) (xy 44.273749 81.806712)
+        (xy 44.475367 81.806888) (xy 44.661704 81.729895) (xy 44.804394 81.587454) (xy 44.881712 81.401251) (xy 44.881888 81.199633)
+        (xy 44.881888 87.727093) (xy 44.838296 87.745105) (xy 44.695606 87.887546) (xy 44.618288 88.073749) (xy 44.618112 88.275367)
+        (xy 44.695105 88.461704) (xy 44.837546 88.604394) (xy 45.023749 88.681712) (xy 45.225367 88.681888) (xy 45.411704 88.604895)
+        (xy 45.554394 88.462454) (xy 45.631712 88.276251) (xy 45.631888 88.074633) (xy 45.631888 91.317692) (xy 44.081888 91.314761)
+        (xy 44.081888 82.349633) (xy 44.004895 82.163296) (xy 43.862454 82.020606) (xy 43.676251 81.943288) (xy 43.474633 81.943112)
+        (xy 43.406888 81.971103) (xy 43.406888 80.774633) (xy 43.329895 80.588296) (xy 43.187454 80.445606) (xy 43.001251 80.368288)
+        (xy 42.799633 80.368112) (xy 42.681888 80.416763) (xy 42.681888 70.199633) (xy 42.604895 70.013296) (xy 42.462454 69.870606)
+        (xy 42.276251 69.793288) (xy 42.074633 69.793112) (xy 41.931888 69.852093) (xy 41.931888 67.224633) (xy 41.854895 67.038296)
+        (xy 41.712454 66.895606) (xy 41.526251 66.818288) (xy 41.324633 66.818112) (xy 41.138296 66.895105) (xy 41.006888 67.026283)
+        (xy 41.006888 61.899633) (xy 40.929895 61.713296) (xy 40.787454 61.570606) (xy 40.601251 61.493288) (xy 40.399633 61.493112)
+        (xy 40.217248 61.568472) (xy 40.217248 52.253905) (xy 40.217248 50.475905) (xy 40.174984 50.373618) (xy 40.096793 50.295291)
+        (xy 39.99458 50.252848) (xy 39.883905 50.252752) (xy 38.105905 50.252752) (xy 38.003618 50.295016) (xy 37.925291 50.373207)
+        (xy 37.882848 50.47542) (xy 37.882752 50.586095) (xy 37.882752 52.364095) (xy 37.925016 52.466382) (xy 38.003207 52.544709)
+        (xy 38.10542 52.587152) (xy 38.216095 52.587248) (xy 39.994095 52.587248) (xy 40.096382 52.544984) (xy 40.174709 52.466793)
+        (xy 40.217152 52.36458) (xy 40.217248 52.253905) (xy 40.217248 61.568472) (xy 40.213296 61.570105) (xy 40.070606 61.712546)
+        (xy 39.993288 61.898749) (xy 39.993112 62.100367) (xy 40.070105 62.286704) (xy 40.212546 62.429394) (xy 40.398749 62.506712)
+        (xy 40.600367 62.506888) (xy 40.786704 62.429895) (xy 40.929394 62.287454) (xy 41.006712 62.101251) (xy 41.006888 61.899633)
+        (xy 41.006888 67.026283) (xy 40.995606 67.037546) (xy 40.946888 67.154872) (xy 40.946888 65.149633) (xy 40.869895 64.963296)
+        (xy 40.727454 64.820606) (xy 40.541251 64.743288) (xy 40.516888 64.743266) (xy 40.516888 63.989633) (xy 40.481888 63.904926)
+        (xy 40.481888 62.899633) (xy 40.404895 62.713296) (xy 40.262454 62.570606) (xy 40.076251 62.493288) (xy 39.874633 62.493112)
+        (xy 39.688296 62.570105) (xy 39.545606 62.712546) (xy 39.534718 62.738767) (xy 39.534718 59.164422) (xy 39.430714 58.912712)
+        (xy 39.238301 58.719963) (xy 38.986773 58.615519) (xy 38.714422 58.615282) (xy 38.462712 58.719286) (xy 38.269963 58.911699)
+        (xy 38.165519 59.163227) (xy 38.165282 59.435578) (xy 38.269286 59.687288) (xy 38.461699 59.880037) (xy 38.713227 59.984481)
+        (xy 38.985578 59.984718) (xy 39.237288 59.880714) (xy 39.430037 59.688301) (xy 39.534481 59.436773) (xy 39.534718 59.164422)
+        (xy 39.534718 62.738767) (xy 39.468288 62.898749) (xy 39.468112 63.100367) (xy 39.545105 63.286704) (xy 39.687546 63.429394)
+        (xy 39.873749 63.506712) (xy 40.075367 63.506888) (xy 40.261704 63.429895) (xy 40.404394 63.287454) (xy 40.481712 63.101251)
+        (xy 40.481888 62.899633) (xy 40.481888 63.904926) (xy 40.439895 63.803296) (xy 40.297454 63.660606) (xy 40.111251 63.583288)
+        (xy 39.909633 63.583112) (xy 39.723296 63.660105) (xy 39.580606 63.802546) (xy 39.503288 63.988749) (xy 39.503112 64.190367)
+        (xy 39.580105 64.376704) (xy 39.722546 64.519394) (xy 39.908749 64.596712) (xy 40.110367 64.596888) (xy 40.296704 64.519895)
+        (xy 40.439394 64.377454) (xy 40.516712 64.191251) (xy 40.516888 63.989633) (xy 40.516888 64.743266) (xy 40.339633 64.743112)
+        (xy 40.153296 64.820105) (xy 40.010606 64.962546) (xy 39.933288 65.148749) (xy 39.933112 65.350367) (xy 40.010105 65.536704)
+        (xy 40.152546 65.679394) (xy 40.338749 65.756712) (xy 40.540367 65.756888) (xy 40.726704 65.679895) (xy 40.869394 65.537454)
+        (xy 40.946712 65.351251) (xy 40.946888 65.149633) (xy 40.946888 67.154872) (xy 40.918288 67.223749) (xy 40.918112 67.425367)
+        (xy 40.995105 67.611704) (xy 41.137546 67.754394) (xy 41.323749 67.831712) (xy 41.525367 67.831888) (xy 41.711704 67.754895)
+        (xy 41.854394 67.612454) (xy 41.931712 67.426251) (xy 41.931888 67.224633) (xy 41.931888 69.852093) (xy 41.888296 69.870105)
+        (xy 41.745606 70.012546) (xy 41.668288 70.198749) (xy 41.668112 70.400367) (xy 41.745105 70.586704) (xy 41.887546 70.729394)
+        (xy 42.073749 70.806712) (xy 42.275367 70.806888) (xy 42.461704 70.729895) (xy 42.604394 70.587454) (xy 42.681712 70.401251)
+        (xy 42.681888 70.199633) (xy 42.681888 80.416763) (xy 42.613296 80.445105) (xy 42.470606 80.587546) (xy 42.393288 80.773749)
+        (xy 42.393112 80.975367) (xy 42.470105 81.161704) (xy 42.612546 81.304394) (xy 42.798749 81.381712) (xy 43.000367 81.381888)
+        (xy 43.186704 81.304895) (xy 43.329394 81.162454) (xy 43.406712 80.976251) (xy 43.406888 80.774633) (xy 43.406888 81.971103)
+        (xy 43.288296 82.020105) (xy 43.145606 82.162546) (xy 43.068288 82.348749) (xy 43.068112 82.550367) (xy 43.145105 82.736704)
+        (xy 43.287546 82.879394) (xy 43.473749 82.956712) (xy 43.675367 82.956888) (xy 43.861704 82.879895) (xy 44.004394 82.737454)
+        (xy 44.081712 82.551251) (xy 44.081888 82.349633) (xy 44.081888 91.314761) (xy 42.181888 91.311168) (xy 42.181888 75.624633)
+        (xy 42.104895 75.438296) (xy 42.006888 75.340117) (xy 42.006888 72.949633) (xy 41.929895 72.763296) (xy 41.787454 72.620606)
+        (xy 41.601251 72.543288) (xy 41.399633 72.543112) (xy 41.213296 72.620105) (xy 41.070606 72.762546) (xy 40.993288 72.948749)
+        (xy 40.993112 73.150367) (xy 41.070105 73.336704) (xy 41.212546 73.479394) (xy 41.398749 73.556712) (xy 41.600367 73.556888)
+        (xy 41.786704 73.479895) (xy 41.929394 73.337454) (xy 42.006712 73.151251) (xy 42.006888 72.949633) (xy 42.006888 75.340117)
+        (xy 41.962454 75.295606) (xy 41.776251 75.218288) (xy 41.574633 75.218112) (xy 41.388296 75.295105) (xy 41.245606 75.437546)
+        (xy 41.168288 75.623749) (xy 41.168112 75.825367) (xy 41.245105 76.011704) (xy 41.387546 76.154394) (xy 41.573749 76.231712)
+        (xy 41.775367 76.231888) (xy 41.961704 76.154895) (xy 42.104394 76.012454) (xy 42.181712 75.826251) (xy 42.181888 75.624633)
+        (xy 42.181888 91.311168) (xy 41.931888 91.310695) (xy 41.931888 79.999633) (xy 41.931888 78.374633) (xy 41.854895 78.188296)
+        (xy 41.712454 78.045606) (xy 41.526251 77.968288) (xy 41.324633 77.968112) (xy 41.138296 78.045105) (xy 40.995606 78.187546)
+        (xy 40.918288 78.373749) (xy 40.918112 78.575367) (xy 40.995105 78.761704) (xy 41.137546 78.904394) (xy 41.323749 78.981712)
+        (xy 41.525367 78.981888) (xy 41.711704 78.904895) (xy 41.854394 78.762454) (xy 41.931712 78.576251) (xy 41.931888 78.374633)
+        (xy 41.931888 79.999633) (xy 41.854895 79.813296) (xy 41.712454 79.670606) (xy 41.526251 79.593288) (xy 41.324633 79.593112)
+        (xy 41.138296 79.670105) (xy 41.131888 79.676501) (xy 41.131888 79.149633) (xy 41.054895 78.963296) (xy 40.912454 78.820606)
+        (xy 40.726251 78.743288) (xy 40.524633 78.743112) (xy 40.356888 78.812422) (xy 40.356888 75.899633) (xy 40.279895 75.713296)
+        (xy 40.137454 75.570606) (xy 39.951251 75.493288) (xy 39.749633 75.493112) (xy 39.563296 75.570105) (xy 39.420606 75.712546)
+        (xy 39.343288 75.898749) (xy 39.343112 76.100367) (xy 39.420105 76.286704) (xy 39.562546 76.429394) (xy 39.748749 76.506712)
+        (xy 39.950367 76.506888) (xy 40.136704 76.429895) (xy 40.279394 76.287454) (xy 40.356712 76.101251) (xy 40.356888 75.899633)
+        (xy 40.356888 78.812422) (xy 40.338296 78.820105) (xy 40.195606 78.962546) (xy 40.118288 79.148749) (xy 40.118112 79.350367)
+        (xy 40.195105 79.536704) (xy 40.337546 79.679394) (xy 40.523749 79.756712) (xy 40.725367 79.756888) (xy 40.911704 79.679895)
+        (xy 41.054394 79.537454) (xy 41.131712 79.351251) (xy 41.131888 79.149633) (xy 41.131888 79.676501) (xy 40.995606 79.812546)
+        (xy 40.918288 79.998749) (xy 40.918112 80.200367) (xy 40.995105 80.386704) (xy 41.137546 80.529394) (xy 41.323749 80.606712)
+        (xy 41.525367 80.606888) (xy 41.711704 80.529895) (xy 41.854394 80.387454) (xy 41.931712 80.201251) (xy 41.931888 79.999633)
+        (xy 41.931888 91.310695) (xy 39.610377 91.306306) (xy 40.18235 90.735332) (xy 40.534798 89.886543) (xy 40.5356 88.967489)
+        (xy 40.184634 88.118087) (xy 39.584718 87.517122) (xy 39.584718 78.989422) (xy 39.480714 78.737712) (xy 39.288301 78.544963)
+        (xy 39.036773 78.440519) (xy 38.764422 78.440282) (xy 38.512712 78.544286) (xy 38.319963 78.736699) (xy 38.215519 78.988227)
+        (xy 38.215282 79.260578) (xy 38.319286 79.512288) (xy 38.511699 79.705037) (xy 38.763227 79.809481) (xy 39.035578 79.809718)
+        (xy 39.287288 79.705714) (xy 39.480037 79.513301) (xy 39.584481 79.261773) (xy 39.584718 78.989422) (xy 39.584718 87.517122)
+        (xy 39.535332 87.46765) (xy 38.686543 87.115202) (xy 37.909718 87.114524) (xy 37.909718 85.964422) (xy 37.805714 85.712712)
+        (xy 37.613301 85.519963) (xy 37.361773 85.415519) (xy 37.089422 85.415282) (xy 36.837712 85.519286) (xy 36.644963 85.711699)
+        (xy 36.540519 85.963227) (xy 36.540282 86.235578) (xy 36.644286 86.487288) (xy 36.836699 86.680037) (xy 37.088227 86.784481)
+        (xy 37.360578 86.784718) (xy 37.612288 86.680714) (xy 37.805037 86.488301) (xy 37.909481 86.236773) (xy 37.909718 85.964422)
+        (xy 37.909718 87.114524) (xy 37.767489 87.1144) (xy 36.918087 87.465366) (xy 36.340656 88.041788) (xy 36.150211 20.1)
+        (xy 36.281066 20.1) (xy 36.25618 20.075114) (xy 115.425105 20.124953) (xy 115.484314 62.444747) (xy 115.475491 62.436969)
+        (xy 114.979 62.267) (xy 114.454 62.267) (xy 114.454 63.346) (xy 114.454 63.421) (xy 114.454 63.779)
+        (xy 114.454 63.854) (xy 114.454 64.933) (xy 114.979 64.933) (xy 115.475491 64.763031) (xy 115.487542 64.752406)
+        (xy 115.492929 68.602341) (xy 115.475491 68.586969) (xy 114.979 68.417) (xy 114.454 68.417) (xy 114.454 69.496)
+        (xy 114.454 69.571) (xy 114.454 69.929) (xy 114.454 70.004) (xy 114.454 71.083) (xy 114.979 71.083)
+        (xy 115.475491 70.913031) (xy 115.496136 70.89483) (xy 115.524895 91.449858)
+      )
+    )
+  )
+  (zone (net 167) (net_name DRAM_REF) (layer Signal-L5) (tstamp 51AEE6AC) (hatch edge 0.508)
+    (connect_pads (clearance 0.2032))
+    (min_thickness 0.15)
+    (fill (arc_segments 16) (thermal_gap 0.508) (thermal_bridge_width 0.508))
+    (polygon
+      (pts
+        (xy 54.375 53.375) (xy 36 53.4) (xy 36.2 85.925) (xy 72.575 86) (xy 72.2 74.9)
+        (xy 56.075 74.675) (xy 56 81.875) (xy 39.775 81.725) (xy 40.5 62.9) (xy 54.45 62.825)
+        (xy 54.325 53.45)
+      )
+    )
+    (filled_polygon
+      (pts
+        (xy 72.454984 84.668817) (xy 72.053202 85.0706) (xy 70.481888 85.0706) (xy 70.481888 80.724633) (xy 70.404895 80.538296)
+        (xy 70.262454 80.395606) (xy 70.076251 80.318288) (xy 69.874633 80.318112) (xy 69.688296 80.395105) (xy 69.656888 80.426458)
+        (xy 69.656888 78.999633) (xy 69.579895 78.813296) (xy 69.437454 78.670606) (xy 69.251251 78.593288) (xy 69.23312 78.593272)
+        (xy 69.281712 78.476251) (xy 69.281888 78.274633) (xy 69.204895 78.088296) (xy 69.062454 77.945606) (xy 69.011669 77.924518)
+        (xy 69.031712 77.876251) (xy 69.031888 77.674633) (xy 68.954895 77.488296) (xy 68.812454 77.345606) (xy 68.626251 77.268288)
+        (xy 68.424633 77.268112) (xy 68.238296 77.345105) (xy 68.095606 77.487546) (xy 68.018288 77.673749) (xy 68.018112 77.875367)
+        (xy 68.095105 78.061704) (xy 68.237546 78.204394) (xy 68.28833 78.225481) (xy 68.268288 78.273749) (xy 68.268112 78.475367)
+        (xy 68.345105 78.661704) (xy 68.487546 78.804394) (xy 68.673749 78.881712) (xy 68.691879 78.881727) (xy 68.643288 78.998749)
+        (xy 68.643227 79.06828) (xy 68.449633 79.068112) (xy 68.263296 79.145105) (xy 68.120606 79.287546) (xy 68.043288 79.473749)
+        (xy 68.043112 79.675367) (xy 68.120105 79.861704) (xy 68.262546 80.004394) (xy 68.448749 80.081712) (xy 68.468259 80.081729)
+        (xy 68.468112 80.250367) (xy 68.545105 80.436704) (xy 68.687546 80.579394) (xy 68.873749 80.656712) (xy 69.075367 80.656888)
+        (xy 69.261704 80.579895) (xy 69.404394 80.437454) (xy 69.481712 80.251251) (xy 69.481888 80.049633) (xy 69.404895 79.863296)
+        (xy 69.262454 79.720606) (xy 69.076251 79.643288) (xy 69.05674 79.64327) (xy 69.056772 79.606719) (xy 69.250367 79.606888)
+        (xy 69.436704 79.529895) (xy 69.579394 79.387454) (xy 69.656712 79.201251) (xy 69.656888 78.999633) (xy 69.656888 80.426458)
+        (xy 69.545606 80.537546) (xy 69.468288 80.723749) (xy 69.468112 80.925367) (xy 69.545105 81.111704) (xy 69.687546 81.254394)
+        (xy 69.873749 81.331712) (xy 70.075367 81.331888) (xy 70.261704 81.254895) (xy 70.404394 81.112454) (xy 70.481712 80.926251)
+        (xy 70.481888 80.724633) (xy 70.481888 85.0706) (xy 69.275 85.0706) (xy 69.139377 85.097577) (xy 69.024401 85.174401)
+        (xy 69.024398 85.174404) (xy 68.981888 85.216913) (xy 68.981888 82.324633) (xy 68.904895 82.138296) (xy 68.762454 81.995606)
+        (xy 68.576251 81.918288) (xy 68.374633 81.918112) (xy 68.188296 81.995105) (xy 68.045606 82.137546) (xy 67.968288 82.323749)
+        (xy 67.968112 82.525367) (xy 68.045105 82.711704) (xy 68.187546 82.854394) (xy 68.373749 82.931712) (xy 68.575367 82.931888)
+        (xy 68.761704 82.854895) (xy 68.904394 82.712454) (xy 68.981712 82.526251) (xy 68.981888 82.324633) (xy 68.981888 85.216913)
+        (xy 68.282652 85.916149) (xy 66.431888 85.912333) (xy 66.431888 82.699633) (xy 66.354895 82.513296) (xy 66.212454 82.370606)
+        (xy 66.026251 82.293288) (xy 65.824633 82.293112) (xy 65.666423 82.358482) (xy 65.731712 82.201251) (xy 65.731888 81.999633)
+        (xy 65.662518 81.831745) (xy 65.825367 81.831888) (xy 66.011704 81.754895) (xy 66.154394 81.612454) (xy 66.231712 81.426251)
+        (xy 66.231888 81.224633) (xy 66.154895 81.038296) (xy 66.012454 80.895606) (xy 65.826251 80.818288) (xy 65.624633 80.818112)
+        (xy 65.438296 80.895105) (xy 65.295606 81.037546) (xy 65.218288 81.223749) (xy 65.218112 81.425367) (xy 65.287481 81.593254)
+        (xy 65.124633 81.593112) (xy 64.938296 81.670105) (xy 64.795606 81.812546) (xy 64.718288 81.998749) (xy 64.718112 82.200367)
+        (xy 64.795105 82.386704) (xy 64.937546 82.529394) (xy 65.123749 82.606712) (xy 65.325367 82.606888) (xy 65.483576 82.541517)
+        (xy 65.418288 82.698749) (xy 65.418112 82.900367) (xy 65.495105 83.086704) (xy 65.637546 83.229394) (xy 65.823749 83.306712)
+        (xy 66.025367 83.306888) (xy 66.211704 83.229895) (xy 66.354394 83.087454) (xy 66.431712 82.901251) (xy 66.431888 82.699633)
+        (xy 66.431888 85.912333) (xy 63.006888 85.905271) (xy 63.006888 83.099633) (xy 62.929895 82.913296) (xy 62.816724 82.799927)
+        (xy 62.929394 82.687454) (xy 63.006712 82.501251) (xy 63.006888 82.299633) (xy 62.929895 82.113296) (xy 62.787454 81.970606)
+        (xy 62.601251 81.893288) (xy 62.399633 81.893112) (xy 62.213296 81.970105) (xy 62.206888 81.976501) (xy 62.206888 81.024633)
+        (xy 62.129895 80.838296) (xy 62.106888 80.815248) (xy 62.106888 77.899633) (xy 62.029895 77.713296) (xy 61.887454 77.570606)
+        (xy 61.701251 77.493288) (xy 61.499633 77.493112) (xy 61.313296 77.570105) (xy 61.170606 77.712546) (xy 61.093288 77.898749)
+        (xy 61.093112 78.100367) (xy 61.170105 78.286704) (xy 61.312546 78.429394) (xy 61.498749 78.506712) (xy 61.700367 78.506888)
+        (xy 61.886704 78.429895) (xy 62.029394 78.287454) (xy 62.106712 78.101251) (xy 62.106888 77.899633) (xy 62.106888 80.815248)
+        (xy 61.987454 80.695606) (xy 61.801251 80.618288) (xy 61.599633 80.618112) (xy 61.413296 80.695105) (xy 61.331888 80.77637)
+        (xy 61.331888 78.674633) (xy 61.254895 78.488296) (xy 61.112454 78.345606) (xy 60.926251 78.268288) (xy 60.724633 78.268112)
+        (xy 60.538296 78.345105) (xy 60.506888 78.376458) (xy 60.506888 77.899633) (xy 60.429895 77.713296) (xy 60.287454 77.570606)
+        (xy 60.101251 77.493288) (xy 59.899633 77.493112) (xy 59.713296 77.570105) (xy 59.570606 77.712546) (xy 59.493288 77.898749)
+        (xy 59.493112 78.100367) (xy 59.570105 78.286704) (xy 59.712546 78.429394) (xy 59.898749 78.506712) (xy 60.100367 78.506888)
+        (xy 60.286704 78.429895) (xy 60.429394 78.287454) (xy 60.506712 78.101251) (xy 60.506888 77.899633) (xy 60.506888 78.376458)
+        (xy 60.395606 78.487546) (xy 60.318288 78.673749) (xy 60.318112 78.875367) (xy 60.395105 79.061704) (xy 60.537546 79.204394)
+        (xy 60.723749 79.281712) (xy 60.925367 79.281888) (xy 61.111704 79.204895) (xy 61.254394 79.062454) (xy 61.331712 78.876251)
+        (xy 61.331888 78.674633) (xy 61.331888 80.77637) (xy 61.270606 80.837546) (xy 61.193288 81.023749) (xy 61.193112 81.225367)
+        (xy 61.270105 81.411704) (xy 61.412546 81.554394) (xy 61.598749 81.631712) (xy 61.800367 81.631888) (xy 61.986704 81.554895)
+        (xy 62.129394 81.412454) (xy 62.206712 81.226251) (xy 62.206888 81.024633) (xy 62.206888 81.976501) (xy 62.070606 82.112546)
+        (xy 61.993288 82.298749) (xy 61.993112 82.500367) (xy 62.070105 82.686704) (xy 62.183275 82.800072) (xy 62.070606 82.912546)
+        (xy 61.993288 83.098749) (xy 61.993112 83.300367) (xy 62.070105 83.486704) (xy 62.212546 83.629394) (xy 62.398749 83.706712)
+        (xy 62.600367 83.706888) (xy 62.786704 83.629895) (xy 62.929394 83.487454) (xy 63.006712 83.301251) (xy 63.006888 83.099633)
+        (xy 63.006888 85.905271) (xy 60.981888 85.901095) (xy 60.981888 81.049633) (xy 60.904895 80.863296) (xy 60.762454 80.720606)
+        (xy 60.576251 80.643288) (xy 60.374633 80.643112) (xy 60.256888 80.691763) (xy 60.256888 79.024633) (xy 60.179895 78.838296)
+        (xy 60.037454 78.695606) (xy 59.851251 78.618288) (xy 59.649633 78.618112) (xy 59.463296 78.695105) (xy 59.320606 78.837546)
+        (xy 59.243288 79.023749) (xy 59.243112 79.225367) (xy 59.320105 79.411704) (xy 59.462546 79.554394) (xy 59.648749 79.631712)
+        (xy 59.850367 79.631888) (xy 60.036704 79.554895) (xy 60.179394 79.412454) (xy 60.256712 79.226251) (xy 60.256888 79.024633)
+        (xy 60.256888 80.691763) (xy 60.188296 80.720105) (xy 60.045606 80.862546) (xy 59.968288 81.048749) (xy 59.968112 81.250367)
+        (xy 60.045105 81.436704) (xy 60.187546 81.579394) (xy 60.373749 81.656712) (xy 60.575367 81.656888) (xy 60.761704 81.579895)
+        (xy 60.904394 81.437454) (xy 60.981712 81.251251) (xy 60.981888 81.049633) (xy 60.981888 85.901095) (xy 60.531888 85.900168)
+        (xy 60.531888 83.474633) (xy 60.454895 83.288296) (xy 60.312454 83.145606) (xy 60.126251 83.068288) (xy 59.924633 83.068112)
+        (xy 59.738296 83.145105) (xy 59.706888 83.176457) (xy 59.706888 81.899633) (xy 59.629895 81.713296) (xy 59.531888 81.615117)
+        (xy 59.531888 80.574633) (xy 59.454895 80.388296) (xy 59.312454 80.245606) (xy 59.126251 80.168288) (xy 58.924633 80.168112)
+        (xy 58.738296 80.245105) (xy 58.595606 80.387546) (xy 58.518288 80.573749) (xy 58.518112 80.775367) (xy 58.595105 80.961704)
+        (xy 58.737546 81.104394) (xy 58.923749 81.181712) (xy 59.125367 81.181888) (xy 59.311704 81.104895) (xy 59.454394 80.962454)
+        (xy 59.531712 80.776251) (xy 59.531888 80.574633) (xy 59.531888 81.615117) (xy 59.487454 81.570606) (xy 59.301251 81.493288)
+        (xy 59.099633 81.493112) (xy 58.913296 81.570105) (xy 58.770606 81.712546) (xy 58.693288 81.898749) (xy 58.693112 82.100367)
+        (xy 58.770105 82.286704) (xy 58.912546 82.429394) (xy 59.098749 82.506712) (xy 59.300367 82.506888) (xy 59.486704 82.429895)
+        (xy 59.629394 82.287454) (xy 59.706712 82.101251) (xy 59.706888 81.899633) (xy 59.706888 83.176457) (xy 59.624905 83.258297)
+        (xy 59.487454 83.120606) (xy 59.301251 83.043288) (xy 59.099633 83.043112) (xy 58.913296 83.120105) (xy 58.906888 83.126501)
+        (xy 58.906888 82.699633) (xy 58.829895 82.513296) (xy 58.687454 82.370606) (xy 58.501251 82.293288) (xy 58.299633 82.293112)
+        (xy 58.113296 82.370105) (xy 57.970606 82.512546) (xy 57.893288 82.698749) (xy 57.893112 82.900367) (xy 57.970105 83.086704)
+        (xy 58.112546 83.229394) (xy 58.298749 83.306712) (xy 58.500367 83.306888) (xy 58.686704 83.229895) (xy 58.829394 83.087454)
+        (xy 58.906712 82.901251) (xy 58.906888 82.699633) (xy 58.906888 83.126501) (xy 58.770606 83.262546) (xy 58.693288 83.448749)
+        (xy 58.693112 83.650367) (xy 58.770105 83.836704) (xy 58.912546 83.979394) (xy 59.098749 84.056712) (xy 59.300367 84.056888)
+        (xy 59.486704 83.979895) (xy 59.600094 83.866702) (xy 59.737546 84.004394) (xy 59.923749 84.081712) (xy 60.125367 84.081888)
+        (xy 60.311704 84.004895) (xy 60.454394 83.862454) (xy 60.531712 83.676251) (xy 60.531888 83.474633) (xy 60.531888 85.900168)
+        (xy 58.106888 85.895168) (xy 58.106888 83.399633) (xy 58.029895 83.213296) (xy 57.887454 83.070606) (xy 57.701251 82.993288)
+        (xy 57.499633 82.993112) (xy 57.313296 83.070105) (xy 57.170606 83.212546) (xy 57.093288 83.398749) (xy 57.093112 83.600367)
+        (xy 57.170105 83.786704) (xy 57.312546 83.929394) (xy 57.498749 84.006712) (xy 57.700367 84.006888) (xy 57.886704 83.929895)
+        (xy 58.029394 83.787454) (xy 58.106712 83.601251) (xy 58.106888 83.399633) (xy 58.106888 85.895168) (xy 54.106888 85.88692)
+        (xy 54.106888 82.699633) (xy 54.029895 82.513296) (xy 53.887454 82.370606) (xy 53.701251 82.293288) (xy 53.499633 82.293112)
+        (xy 53.313296 82.370105) (xy 53.170606 82.512546) (xy 53.093288 82.698749) (xy 53.093112 82.900367) (xy 53.170105 83.086704)
+        (xy 53.226525 83.143222) (xy 53.099633 83.143112) (xy 52.913296 83.220105) (xy 52.799927 83.333275) (xy 52.687454 83.220606)
+        (xy 52.501251 83.143288) (xy 52.299633 83.143112) (xy 52.113296 83.220105) (xy 51.999927 83.333275) (xy 51.887454 83.220606)
+        (xy 51.701251 83.143288) (xy 51.499633 83.143112) (xy 51.313296 83.220105) (xy 51.170606 83.362546) (xy 51.093288 83.548749)
+        (xy 51.093112 83.750367) (xy 51.170105 83.936704) (xy 51.312546 84.079394) (xy 51.498749 84.156712) (xy 51.700367 84.156888)
+        (xy 51.886704 84.079895) (xy 52.000072 83.966724) (xy 52.112546 84.079394) (xy 52.298749 84.156712) (xy 52.500367 84.156888)
+        (xy 52.686704 84.079895) (xy 52.800072 83.966724) (xy 52.912546 84.079394) (xy 53.098749 84.156712) (xy 53.300367 84.156888)
+        (xy 53.486704 84.079895) (xy 53.629394 83.937454) (xy 53.706712 83.751251) (xy 53.706888 83.549633) (xy 53.629895 83.363296)
+        (xy 53.573474 83.306777) (xy 53.700367 83.306888) (xy 53.886704 83.229895) (xy 54.029394 83.087454) (xy 54.106712 82.901251)
+        (xy 54.106888 82.699633) (xy 54.106888 85.88692) (xy 50.906888 85.880322) (xy 50.906888 83.499633) (xy 50.829895 83.313296)
+        (xy 50.687454 83.170606) (xy 50.501251 83.093288) (xy 50.299633 83.093112) (xy 50.113296 83.170105) (xy 49.999927 83.283275)
+        (xy 49.887454 83.170606) (xy 49.701251 83.093288) (xy 49.499633 83.093112) (xy 49.313296 83.170105) (xy 49.170606 83.312546)
+        (xy 49.093288 83.498749) (xy 49.093112 83.700367) (xy 49.170105 83.886704) (xy 49.312546 84.029394) (xy 49.498749 84.106712)
+        (xy 49.700367 84.106888) (xy 49.886704 84.029895) (xy 50.000072 83.916724) (xy 50.112546 84.029394) (xy 50.298749 84.106712)
+        (xy 50.500367 84.106888) (xy 50.686704 84.029895) (xy 50.829394 83.887454) (xy 50.906712 83.701251) (xy 50.906888 83.499633)
+        (xy 50.906888 85.880322) (xy 44.081888 85.86625) (xy 44.081888 82.349633) (xy 44.004895 82.163296) (xy 43.862454 82.020606)
+        (xy 43.676251 81.943288) (xy 43.474633 81.943112) (xy 43.288296 82.020105) (xy 43.145606 82.162546) (xy 43.068288 82.348749)
+        (xy 43.068112 82.550367) (xy 43.145105 82.736704) (xy 43.287546 82.879394) (xy 43.473749 82.956712) (xy 43.675367 82.956888)
+        (xy 43.861704 82.879895) (xy 44.004394 82.737454) (xy 44.081712 82.551251) (xy 44.081888 82.349633) (xy 44.081888 85.86625)
+        (xy 41.684718 85.861308) (xy 41.684718 83.639422) (xy 41.580714 83.387712) (xy 41.388301 83.194963) (xy 41.136773 83.090519)
+        (xy 40.864422 83.090282) (xy 40.612712 83.194286) (xy 40.419963 83.386699) (xy 40.315519 83.638227) (xy 40.315282 83.910578)
+        (xy 40.419286 84.162288) (xy 40.611699 84.355037) (xy 40.863227 84.459481) (xy 41.135578 84.459718) (xy 41.387288 84.355714)
+        (xy 41.580037 84.163301) (xy 41.684481 83.911773) (xy 41.684718 83.639422) (xy 41.684718 85.861308) (xy 37.863857 85.85343)
+        (xy 37.805714 85.712712) (xy 37.613301 85.519963) (xy 37.361773 85.415519) (xy 37.089422 85.415282) (xy 36.837712 85.519286)
+        (xy 36.644963 85.711699) (xy 36.587203 85.850798) (xy 36.274541 85.850154) (xy 36.1282 62.051423) (xy 36.1282 53.474825)
+        (xy 52.590957 53.452426) (xy 52.454587 53.588797) (xy 52.536117 53.670327) (xy 52.421501 53.699008) (xy 52.298502 54.132636)
+        (xy 52.350809 54.580326) (xy 52.421501 54.750992) (xy 52.620954 54.800902) (xy 53.143823 54.278033) (xy 53.196856 54.225)
+        (xy 53.45 53.971856) (xy 53.703144 54.225) (xy 53.756177 54.278033) (xy 54.267856 54.789712) (xy 54.29436 56.777524)
+        (xy 54.287454 56.770606) (xy 54.101251 56.693288) (xy 54.025902 56.693222) (xy 54.025902 55.054046) (xy 53.45 54.478144)
+        (xy 53.196856 54.731288) (xy 52.874098 55.054046) (xy 52.924008 55.253499) (xy 53.357636 55.376498) (xy 53.805326 55.324191)
+        (xy 53.975992 55.253499) (xy 54.025902 55.054046) (xy 54.025902 56.693222) (xy 53.899633 56.693112) (xy 53.713296 56.770105)
+        (xy 53.570606 56.912546) (xy 53.493288 57.098749) (xy 53.493112 57.300367) (xy 53.570105 57.486704) (xy 53.683275 57.600072)
+        (xy 53.570606 57.712546) (xy 53.493288 57.898749) (xy 53.493112 58.100367) (xy 53.570105 58.286704) (xy 53.712546 58.429394)
+        (xy 53.898749 58.506712) (xy 54.100367 58.506888) (xy 54.286704 58.429895) (xy 54.316002 58.400647) (xy 54.318045 58.553918)
+        (xy 54.268288 58.673749) (xy 54.268112 58.875367) (xy 54.324139 59.010964) (xy 54.347064 60.73032) (xy 54.287454 60.670606)
+        (xy 54.101251 60.593288) (xy 53.899633 60.593112) (xy 53.713296 60.670105) (xy 53.631888 60.75137) (xy 53.631888 60.374633)
+        (xy 53.554895 60.188296) (xy 53.412454 60.045606) (xy 53.226251 59.968288) (xy 53.024633 59.968112) (xy 52.906888 60.016763)
+        (xy 52.906888 57.899633) (xy 52.829895 57.713296) (xy 52.687454 57.570606) (xy 52.581888 57.526771) (xy 52.581888 55.199633)
+        (xy 52.504895 55.013296) (xy 52.362454 54.870606) (xy 52.176251 54.793288) (xy 51.974633 54.793112) (xy 51.788296 54.870105)
+        (xy 51.645606 55.012546) (xy 51.568288 55.198749) (xy 51.568112 55.400367) (xy 51.645105 55.586704) (xy 51.787546 55.729394)
+        (xy 51.973749 55.806712) (xy 52.175367 55.806888) (xy 52.361704 55.729895) (xy 52.504394 55.587454) (xy 52.581712 55.401251)
+        (xy 52.581888 55.199633) (xy 52.581888 57.526771) (xy 52.501251 57.493288) (xy 52.299633 57.493112) (xy 52.113296 57.570105)
+        (xy 51.999927 57.683275) (xy 51.887454 57.570606) (xy 51.706888 57.495628) (xy 51.706888 56.249633) (xy 51.629895 56.063296)
+        (xy 51.487454 55.920606) (xy 51.301251 55.843288) (xy 51.099633 55.843112) (xy 50.913296 55.920105) (xy 50.799927 56.033275)
+        (xy 50.687454 55.920606) (xy 50.501251 55.843288) (xy 50.299633 55.843112) (xy 50.113296 55.920105) (xy 49.999927 56.033275)
+        (xy 49.887454 55.920606) (xy 49.701251 55.843288) (xy 49.499633 55.843112) (xy 49.313296 55.920105) (xy 49.263554 55.969759)
+        (xy 49.229895 55.888296) (xy 49.087454 55.745606) (xy 48.901251 55.668288) (xy 48.699633 55.668112) (xy 48.513296 55.745105)
+        (xy 48.370606 55.887546) (xy 48.336483 55.969721) (xy 48.287454 55.920606) (xy 48.101251 55.843288) (xy 47.899633 55.843112)
+        (xy 47.713296 55.920105) (xy 47.570606 56.062546) (xy 47.516888 56.191913) (xy 47.516888 54.979633) (xy 47.439895 54.793296)
+        (xy 47.297454 54.650606) (xy 47.111251 54.573288) (xy 46.909633 54.573112) (xy 46.723296 54.650105) (xy 46.580606 54.792546)
+        (xy 46.503288 54.978749) (xy 46.503112 55.180367) (xy 46.580105 55.366704) (xy 46.722546 55.509394) (xy 46.908749 55.586712)
+        (xy 47.110367 55.586888) (xy 47.296704 55.509895) (xy 47.439394 55.367454) (xy 47.516712 55.181251) (xy 47.516888 54.979633)
+        (xy 47.516888 56.191913) (xy 47.493288 56.248749) (xy 47.493112 56.450367) (xy 47.570105 56.636704) (xy 47.712546 56.779394)
+        (xy 47.898749 56.856712) (xy 48.100367 56.856888) (xy 48.286704 56.779895) (xy 48.429394 56.637454) (xy 48.463516 56.555278)
+        (xy 48.512546 56.604394) (xy 48.698749 56.681712) (xy 48.900367 56.681888) (xy 49.086704 56.604895) (xy 49.136445 56.55524)
+        (xy 49.170105 56.636704) (xy 49.312546 56.779394) (xy 49.498749 56.856712) (xy 49.700367 56.856888) (xy 49.886704 56.779895)
+        (xy 50.000072 56.666724) (xy 50.112546 56.779394) (xy 50.298749 56.856712) (xy 50.426425 56.856823) (xy 50.370606 56.912546)
+        (xy 50.293288 57.098749) (xy 50.293112 57.300367) (xy 50.370105 57.486704) (xy 50.512546 57.629394) (xy 50.698749 57.706712)
+        (xy 50.900367 57.706888) (xy 51.086704 57.629895) (xy 51.229394 57.487454) (xy 51.306712 57.301251) (xy 51.306888 57.099633)
+        (xy 51.229895 56.913296) (xy 51.173474 56.856777) (xy 51.300367 56.856888) (xy 51.486704 56.779895) (xy 51.629394 56.637454)
+        (xy 51.706712 56.451251) (xy 51.706888 56.249633) (xy 51.706888 57.495628) (xy 51.701251 57.493288) (xy 51.499633 57.493112)
+        (xy 51.313296 57.570105) (xy 51.170606 57.712546) (xy 51.093288 57.898749) (xy 51.093112 58.100367) (xy 51.170105 58.286704)
+        (xy 51.312546 58.429394) (xy 51.498749 58.506712) (xy 51.700367 58.506888) (xy 51.886704 58.429895) (xy 52.000072 58.316724)
+        (xy 52.112546 58.429394) (xy 52.298749 58.506712) (xy 52.500367 58.506888) (xy 52.686704 58.429895) (xy 52.829394 58.287454)
+        (xy 52.906712 58.101251) (xy 52.906888 57.899633) (xy 52.906888 60.016763) (xy 52.838296 60.045105) (xy 52.695606 60.187546)
+        (xy 52.618288 60.373749) (xy 52.618112 60.575367) (xy 52.695105 60.761704) (xy 52.837546 60.904394) (xy 53.023749 60.981712)
+        (xy 53.225367 60.981888) (xy 53.411704 60.904895) (xy 53.554394 60.762454) (xy 53.631712 60.576251) (xy 53.631888 60.374633)
+        (xy 53.631888 60.75137) (xy 53.570606 60.812546) (xy 53.493288 60.998749) (xy 53.493112 61.200367) (xy 53.570105 61.386704)
+        (xy 53.712546 61.529394) (xy 53.898749 61.606712) (xy 54.100367 61.606888) (xy 54.286704 61.529895) (xy 54.356792 61.459928)
+        (xy 54.360485 61.736918) (xy 54.293288 61.898749) (xy 54.293112 62.100367) (xy 54.367739 62.28098) (xy 54.373999 62.750408)
+        (xy 53.70684 62.753994) (xy 53.706888 62.699633) (xy 53.629895 62.513296) (xy 53.516724 62.399927) (xy 53.629394 62.287454)
+        (xy 53.706712 62.101251) (xy 53.706888 61.899633) (xy 53.629895 61.713296) (xy 53.487454 61.570606) (xy 53.301251 61.493288)
+        (xy 53.099633 61.493112) (xy 52.913296 61.570105) (xy 52.799927 61.683275) (xy 52.687454 61.570606) (xy 52.501251 61.493288)
+        (xy 52.299633 61.493112) (xy 52.113296 61.570105) (xy 51.970606 61.712546) (xy 51.893288 61.898749) (xy 51.893112 62.100367)
+        (xy 51.970105 62.286704) (xy 52.083275 62.400072) (xy 51.970606 62.512546) (xy 51.893288 62.698749) (xy 51.893231 62.763745)
+        (xy 48.931888 62.779665) (xy 48.931888 61.874633) (xy 48.854895 61.688296) (xy 48.712454 61.545606) (xy 48.526251 61.468288)
+        (xy 48.324633 61.468112) (xy 48.138296 61.545105) (xy 47.995606 61.687546) (xy 47.918288 61.873749) (xy 47.918112 62.075367)
+        (xy 47.995105 62.261704) (xy 48.137546 62.404394) (xy 48.323749 62.481712) (xy 48.525367 62.481888) (xy 48.711704 62.404895)
+        (xy 48.854394 62.262454) (xy 48.931712 62.076251) (xy 48.931888 61.874633) (xy 48.931888 62.779665) (xy 46.681888 62.791762)
+        (xy 46.681888 60.299633) (xy 46.604895 60.113296) (xy 46.556888 60.065205) (xy 46.556888 56.749633) (xy 46.479895 56.563296)
+        (xy 46.337454 56.420606) (xy 46.151251 56.343288) (xy 46.081888 56.343227) (xy 46.081888 55.499633) (xy 46.004895 55.313296)
+        (xy 45.862454 55.170606) (xy 45.676251 55.093288) (xy 45.474633 55.093112) (xy 45.288296 55.170105) (xy 45.145606 55.312546)
+        (xy 45.068288 55.498749) (xy 45.068112 55.700367) (xy 45.145105 55.886704) (xy 45.287546 56.029394) (xy 45.473749 56.106712)
+        (xy 45.675367 56.106888) (xy 45.861704 56.029895) (xy 46.004394 55.887454) (xy 46.081712 55.701251) (xy 46.081888 55.499633)
+        (xy 46.081888 56.343227) (xy 45.949633 56.343112) (xy 45.763296 56.420105) (xy 45.620606 56.562546) (xy 45.543288 56.748749)
+        (xy 45.543112 56.950367) (xy 45.620105 57.136704) (xy 45.762546 57.279394) (xy 45.948749 57.356712) (xy 46.150367 57.356888)
+        (xy 46.336704 57.279895) (xy 46.479394 57.137454) (xy 46.556712 56.951251) (xy 46.556888 56.749633) (xy 46.556888 60.065205)
+        (xy 46.462454 59.970606) (xy 46.276251 59.893288) (xy 46.074633 59.893112) (xy 45.888296 59.970105) (xy 45.745606 60.112546)
+        (xy 45.668288 60.298749) (xy 45.668112 60.500367) (xy 45.745105 60.686704) (xy 45.887546 60.829394) (xy 46.073749 60.906712)
+        (xy 46.275367 60.906888) (xy 46.461704 60.829895) (xy 46.604394 60.687454) (xy 46.681712 60.501251) (xy 46.681888 60.299633)
+        (xy 46.681888 62.791762) (xy 45.406888 62.798617) (xy 45.406888 56.559633) (xy 45.329895 56.373296) (xy 45.187454 56.230606)
+        (xy 45.001251 56.153288) (xy 44.799633 56.153112) (xy 44.613296 56.230105) (xy 44.470606 56.372546) (xy 44.393288 56.558749)
+        (xy 44.393112 56.760367) (xy 44.470105 56.946704) (xy 44.612546 57.089394) (xy 44.798749 57.166712) (xy 45.000367 57.166888)
+        (xy 45.186704 57.089895) (xy 45.329394 56.947454) (xy 45.406712 56.761251) (xy 45.406888 56.559633) (xy 45.406888 62.798617)
+        (xy 44.927393 62.801195) (xy 44.850599 62.724401) (xy 44.735623 62.647577) (xy 44.6 62.620599) (xy 44.599994 62.6206)
+        (xy 44.496888 62.6206) (xy 44.496888 61.719633) (xy 44.419895 61.533296) (xy 44.277454 61.390606) (xy 44.091251 61.313288)
+        (xy 43.931888 61.313148) (xy 43.931888 58.674633) (xy 43.854895 58.488296) (xy 43.781888 58.415161) (xy 43.781888 56.799633)
+        (xy 43.704895 56.613296) (xy 43.562454 56.470606) (xy 43.376251 56.393288) (xy 43.174633 56.393112) (xy 42.988296 56.470105)
+        (xy 42.845606 56.612546) (xy 42.768288 56.798749) (xy 42.768112 57.000367) (xy 42.845105 57.186704) (xy 42.987546 57.329394)
+        (xy 43.173749 57.406712) (xy 43.375367 57.406888) (xy 43.561704 57.329895) (xy 43.704394 57.187454) (xy 43.781712 57.001251)
+        (xy 43.781888 56.799633) (xy 43.781888 58.415161) (xy 43.712454 58.345606) (xy 43.526251 58.268288) (xy 43.324633 58.268112)
+        (xy 43.146888 58.341554) (xy 43.146888 57.849633) (xy 43.069895 57.663296) (xy 42.927454 57.520606) (xy 42.741251 57.443288)
+        (xy 42.539633 57.443112) (xy 42.353296 57.520105) (xy 42.210606 57.662546) (xy 42.133288 57.848749) (xy 42.133241 57.902333)
+        (xy 42.051251 57.868288) (xy 41.849633 57.868112) (xy 41.756888 57.906433) (xy 41.756888 55.929633) (xy 41.679895 55.743296)
+        (xy 41.537454 55.600606) (xy 41.351251 55.523288) (xy 41.149633 55.523112) (xy 40.963296 55.600105) (xy 40.820606 55.742546)
+        (xy 40.743288 55.928749) (xy 40.743112 56.130367) (xy 40.820105 56.316704) (xy 40.962546 56.459394) (xy 41.148749 56.536712)
+        (xy 41.350367 56.536888) (xy 41.536704 56.459895) (xy 41.679394 56.317454) (xy 41.756712 56.131251) (xy 41.756888 55.929633)
+        (xy 41.756888 57.906433) (xy 41.663296 57.945105) (xy 41.520606 58.087546) (xy 41.443288 58.273749) (xy 41.443112 58.475367)
+        (xy 41.520105 58.661704) (xy 41.662546 58.804394) (xy 41.848749 58.881712) (xy 42.050367 58.881888) (xy 42.236704 58.804895)
+        (xy 42.379394 58.662454) (xy 42.456712 58.476251) (xy 42.456758 58.422666) (xy 42.538749 58.456712) (xy 42.740367 58.456888)
+        (xy 42.926704 58.379895) (xy 43.069394 58.237454) (xy 43.146712 58.051251) (xy 43.146888 57.849633) (xy 43.146888 58.341554)
+        (xy 43.138296 58.345105) (xy 42.995606 58.487546) (xy 42.918288 58.673749) (xy 42.918112 58.875367) (xy 42.995105 59.061704)
+        (xy 43.137546 59.204394) (xy 43.323749 59.281712) (xy 43.525367 59.281888) (xy 43.711704 59.204895) (xy 43.854394 59.062454)
+        (xy 43.931712 58.876251) (xy 43.931888 58.674633) (xy 43.931888 61.313148) (xy 43.889633 61.313112) (xy 43.703296 61.390105)
+        (xy 43.560606 61.532546) (xy 43.483288 61.718749) (xy 43.483112 61.920367) (xy 43.560105 62.106704) (xy 43.702546 62.249394)
+        (xy 43.888749 62.326712) (xy 44.090367 62.326888) (xy 44.276704 62.249895) (xy 44.419394 62.107454) (xy 44.496712 61.921251)
+        (xy 44.496888 61.719633) (xy 44.496888 62.6206) (xy 43.186888 62.6206) (xy 43.186888 60.299633) (xy 43.109895 60.113296)
+        (xy 42.967454 59.970606) (xy 42.781251 59.893288) (xy 42.579633 59.893112) (xy 42.484242 59.932526) (xy 42.529394 59.887454)
+        (xy 42.606712 59.701251) (xy 42.606888 59.499633) (xy 42.529895 59.313296) (xy 42.387454 59.170606) (xy 42.201251 59.093288)
+        (xy 41.999633 59.093112) (xy 41.813296 59.170105) (xy 41.670606 59.312546) (xy 41.593288 59.498749) (xy 41.593112 59.700367)
+        (xy 41.670105 59.886704) (xy 41.812546 60.029394) (xy 41.998749 60.106712) (xy 42.200367 60.106888) (xy 42.295757 60.067473)
+        (xy 42.250606 60.112546) (xy 42.173288 60.298749) (xy 42.173112 60.500367) (xy 42.250105 60.686704) (xy 42.392546 60.829394)
+        (xy 42.578749 60.906712) (xy 42.780367 60.906888) (xy 42.966704 60.829895) (xy 43.109394 60.687454) (xy 43.186712 60.501251)
+        (xy 43.186888 60.299633) (xy 43.186888 62.6206) (xy 42.925 62.6206) (xy 42.875 62.6206) (xy 42.525 62.6206)
+        (xy 42.389377 62.647577) (xy 42.274401 62.724401) (xy 42.274398 62.724404) (xy 42.182851 62.815951) (xy 40.8544 62.823093)
+        (xy 40.8544 62.362317) (xy 40.929394 62.287454) (xy 41.006712 62.101251) (xy 41.006888 61.899633) (xy 40.929895 61.713296)
+        (xy 40.787454 61.570606) (xy 40.601251 61.493288) (xy 40.399633 61.493112) (xy 40.213296 61.570105) (xy 40.070606 61.712546)
+        (xy 39.993288 61.898749) (xy 39.993112 62.100367) (xy 40.070105 62.286704) (xy 40.1456 62.36233) (xy 40.1456 62.522084)
+        (xy 40.076251 62.493288) (xy 39.874633 62.493112) (xy 39.688296 62.570105) (xy 39.612669 62.6456) (xy 39.575 62.6456)
+        (xy 39.574999 62.6456) (xy 39.548022 62.650966) (xy 39.439377 62.672577) (xy 39.324401 62.749401) (xy 39.324398 62.749404)
+        (xy 38.974401 63.099401) (xy 38.897577 63.214377) (xy 38.870599 63.35) (xy 38.8706 63.350005) (xy 38.8706 64.125)
+        (xy 38.8706 72.924994) (xy 38.870599 72.925) (xy 38.897577 73.060623) (xy 38.974401 73.175599) (xy 39.198802 73.4)
+        (xy 38.774401 73.824401) (xy 38.697577 73.939377) (xy 38.670599 74.075) (xy 38.6706 74.075005) (xy 38.6706 76.1)
+        (xy 38.6706 76.249994) (xy 38.670599 76.25) (xy 38.697577 76.385623) (xy 38.774401 76.500599) (xy 39.7206 77.446797)
+        (xy 39.7206 79.074994) (xy 39.720599 79.075) (xy 39.747577 79.210623) (xy 39.794098 79.280247) (xy 39.697084 81.799283)
+        (xy 46.549806 81.862636) (xy 46.493288 81.998749) (xy 46.493112 82.200367) (xy 46.570105 82.386704) (xy 46.712546 82.529394)
+        (xy 46.898749 82.606712) (xy 47.100367 82.606888) (xy 47.286704 82.529895) (xy 47.429394 82.387454) (xy 47.506712 82.201251)
+        (xy 47.506888 81.999633) (xy 47.453734 81.870992) (xy 48.417294 81.879901) (xy 48.420105 81.886704) (xy 48.562546 82.029394)
+        (xy 48.748749 82.106712) (xy 48.950367 82.106888) (xy 49.136704 82.029895) (xy 49.278979 81.887867) (xy 51.493279 81.908338)
+        (xy 51.493112 82.100367) (xy 51.570105 82.286704) (xy 51.712546 82.429394) (xy 51.898749 82.506712) (xy 52.100367 82.506888)
+        (xy 52.286704 82.429895) (xy 52.429394 82.287454) (xy 52.506712 82.101251) (xy 52.506872 81.917709) (xy 53.89326 81.930526)
+        (xy 53.893112 82.100367) (xy 53.970105 82.286704) (xy 54.112546 82.429394) (xy 54.298749 82.506712) (xy 54.500367 82.506888)
+        (xy 54.686704 82.429895) (xy 54.829394 82.287454) (xy 54.906712 82.101251) (xy 54.906852 81.939896) (xy 56.074216 81.950689)
+        (xy 56.079264 81.466054) (xy 56.112546 81.499394) (xy 56.298749 81.576712) (xy 56.500367 81.576888) (xy 56.510833 81.572563)
+        (xy 56.370606 81.712546) (xy 56.293288 81.898749) (xy 56.293112 82.100367) (xy 56.370105 82.286704) (xy 56.483275 82.400072)
+        (xy 56.399927 82.483275) (xy 56.287454 82.370606) (xy 56.101251 82.293288) (xy 55.899633 82.293112) (xy 55.713296 82.370105)
+        (xy 55.599927 82.483275) (xy 55.487454 82.370606) (xy 55.301251 82.293288) (xy 55.099633 82.293112) (xy 54.913296 82.370105)
+        (xy 54.770606 82.512546) (xy 54.693288 82.698749) (xy 54.693112 82.900367) (xy 54.770105 83.086704) (xy 54.912546 83.229394)
+        (xy 55.098749 83.306712) (xy 55.300367 83.306888) (xy 55.486704 83.229895) (xy 55.600072 83.116724) (xy 55.683254 83.20005)
+        (xy 55.595606 83.287546) (xy 55.518288 83.473749) (xy 55.518112 83.675367) (xy 55.595105 83.861704) (xy 55.737546 84.004394)
+        (xy 55.923749 84.081712) (xy 56.125367 84.081888) (xy 56.311704 84.004895) (xy 56.454394 83.862454) (xy 56.531712 83.676251)
+        (xy 56.531888 83.474633) (xy 56.454895 83.288296) (xy 56.341745 83.174949) (xy 56.400072 83.116724) (xy 56.512546 83.229394)
+        (xy 56.698749 83.306712) (xy 56.900367 83.306888) (xy 57.086704 83.229895) (xy 57.229394 83.087454) (xy 57.306712 82.901251)
+        (xy 57.306888 82.699633) (xy 57.229895 82.513296) (xy 57.116724 82.399927) (xy 57.229394 82.287454) (xy 57.306712 82.101251)
+        (xy 57.306888 81.899633) (xy 57.229895 81.713296) (xy 57.198452 81.681799) (xy 57.300367 81.681888) (xy 57.486704 81.604895)
+        (xy 57.629394 81.462454) (xy 57.706712 81.276251) (xy 57.706888 81.074633) (xy 57.688623 81.030429) (xy 57.712546 81.054394)
+        (xy 57.898749 81.131712) (xy 58.100367 81.131888) (xy 58.286704 81.054895) (xy 58.429394 80.912454) (xy 58.506712 80.726251)
+        (xy 58.506888 80.524633) (xy 58.429895 80.338296) (xy 58.287454 80.195606) (xy 58.101251 80.118288) (xy 57.899633 80.118112)
+        (xy 57.713296 80.195105) (xy 57.570606 80.337546) (xy 57.493288 80.523749) (xy 57.493112 80.725367) (xy 57.511376 80.76957)
+        (xy 57.487454 80.745606) (xy 57.301251 80.668288) (xy 57.099633 80.668112) (xy 56.913296 80.745105) (xy 56.843077 80.8152)
+        (xy 56.829895 80.783296) (xy 56.687454 80.640606) (xy 56.501251 80.563288) (xy 56.299633 80.563112) (xy 56.113296 80.640105)
+        (xy 56.0876 80.665755) (xy 56.11013 78.502853) (xy 56.286704 78.429895) (xy 56.429394 78.287454) (xy 56.506712 78.101251)
+        (xy 56.506888 77.899633) (xy 56.429895 77.713296) (xy 56.316724 77.599927) (xy 56.429394 77.487454) (xy 56.506712 77.301251)
+        (xy 56.506888 77.099633) (xy 56.429895 76.913296) (xy 56.287454 76.770606) (xy 56.12886 76.704752) (xy 56.138214 75.806811)
+        (xy 56.225367 75.806888) (xy 56.411704 75.729895) (xy 56.443745 75.697909) (xy 56.470105 75.761704) (xy 56.612546 75.904394)
+        (xy 56.798749 75.981712) (xy 56.851535 75.981758) (xy 56.770606 76.062546) (xy 56.693288 76.248749) (xy 56.693112 76.450367)
+        (xy 56.770105 76.636704) (xy 56.912546 76.779394) (xy 57.098749 76.856712) (xy 57.226425 76.856823) (xy 57.170606 76.912546)
+        (xy 57.093288 77.098749) (xy 57.093112 77.300367) (xy 57.170105 77.486704) (xy 57.283275 77.600072) (xy 57.170606 77.712546)
+        (xy 57.093288 77.898749) (xy 57.093112 78.100367) (xy 57.170105 78.286704) (xy 57.312546 78.429394) (xy 57.422417 78.475016)
+        (xy 57.313296 78.520105) (xy 57.170606 78.662546) (xy 57.093288 78.848749) (xy 57.093112 79.050367) (xy 57.170105 79.236704)
+        (xy 57.312546 79.379394) (xy 57.498749 79.456712) (xy 57.700367 79.456888) (xy 57.886704 79.379895) (xy 58.029394 79.237454)
+        (xy 58.106712 79.051251) (xy 58.106888 78.849633) (xy 58.029895 78.663296) (xy 57.887454 78.520606) (xy 57.777582 78.474983)
+        (xy 57.886704 78.429895) (xy 58.000072 78.316724) (xy 58.112546 78.429394) (xy 58.298749 78.506712) (xy 58.500367 78.506888)
+        (xy 58.686704 78.429895) (xy 58.829394 78.287454) (xy 58.906712 78.101251) (xy 58.906888 77.899633) (xy 58.829895 77.713296)
+        (xy 58.687454 77.570606) (xy 58.501251 77.493288) (xy 58.299633 77.493112) (xy 58.113296 77.570105) (xy 57.999927 77.683275)
+        (xy 57.916724 77.599927) (xy 58.029394 77.487454) (xy 58.106712 77.301251) (xy 58.106888 77.099633) (xy 58.029895 76.913296)
+        (xy 57.948496 76.831755) (xy 58.100367 76.831888) (xy 58.286704 76.754895) (xy 58.387572 76.654202) (xy 58.512546 76.779394)
+        (xy 58.698749 76.856712) (xy 58.900367 76.856888) (xy 59.086704 76.779895) (xy 59.200072 76.666724) (xy 59.312546 76.779394)
+        (xy 59.498749 76.856712) (xy 59.700367 76.856888) (xy 59.886704 76.779895) (xy 60.000072 76.666724) (xy 60.112546 76.779394)
+        (xy 60.298749 76.856712) (xy 60.500367 76.856888) (xy 60.686704 76.779895) (xy 60.800072 76.666724) (xy 60.912546 76.779394)
+        (xy 61.098749 76.856712) (xy 61.300367 76.856888) (xy 61.486704 76.779895) (xy 61.600072 76.666724) (xy 61.712546 76.779394)
+        (xy 61.898749 76.856712) (xy 62.100367 76.856888) (xy 62.286704 76.779895) (xy 62.429394 76.637454) (xy 62.506712 76.451251)
+        (xy 62.506888 76.249633) (xy 62.429895 76.063296) (xy 62.287454 75.920606) (xy 62.101251 75.843288) (xy 61.899633 75.843112)
+        (xy 61.713296 75.920105) (xy 61.599927 76.033275) (xy 61.487454 75.920606) (xy 61.301251 75.843288) (xy 61.099633 75.843112)
+        (xy 60.913296 75.920105) (xy 60.799927 76.033275) (xy 60.687454 75.920606) (xy 60.501251 75.843288) (xy 60.299633 75.843112)
+        (xy 60.113296 75.920105) (xy 59.999927 76.033275) (xy 59.887454 75.920606) (xy 59.701251 75.843288) (xy 59.499633 75.843112)
+        (xy 59.313296 75.920105) (xy 59.199927 76.033275) (xy 59.087454 75.920606) (xy 58.906738 75.845566) (xy 58.906888 75.674633)
+        (xy 58.829895 75.488296) (xy 58.687454 75.345606) (xy 58.501251 75.268288) (xy 58.299633 75.268112) (xy 58.113296 75.345105)
+        (xy 57.970606 75.487546) (xy 57.893288 75.673749) (xy 57.893159 75.820786) (xy 57.713296 75.895105) (xy 57.587427 76.020754)
+        (xy 57.487454 75.920606) (xy 57.301251 75.843288) (xy 57.248464 75.843241) (xy 57.329394 75.762454) (xy 57.406712 75.576251)
+        (xy 57.406888 75.374633) (xy 57.329895 75.188296) (xy 57.187454 75.045606) (xy 57.001251 74.968288) (xy 56.894392 74.968194)
+        (xy 56.705598 74.7794) (xy 58.181465 74.7794) (xy 69.446695 74.936589) (xy 69.370606 75.012546) (xy 69.293288 75.198749)
+        (xy 69.293112 75.400367) (xy 69.370105 75.586704) (xy 69.512546 75.729394) (xy 69.698749 75.806712) (xy 69.900367 75.806888)
+        (xy 70.086704 75.729895) (xy 70.165698 75.651038) (xy 70.170105 75.661704) (xy 70.312546 75.804394) (xy 70.498749 75.881712)
+        (xy 70.700367 75.881888) (xy 70.886704 75.804895) (xy 71.029394 75.662454) (xy 71.106712 75.476251) (xy 71.106888 75.274633)
+        (xy 71.029895 75.088296) (xy 70.898677 74.956849) (xy 72.127457 74.973995) (xy 72.454984 84.668817)
+      )
+    )
+  )
+)
diff --git a/SBC/netholi/netholi.net b/SBC/netholi/netholi.net
new file mode 100644
index 0000000..9b09453
--- /dev/null
+++ b/SBC/netholi/netholi.net
@@ -0,0 +1,4020 @@
+(export (version D)
+  (design
+    (source /home/ajith/Kicad-projects/GIT/netholi/netholi.sch)
+    (date "Sun 09 Jun 2013 07:18:04 AM IST")
+    (tool "eeschema (2012-nov-02)-testing"))
+  (components
+    (comp (ref U7)
+      (value AXP209)
+      (libsource (lib A10-plus) (part AXP209))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 514C3FF4))
+    (comp (ref R27)
+      (value 2k2)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 514C634B))
+    (comp (ref R4)
+      (value 240)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 514C6175))
+    (comp (ref R10)
+      (value 240)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 514C617B))
+    (comp (ref U8)
+      (value 524P)
+      (footprint ~)
+      (datasheet ~)
+      (libsource (lib A10-plus) (part 524P))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 514D0FDE))
+    (comp (ref U6)
+      (value 524P)
+      (footprint ~)
+      (datasheet ~)
+      (libsource (lib A10-plus) (part 524P))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 514D11F4))
+    (comp (ref U4)
+      (value 524P)
+      (footprint ~)
+      (datasheet ~)
+      (libsource (lib A10-plus) (part 524P))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 514D120E))
+    (comp (ref U2)
+      (value 4GBDDR3)
+      (footprint ~)
+      (datasheet _)
+      (libsource (lib A10-plus) (part 4GBDDR3))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 514D42E8))
+    (comp (ref L3)
+      (value 4.7uH at 1.5A)
+      (libsource (lib device) (part INDUCTOR))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 514EC5DA))
+    (comp (ref L6)
+      (value 4.7uH at 1.5A)
+      (libsource (lib device) (part INDUCTOR))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 514ECA56))
+    (comp (ref P1)
+      (value "CONN30 - LCD")
+      (libsource (lib conn) (part CONN_30))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5150C360))
+    (comp (ref X2)
+      (value 24MHz)
+      (libsource (lib device) (part CRYSTAL))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51523481))
+    (comp (ref C4)
+      (value 18pF)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51523944))
+    (comp (ref C3)
+      (value 18pF)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51523965))
+    (comp (ref R2)
+      (value 5k1)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5152B195))
+    (comp (ref R30)
+      (value 240)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5152CC57))
+    (comp (ref R20)
+      (value 2k2)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5152D179))
+    (comp (ref R21)
+      (value 2k2)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5152D186))
+    (comp (ref C42)
+      (value 1uF)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5152E923))
+    (comp (ref SW1)
+      (value SW_PUSH)
+      (libsource (lib device) (part SW_PUSH))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5152F670))
+    (comp (ref R28)
+      (value 33)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5152F676))
+    (comp (ref C41)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5152F82C))
+    (comp (ref R31)
+      (value 2k2)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51531275))
+    (comp (ref U5)
+      (value SY8008C)
+      (footprint MODULE)
+      (datasheet DOCUMENTATION)
+      (libsource (lib A10-plus) (part SY8008C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 514F0596))
+    (comp (ref U9)
+      (value SY8008C)
+      (footprint MODULE)
+      (datasheet DOCUMENTATION)
+      (libsource (lib A10-plus) (part SY8008C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 514F0D71))
+    (comp (ref R26)
+      (value 2k2)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 514F4E43))
+    (comp (ref R11)
+      (value 240)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 514FBC8D))
+    (comp (ref U1)
+      (value 4GBDDR3)
+      (footprint ~)
+      (datasheet _)
+      (libsource (lib A10-plus) (part 4GBDDR3))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 514FBC93))
+    (comp (ref C18)
+      (value 1uF)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51501971))
+    (comp (ref R23)
+      (value 200k)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51501E95))
+    (comp (ref R22)
+      (value 15k)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5150FD34))
+    (comp (ref L2)
+      (value 4.7uH/3A)
+      (libsource (lib device) (part INDUCTOR))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5150FD3C))
+    (comp (ref R24)
+      (value 10k)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5150FD4C))
+    (comp (ref C24)
+      (value 22pF)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 515105DA))
+    (comp (ref R25)
+      (value 15k)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5151396F))
+    (comp (ref L4)
+      (value 4.7uH/2A)
+      (libsource (lib device) (part INDUCTOR))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51513975))
+    (comp (ref R29)
+      (value 3.3k)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5151397B))
+    (comp (ref C40)
+      (value 22pF)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51513983))
+    (comp (ref J3)
+      (value DUSB)
+      (footprint ~)
+      (datasheet ~)
+      (libsource (lib A10-plus) (part DUSB))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5151A44D))
+    (comp (ref C16)
+      (value 1uF)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5151C2DB))
+    (comp (ref P2)
+      (value CONN_5)
+      (libsource (lib conn) (part CONN_5))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51526492))
+    (comp (ref C21)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5153CE6B))
+    (comp (ref C22)
+      (value 10u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5153CE77))
+    (comp (ref C36)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5153E245))
+    (comp (ref C38)
+      (value 10u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5153E24B))
+    (comp (ref C37)
+      (value 10u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5153E251))
+    (comp (ref C29)
+      (value 4.7u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51541706))
+    (comp (ref C35)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5154170C))
+    (comp (ref C33)
+      (value 220u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 515489AE))
+    (comp (ref C34)
+      (value 10u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 515489B4))
+    (comp (ref C46)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5154AF6B))
+    (comp (ref C47)
+      (value 10u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5154AF77))
+    (comp (ref C48)
+      (value 10u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5154AF7D))
+    (comp (ref C10)
+      (value 10u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5154D3CE))
+    (comp (ref C11)
+      (value 10u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5154DEB6))
+    (comp (ref C12)
+      (value 10u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5154DEC1))
+    (comp (ref C8)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5154EB96))
+    (comp (ref C9)
+      (value 10u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5154EECF))
+    (comp (ref C13)
+      (value 10u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51551007))
+    (comp (ref C14)
+      (value 10u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5155100D))
+    (comp (ref C15)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 515517D9))
+    (comp (ref C19)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51552413))
+    (comp (ref C20)
+      (value 4.7u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51552419))
+    (comp (ref C26)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51552580))
+    (comp (ref C27)
+      (value 4.7u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51552586))
+    (comp (ref C28)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51554668))
+    (comp (ref C31)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51554E46))
+    (comp (ref C32)
+      (value 1u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51554E4C))
+    (comp (ref C17)
+      (value 10u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5155A734))
+    (comp (ref C30)
+      (value 10u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5155A749))
+    (comp (ref C5)
+      (value 22pF)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5155B764))
+    (comp (ref C6)
+      (value 22pF)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5155B76A))
+    (comp (ref C43)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5155CB6D))
+    (comp (ref C44)
+      (value 10u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5155CB79))
+    (comp (ref C45)
+      (value 10u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5155CB7F))
+    (comp (ref X1)
+      (value 32.768k)
+      (libsource (lib device) (part CRYSTAL))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5154375B))
+    (comp (ref C1)
+      (value 18pF)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51543761))
+    (comp (ref C2)
+      (value 18pF)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51543767))
+    (comp (ref R1)
+      (value 10M)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5154376F))
+    (comp (ref R18)
+      (value 22)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5154676A))
+    (comp (ref R19)
+      (value 22)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51546777))
+    (comp (ref R12)
+      (value 47K)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5154C74A))
+    (comp (ref R3)
+      (value 33)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5154C786))
+    (comp (ref R5)
+      (value 33)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5154E138))
+    (comp (ref R6)
+      (value 33)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5154E7E5))
+    (comp (ref R7)
+      (value 33)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5154F007))
+    (comp (ref R8)
+      (value 33)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5154F826))
+    (comp (ref R9)
+      (value 33)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51550049))
+    (comp (ref C39)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51558187))
+    (comp (ref C7)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51558874))
+    (comp (ref R13)
+      (value 47K)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51559D15))
+    (comp (ref R14)
+      (value 47K)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51559D1B))
+    (comp (ref R15)
+      (value 47K)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51559D21))
+    (comp (ref R16)
+      (value 47K)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51559D27))
+    (comp (ref R17)
+      (value 47K)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51559D2D))
+    (comp (ref J2)
+      (value DB15)
+      (libsource (lib conn) (part DB15))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5157D108))
+    (comp (ref P3)
+      (value CONN_8)
+      (libsource (lib conn) (part CONN_8))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51590E78))
+    (comp (ref U10)
+      (value 74LS08)
+      (libsource (lib A10-plus) (part 74LS08))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51593628))
+    (comp (ref U3)
+      (value A10-SOC)
+      (datasheet _)
+      (libsource (lib A10-plus) (part A10-SOC))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 514D47F5))
+    (comp (ref P4)
+      (value UBOOT)
+      (libsource (lib conn) (part CONN_2))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 515A7B4E))
+    (comp (ref C25)
+      (value .22)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5151D4E3))
+    (comp (ref J6)
+      (value CONN_8)
+      (libsource (lib conn) (part CONN_8))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51729C76))
+    (comp (ref P5)
+      (value CONN_1)
+      (libsource (lib conn) (part CONN_1))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5189B2BF))
+    (comp (ref P6)
+      (value CONN_1)
+      (libsource (lib conn) (part CONN_1))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5189B2CC))
+    (comp (ref P7)
+      (value CONN_1)
+      (libsource (lib conn) (part CONN_1))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5189B2D2))
+    (comp (ref P8)
+      (value CONN_1)
+      (libsource (lib conn) (part CONN_1))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5189B2D8))
+    (comp (ref P11)
+      (value CONN_1)
+      (libsource (lib conn) (part CONN_1))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5189BF82))
+    (comp (ref P14)
+      (value CONN_8)
+      (libsource (lib conn) (part CONN_8))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5189E5B2))
+    (comp (ref R50)
+      (value 4k7)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5189E9C5))
+    (comp (ref D1)
+      (value LED)
+      (libsource (lib device) (part LED))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5189E9D2))
+    (comp (ref R51)
+      (value 47k)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51ADEA26))
+    (comp (ref C49)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 515197E6))
+    (comp (ref C82)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51523C00))
+    (comp (ref C83)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51518E22))
+    (comp (ref C84)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51518E28))
+    (comp (ref C85)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51518E2E))
+    (comp (ref C86)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51518E34))
+    (comp (ref C87)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51518E3A))
+    (comp (ref C88)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51518E40))
+    (comp (ref C89)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51518E46))
+    (comp (ref C90)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51518E4C))
+    (comp (ref C91)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51518E52))
+    (comp (ref C92)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51518E58))
+    (comp (ref C93)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51518E5E))
+    (comp (ref C94)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51518E64))
+    (comp (ref C95)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51518E6A))
+    (comp (ref C96)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51518E70))
+    (comp (ref C97)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51518E76))
+    (comp (ref C98)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51518E7C))
+    (comp (ref C99)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51518E82))
+    (comp (ref C100)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51518E88))
+    (comp (ref C101)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51518E8E))
+    (comp (ref C102)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51518E94))
+    (comp (ref C103)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51518E9A))
+    (comp (ref C104)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51518EA0))
+    (comp (ref C105)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51518EA6))
+    (comp (ref C106)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51518EAC))
+    (comp (ref C107)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51518EB2))
+    (comp (ref C50)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 5151947E))
+    (comp (ref C53)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51519484))
+    (comp (ref C52)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 5151948A))
+    (comp (ref C51)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51519490))
+    (comp (ref C54)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51519496))
+    (comp (ref C55)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 5151949C))
+    (comp (ref C56)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 515194A2))
+    (comp (ref C57)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 515194A8))
+    (comp (ref C58)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 515194AE))
+    (comp (ref C59)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 515194B4))
+    (comp (ref C60)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 515194BA))
+    (comp (ref C61)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 515194C0))
+    (comp (ref C62)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 515194C6))
+    (comp (ref C63)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 515194D6))
+    (comp (ref C64)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 515194DC))
+    (comp (ref C65)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 515194E2))
+    (comp (ref C66)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 515194E8))
+    (comp (ref C67)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 515194EE))
+    (comp (ref C68)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 515194F4))
+    (comp (ref C69)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51519600))
+    (comp (ref C70)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51519606))
+    (comp (ref C72)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 5151960C))
+    (comp (ref C71)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51519612))
+    (comp (ref C73)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51519618))
+    (comp (ref C74)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 5151961E))
+    (comp (ref C75)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51519624))
+    (comp (ref C76)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 5151962A))
+    (comp (ref C109)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 515198E6))
+    (comp (ref C110)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51519944))
+    (comp (ref C111)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 5151994A))
+    (comp (ref C112)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51519950))
+    (comp (ref C108)
+      (value 22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51513AB2))
+    (comp (ref R33)
+      (value 2k2)
+      (libsource (lib device) (part R))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 515147D0))
+    (comp (ref R32)
+      (value 2k2)
+      (libsource (lib device) (part R))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 515147DD))
+    (comp (ref C80)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51514B03))
+    (comp (ref C79)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51514BCD))
+    (comp (ref C78)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51514BD3))
+    (comp (ref C77)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51514BD9))
+    (comp (ref C81)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51514BDF))
+    (comp (ref C23)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51A88020))
+    (comp (ref U11)
+      (value RTL8201CP)
+      (footprint MODULE)
+      (datasheet ~)
+      (libsource (lib A10-plus) (part RTL8201CP))
+      (sheetpath (names /ethernet/) (tstamps /5159654C/))
+      (tstamp 51597EA2))
+    (comp (ref C136)
+      (value 18pF)
+      (libsource (lib device) (part C))
+      (sheetpath (names /ethernet/) (tstamps /5159654C/))
+      (tstamp 51599A05))
+    (comp (ref C135)
+      (value 18pF)
+      (libsource (lib device) (part C))
+      (sheetpath (names /ethernet/) (tstamps /5159654C/))
+      (tstamp 51599A5C))
+    (comp (ref R39)
+      (value 2k2)
+      (libsource (lib device) (part R))
+      (sheetpath (names /ethernet/) (tstamps /5159654C/))
+      (tstamp 5159A0EF))
+    (comp (ref R40)
+      (value 5k1)
+      (libsource (lib device) (part R))
+      (sheetpath (names /ethernet/) (tstamps /5159654C/))
+      (tstamp 5159A133))
+    (comp (ref R41)
+      (value 5k1)
+      (libsource (lib device) (part R))
+      (sheetpath (names /ethernet/) (tstamps /5159654C/))
+      (tstamp 5159A139))
+    (comp (ref R35)
+      (value 5k1)
+      (libsource (lib device) (part R))
+      (sheetpath (names /ethernet/) (tstamps /5159654C/))
+      (tstamp 5159A227))
+    (comp (ref R36)
+      (value 5k1)
+      (libsource (lib device) (part R))
+      (sheetpath (names /ethernet/) (tstamps /5159654C/))
+      (tstamp 5159A22D))
+    (comp (ref R37)
+      (value 5k1)
+      (libsource (lib device) (part R))
+      (sheetpath (names /ethernet/) (tstamps /5159654C/))
+      (tstamp 5159A233))
+    (comp (ref R38)
+      (value 5k1)
+      (libsource (lib device) (part R))
+      (sheetpath (names /ethernet/) (tstamps /5159654C/))
+      (tstamp 5159A239))
+    (comp (ref R34)
+      (value 5k1)
+      (libsource (lib device) (part R))
+      (sheetpath (names /ethernet/) (tstamps /5159654C/))
+      (tstamp 5159ABE0))
+    (comp (ref L1)
+      (value "Bead / SM0603")
+      (libsource (lib device) (part INDUCTOR))
+      (sheetpath (names /ethernet/) (tstamps /5159654C/))
+      (tstamp 515ADE75))
+    (comp (ref C140)
+      (value 10u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /ethernet/) (tstamps /5159654C/))
+      (tstamp 515ADE9A))
+    (comp (ref L5)
+      (value "bead /SM0603")
+      (libsource (lib device) (part INDUCTOR))
+      (sheetpath (names /ethernet/) (tstamps /5159654C/))
+      (tstamp 515AE1D6))
+    (comp (ref C138)
+      (value 10u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /ethernet/) (tstamps /5159654C/))
+      (tstamp 515AE226))
+    (comp (ref C139)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /ethernet/) (tstamps /5159654C/))
+      (tstamp 515AE245))
+    (comp (ref C137)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /ethernet/) (tstamps /5159654C/))
+      (tstamp 515AE348))
+    (comp (ref R42)
+      (value 5k1)
+      (libsource (lib device) (part R))
+      (sheetpath (names /ethernet/) (tstamps /5159654C/))
+      (tstamp 515AE399))
+    (comp (ref R43)
+      (value 5k1)
+      (libsource (lib device) (part R))
+      (sheetpath (names /ethernet/) (tstamps /5159654C/))
+      (tstamp 515AE4AA))
+    (comp (ref R44)
+      (value 5k1)
+      (libsource (lib device) (part R))
+      (sheetpath (names /ethernet/) (tstamps /5159654C/))
+      (tstamp 515AE4B0))
+    (comp (ref R45)
+      (value 5k1)
+      (libsource (lib device) (part R))
+      (sheetpath (names /ethernet/) (tstamps /5159654C/))
+      (tstamp 515AE4B6))
+    (comp (ref R46)
+      (value 5k1)
+      (libsource (lib device) (part R))
+      (sheetpath (names /ethernet/) (tstamps /5159654C/))
+      (tstamp 515AE4BC))
+    (comp (ref R47)
+      (value 5k1)
+      (libsource (lib device) (part R))
+      (sheetpath (names /ethernet/) (tstamps /5159654C/))
+      (tstamp 515AE4C2))
+    (comp (ref R49)
+      (value 1k)
+      (libsource (lib device) (part R))
+      (sheetpath (names /ethernet/) (tstamps /5159654C/))
+      (tstamp 515AE7FB))
+    (comp (ref R48)
+      (value 1k)
+      (libsource (lib device) (part R))
+      (sheetpath (names /ethernet/) (tstamps /5159654C/))
+      (tstamp 515AE801))
+    (comp (ref J8)
+      (value RJ45-HANRUN)
+      (libsource (lib A10-plus) (part RJ45-HANRUN))
+      (sheetpath (names /ethernet/) (tstamps /5159654C/))
+      (tstamp 51A4CD0A))
+    (comp (ref X3)
+      (value 25M)
+      (libsource (lib A10-plus) (part XTL-SMD))
+      (sheetpath (names /ethernet/) (tstamps /5159654C/))
+      (tstamp 51A6DE19)))
+  (libparts
+    (libpart (lib device) (part C)
+      (description "Condensateur non polarise")
+      (footprints
+        (fp SM*)
+        (fp C?)
+        (fp C1-1))
+      (fields
+        (field (name Reference) C)
+        (field (name Value) C))
+      (pins
+        (pin (num 1) (name ~) (type passive))
+        (pin (num 2) (name ~) (type passive))))
+    (libpart (lib device) (part CRYSTAL)
+      (fields
+        (field (name Reference) X)
+        (field (name Value) CRYSTAL))
+      (pins
+        (pin (num 1) (name 1) (type passive))
+        (pin (num 2) (name 2) (type passive))))
+    (libpart (lib device) (part INDUCTOR)
+      (fields
+        (field (name Reference) L)
+        (field (name Value) INDUCTOR))
+      (pins
+        (pin (num 1) (name 1) (type passive))
+        (pin (num 2) (name 2) (type passive))))
+    (libpart (lib device) (part LED)
+      (footprints
+        (fp LED-3MM)
+        (fp LED-5MM)
+        (fp LED-10MM)
+        (fp LED-0603)
+        (fp LED-0805)
+        (fp LED-1206)
+        (fp LEDV))
+      (fields
+        (field (name Reference) D)
+        (field (name Value) LED))
+      (pins
+        (pin (num 1) (name A) (type passive))
+        (pin (num 2) (name K) (type passive))))
+    (libpart (lib device) (part R)
+      (description Resistance)
+      (footprints
+        (fp R?)
+        (fp SM0603)
+        (fp SM0805)
+        (fp R?-*)
+        (fp SM1206))
+      (fields
+        (field (name Reference) R)
+        (field (name Value) R))
+      (pins
+        (pin (num 1) (name ~) (type passive))
+        (pin (num 2) (name ~) (type passive))))
+    (libpart (lib device) (part SW_PUSH)
+      (description "Push Button")
+      (fields
+        (field (name Reference) SW)
+        (field (name Value) SW_PUSH))
+      (pins
+        (pin (num 1) (name 1) (type passive))
+        (pin (num 2) (name 2) (type passive))))
+    (libpart (lib conn) (part CONN_1)
+      (description "1 pin")
+      (fields
+        (field (name Reference) P)
+        (field (name Value) CONN_1))
+      (pins
+        (pin (num 1) (name 1) (type passive))))
+    (libpart (lib conn) (part CONN_2)
+      (description "Symbole general de connecteur")
+      (fields
+        (field (name Reference) P)
+        (field (name Value) CONN_2))
+      (pins
+        (pin (num 1) (name P1) (type passive))
+        (pin (num 2) (name PM) (type passive))))
+    (libpart (lib conn) (part CONN_30)
+      (description "Symbole general de connexion")
+      (fields
+        (field (name Reference) P)
+        (field (name Value) CONN_30))
+      (pins
+        (pin (num 1) (name P1) (type passive))
+        (pin (num 2) (name P2) (type passive))
+        (pin (num 3) (name P3) (type passive))
+        (pin (num 4) (name P4) (type passive))
+        (pin (num 5) (name P5) (type passive))
+        (pin (num 6) (name P6) (type passive))
+        (pin (num 7) (name P7) (type passive))
+        (pin (num 8) (name P8) (type passive))
+        (pin (num 9) (name P9) (type passive))
+        (pin (num 10) (name P10) (type passive))
+        (pin (num 11) (name P11) (type passive))
+        (pin (num 12) (name P12) (type passive))
+        (pin (num 13) (name P13) (type passive))
+        (pin (num 14) (name P14) (type passive))
+        (pin (num 15) (name P15) (type passive))
+        (pin (num 16) (name P16) (type passive))
+        (pin (num 17) (name P17) (type passive))
+        (pin (num 18) (name P18) (type passive))
+        (pin (num 19) (name P19) (type passive))
+        (pin (num 20) (name P20) (type passive))
+        (pin (num 21) (name P21) (type passive))
+        (pin (num 22) (name P22) (type passive))
+        (pin (num 23) (name P23) (type passive))
+        (pin (num 24) (name P24) (type passive))
+        (pin (num 25) (name P25) (type passive))
+        (pin (num 26) (name P26) (type passive))
+        (pin (num 27) (name P27) (type passive))
+        (pin (num 28) (name P28) (type passive))
+        (pin (num 29) (name P29) (type passive))
+        (pin (num 30) (name P30) (type passive))))
+    (libpart (lib conn) (part CONN_5)
+      (description "Symbole general de connecteur")
+      (fields
+        (field (name Reference) P)
+        (field (name Value) CONN_5))
+      (pins
+        (pin (num 1) (name ~) (type passive))
+        (pin (num 2) (name ~) (type passive))
+        (pin (num 3) (name ~) (type passive))
+        (pin (num 4) (name ~) (type passive))
+        (pin (num 5) (name ~) (type passive))))
+    (libpart (lib conn) (part CONN_8)
+      (description "Symbole general de connecteur")
+      (fields
+        (field (name Reference) P)
+        (field (name Value) CONN_8))
+      (pins
+        (pin (num 1) (name P1) (type passive))
+        (pin (num 2) (name P2) (type passive))
+        (pin (num 3) (name P3) (type passive))
+        (pin (num 4) (name P4) (type passive))
+        (pin (num 5) (name P5) (type passive))
+        (pin (num 6) (name P6) (type passive))
+        (pin (num 7) (name P7) (type passive))
+        (pin (num 8) (name P8) (type passive))))
+    (libpart (lib conn) (part DB15)
+      (footprints
+        (fp DB15*))
+      (fields
+        (field (name Reference) J)
+        (field (name Value) DB15))
+      (pins
+        (pin (num 1) (name 1) (type passive))
+        (pin (num 2) (name 2) (type passive))
+        (pin (num 3) (name 3) (type passive))
+        (pin (num 4) (name 4) (type passive))
+        (pin (num 5) (name 5) (type passive))
+        (pin (num 6) (name 6) (type passive))
+        (pin (num 7) (name 7) (type passive))
+        (pin (num 8) (name 8) (type passive))
+        (pin (num 9) (name P9) (type passive))
+        (pin (num 10) (name P10) (type passive))
+        (pin (num 11) (name P111) (type passive))
+        (pin (num 12) (name P12) (type passive))
+        (pin (num 13) (name P13) (type passive))
+        (pin (num 14) (name P14) (type passive))
+        (pin (num 15) (name P15) (type passive))))
+    (libpart (lib A10-plus) (part 4GbDDR3)
+      (fields
+        (field (name Reference) U)
+        (field (name Value) 4GbDDR3)
+        (field (name Footprint) ~)
+        (field (name Datasheet) _))
+      (pins
+        (pin (num A1) (name VDDQ) (type input))
+        (pin (num A2) (name DQ13) (type input))
+        (pin (num A3) (name DQ15) (type input))
+        (pin (num A7) (name DQ12) (type input))
+        (pin (num A8) (name VDDQ) (type input))
+        (pin (num A9) (name VSS) (type input))
+        (pin (num B1) (name VSSQ) (type input))
+        (pin (num B2) (name VDD) (type input))
+        (pin (num B3) (name VSS) (type input))
+        (pin (num B7) (name UDQS#) (type input))
+        (pin (num B8) (name DQ14) (type input))
+        (pin (num B9) (name VSSQ) (type input))
+        (pin (num C1) (name VDDQ) (type input))
+        (pin (num C2) (name DQ11) (type input))
+        (pin (num C3) (name DQ9) (type input))
+        (pin (num C7) (name UDQS) (type input))
+        (pin (num C8) (name DQ10) (type input))
+        (pin (num C9) (name VDDQ) (type input))
+        (pin (num D1) (name VSSQ) (type input))
+        (pin (num D2) (name VDDQ) (type input))
+        (pin (num D3) (name UDM) (type input))
+        (pin (num D7) (name DQ8) (type input))
+        (pin (num D8) (name VSSQ) (type input))
+        (pin (num D9) (name VDD) (type input))
+        (pin (num E1) (name VSS) (type input))
+        (pin (num E2) (name VSSQ) (type input))
+        (pin (num E3) (name DQ0) (type BiDi))
+        (pin (num E7) (name LDM) (type input))
+        (pin (num E8) (name VSSQ) (type input))
+        (pin (num E9) (name VDDQ) (type input))
+        (pin (num F1) (name VDDQ) (type input))
+        (pin (num F2) (name DQ2) (type input))
+        (pin (num F3) (name LDQS) (type input))
+        (pin (num F7) (name DQ1) (type input))
+        (pin (num F8) (name DQ3) (type input))
+        (pin (num F9) (name VSSQ) (type input))
+        (pin (num G1) (name VSSQ) (type input))
+        (pin (num G2) (name DQ6) (type input))
+        (pin (num G3) (name LDQS#) (type input))
+        (pin (num G7) (name VDD) (type input))
+        (pin (num G8) (name VSS) (type input))
+        (pin (num G9) (name VSSQ) (type input))
+        (pin (num H1) (name VREFDQ) (type input))
+        (pin (num H2) (name VDDQ) (type input))
+        (pin (num H3) (name DQ4) (type input))
+        (pin (num H7) (name DQ7) (type input))
+        (pin (num H8) (name DQ5) (type input))
+        (pin (num H9) (name VDDQ) (type input))
+        (pin (num J1) (name NC) (type input))
+        (pin (num J2) (name VSS) (type input))
+        (pin (num J3) (name RAS#) (type input))
+        (pin (num J7) (name CK) (type input))
+        (pin (num J8) (name VSS) (type input))
+        (pin (num J9) (name NC) (type input))
+        (pin (num K1) (name ODT) (type input))
+        (pin (num K2) (name VDD) (type input))
+        (pin (num K3) (name CAS#) (type input))
+        (pin (num K7) (name CK#) (type input))
+        (pin (num K8) (name VDD) (type input))
+        (pin (num K9) (name CKE) (type input))
+        (pin (num L1) (name NC) (type input))
+        (pin (num L2) (name CS#) (type input))
+        (pin (num L3) (name WE#) (type input))
+        (pin (num L7) (name A10) (type input))
+        (pin (num L8) (name ZQ) (type input))
+        (pin (num L9) (name NC) (type input))
+        (pin (num M1) (name VSS) (type input))
+        (pin (num M2) (name BA0) (type input))
+        (pin (num M3) (name BA2) (type input))
+        (pin (num M7) (name A15) (type input))
+        (pin (num M8) (name VREFCA) (type input))
+        (pin (num M9) (name VSS) (type input))
+        (pin (num N1) (name VDD) (type input))
+        (pin (num N2) (name A3) (type input))
+        (pin (num N3) (name A0) (type input))
+        (pin (num N7) (name A12) (type input))
+        (pin (num N8) (name BA1) (type input))
+        (pin (num N9) (name VDD) (type input))
+        (pin (num P1) (name VSS) (type input))
+        (pin (num P2) (name A5) (type input))
+        (pin (num P3) (name A2) (type input))
+        (pin (num P7) (name A1) (type input))
+        (pin (num P8) (name A4) (type input))
+        (pin (num P9) (name VSS) (type input))
+        (pin (num R1) (name VDD) (type input))
+        (pin (num R2) (name A7) (type input))
+        (pin (num R3) (name A9) (type input))
+        (pin (num R7) (name A11) (type input))
+        (pin (num R8) (name A6) (type input))
+        (pin (num R9) (name VDD) (type input))
+        (pin (num T1) (name VSS) (type input))
+        (pin (num T2) (name RESET#) (type input))
+        (pin (num T3) (name A13) (type input))
+        (pin (num T7) (name A14) (type input))
+        (pin (num T8) (name A8) (type input))
+        (pin (num T9) (name VSS) (type input))))
+    (libpart (lib A10-plus) (part 524P)
+      (fields
+        (field (name Reference) U)
+        (field (name Value) 524P)
+        (field (name Footprint) ~)
+        (field (name Datasheet) ~))
+      (pins
+        (pin (num 1) (name IN1) (type input))
+        (pin (num 2) (name IN2) (type input))
+        (pin (num 3) (name GND) (type input))
+        (pin (num 4) (name IN3) (type input))
+        (pin (num 5) (name IN4) (type input))
+        (pin (num 6) (name OUT4) (type input))
+        (pin (num 7) (name OUT3) (type input))
+        (pin (num 8) (name GND) (type input))
+        (pin (num 9) (name OUT2) (type input))
+        (pin (num 10) (name OUT1) (type input))))
+    (libpart (lib A10-plus) (part 74LS08)
+      (fields
+        (field (name Reference) U)
+        (field (name Value) 74LS08))
+      (pins
+        (pin (num 1) (name ~) (type input))
+        (pin (num 2) (name ~) (type input))
+        (pin (num 3) (name ~) (type output))
+        (pin (num 4) (name ~) (type input))
+        (pin (num 5) (name ~) (type input))
+        (pin (num 6) (name ~) (type output))
+        (pin (num 7) (name GND) (type power_in))
+        (pin (num 8) (name ~) (type output))
+        (pin (num 9) (name ~) (type input))
+        (pin (num 10) (name ~) (type input))
+        (pin (num 11) (name ~) (type output))
+        (pin (num 12) (name ~) (type input))
+        (pin (num 13) (name ~) (type input))
+        (pin (num 14) (name 5V) (type power_in))))
+    (libpart (lib A10-plus) (part A10-SOC)
+      (fields
+        (field (name Reference) U)
+        (field (name Value) A10-SOC)
+        (field (name Datasheet) _))
+      (pins
+        (pin (num 75) (name SDQ0) (type BiDi))
+        (pin (num 76) (name SDQ1) (type BiDi))
+        (pin (num 77) (name SDQ2) (type BiDi))
+        (pin (num 78) (name SDQ3) (type BiDi))
+        (pin (num 79) (name SDQ4) (type BiDi))
+        (pin (num 80) (name SDQ5) (type BiDi))
+        (pin (num 81) (name SDQ6) (type BiDi))
+        (pin (num 82) (name SDQ8) (type BiDi))
+        (pin (num 83) (name SDQ9) (type BiDi))
+        (pin (num 84) (name SDQ10) (type BiDi))
+        (pin (num 85) (name SDQ11) (type BiDi))
+        (pin (num 86) (name SDQ12) (type BiDi))
+        (pin (num 87) (name SDQ13) (type BiDi))
+        (pin (num 88) (name SDQ14) (type BiDi))
+        (pin (num 89) (name SDQ15) (type BiDi))
+        (pin (num 90) (name SDQ16) (type BiDi))
+        (pin (num 91) (name SDQ17) (type BiDi))
+        (pin (num 92) (name SDQ18) (type BiDi))
+        (pin (num 93) (name SDQ19) (type BiDi))
+        (pin (num 94) (name SDQ20) (type BiDi))
+        (pin (num 95) (name SDQ21) (type BiDi))
+        (pin (num 96) (name SDQ22) (type BiDi))
+        (pin (num 97) (name SDQ23) (type BiDi))
+        (pin (num 98) (name SDQ24) (type BiDi))
+        (pin (num 99) (name SDQ25) (type BiDi))
+        (pin (num 100) (name SDQ26) (type BiDi))
+        (pin (num 101) (name SDQ27) (type BiDi))
+        (pin (num 102) (name SDQ28) (type BiDi))
+        (pin (num 103) (name SDQ29) (type BiDi))
+        (pin (num 104) (name SDQ30) (type BiDi))
+        (pin (num 105) (name SDQ31) (type BiDi))
+        (pin (num 106) (name SDQ7) (type BiDi))
+        (pin (num 107) (name VCC_DRAM) (type input))
+        (pin (num 108) (name VCC_DRAM) (type input))
+        (pin (num 109) (name VCC_DRAM) (type input))
+        (pin (num 110) (name VCC_DRAM) (type input))
+        (pin (num 111) (name VCC_DRAM) (type input))
+        (pin (num 112) (name SDQS1) (type output))
+        (pin (num 113) (name SDQSO#) (type output))
+        (pin (num 114) (name SDQS0) (type output))
+        (pin (num 115) (name SDQM3) (type output))
+        (pin (num 116) (name SDQM2) (type output))
+        (pin (num 117) (name SDQM1) (type output))
+        (pin (num 118) (name SDQM0) (type output))
+        (pin (num 119) (name TVIN1) (type input))
+        (pin (num 120) (name ODT0) (type BiDi))
+        (pin (num 121) (name ODT1) (type BiDi))
+        (pin (num 122) (name TVIN1) (type input))
+        (pin (num 123) (name SDQS3) (type output))
+        (pin (num 124) (name SDQS2#) (type output))
+        (pin (num 125) (name SDQS2) (type output))
+        (pin (num 126) (name SDQS1#) (type output))
+        (pin (num 127) (name TVIN0) (type input))
+        (pin (num 128) (name SCKE0) (type output))
+        (pin (num 129) (name SCK#) (type output))
+        (pin (num 130) (name SCK) (type output))
+        (pin (num 131) (name SBA2) (type output))
+        (pin (num 132) (name SBA1) (type output))
+        (pin (num 133) (name SBA0) (type output))
+        (pin (num 134) (name SA14) (type output))
+        (pin (num 135) (name SA13) (type output))
+        (pin (num 136) (name SA12) (type output))
+        (pin (num 137) (name SA11) (type output))
+        (pin (num 138) (name SA10) (type output))
+        (pin (num 139) (name SA9) (type output))
+        (pin (num 140) (name SA8) (type output))
+        (pin (num 141) (name SA7) (type output))
+        (pin (num 142) (name SA6) (type output))
+        (pin (num 143) (name SA5) (type output))
+        (pin (num 144) (name SA4) (type output))
+        (pin (num 145) (name SA3) (type output))
+        (pin (num 146) (name SA2) (type output))
+        (pin (num 147) (name SA1) (type output))
+        (pin (num 148) (name SA0) (type output))
+        (pin (num 149) (name SDQ0) (type BiDi))
+        (pin (num 150) (name SDQ1) (type BiDi))
+        (pin (num 151) (name SDQ2) (type BiDi))
+        (pin (num 152) (name SDQ3) (type BiDi))
+        (pin (num 153) (name SDQ4) (type BiDi))
+        (pin (num 154) (name SDQ5) (type BiDi))
+        (pin (num 155) (name SDQ6) (type BiDi))
+        (pin (num 156) (name SDQ8) (type BiDi))
+        (pin (num 157) (name SDQ9) (type BiDi))
+        (pin (num 158) (name SDQ10) (type BiDi))
+        (pin (num 159) (name SDQ11) (type BiDi))
+        (pin (num 160) (name SDQ12) (type BiDi))
+        (pin (num 161) (name SDQ13) (type BiDi))
+        (pin (num 162) (name SDQ14) (type BiDi))
+        (pin (num 163) (name SDQ15) (type BiDi))
+        (pin (num 164) (name SDQ16) (type BiDi))
+        (pin (num 165) (name SDQ17) (type BiDi))
+        (pin (num 166) (name SDQ18) (type BiDi))
+        (pin (num 167) (name SDQ19) (type BiDi))
+        (pin (num 168) (name SDQ20) (type BiDi))
+        (pin (num 169) (name SDQ21) (type BiDi))
+        (pin (num 170) (name SDQ22) (type BiDi))
+        (pin (num 171) (name SDQ23) (type BiDi))
+        (pin (num 172) (name SDQ24) (type BiDi))
+        (pin (num 173) (name SDQ25) (type BiDi))
+        (pin (num 174) (name SDQ26) (type BiDi))
+        (pin (num 175) (name SDQ27) (type BiDi))
+        (pin (num 176) (name SDQ28) (type BiDi))
+        (pin (num 177) (name SDQ29) (type BiDi))
+        (pin (num 178) (name SDQ30) (type BiDi))
+        (pin (num 179) (name SDQ31) (type BiDi))
+        (pin (num 180) (name SDQ7) (type BiDi))
+        (pin (num 181) (name VCC_DRAM) (type input))
+        (pin (num 182) (name VCC_DRAM) (type input))
+        (pin (num 183) (name VCC_DRAM) (type input))
+        (pin (num 184) (name VCC_DRAM) (type input))
+        (pin (num 185) (name VCC_DRAM) (type input))
+        (pin (num 186) (name SDQS1) (type output))
+        (pin (num 187) (name SDQSO#) (type output))
+        (pin (num 188) (name SDQS0) (type output))
+        (pin (num 189) (name SDQM3) (type output))
+        (pin (num 190) (name SDQM2) (type output))
+        (pin (num 191) (name SDQM1) (type output))
+        (pin (num 192) (name SDQM0) (type output))
+        (pin (num 193) (name TVIN1) (type input))
+        (pin (num 194) (name ODT0) (type BiDi))
+        (pin (num 195) (name ODT1) (type BiDi))
+        (pin (num 196) (name TVIN1) (type input))
+        (pin (num 197) (name SDQS3) (type output))
+        (pin (num 198) (name SDQS2#) (type output))
+        (pin (num 199) (name SDQS2) (type output))
+        (pin (num 200) (name SDQS1#) (type output))
+        (pin (num 201) (name TVIN0) (type input))
+        (pin (num 202) (name SCKE0) (type output))
+        (pin (num 203) (name SCK#) (type output))
+        (pin (num 204) (name SCK) (type output))
+        (pin (num 205) (name SBA2) (type output))
+        (pin (num 206) (name SBA1) (type output))
+        (pin (num 207) (name SBA0) (type output))
+        (pin (num 208) (name SA14) (type output))
+        (pin (num 209) (name SA13) (type output))
+        (pin (num 210) (name SA12) (type output))
+        (pin (num 211) (name SA11) (type output))
+        (pin (num 212) (name SA10) (type output))
+        (pin (num 213) (name SA9) (type output))
+        (pin (num 214) (name SA8) (type output))
+        (pin (num 215) (name SA7) (type output))
+        (pin (num 216) (name SA6) (type output))
+        (pin (num 217) (name SA5) (type output))
+        (pin (num 218) (name SA4) (type output))
+        (pin (num 219) (name SA3) (type output))
+        (pin (num 220) (name SA2) (type output))
+        (pin (num 221) (name SA1) (type output))
+        (pin (num 222) (name SA0) (type output))
+        (pin (num 223) (name SDQ0) (type BiDi))
+        (pin (num 224) (name SDQ1) (type BiDi))
+        (pin (num 225) (name SDQ2) (type BiDi))
+        (pin (num 226) (name SDQ3) (type BiDi))
+        (pin (num 227) (name SDQ4) (type BiDi))
+        (pin (num 228) (name SDQ5) (type BiDi))
+        (pin (num 229) (name SDQ6) (type BiDi))
+        (pin (num 230) (name SDQ8) (type BiDi))
+        (pin (num 231) (name SDQ9) (type BiDi))
+        (pin (num 232) (name SDQ10) (type BiDi))
+        (pin (num 233) (name SDQ11) (type BiDi))
+        (pin (num 234) (name SDQ12) (type BiDi))
+        (pin (num 235) (name SDQ13) (type BiDi))
+        (pin (num 236) (name SDQ14) (type BiDi))
+        (pin (num 237) (name SDQ15) (type BiDi))
+        (pin (num 238) (name SDQ16) (type BiDi))
+        (pin (num 239) (name SDQ17) (type BiDi))
+        (pin (num 240) (name SDQ18) (type BiDi))
+        (pin (num 241) (name SDQ19) (type BiDi))
+        (pin (num 242) (name SDQ20) (type BiDi))
+        (pin (num 243) (name SDQ21) (type BiDi))
+        (pin (num 244) (name SDQ22) (type BiDi))
+        (pin (num 245) (name SDQ23) (type BiDi))
+        (pin (num 246) (name SDQ24) (type BiDi))
+        (pin (num 247) (name SDQ25) (type BiDi))
+        (pin (num 248) (name SDQ26) (type BiDi))
+        (pin (num 249) (name SDQ27) (type BiDi))
+        (pin (num 250) (name SDQ28) (type BiDi))
+        (pin (num 251) (name SDQ29) (type BiDi))
+        (pin (num 252) (name SDQ30) (type BiDi))
+        (pin (num 253) (name SDQ31) (type BiDi))
+        (pin (num 254) (name SDQ7) (type BiDi))
+        (pin (num 255) (name VCC_DRAM) (type input))
+        (pin (num 256) (name VCC_DRAM) (type input))
+        (pin (num 257) (name VCC_DRAM) (type input))
+        (pin (num 258) (name VCC_DRAM) (type input))
+        (pin (num 259) (name VCC_DRAM) (type input))
+        (pin (num 260) (name SDQS1) (type output))
+        (pin (num 261) (name SDQSO#) (type output))
+        (pin (num 262) (name SDQS0) (type output))
+        (pin (num 263) (name SDQM3) (type output))
+        (pin (num 264) (name SDQM2) (type output))
+        (pin (num 265) (name SDQM1) (type output))
+        (pin (num 266) (name SDQM0) (type output))
+        (pin (num 267) (name TVIN1) (type input))
+        (pin (num 268) (name ODT0) (type BiDi))
+        (pin (num 269) (name ODT1) (type BiDi))
+        (pin (num 270) (name TVIN1) (type input))
+        (pin (num 271) (name SDQS3) (type output))
+        (pin (num 272) (name SDQS2#) (type output))
+        (pin (num 273) (name SDQS2) (type output))
+        (pin (num 274) (name SDQS1#) (type output))
+        (pin (num 275) (name TVIN0) (type input))
+        (pin (num 276) (name SCKE0) (type output))
+        (pin (num 277) (name SCK#) (type output))
+        (pin (num 278) (name SCK) (type output))
+        (pin (num 279) (name SBA2) (type output))
+        (pin (num 280) (name SBA1) (type output))
+        (pin (num 281) (name SBA0) (type output))
+        (pin (num 282) (name SA14) (type output))
+        (pin (num 283) (name SA13) (type output))
+        (pin (num 284) (name SA12) (type output))
+        (pin (num 285) (name SA11) (type output))
+        (pin (num 286) (name SA10) (type output))
+        (pin (num 287) (name SA9) (type output))
+        (pin (num 288) (name SA8) (type output))
+        (pin (num 289) (name SA7) (type output))
+        (pin (num 290) (name SA6) (type output))
+        (pin (num 291) (name SA5) (type output))
+        (pin (num 292) (name SA4) (type output))
+        (pin (num 293) (name SA3) (type output))
+        (pin (num 294) (name SA2) (type output))
+        (pin (num 295) (name SA1) (type output))
+        (pin (num 296) (name SA0) (type output))
+        (pin (num 297) (name SDQ0) (type BiDi))
+        (pin (num 298) (name SDQ1) (type BiDi))
+        (pin (num 299) (name SDQ2) (type BiDi))
+        (pin (num 300) (name SDQ3) (type BiDi))
+        (pin (num 301) (name SDQ4) (type BiDi))
+        (pin (num 302) (name SDQ5) (type BiDi))
+        (pin (num 303) (name SDQ6) (type BiDi))
+        (pin (num 304) (name SDQ8) (type BiDi))
+        (pin (num 305) (name SDQ9) (type BiDi))
+        (pin (num 306) (name SDQ10) (type BiDi))
+        (pin (num 307) (name SDQ11) (type BiDi))
+        (pin (num 308) (name SDQ12) (type BiDi))
+        (pin (num 309) (name SDQ13) (type BiDi))
+        (pin (num 310) (name SDQ14) (type BiDi))
+        (pin (num 311) (name SDQ15) (type BiDi))
+        (pin (num 312) (name SDQ16) (type BiDi))
+        (pin (num 313) (name SDQ17) (type BiDi))
+        (pin (num 314) (name SDQ18) (type BiDi))
+        (pin (num 315) (name SDQ19) (type BiDi))
+        (pin (num 316) (name SDQ20) (type BiDi))
+        (pin (num 317) (name SDQ21) (type BiDi))
+        (pin (num 318) (name SDQ22) (type BiDi))
+        (pin (num 319) (name SDQ23) (type BiDi))
+        (pin (num 320) (name SDQ24) (type BiDi))
+        (pin (num 321) (name SDQ25) (type BiDi))
+        (pin (num 322) (name SDQ26) (type BiDi))
+        (pin (num 323) (name SDQ27) (type BiDi))
+        (pin (num 324) (name SDQ28) (type BiDi))
+        (pin (num 325) (name SDQ29) (type BiDi))
+        (pin (num 326) (name SDQ30) (type BiDi))
+        (pin (num 327) (name SDQ31) (type BiDi))
+        (pin (num 328) (name SDQ7) (type BiDi))
+        (pin (num 329) (name VCC_DRAM) (type input))
+        (pin (num 330) (name VCC_DRAM) (type input))
+        (pin (num 331) (name VCC_DRAM) (type input))
+        (pin (num 332) (name VCC_DRAM) (type input))
+        (pin (num 333) (name VCC_DRAM) (type input))
+        (pin (num 334) (name SDQS1) (type output))
+        (pin (num 335) (name SDQSO#) (type output))
+        (pin (num 336) (name SDQS0) (type output))
+        (pin (num 337) (name SDQM3) (type output))
+        (pin (num 338) (name SDQM2) (type output))
+        (pin (num 339) (name SDQM1) (type output))
+        (pin (num 340) (name SDQM0) (type output))
+        (pin (num 341) (name TVIN1) (type input))
+        (pin (num 342) (name ODT0) (type BiDi))
+        (pin (num 343) (name ODT1) (type BiDi))
+        (pin (num 344) (name TVIN1) (type input))
+        (pin (num 345) (name SDQS3) (type output))
+        (pin (num 346) (name SDQS2#) (type output))
+        (pin (num 347) (name SDQS2) (type output))
+        (pin (num 348) (name SDQS1#) (type output))
+        (pin (num 349) (name TVIN0) (type input))
+        (pin (num 350) (name SCKE0) (type output))
+        (pin (num 351) (name SCK#) (type output))
+        (pin (num 352) (name SCK) (type output))
+        (pin (num 353) (name SBA2) (type output))
+        (pin (num 354) (name SBA1) (type output))
+        (pin (num 355) (name SBA0) (type output))
+        (pin (num 356) (name SA14) (type output))
+        (pin (num 357) (name SA13) (type output))
+        (pin (num 358) (name SA12) (type output))
+        (pin (num 359) (name SA11) (type output))
+        (pin (num 360) (name SA10) (type output))
+        (pin (num 361) (name SA9) (type output))
+        (pin (num 362) (name SA8) (type output))
+        (pin (num 363) (name SA7) (type output))
+        (pin (num 364) (name SA6) (type output))
+        (pin (num 365) (name SA5) (type output))
+        (pin (num 366) (name SA4) (type output))
+        (pin (num 367) (name SA3) (type output))
+        (pin (num 368) (name SA2) (type output))
+        (pin (num 369) (name SA1) (type output))
+        (pin (num 370) (name SA0) (type output))
+        (pin (num 371) (name SDQ0) (type BiDi))
+        (pin (num 372) (name SDQ1) (type BiDi))
+        (pin (num 373) (name SDQ2) (type BiDi))
+        (pin (num 374) (name SDQ3) (type BiDi))
+        (pin (num 375) (name SDQ4) (type BiDi))
+        (pin (num 376) (name SDQ5) (type BiDi))
+        (pin (num 377) (name SDQ6) (type BiDi))
+        (pin (num 378) (name SDQ8) (type BiDi))
+        (pin (num 379) (name SDQ9) (type BiDi))
+        (pin (num 380) (name SDQ10) (type BiDi))
+        (pin (num 381) (name SDQ11) (type BiDi))
+        (pin (num 382) (name SDQ12) (type BiDi))
+        (pin (num 383) (name SDQ13) (type BiDi))
+        (pin (num 384) (name SDQ14) (type BiDi))
+        (pin (num 385) (name SDQ15) (type BiDi))
+        (pin (num 386) (name SDQ16) (type BiDi))
+        (pin (num 387) (name SDQ17) (type BiDi))
+        (pin (num 388) (name SDQ18) (type BiDi))
+        (pin (num 389) (name SDQ19) (type BiDi))
+        (pin (num 390) (name SDQ20) (type BiDi))
+        (pin (num 391) (name SDQ21) (type BiDi))
+        (pin (num 392) (name SDQ22) (type BiDi))
+        (pin (num 393) (name SDQ23) (type BiDi))
+        (pin (num 394) (name SDQ24) (type BiDi))
+        (pin (num 395) (name SDQ25) (type BiDi))
+        (pin (num 396) (name SDQ26) (type BiDi))
+        (pin (num 397) (name SDQ27) (type BiDi))
+        (pin (num 398) (name SDQ28) (type BiDi))
+        (pin (num 399) (name SDQ29) (type BiDi))
+        (pin (num 400) (name SDQ30) (type BiDi))
+        (pin (num 401) (name SDQ31) (type BiDi))
+        (pin (num 402) (name SDQ7) (type BiDi))
+        (pin (num 403) (name VCC_DRAM) (type input))
+        (pin (num 404) (name VCC_DRAM) (type input))
+        (pin (num 405) (name VCC_DRAM) (type input))
+        (pin (num 406) (name VCC_DRAM) (type input))
+        (pin (num 407) (name VCC_DRAM) (type input))
+        (pin (num 408) (name SDQS1) (type output))
+        (pin (num 409) (name SDQSO#) (type output))
+        (pin (num 410) (name SDQS0) (type output))
+        (pin (num 411) (name SDQM3) (type output))
+        (pin (num 412) (name SDQM2) (type output))
+        (pin (num 413) (name SDQM1) (type output))
+        (pin (num 414) (name SDQM0) (type output))
+        (pin (num 415) (name TVIN1) (type input))
+        (pin (num 416) (name ODT0) (type BiDi))
+        (pin (num 417) (name ODT1) (type BiDi))
+        (pin (num 418) (name TVIN1) (type input))
+        (pin (num 419) (name SDQS3) (type output))
+        (pin (num 420) (name SDQS2#) (type output))
+        (pin (num 421) (name SDQS2) (type output))
+        (pin (num 422) (name SDQS1#) (type output))
+        (pin (num 423) (name TVIN0) (type input))
+        (pin (num 424) (name SCKE0) (type output))
+        (pin (num 425) (name SCK#) (type output))
+        (pin (num 426) (name SCK) (type output))
+        (pin (num 427) (name SBA2) (type output))
+        (pin (num 428) (name SBA1) (type output))
+        (pin (num 429) (name SBA0) (type output))
+        (pin (num 430) (name SA14) (type output))
+        (pin (num 431) (name SA13) (type output))
+        (pin (num 432) (name SA12) (type output))
+        (pin (num 433) (name SA11) (type output))
+        (pin (num 434) (name SA10) (type output))
+        (pin (num 435) (name SA9) (type output))
+        (pin (num 436) (name SA8) (type output))
+        (pin (num 437) (name SA7) (type output))
+        (pin (num 438) (name SA6) (type output))
+        (pin (num 439) (name SA5) (type output))
+        (pin (num 440) (name SA4) (type output))
+        (pin (num 441) (name SA3) (type output))
+        (pin (num A1) (name PH15) (type BiDi))
+        (pin (num A2) (name PH13) (type BiDi))
+        (pin (num A3) (name PH10) (type BiDi))
+        (pin (num A4) (name U5TX) (type BiDi))
+        (pin (num A5) (name U3CTS) (type BiDi))
+        (pin (num A6) (name U3TX) (type BiDi))
+        (pin (num A7) (name PB22) (type input))
+        (pin (num A8) (name PB18) (type input))
+        (pin (num A9) (name PB16) (type input))
+        (pin (num A10) (name PB14) (type input))
+        (pin (num A11) (name PB8) (type input))
+        (pin (num A12) (name PB6) (type input))
+        (pin (num A13) (name PB4) (type input))
+        (pin (num A14) (name PB2) (type input))
+        (pin (num A15) (name PB0) (type input))
+        (pin (num A16) (name PI8) (type input))
+        (pin (num A17) (name PI6) (type input))
+        (pin (num A18) (name PI4) (type input))
+        (pin (num A19) (name PI2) (type input))
+        (pin (num A20) (name PI0) (type input))
+        (pin (num A21) (name PE11) (type input))
+        (pin (num A22) (name PE9) (type input))
+        (pin (num A23) (name PE8) (type input))
+        (pin (num AA1) (name SDQ12) (type BiDi))
+        (pin (num AA2) (name SDQS1#) (type output))
+        (pin (num AA3) (name SA8) (type output))
+        (pin (num AA4) (name SCS1) (type BiDi))
+        (pin (num AA5) (name TVIN1) (type input))
+        (pin (num AA6) (name SRST) (type BiDi))
+        (pin (num AA7) (name SZQ) (type BiDi))
+        (pin (num AA8) (name SVREF3) (type input))
+        (pin (num AA9) (name LCD0_DE) (type BiDi))
+        (pin (num AA10) (name LCD0_D21) (type BiDi))
+        (pin (num AA11) (name LCD0_D19) (type BiDi))
+        (pin (num AA12) (name LCD0_D17) (type BiDi))
+        (pin (num AA13) (name LCD0_D15) (type BiDi))
+        (pin (num AA14) (name LCD0_D13) (type BiDi))
+        (pin (num AA15) (name LCD0_D11) (type BiDi))
+        (pin (num AA16) (name NC) (type input))
+        (pin (num AA17) (name TVIN2) (type input))
+        (pin (num AA18) (name NC) (type input))
+        (pin (num AA19) (name HPCOM) (type input))
+        (pin (num AA20) (name HPCOMFB) (type input))
+        (pin (num AA21) (name VMIC) (type input))
+        (pin (num AA22) (name XN_TP) (type input))
+        (pin (num AA23) (name YN_TP) (type input))
+        (pin (num AB1) (name SDQS1) (type output))
+        (pin (num AB2) (name SDQ8) (type BiDi))
+        (pin (num AB3) (name SDQ13) (type BiDi))
+        (pin (num AB4) (name SDQ0) (type BiDi))
+        (pin (num AB5) (name SDQ5) (type BiDi))
+        (pin (num AB6) (name SDQS0) (type output))
+        (pin (num AB7) (name SDQ6) (type BiDi))
+        (pin (num AB8) (name SDQ3) (type BiDi))
+        (pin (num AB9) (name LCD0_HSYNC) (type BiDi))
+        (pin (num AB10) (name LCD0_D22) (type BiDi))
+        (pin (num AB11) (name LCD0_D8) (type BiDi))
+        (pin (num AB12) (name LCD0_D6) (type BiDi))
+        (pin (num AB13) (name LCD0_D4) (type BiDi))
+        (pin (num AB14) (name LCD0_D2) (type BiDi))
+        (pin (num AB15) (name LCD0_D0) (type BiDi))
+        (pin (num AB16) (name TVOUT1) (type output))
+        (pin (num AB17) (name TVOUT3) (type output))
+        (pin (num AB18) (name TVIN1) (type input))
+        (pin (num AB19) (name NC) (type input))
+        (pin (num AB20) (name LINEINL) (type input))
+        (pin (num AB21) (name LINEINR) (type input))
+        (pin (num AB22) (name LRADC1) (type input))
+        (pin (num AB23) (name LRADC0) (type input))
+        (pin (num AC1) (name SDQ15) (type BiDi))
+        (pin (num AC2) (name SDQ10) (type BiDi))
+        (pin (num AC3) (name SDQ7) (type BiDi))
+        (pin (num AC4) (name SDQ2) (type BiDi))
+        (pin (num AC5) (name SDQSO#) (type output))
+        (pin (num AC6) (name SDQM0) (type output))
+        (pin (num AC7) (name SDQ1) (type BiDi))
+        (pin (num AC8) (name SDQ4) (type BiDi))
+        (pin (num AC9) (name LCD0_VSYNC) (type BiDi))
+        (pin (num AC10) (name LCD0_D23) (type BiDi))
+        (pin (num AC11) (name LCD0_D9) (type BiDi))
+        (pin (num AC12) (name LCD0_D7) (type BiDi))
+        (pin (num AC13) (name LCD0_D5) (type BiDi))
+        (pin (num AC14) (name LCD0_D3) (type BiDi))
+        (pin (num AC15) (name LCD0_D1) (type BiDi))
+        (pin (num AC16) (name TVOUT0) (type output))
+        (pin (num AC17) (name TVOUT2) (type output))
+        (pin (num AC18) (name TVIN0) (type input))
+        (pin (num AC19) (name HPVCC) (type input))
+        (pin (num AC20) (name MICIN1) (type input))
+        (pin (num AC21) (name MICIN2) (type input))
+        (pin (num AC22) (name MIC1OUTP) (type BiDi))
+        (pin (num AC23) (name MIC1OUTN) (type BiDi))
+        (pin (num B1) (name PH16) (type BiDi))
+        (pin (num B2) (name PH14) (type BiDi))
+        (pin (num B3) (name PH11) (type BiDi))
+        (pin (num B4) (name U5RX) (type BiDi))
+        (pin (num B5) (name U4TX) (type BiDi))
+        (pin (num B6) (name U3RX) (type BiDi))
+        (pin (num B7) (name PB23) (type input))
+        (pin (num B8) (name PB19) (type input))
+        (pin (num B9) (name PB17) (type input))
+        (pin (num B10) (name PB15) (type input))
+        (pin (num B11) (name PB13) (type input))
+        (pin (num B12) (name PB7) (type input))
+        (pin (num B13) (name PB5) (type input))
+        (pin (num B14) (name PB3) (type input))
+        (pin (num B15) (name PB1) (type input))
+        (pin (num B16) (name PI9) (type input))
+        (pin (num B17) (name PI7) (type input))
+        (pin (num B18) (name PI5) (type input))
+        (pin (num B19) (name PI3) (type input))
+        (pin (num B20) (name PI1) (type input))
+        (pin (num B21) (name PE10) (type input))
+        (pin (num B22) (name PE7) (type input))
+        (pin (num B23) (name PE6) (type input))
+        (pin (num C1) (name PH17) (type BiDi))
+        (pin (num C2) (name PH18) (type BiDi))
+        (pin (num C3) (name PH12) (type BiDi))
+        (pin (num C4) (name PH8) (type BiDi))
+        (pin (num C5) (name U4RX) (type BiDi))
+        (pin (num C6) (name U3RTS) (type BiDi))
+        (pin (num C7) (name PB21) (type input))
+        (pin (num C8) (name PB20) (type input))
+        (pin (num C9) (name PB12) (type input))
+        (pin (num C10) (name PB11) (type input))
+        (pin (num C11) (name PB10) (type input))
+        (pin (num C12) (name PB9) (type input))
+        (pin (num C13) (name ETXERR) (type input))
+        (pin (num C14) (name RESET#) (type input))
+        (pin (num C15) (name PI14) (type input))
+        (pin (num C16) (name PI12) (type input))
+        (pin (num C17) (name PI10) (type input))
+        (pin (num C18) (name PG11) (type input))
+        (pin (num C19) (name PG9) (type input))
+        (pin (num C20) (name PG7) (type input))
+        (pin (num C21) (name PG5) (type input))
+        (pin (num C22) (name PE5) (type input))
+        (pin (num C23) (name PE4) (type input))
+        (pin (num D1) (name PH19) (type BiDi))
+        (pin (num D2) (name PH20) (type BiDi))
+        (pin (num D3) (name PH21) (type BiDi))
+        (pin (num D4) (name PH9) (type BiDi))
+        (pin (num D5) (name ERXD3) (type input))
+        (pin (num D6) (name ERXD1) (type output))
+        (pin (num D7) (name ETXD3) (type input))
+        (pin (num D8) (name ETXD1) (type input))
+        (pin (num D9) (name ERXCK) (type input))
+        (pin (num D10) (name ERXDV) (type output))
+        (pin (num D11) (name EMDIO) (type input))
+        (pin (num D12) (name ETXCK) (type input))
+        (pin (num D13) (name ECOL) (type input))
+        (pin (num D14) (name PI19) (type input))
+        (pin (num D15) (name PI15) (type input))
+        (pin (num D16) (name PI13) (type input))
+        (pin (num D17) (name PI11) (type input))
+        (pin (num D18) (name PG10) (type input))
+        (pin (num D19) (name PG8) (type input))
+        (pin (num D20) (name PG4) (type input))
+        (pin (num D21) (name PG3) (type input))
+        (pin (num D22) (name PE3) (type input))
+        (pin (num D23) (name PE2) (type input))
+        (pin (num E1) (name PH22) (type BiDi))
+        (pin (num E2) (name PH23) (type BiDi))
+        (pin (num E3) (name PH24) (type BiDi))
+        (pin (num E4) (name PH25) (type BiDi))
+        (pin (num E5) (name ERXD2) (type input))
+        (pin (num E6) (name ERXD0) (type input))
+        (pin (num E7) (name ETXD2) (type input))
+        (pin (num E8) (name ETXD0) (type input))
+        (pin (num E9) (name ERXERR) (type input))
+        (pin (num E10) (name EMDC) (type input))
+        (pin (num E11) (name ETXEN) (type input))
+        (pin (num E12) (name ECRS) (type input))
+        (pin (num E13) (name PI21) (type input))
+        (pin (num E14) (name PI20) (type input))
+        (pin (num E15) (name PI18) (type input))
+        (pin (num E16) (name PI17) (type input))
+        (pin (num E17) (name PI16) (type input))
+        (pin (num E18) (name VCC_CSI0) (type input))
+        (pin (num E19) (name PG6) (type input))
+        (pin (num E20) (name PG2) (type input))
+        (pin (num E21) (name PG1) (type input))
+        (pin (num E22) (name PE1) (type input))
+        (pin (num E23) (name PE0) (type input))
+        (pin (num F1) (name CLK32KIN) (type BiDi))
+        (pin (num F2) (name CLK32KOUT) (type BiDi))
+        (pin (num F3) (name PH26) (type BiDi))
+        (pin (num F4) (name PH27) (type input))
+        (pin (num F5) (name NMI#) (type input))
+        (pin (num F19) (name VCC_CSI1) (type input))
+        (pin (num F20) (name PG0) (type input))
+        (pin (num F21) (name PC24) (type input))
+        (pin (num F22) (name PC18) (type input))
+        (pin (num F23) (name PC17) (type input))
+        (pin (num G1) (name SDQM3) (type output))
+        (pin (num G2) (name SDQ30) (type BiDi))
+        (pin (num G3) (name GND_DRAM) (type input))
+        (pin (num G4) (name GND_DRAM) (type input))
+        (pin (num G5) (name VCC_DRAM) (type input))
+        (pin (num G19) (name PC23) (type input))
+        (pin (num G20) (name PC15) (type input))
+        (pin (num G21) (name PC14) (type input))
+        (pin (num G22) (name PC11) (type input))
+        (pin (num G23) (name PC10) (type input))
+        (pin (num H1) (name SDQ25) (type BiDi))
+        (pin (num H2) (name SDQ27) (type BiDi))
+        (pin (num H3) (name SVREF0) (type input))
+        (pin (num H4) (name SVREF1) (type input))
+        (pin (num H5) (name VCC_DRAM) (type input))
+        (pin (num H8) (name VCC) (type input))
+        (pin (num H9) (name VCC) (type input))
+        (pin (num H10) (name VCC) (type input))
+        (pin (num H11) (name VDD_CPU) (type input))
+        (pin (num H12) (name VDD_CPU) (type input))
+        (pin (num H13) (name VDD_CPU) (type input))
+        (pin (num H14) (name VDD_CPU) (type input))
+        (pin (num H15) (name VCC) (type input))
+        (pin (num H16) (name TEST) (type input))
+        (pin (num H19) (name VCC_NAND) (type input))
+        (pin (num H20) (name PC13) (type input))
+        (pin (num H21) (name PC12) (type input))
+        (pin (num H22) (name PC9) (type input))
+        (pin (num H23) (name PC8) (type input))
+        (pin (num J1) (name SDQ28) (type BiDi))
+        (pin (num J2) (name SDQS3#) (type output))
+        (pin (num J3) (name ODT1) (type BiDi))
+        (pin (num J4) (name SCKE1) (type output))
+        (pin (num J5) (name GND_DRAM) (type input))
+        (pin (num J8) (name VCC) (type input))
+        (pin (num J9) (name VCC) (type input))
+        (pin (num J10) (name VCC) (type input))
+        (pin (num J11) (name GND) (type power_in))
+        (pin (num J12) (name VDD_CPU) (type input))
+        (pin (num J13) (name VDD_CPU) (type input))
+        (pin (num J14) (name VCC) (type input))
+        (pin (num J15) (name VDD_INT) (type input))
+        (pin (num J16) (name VDD_INT) (type input))
+        (pin (num J19) (name VCC_NAND) (type input))
+        (pin (num J20) (name PC22) (type input))
+        (pin (num J21) (name PC21) (type input))
+        (pin (num J22) (name PC7) (type input))
+        (pin (num J23) (name PC6) (type input))
+        (pin (num K1) (name SDQS3) (type output))
+        (pin (num K2) (name SDQ31) (type BiDi))
+        (pin (num K3) (name SBA0) (type output))
+        (pin (num K4) (name SBA2) (type output))
+        (pin (num K5) (name GND_DRAM) (type input))
+        (pin (num K8) (name RTC_VDD) (type input))
+        (pin (num K9) (name VDD_INT) (type input))
+        (pin (num K10) (name VDD_INT) (type input))
+        (pin (num K11) (name GND) (type power_in))
+        (pin (num K12) (name GND) (type input))
+        (pin (num K13) (name GND) (type input))
+        (pin (num K14) (name ULGND) (type input))
+        (pin (num K15) (name VDD_INT) (type input))
+        (pin (num K16) (name ULVDD) (type input))
+        (pin (num K19) (name SDC0_D2) (type BiDi))
+        (pin (num K20) (name SDC0_D3) (type BiDi))
+        (pin (num K21) (name PC20) (type input))
+        (pin (num K22) (name PC5) (type input))
+        (pin (num K23) (name PC4) (type input))
+        (pin (num L1) (name SDQ24) (type BiDi))
+        (pin (num L2) (name SDQ26) (type BiDi))
+        (pin (num L3) (name SA10) (type output))
+        (pin (num L4) (name SBA1) (type output))
+        (pin (num L5) (name VCC_DRAM) (type input))
+        (pin (num L8) (name VDD_INT) (type input))
+        (pin (num L9) (name VDD_INT) (type input))
+        (pin (num L10) (name GND) (type input))
+        (pin (num L11) (name GND) (type input))
+        (pin (num L12) (name GND) (type input))
+        (pin (num L13) (name UGND_T) (type input))
+        (pin (num L14) (name UGND_C) (type input))
+        (pin (num L15) (name UVCC_T) (type input))
+        (pin (num L16) (name UVCC_C) (type input))
+        (pin (num L19) (name SDC0_CMD) (type BiDi))
+        (pin (num L20) (name SDC0_CLK) (type output))
+        (pin (num L21) (name PC19) (type input))
+        (pin (num L22) (name PC3) (type input))
+        (pin (num L23) (name PC2) (type BiDi))
+        (pin (num M1) (name SDQ29) (type BiDi))
+        (pin (num M2) (name SDQ23) (type BiDi))
+        (pin (num M3) (name SA7) (type output))
+        (pin (num M4) (name SA3) (type output))
+        (pin (num M5) (name VCC_DRAM) (type input))
+        (pin (num M8) (name VDD_DLL) (type input))
+        (pin (num M9) (name GND_DLL) (type input))
+        (pin (num M10) (name GND) (type input))
+        (pin (num M11) (name GND) (type input))
+        (pin (num M12) (name GND) (type input))
+        (pin (num M13) (name GND) (type input))
+        (pin (num M14) (name GND_SATA) (type power_in))
+        (pin (num M15) (name NC) (type input))
+        (pin (num M16) (name NC) (type input))
+        (pin (num M19) (name SDC0_D0) (type BiDi))
+        (pin (num M20) (name SDC0_D1) (type BiDi))
+        (pin (num M21) (name PC16) (type input))
+        (pin (num M22) (name PC1) (type BiDi))
+        (pin (num M23) (name PC0) (type BiDi))
+        (pin (num N1) (name SDQ16) (type BiDi))
+        (pin (num N2) (name SDQ18) (type BiDi))
+        (pin (num N3) (name SCKE0) (type output))
+        (pin (num N4) (name SA5) (type output))
+        (pin (num N5) (name GND_DRAM) (type input))
+        (pin (num N8) (name NC) (type input))
+        (pin (num N9) (name VDD_DLL) (type input))
+        (pin (num N10) (name GND_DLL) (type input))
+        (pin (num N11) (name GND) (type input))
+        (pin (num N12) (name GND) (type input))
+        (pin (num N13) (name GND_SATA) (type power_in))
+        (pin (num N14) (name GND_SATA) (type power_in))
+        (pin (num N15) (name NC) (type input))
+        (pin (num N16) (name NC) (type input))
+        (pin (num N19) (name VCC_CARD) (type input))
+        (pin (num N20) (name DM0) (type BiDi))
+        (pin (num N21) (name DP0) (type BiDi))
+        (pin (num N22) (name CLK24m_OUT) (type BiDi))
+        (pin (num N23) (name CLK24M_IN) (type BiDi))
+        (pin (num P1) (name SDQ21) (type BiDi))
+        (pin (num P2) (name SDQS2#) (type output))
+        (pin (num P3) (name SA12) (type output))
+        (pin (num P4) (name SA9) (type output))
+        (pin (num P5) (name GND_DRAM) (type input))
+        (pin (num P8) (name NC) (type input))
+        (pin (num P9) (name VDD_DLL) (type input))
+        (pin (num P10) (name GND_DLL) (type input))
+        (pin (num P11) (name GND) (type input))
+        (pin (num P12) (name GND) (type input))
+        (pin (num P13) (name GND_HDMI) (type input))
+        (pin (num P14) (name GND_HDMI) (type input))
+        (pin (num P15) (name PLLGND) (type input))
+        (pin (num P16) (name PLLVP25) (type input))
+        (pin (num P19) (name NC) (type input))
+        (pin (num P20) (name DM1) (type BiDi))
+        (pin (num P21) (name DP1) (type BiDi))
+        (pin (num P22) (name HPD_HDMI) (type BiDi))
+        (pin (num P23) (name CEC_HDMI) (type BiDi))
+        (pin (num R1) (name SDQS2) (type output))
+        (pin (num R2) (name SDQM2) (type output))
+        (pin (num R3) (name SA14) (type output))
+        (pin (num R4) (name SA1) (type output))
+        (pin (num R5) (name VCC_DRAM) (type input))
+        (pin (num R8) (name NC) (type input))
+        (pin (num R9) (name VDD_INT) (type input))
+        (pin (num R10) (name VDD_INT) (type input))
+        (pin (num R11) (name GND) (type input))
+        (pin (num R12) (name GND) (type input))
+        (pin (num R13) (name GND_HDMI) (type input))
+        (pin (num R14) (name NC) (type input))
+        (pin (num R15) (name NC) (type input))
+        (pin (num R16) (name NC) (type input))
+        (pin (num R19) (name NC) (type input))
+        (pin (num R20) (name DM2) (type BiDi))
+        (pin (num R21) (name DP2) (type BiDi))
+        (pin (num R22) (name SDA_HDMI) (type BiDi))
+        (pin (num R23) (name SCL_HDMI) (type BiDi))
+        (pin (num T1) (name SDQ22) (type BiDi))
+        (pin (num T2) (name SDQ17) (type BiDi))
+        (pin (num T3) (name SWE) (type BiDi))
+        (pin (num T4) (name SRAS) (type BiDi))
+        (pin (num T5) (name VCC_DRAM) (type input))
+        (pin (num T8) (name VDD_INT) (type input))
+        (pin (num T9) (name GND) (type input))
+        (pin (num T10) (name JTAG_SEL) (type input))
+        (pin (num T11) (name GND) (type input))
+        (pin (num T12) (name GND) (type input))
+        (pin (num T13) (name VP_HDMI) (type BiDi))
+        (pin (num T14) (name NC) (type input))
+        (pin (num T15) (name PLL_TEST) (type input))
+        (pin (num T16) (name NC) (type input))
+        (pin (num T19) (name AVCC) (type input))
+        (pin (num T20) (name NC) (type input))
+        (pin (num T21) (name NC) (type input))
+        (pin (num T22) (name TX2N_HDMI) (type BiDi))
+        (pin (num T23) (name TX2P_HDMI) (type BiDi))
+        (pin (num U1) (name SDQ19) (type BiDi))
+        (pin (num U2) (name SDQ20) (type BiDi))
+        (pin (num U3) (name SCAS) (type BiDi))
+        (pin (num U4) (name SA2) (type output))
+        (pin (num U5) (name GND_DRAM) (type input))
+        (pin (num U19) (name AGND) (type input))
+        (pin (num U20) (name NC) (type input))
+        (pin (num U21) (name NC) (type input))
+        (pin (num U22) (name TX1N_HDMI) (type BiDi))
+        (pin (num U23) (name TX1P_HDMI) (type BiDi))
+        (pin (num V1) (name SCK) (type output))
+        (pin (num V2) (name SCK#) (type output))
+        (pin (num V3) (name SCS0) (type BiDi))
+        (pin (num V4) (name SA6) (type output))
+        (pin (num V5) (name GND_DRAM) (type input))
+        (pin (num V19) (name HPGND) (type input))
+        (pin (num V20) (name VRA2) (type input))
+        (pin (num V21) (name NC) (type input))
+        (pin (num V22) (name TX0N_HDMI) (type BiDi))
+        (pin (num V23) (name TX0P_HDMI) (type BiDi))
+        (pin (num W1) (name SDQM1) (type output))
+        (pin (num W2) (name SDQ14) (type BiDi))
+        (pin (num W3) (name SA11) (type output))
+        (pin (num W4) (name SA0) (type output))
+        (pin (num W5) (name VCC_DRAM) (type input))
+        (pin (num W6) (name VCC_DRAM) (type input))
+        (pin (num W7) (name VCC_DRAM) (type input))
+        (pin (num W8) (name UBOOT_SEL) (type input))
+        (pin (num W9) (name GND_LVDS) (type input))
+        (pin (num W10) (name GND_LVDS) (type input))
+        (pin (num W11) (name GND_LVDS) (type input))
+        (pin (num W12) (name VCC_LVDS) (type input))
+        (pin (num W13) (name VCC_LVDS) (type input))
+        (pin (num W14) (name VCC_LVDS) (type input))
+        (pin (num W15) (name VCC33_TVO) (type input))
+        (pin (num W16) (name VCC33_TVIN) (type input))
+        (pin (num W17) (name NC) (type input))
+        (pin (num W18) (name GND33_TV) (type input))
+        (pin (num W19) (name HPR) (type input))
+        (pin (num W20) (name VRA1) (type input))
+        (pin (num W21) (name VRP) (type input))
+        (pin (num W22) (name TXCN_HDMI) (type BiDi))
+        (pin (num W23) (name TXCP_HDMI) (type BiDi))
+        (pin (num Y1) (name SDQ9) (type BiDi))
+        (pin (num Y2) (name SDQ11) (type BiDi))
+        (pin (num Y3) (name SA13) (type output))
+        (pin (num Y4) (name SA4) (type output))
+        (pin (num Y5) (name SVREF2) (type input))
+        (pin (num Y6) (name VCC_DRAM) (type input))
+        (pin (num Y7) (name GND_DRAM) (type input))
+        (pin (num Y8) (name GND_DRAM) (type input))
+        (pin (num Y9) (name LCD0_CLK) (type BiDi))
+        (pin (num Y10) (name LCD0_D20) (type BiDi))
+        (pin (num Y11) (name LCD0_D18) (type BiDi))
+        (pin (num Y12) (name LCD0_D16) (type BiDi))
+        (pin (num Y13) (name LCD0_D14) (type BiDi))
+        (pin (num Y14) (name LCD0_D12) (type BiDi))
+        (pin (num Y15) (name LCD0_D10) (type BiDi))
+        (pin (num Y16) (name HPVCCIN) (type input))
+        (pin (num Y17) (name TVIN3) (type input))
+        (pin (num Y18) (name NC) (type input))
+        (pin (num Y19) (name HPL) (type input))
+        (pin (num Y20) (name FMINL) (type input))
+        (pin (num Y21) (name FMINR) (type input))
+        (pin (num Y22) (name XP_TP) (type input))
+        (pin (num Y23) (name YP_TP) (type input))))
+    (libpart (lib A10-plus) (part AXP209)
+      (fields
+        (field (name Reference) U)
+        (field (name Value) AXP209))
+      (pins
+        (pin (num 1) (name SDA) (type BiDi))
+        (pin (num 2) (name SCK) (type input))
+        (pin (num 3) (name GPIO3) (type BiDi))
+        (pin (num 4) (name N_OE) (type input))
+        (pin (num 5) (name GPIO2) (type BiDi))
+        (pin (num 6) (name N_VBUSEN) (type input))
+        (pin (num 7) (name VIN2) (type input))
+        (pin (num 8) (name LX2) (type input))
+        (pin (num 9) (name PGND2) (type power_in))
+        (pin (num 10) (name DCDC2) (type power_out))
+        (pin (num 11) (name LDO4) (type power_out))
+        (pin (num 12) (name LDO2) (type power_out))
+        (pin (num 13) (name LDO24IN) (type input))
+        (pin (num 14) (name VIN3) (type input))
+        (pin (num 15) (name LX3) (type input))
+        (pin (num 16) (name PGND3) (type power_in))
+        (pin (num 17) (name DCDC3) (type power_out))
+        (pin (num 18) (name GPIO1) (type output))
+        (pin (num 19) (name GPIO0) (type BiDi))
+        (pin (num 20) (name EXTEN) (type output))
+        (pin (num 21) (name APS) (type input))
+        (pin (num 22) (name AGND) (type power_in))
+        (pin (num 23) (name BIAS) (type BiDi))
+        (pin (num 24) (name VREF) (type output))
+        (pin (num 25) (name PWROK) (type output))
+        (pin (num 26) (name VINT) (type power_out))
+        (pin (num 27) (name LDO1SET) (type input))
+        (pin (num 28) (name LDO1) (type power_out))
+        (pin (num 29) (name DC3SET) (type BiDi))
+        (pin (num 30) (name BACKUP) (type BiDi))
+        (pin (num 31) (name VBUS) (type power_in))
+        (pin (num 32) (name ACIN) (type power_in))
+        (pin (num 33) (name ACIN) (type power_in))
+        (pin (num 34) (name IPSOUT) (type input))
+        (pin (num 35) (name IPSOUT) (type power_out))
+        (pin (num 36) (name CHGLED) (type output))
+        (pin (num 37) (name TS) (type input))
+        (pin (num 38) (name BAT) (type power_in))
+        (pin (num 39) (name BAT) (type power_in))
+        (pin (num 40) (name LDO3IN) (type input))
+        (pin (num 41) (name LDO3) (type power_out))
+        (pin (num 42) (name BATSENSE) (type input))
+        (pin (num 43) (name CHSENSE) (type output))
+        (pin (num 44) (name VIN1) (type input))
+        (pin (num 45) (name LX1) (type BiDi))
+        (pin (num 46) (name PGND1) (type power_in))
+        (pin (num 47) (name PWRON) (type input))
+        (pin (num 48) (name IRQ) (type BiDi))
+        (pin (num 49) (name DP_[BODY]) (type power_in))))
+    (libpart (lib A10-plus) (part DUSB)
+      (fields
+        (field (name Reference) J)
+        (field (name Value) DUSB)
+        (field (name Footprint) ~)
+        (field (name Datasheet) ~))
+      (pins
+        (pin (num 1) (name PWR) (type input))
+        (pin (num 2) (name PWR) (type input))
+        (pin (num 3) (name D-) (type input))
+        (pin (num 4) (name D-) (type input))
+        (pin (num 5) (name D+) (type input))
+        (pin (num 6) (name D+) (type input))
+        (pin (num 7) (name GND) (type input))
+        (pin (num 8) (name GND) (type input))
+        (pin (num sh1) (name ~) (type input))
+        (pin (num sh2) (name ~) (type input))
+        (pin (num sh3) (name ~) (type input))
+        (pin (num sh4) (name ~) (type input))))
+    (libpart (lib A10-plus) (part RJ45-HANRUN)
+      (fields
+        (field (name Reference) J)
+        (field (name Value) RJ45-HANRUN))
+      (pins
+        (pin (num 1) (name TD+) (type passive))
+        (pin (num 2) (name TD-) (type passive))
+        (pin (num 3) (name RD+) (type passive))
+        (pin (num 4) (name COM) (type passive))
+        (pin (num 5) (name COM) (type passive))
+        (pin (num 6) (name RD-) (type passive))
+        (pin (num 7) (name NC) (type passive))
+        (pin (num 8) (name GND) (type passive))
+        (pin (num 9) (name LEDG_A) (type passive))
+        (pin (num 10) (name LEDG_K) (type passive))
+        (pin (num 11) (name LEDY_K) (type passive))
+        (pin (num 12) (name LEDY_A) (type passive))
+        (pin (num 13) (name SHIELD) (type passive))))
+    (libpart (lib A10-plus) (part RTL8201CP)
+      (fields
+        (field (name Reference) U)
+        (field (name Value) RTL8201CP)
+        (field (name Footprint) MODULE)
+        (field (name Datasheet) ~))
+      (pins
+        (pin (num 1) (name COL) (type BiDi))
+        (pin (num 2) (name TXEN) (type BiDi))
+        (pin (num 3) (name TXD3) (type BiDi))
+        (pin (num 4) (name TXD2) (type BiDi))
+        (pin (num 5) (name TXD1) (type BiDi))
+        (pin (num 6) (name TXD0) (type BiDi))
+        (pin (num 7) (name TXC) (type BiDi))
+        (pin (num 8) (name PFWBIN) (type input))
+        (pin (num 9) (name LED0) (type input))
+        (pin (num 10) (name LED1) (type input))
+        (pin (num 11) (name DGND) (type input))
+        (pin (num 12) (name LED2) (type input))
+        (pin (num 13) (name LED3) (type input))
+        (pin (num 14) (name DVDD33) (type input))
+        (pin (num 15) (name LED4) (type input))
+        (pin (num 16) (name RXC) (type BiDi))
+        (pin (num 17) (name DGND) (type input))
+        (pin (num 18) (name RXD3) (type BiDi))
+        (pin (num 19) (name RXD2) (type BiDi))
+        (pin (num 20) (name RXD1) (type BiDi))
+        (pin (num 21) (name RXD0) (type BiDi))
+        (pin (num 22) (name RXDV) (type BiDi))
+        (pin (num 23) (name CRS) (type BiDi))
+        (pin (num 24) (name RXER) (type BiDi))
+        (pin (num 25) (name MDC) (type BiDi))
+        (pin (num 26) (name MDIO) (type BiDi))
+        (pin (num 27) (name NC) (type input))
+        (pin (num 28) (name RTEST) (type input))
+        (pin (num 29) (name AGND) (type input))
+        (pin (num 30) (name TPRX-) (type input))
+        (pin (num 31) (name TPRX+) (type input))
+        (pin (num 32) (name PFWBOUT) (type input))
+        (pin (num 33) (name TPTX-) (type input))
+        (pin (num 34) (name TPTX+) (type input))
+        (pin (num 35) (name AGND) (type input))
+        (pin (num 36) (name AVDD33) (type input))
+        (pin (num 37) (name ANE) (type input))
+        (pin (num 38) (name DUPLEX) (type input))
+        (pin (num 39) (name SPEED) (type input))
+        (pin (num 40) (name RPTR) (type input))
+        (pin (num 41) (name LDPS) (type input))
+        (pin (num 42) (name RESETB) (type BiDi))
+        (pin (num 43) (name ISOLATE) (type input))
+        (pin (num 44) (name MII) (type input))
+        (pin (num 45) (name DGND) (type input))
+        (pin (num 46) (name X1) (type input))
+        (pin (num 47) (name X2) (type input))
+        (pin (num 48) (name DVDD33) (type input))))
+    (libpart (lib A10-plus) (part SY8008C)
+      (fields
+        (field (name Reference) U)
+        (field (name Value) SY8008C)
+        (field (name Footprint) MODULE)
+        (field (name Datasheet) ~))
+      (pins
+        (pin (num 1) (name EN) (type input))
+        (pin (num 2) (name GND) (type input))
+        (pin (num 3) (name LX) (type power_out))
+        (pin (num 4) (name IN) (type input))
+        (pin (num 5) (name FB) (type input))))
+    (libpart (lib A10-plus) (part XTL-SMD)
+      (fields
+        (field (name Reference) X)
+        (field (name Value) XTL-SMD))
+      (pins
+        (pin (num 1) (name 1) (type BiDi))
+        (pin (num 2) (name GND) (type BiDi))
+        (pin (num 3) (name 3) (type BiDi))
+        (pin (num 4) (name GND) (type BiDi)))))
+  (libraries
+    (library (logical device)
+      (uri /usr/share/kicad/library/device.lib))
+    (library (logical conn)
+      (uri /usr/share/kicad/library/conn.lib))
+    (library (logical A10-plus)
+      (uri A10-plus.lib)))
+  (nets
+    (net (code 1) (name /SA13)
+      (node (ref U1) (pin T3))
+      (node (ref U3) (pin Y3))
+      (node (ref U2) (pin T3)))
+    (net (code 2) (name /SA14)
+      (node (ref U2) (pin T7))
+      (node (ref U1) (pin T7))
+      (node (ref U3) (pin R3)))
+    (net (code 3) (name /BA0)
+      (node (ref U3) (pin K3))
+      (node (ref U2) (pin M2))
+      (node (ref U1) (pin M2)))
+    (net (code 4) (name /BA1)
+      (node (ref U2) (pin N8))
+      (node (ref U1) (pin N8))
+      (node (ref U3) (pin L4)))
+    (net (code 5) (name /BA2)
+      (node (ref U3) (pin K4))
+      (node (ref U1) (pin M3))
+      (node (ref U2) (pin M3)))
+    (net (code 6) (name /SA12)
+      (node (ref U1) (pin N7))
+      (node (ref U3) (pin P3))
+      (node (ref U2) (pin N7)))
+    (net (code 7) (name /SA10)
+      (node (ref U2) (pin L7))
+      (node (ref U3) (pin L3))
+      (node (ref U1) (pin L7)))
+    (net (code 8) (name /SA11)
+      (node (ref U2) (pin R7))
+      (node (ref U1) (pin R7))
+      (node (ref U3) (pin W3)))
+    (net (code 9) (name /DQ14)
+      (node (ref U3) (pin W2))
+      (node (ref U2) (pin C2)))
+    (net (code 10) (name /DQ10)
+      (node (ref U2) (pin B8))
+      (node (ref U3) (pin AC2)))
+    (net (code 11) (name /DQ11)
+      (node (ref U2) (pin A2))
+      (node (ref U3) (pin Y2)))
+    (net (code 12) (name /DQ12)
+      (node (ref U2) (pin A3))
+      (node (ref U3) (pin AA1)))
+    (net (code 13) (name /DQ13)
+      (node (ref U2) (pin C8))
+      (node (ref U3) (pin AB3)))
+    (net (code 14) (name /DQ15)
+      (node (ref U3) (pin AC1))
+      (node (ref U2) (pin D7)))
+    (net (code 15) (name "")
+      (node (ref R9) (pin 2))
+      (node (ref U3) (pin M20)))
+    (net (code 16) (name "")
+      (node (ref U3) (pin N20)))
+    (net (code 17) (name "")
+      (node (ref U3) (pin N21)))
+    (net (code 18) (name AVCC)
+      (node (ref U7) (pin 12))
+      (node (ref C29) (pin 2))
+      (node (ref U3) (pin T19))
+      (node (ref C28) (pin 2))
+      (node (ref U5) (pin 1)))
+    (net (code 19) (name "")
+      (node (ref U4) (pin 6)))
+    (net (code 20) (name "")
+      (node (ref U6) (pin 10)))
+    (net (code 21) (name "")
+      (node (ref U6) (pin 9)))
+    (net (code 22) (name "")
+      (node (ref U6) (pin 7)))
+    (net (code 23) (name "")
+      (node (ref U6) (pin 6)))
+    (net (code 24) (name "")
+      (node (ref U8) (pin 10)))
+    (net (code 25) (name "")
+      (node (ref U8) (pin 9)))
+    (net (code 26) (name "")
+      (node (ref U8) (pin 7)))
+    (net (code 27) (name /LD20)
+      (node (ref P1) (pin 21))
+      (node (ref U3) (pin Y10)))
+    (net (code 28) (name "")
+      (node (ref U4) (pin 10)))
+    (net (code 29) (name "")
+      (node (ref U4) (pin 9)))
+    (net (code 30) (name "")
+      (node (ref U4) (pin 7)))
+    (net (code 31) (name /LHSYN)
+      (node (ref U10) (pin 4))
+      (node (ref P1) (pin 27))
+      (node (ref U3) (pin AB9)))
+    (net (code 32) (name /LD21)
+      (node (ref U3) (pin AA10))
+      (node (ref P1) (pin 22)))
+    (net (code 33) (name /LD22)
+      (node (ref U3) (pin AB10))
+      (node (ref P1) (pin 23)))
+    (net (code 34) (name /LD23)
+      (node (ref U3) (pin AC10))
+      (node (ref P1) (pin 24)))
+    (net (code 35) (name /LCLK)
+      (node (ref U3) (pin Y9))
+      (node (ref P1) (pin 25)))
+    (net (code 36) (name /LDE)
+      (node (ref P1) (pin 26))
+      (node (ref U3) (pin AA9)))
+    (net (code 37) (name /LVSYN)
+      (node (ref U3) (pin AC9))
+      (node (ref P1) (pin 28))
+      (node (ref U10) (pin 2)))
+    (net (code 38) (name /NMI#)
+      (node (ref U3) (pin F5))
+      (node (ref U7) (pin 48)))
+    (net (code 39) (name /LD10)
+      (node (ref U3) (pin Y15))
+      (node (ref P1) (pin 11)))
+    (net (code 40) (name /LD1)
+      (node (ref U3) (pin AC15))
+      (node (ref P1) (pin 2)))
+    (net (code 41) (name /LD2)
+      (node (ref U3) (pin AB14))
+      (node (ref P1) (pin 3)))
+    (net (code 42) (name /LD3)
+      (node (ref P1) (pin 4))
+      (node (ref U3) (pin AC14)))
+    (net (code 43) (name /LD4)
+      (node (ref U3) (pin AB13))
+      (node (ref P1) (pin 5)))
+    (net (code 44) (name /LD5)
+      (node (ref U3) (pin AC13))
+      (node (ref P1) (pin 6)))
+    (net (code 45) (name /LD6)
+      (node (ref P1) (pin 7))
+      (node (ref U3) (pin AB12)))
+    (net (code 46) (name /LD7)
+      (node (ref P1) (pin 8))
+      (node (ref U3) (pin AC12)))
+    (net (code 47) (name /LD8)
+      (node (ref U3) (pin AB11))
+      (node (ref P1) (pin 9)))
+    (net (code 48) (name /LD9)
+      (node (ref U3) (pin AC11))
+      (node (ref P1) (pin 10)))
+    (net (code 49) (name /LD0)
+      (node (ref P1) (pin 1))
+      (node (ref U3) (pin AB15)))
+    (net (code 50) (name /LD11)
+      (node (ref P1) (pin 12))
+      (node (ref U3) (pin AA15)))
+    (net (code 51) (name /LD12)
+      (node (ref P1) (pin 13))
+      (node (ref U3) (pin Y14)))
+    (net (code 52) (name /LD13)
+      (node (ref U3) (pin AA14))
+      (node (ref P1) (pin 14)))
+    (net (code 53) (name /LD14)
+      (node (ref U3) (pin Y13))
+      (node (ref P1) (pin 15)))
+    (net (code 54) (name /LD15)
+      (node (ref P1) (pin 16))
+      (node (ref U3) (pin AA13)))
+    (net (code 55) (name /LD16)
+      (node (ref U3) (pin Y12))
+      (node (ref P1) (pin 17)))
+    (net (code 56) (name /LD17)
+      (node (ref U3) (pin AA12))
+      (node (ref P1) (pin 18)))
+    (net (code 57) (name /LD18)
+      (node (ref P1) (pin 19))
+      (node (ref U3) (pin Y11)))
+    (net (code 58) (name /LD19)
+      (node (ref U3) (pin AA11))
+      (node (ref P1) (pin 20)))
+    (net (code 59) (name "")
+      (node (ref U7) (pin 8))
+      (node (ref L3) (pin 1)))
+    (net (code 60) (name "")
+      (node (ref L6) (pin 1))
+      (node (ref U7) (pin 15)))
+    (net (code 61) (name "")
+      (node (ref U3) (pin Y22)))
+    (net (code 62) (name "")
+      (node (ref U3) (pin A13)))
+    (net (code 63) (name "")
+      (node (ref U3) (pin B13)))
+    (net (code 64) (name ETXERR)
+      (node (ref U11) (pin 42))
+      (node (ref R42) (pin 2))
+      (node (ref C137) (pin 2))
+      (node (ref U3) (pin C13)))
+    (net (code 65) (name ECOL)
+      (node (ref U3) (pin D13))
+      (node (ref U11) (pin 1)))
+    (net (code 66) (name "")
+      (node (ref U3) (pin E13)))
+    (net (code 67) (name "")
+      (node (ref U3) (pin H22)))
+    (net (code 68) (name "")
+      (node (ref U3) (pin A22)))
+    (net (code 69) (name "")
+      (node (ref U3) (pin F22)))
+    (net (code 70) (name "")
+      (node (ref U3) (pin G22)))
+    (net (code 71) (name "")
+      (node (ref U3) (pin J22)))
+    (net (code 72) (name "")
+      (node (ref U3) (pin K22)))
+    (net (code 73) (name "")
+      (node (ref U3) (pin L22)))
+    (net (code 74) (name "")
+      (node (ref U3) (pin M22)))
+    (net (code 75) (name "")
+      (node (ref U3) (pin A14)))
+    (net (code 76) (name "")
+      (node (ref U3) (pin B14)))
+    (net (code 77) (name "")
+      (node (ref U3) (pin D14)))
+    (net (code 78) (name "")
+      (node (ref U3) (pin E14)))
+    (net (code 79) (name "")
+      (node (ref U3) (pin Y23)))
+    (net (code 80) (name "")
+      (node (ref U3) (pin R14)))
+    (net (code 81) (name "")
+      (node (ref U3) (pin T14)))
+    (net (code 82) (name "")
+      (node (ref U3) (pin K23)))
+    (net (code 83) (name "")
+      (node (ref U3) (pin A23)))
+    (net (code 84) (name /PE0)
+      (node (ref P14) (pin 1))
+      (node (ref U3) (pin E23)))
+    (net (code 85) (name "")
+      (node (ref U3) (pin F23)))
+    (net (code 86) (name "")
+      (node (ref U3) (pin G23)))
+    (net (code 87) (name "")
+      (node (ref U3) (pin H23)))
+    (net (code 88) (name "")
+      (node (ref U3) (pin J23)))
+    (net (code 89) (name "")
+      (node (ref U3) (pin L23)))
+    (net (code 90) (name "")
+      (node (ref U3) (pin M23)))
+    (net (code 91) (name "")
+      (node (ref U3) (pin C11)))
+    (net (code 92) (name "")
+      (node (ref U3) (pin T20)))
+    (net (code 93) (name "")
+      (node (ref U3) (pin U20)))
+    (net (code 94) (name "")
+      (node (ref U3) (pin Y20)))
+    (net (code 95) (name "")
+      (node (ref U3) (pin A11)))
+    (net (code 96) (name "")
+      (node (ref U3) (pin B11)))
+    (net (code 97) (name EMDIO)
+      (node (ref U11) (pin 26))
+      (node (ref U3) (pin D11)))
+    (net (code 98) (name ETXEN)
+      (node (ref U11) (pin 2))
+      (node (ref U3) (pin E11)))
+    (net (code 99) (name "")
+      (node (ref U3) (pin C20)))
+    (net (code 100) (name "")
+      (node (ref R2) (pin 1))
+      (node (ref U3) (pin T10)))
+    (net (code 101) (name "")
+      (node (ref U3) (pin A20)))
+    (net (code 102) (name "")
+      (node (ref U3) (pin B20)))
+    (net (code 103) (name "")
+      (node (ref U3) (pin D20)))
+    (net (code 104) (name "")
+      (node (ref U3) (pin E20)))
+    (net (code 105) (name "")
+      (node (ref U3) (pin F20)))
+    (net (code 106) (name "")
+      (node (ref U3) (pin G20)))
+    (net (code 107) (name "")
+      (node (ref U3) (pin H20)))
+    (net (code 108) (name "")
+      (node (ref U3) (pin J20)))
+    (net (code 109) (name "")
+      (node (ref U3) (pin K20))
+      (node (ref R5) (pin 2)))
+    (net (code 110) (name "")
+      (node (ref R7) (pin 2))
+      (node (ref U3) (pin L20)))
+    (net (code 111) (name ETXCK)
+      (node (ref U3) (pin D12))
+      (node (ref U11) (pin 7)))
+    (net (code 112) (name "")
+      (node (ref U3) (pin V21)))
+    (net (code 113) (name "")
+      (node (ref U3) (pin Y21)))
+    (net (code 114) (name "")
+      (node (ref U3) (pin A12)))
+    (net (code 115) (name "")
+      (node (ref U3) (pin B12)))
+    (net (code 116) (name "")
+      (node (ref U3) (pin C12)))
+    (net (code 117) (name "")
+      (node (ref U3) (pin U21)))
+    (net (code 118) (name ECRS)
+      (node (ref U11) (pin 23))
+      (node (ref U3) (pin E12)))
+    (net (code 119) (name 1.25V)
+      (node (ref C47) (pin 2))
+      (node (ref U3) (pin H12))
+      (node (ref U3) (pin J12))
+      (node (ref C48) (pin 2))
+      (node (ref C46) (pin 1))
+      (node (ref U7) (pin 10))
+      (node (ref U3) (pin H14))
+      (node (ref C57) (pin 1))
+      (node (ref P8) (pin 1))
+      (node (ref U3) (pin H11))
+      (node (ref U3) (pin J13))
+      (node (ref C58) (pin 1))
+      (node (ref U3) (pin H13))
+      (node (ref L3) (pin 2))
+      (node (ref C59) (pin 1)))
+    (net (code 120) (name "")
+      (node (ref U3) (pin G21)))
+    (net (code 121) (name "")
+      (node (ref U3) (pin A21)))
+    (net (code 122) (name "")
+      (node (ref U3) (pin B21)))
+    (net (code 123) (name "")
+      (node (ref U3) (pin C21)))
+    (net (code 124) (name "")
+      (node (ref U3) (pin D21)))
+    (net (code 125) (name "")
+      (node (ref U3) (pin E21)))
+    (net (code 126) (name "")
+      (node (ref U3) (pin F21)))
+    (net (code 127) (name "")
+      (node (ref U3) (pin AA4)))
+    (net (code 128) (name "")
+      (node (ref U3) (pin H21)))
+    (net (code 129) (name "")
+      (node (ref U3) (pin J21)))
+    (net (code 130) (name "")
+      (node (ref U3) (pin K21)))
+    (net (code 131) (name "")
+      (node (ref U3) (pin L21)))
+    (net (code 132) (name "")
+      (node (ref U3) (pin M21)))
+    (net (code 133) (name "")
+      (node (ref U3) (pin T21)))
+    (net (code 134) (name "")
+      (node (ref U3) (pin AC22)))
+    (net (code 135) (name "")
+      (node (ref U3) (pin AA23)))
+    (net (code 136) (name "")
+      (node (ref U3) (pin AB23)))
+    (net (code 137) (name "")
+      (node (ref U3) (pin AC23)))
+    (net (code 138) (name "")
+      (node (ref U3) (pin AB22)))
+    (net (code 139) (name "")
+      (node (ref U3) (pin AA16)))
+    (net (code 140) (name "")
+      (node (ref U3) (pin AB17)))
+    (net (code 141) (name "")
+      (node (ref U3) (pin AA18)))
+    (net (code 142) (name "")
+      (node (ref U3) (pin AA20)))
+    (net (code 143) (name "")
+      (node (ref U3) (pin AA22)))
+    (net (code 144) (name "")
+      (node (ref U3) (pin AA19)))
+    (net (code 145) (name "")
+      (node (ref U3) (pin AB19)))
+    (net (code 146) (name "")
+      (node (ref U3) (pin Y19)))
+    (net (code 147) (name "")
+      (node (ref U3) (pin W8))
+      (node (ref P4) (pin 1)))
+    (net (code 148) (name "")
+      (node (ref U3) (pin A16)))
+    (net (code 149) (name "")
+      (node (ref U3) (pin B16)))
+    (net (code 150) (name "")
+      (node (ref U3) (pin C16)))
+    (net (code 151) (name "")
+      (node (ref U3) (pin D16)))
+    (net (code 152) (name "")
+      (node (ref U3) (pin E16)))
+    (net (code 153) (name "")
+      (node (ref U3) (pin M16)))
+    (net (code 154) (name "")
+      (node (ref U3) (pin N16)))
+    (net (code 155) (name "")
+      (node (ref U3) (pin R16)))
+    (net (code 156) (name "")
+      (node (ref U3) (pin T16)))
+    (net (code 157) (name /TWI0SCK)
+      (node (ref R20) (pin 2))
+      (node (ref U3) (pin A15))
+      (node (ref U7) (pin 2)))
+    (net (code 158) (name /TWI0SDA)
+      (node (ref R21) (pin 2))
+      (node (ref U3) (pin B15))
+      (node (ref U7) (pin 1)))
+    (net (code 159) (name "")
+      (node (ref U3) (pin C15)))
+    (net (code 160) (name "")
+      (node (ref U3) (pin D15)))
+    (net (code 161) (name "")
+      (node (ref U3) (pin E15)))
+    (net (code 162) (name "")
+      (node (ref U3) (pin M15)))
+    (net (code 163) (name "")
+      (node (ref U3) (pin N15)))
+    (net (code 164) (name "")
+      (node (ref U3) (pin R15)))
+    (net (code 165) (name "")
+      (node (ref U3) (pin T15)))
+    (net (code 166) (name "")
+      (node (ref R3) (pin 2))
+      (node (ref U3) (pin K19)))
+    (net (code 167) (name "")
+      (node (ref U3) (pin A19)))
+    (net (code 168) (name "")
+      (node (ref U3) (pin B19)))
+    (net (code 169) (name "")
+      (node (ref U3) (pin C19)))
+    (net (code 170) (name "")
+      (node (ref U3) (pin D19)))
+    (net (code 171) (name "")
+      (node (ref U3) (pin E19)))
+    (net (code 172) (name "")
+      (node (ref U3) (pin G19)))
+    (net (code 173) (name "")
+      (node (ref U3) (pin L19))
+      (node (ref R6) (pin 2)))
+    (net (code 174) (name "")
+      (node (ref U3) (pin M19))
+      (node (ref R8) (pin 2)))
+    (net (code 175) (name "")
+      (node (ref U3) (pin P19)))
+    (net (code 176) (name "")
+      (node (ref U3) (pin R19)))
+    (net (code 177) (name "")
+      (node (ref U3) (pin W19)))
+    (net (code 178) (name "")
+      (node (ref U3) (pin A17)))
+    (net (code 179) (name "")
+      (node (ref U3) (pin B17)))
+    (net (code 180) (name "")
+      (node (ref U3) (pin C17)))
+    (net (code 181) (name "")
+      (node (ref U3) (pin D17)))
+    (net (code 182) (name "")
+      (node (ref U3) (pin E17)))
+    (net (code 183) (name "")
+      (node (ref U3) (pin W17)))
+    (net (code 184) (name "")
+      (node (ref U3) (pin AA7))
+      (node (ref R10) (pin 1)))
+    (net (code 185) (name "")
+      (node (ref U3) (pin A18)))
+    (net (code 186) (name "")
+      (node (ref U3) (pin B18)))
+    (net (code 187) (name "")
+      (node (ref U3) (pin C18)))
+    (net (code 188) (name "")
+      (node (ref U3) (pin D18)))
+    (net (code 189) (name "")
+      (node (ref U3) (pin Y18)))
+    (net (code 190) (name "")
+      (node (ref U8) (pin 6))
+      (node (ref R51) (pin 2)))
+    (net (code 191) (name "")
+      (node (ref U7) (pin 37))
+      (node (ref R30) (pin 1)))
+    (net (code 192) (name "")
+      (node (ref C42) (pin 2))
+      (node (ref U7) (pin 26)))
+    (net (code 193) (name "")
+      (node (ref SW1) (pin 2))
+      (node (ref C41) (pin 2))
+      (node (ref R28) (pin 1)))
+    (net (code 194) (name "")
+      (node (ref R7) (pin 1))
+      (node (ref R14) (pin 2)))
+    (net (code 195) (name "")
+      (node (ref R9) (pin 1))
+      (node (ref R12) (pin 2)))
+    (net (code 196) (name "")
+      (node (ref R6) (pin 1))
+      (node (ref R15) (pin 2)))
+    (net (code 197) (name "")
+      (node (ref R16) (pin 2))
+      (node (ref R5) (pin 1)))
+    (net (code 198) (name "")
+      (node (ref R3) (pin 1))
+      (node (ref R17) (pin 2)))
+    (net (code 199) (name /U0Tx)
+      (node (ref U3) (pin A7))
+      (node (ref P3) (pin 2)))
+    (net (code 200) (name /U0Rx)
+      (node (ref U3) (pin B7))
+      (node (ref P3) (pin 1)))
+    (net (code 201) (name /J_DI0)
+      (node (ref P3) (pin 3))
+      (node (ref U3) (pin B9)))
+    (net (code 202) (name /J_DO0)
+      (node (ref U3) (pin A9))
+      (node (ref P3) (pin 4)))
+    (net (code 203) (name /J_CK0)
+      (node (ref P3) (pin 5))
+      (node (ref U3) (pin B10)))
+    (net (code 204) (name /J_MS0)
+      (node (ref P3) (pin 6))
+      (node (ref U3) (pin A10)))
+    (net (code 205) (name "")
+      (node (ref U10) (pin 6))
+      (node (ref J2) (pin 13)))
+    (net (code 206) (name "")
+      (node (ref R8) (pin 1))
+      (node (ref R13) (pin 2)))
+    (net (code 207) (name "")
+      (node (ref U10) (pin 3))
+      (node (ref J2) (pin 14)))
+    (net (code 208) (name "")
+      (node (ref X1) (pin 1))
+      (node (ref U3) (pin F2))
+      (node (ref C2) (pin 1))
+      (node (ref R1) (pin 2)))
+    (net (code 209) (name /CK)
+      (node (ref R18) (pin 1))
+      (node (ref U1) (pin J7))
+      (node (ref U2) (pin J7)))
+    (net (code 210) (name /CK#)
+      (node (ref R19) (pin 1))
+      (node (ref U2) (pin K7))
+      (node (ref U1) (pin K7)))
+    (net (code 211) (name /HTX2P)
+      (node (ref U4) (pin 1))
+      (node (ref U3) (pin T23)))
+    (net (code 212) (name /PE2)
+      (node (ref U3) (pin D23))
+      (node (ref P14) (pin 3)))
+    (net (code 213) (name /PE1)
+      (node (ref P14) (pin 2))
+      (node (ref U3) (pin E22)))
+    (net (code 214) (name /PE3)
+      (node (ref P14) (pin 4))
+      (node (ref U3) (pin D22)))
+    (net (code 215) (name /PE4)
+      (node (ref P14) (pin 5))
+      (node (ref U3) (pin C23)))
+    (net (code 216) (name /PE5)
+      (node (ref P14) (pin 6))
+      (node (ref U3) (pin C22)))
+    (net (code 217) (name /PE6)
+      (node (ref P14) (pin 7))
+      (node (ref U3) (pin B23)))
+    (net (code 218) (name /PE7)
+      (node (ref P14) (pin 8))
+      (node (ref U3) (pin B22)))
+    (net (code 219) (name /SWE)
+      (node (ref U3) (pin T3))
+      (node (ref U1) (pin L3))
+      (node (ref U2) (pin L3)))
+    (net (code 220) (name /CS#)
+      (node (ref U1) (pin L2))
+      (node (ref U3) (pin V3))
+      (node (ref U2) (pin L2)))
+    (net (code 221) (name /CKE)
+      (node (ref U3) (pin N3))
+      (node (ref U2) (pin K9))
+      (node (ref U1) (pin K9)))
+    (net (code 222) (name GND)
+      (node (ref U3) (pin N13))
+      (node (ref U3) (pin M13))
+      (node (ref SW1) (pin 1))
+      (node (ref U3) (pin L13))
+      (node (ref C41) (pin 1))
+      (node (ref U3) (pin K13))
+      (node (ref U3) (pin P13))
+      (node (ref R31) (pin 2))
+      (node (ref U5) (pin 2))
+      (node (ref C28) (pin 1))
+      (node (ref U3) (pin P14))
+      (node (ref U3) (pin N14))
+      (node (ref U3) (pin M14))
+      (node (ref U3) (pin L14))
+      (node (ref U3) (pin K14))
+      (node (ref C32) (pin 1))
+      (node (ref U9) (pin 2))
+      (node (ref C96) (pin 2))
+      (node (ref U3) (pin Y8))
+      (node (ref C31) (pin 1))
+      (node (ref R11) (pin 2))
+      (node (ref U3) (pin R13))
+      (node (ref U1) (pin B1))
+      (node (ref U1) (pin D1))
+      (node (ref U1) (pin E1))
+      (node (ref U1) (pin G1))
+      (node (ref J3) (pin 7))
+      (node (ref C83) (pin 2))
+      (node (ref U1) (pin J2))
+      (node (ref U3) (pin K5))
+      (node (ref U3) (pin J5))
+      (node (ref C4) (pin 2))
+      (node (ref U1) (pin E2))
+      (node (ref C3) (pin 2))
+      (node (ref U11) (pin 11))
+      (node (ref U3) (pin W9))
+      (node (ref U1) (pin B3))
+      (node (ref U3) (pin T9))
+      (node (ref U3) (pin K11))
+      (node (ref U3) (pin M9))
+      (node (ref C23) (pin 2))
+      (node (ref C17) (pin 2))
+      (node (ref U3) (pin N5))
+      (node (ref C30) (pin 2))
+      (node (ref U1) (pin M1))
+      (node (ref C49) (pin 2))
+      (node (ref U1) (pin P1))
+      (node (ref U1) (pin T1))
+      (node (ref C87) (pin 2))
+      (node (ref C13) (pin 2))
+      (node (ref C10) (pin 2))
+      (node (ref U3) (pin H16))
+      (node (ref U11) (pin 29))
+      (node (ref C15) (pin 2))
+      (node (ref C19) (pin 2))
+      (node (ref U11) (pin 17))
+      (node (ref P4) (pin 2))
+      (node (ref C20) (pin 1))
+      (node (ref C26) (pin 1))
+      (node (ref U11) (pin 35))
+      (node (ref U3) (pin AC18))
+      (node (ref U11) (pin 45))
+      (node (ref C33) (pin 2))
+      (node (ref C34) (pin 2))
+      (node (ref C46) (pin 2))
+      (node (ref C27) (pin 1))
+      (node (ref C92) (pin 2))
+      (node (ref J2) (pin 6))
+      (node (ref U3) (pin W18))
+      (node (ref P1) (pin 30))
+      (node (ref C14) (pin 1))
+      (node (ref U3) (pin Y17))
+      (node (ref U3) (pin V19))
+      (node (ref U3) (pin U19))
+      (node (ref C47) (pin 1))
+      (node (ref C11) (pin 2))
+      (node (ref C91) (pin 2))
+      (node (ref U3) (pin P15))
+      (node (ref C12) (pin 2))
+      (node (ref C8) (pin 2))
+      (node (ref C9) (pin 2))
+      (node (ref U3) (pin P11))
+      (node (ref C95) (pin 2))
+      (node (ref U2) (pin T9))
+      (node (ref U3) (pin P12))
+      (node (ref U3) (pin N12))
+      (node (ref U3) (pin M12))
+      (node (ref U3) (pin L12))
+      (node (ref U3) (pin K12))
+      (node (ref U3) (pin R11))
+      (node (ref U3) (pin W10))
+      (node (ref C6) (pin 1))
+      (node (ref U3) (pin P10))
+      (node (ref U3) (pin N10))
+      (node (ref U3) (pin M10))
+      (node (ref U2) (pin P9))
+      (node (ref U3) (pin N11))
+      (node (ref U3) (pin M11))
+      (node (ref U3) (pin L11))
+      (node (ref U3) (pin J11))
+      (node (ref C43) (pin 2))
+      (node (ref C44) (pin 1))
+      (node (ref U3) (pin T12))
+      (node (ref U3) (pin T11))
+      (node (ref C48) (pin 1))
+      (node (ref U3) (pin G4))
+      (node (ref C55) (pin 2))
+      (node (ref U3) (pin AB18))
+      (node (ref U3) (pin AA17))
+      (node (ref C5) (pin 1))
+      (node (ref U3) (pin W11))
+      (node (ref C45) (pin 1))
+      (node (ref U2) (pin A9))
+      (node (ref U2) (pin B9))
+      (node (ref C93) (pin 2))
+      (node (ref U2) (pin F9))
+      (node (ref U2) (pin G9))
+      (node (ref C94) (pin 2))
+      (node (ref C99) (pin 2))
+      (node (ref U3) (pin R12))
+      (node (ref U2) (pin M9))
+      (node (ref C106) (pin 2))
+      (node (ref C29) (pin 1))
+      (node (ref C7) (pin 1))
+      (node (ref C16) (pin 1))
+      (node (ref J2) (pin 5))
+      (node (ref C22) (pin 1))
+      (node (ref C36) (pin 1))
+      (node (ref C38) (pin 1))
+      (node (ref P2) (pin 5))
+      (node (ref C37) (pin 1))
+      (node (ref C102) (pin 2))
+      (node (ref C21) (pin 1))
+      (node (ref U2) (pin B3))
+      (node (ref C136) (pin 2))
+      (node (ref R41) (pin 2))
+      (node (ref U2) (pin B1))
+      (node (ref C53) (pin 2))
+      (node (ref U2) (pin D1))
+      (node (ref U2) (pin E1))
+      (node (ref U2) (pin G1))
+      (node (ref C2) (pin 2))
+      (node (ref U2) (pin M1))
+      (node (ref C52) (pin 2))
+      (node (ref U2) (pin P1))
+      (node (ref U2) (pin T1))
+      (node (ref C51) (pin 2))
+      (node (ref U2) (pin E8))
+      (node (ref R40) (pin 2))
+      (node (ref C107) (pin 2))
+      (node (ref U2) (pin E2))
+      (node (ref R39) (pin 2))
+      (node (ref C135) (pin 2))
+      (node (ref U2) (pin J2))
+      (node (ref C50) (pin 2))
+      (node (ref R2) (pin 2))
+      (node (ref U3) (pin Y7))
+      (node (ref C42) (pin 1))
+      (node (ref C101) (pin 2))
+      (node (ref U3) (pin P5))
+      (node (ref C1) (pin 2))
+      (node (ref U3) (pin V5))
+      (node (ref U3) (pin U5))
+      (node (ref U1) (pin A9))
+      (node (ref P3) (pin 7))
+      (node (ref C105) (pin 2))
+      (node (ref R30) (pin 2))
+      (node (ref C84) (pin 2))
+      (node (ref C140) (pin 1))
+      (node (ref C85) (pin 2))
+      (node (ref J3) (pin sh4))
+      (node (ref C104) (pin 2))
+      (node (ref C82) (pin 2))
+      (node (ref U7) (pin 4))
+      (node (ref C103) (pin 2))
+      (node (ref U7) (pin 9))
+      (node (ref C39) (pin 1))
+      (node (ref J3) (pin 8))
+      (node (ref J3) (pin sh1))
+      (node (ref J3) (pin sh2))
+      (node (ref J3) (pin sh3))
+      (node (ref C35) (pin 1))
+      (node (ref U7) (pin 31))
+      (node (ref C86) (pin 2))
+      (node (ref U7) (pin 22))
+      (node (ref C73) (pin 2))
+      (node (ref C74) (pin 2))
+      (node (ref C75) (pin 2))
+      (node (ref C76) (pin 2))
+      (node (ref C58) (pin 2))
+      (node (ref R29) (pin 1))
+      (node (ref C18) (pin 1))
+      (node (ref C71) (pin 2))
+      (node (ref C54) (pin 2))
+      (node (ref R23) (pin 1))
+      (node (ref U4) (pin 8))
+      (node (ref C66) (pin 2))
+      (node (ref U6) (pin 8))
+      (node (ref C67) (pin 2))
+      (node (ref R44) (pin 2))
+      (node (ref C59) (pin 2))
+      (node (ref C60) (pin 2))
+      (node (ref C61) (pin 2))
+      (node (ref C63) (pin 2))
+      (node (ref C64) (pin 2))
+      (node (ref U4) (pin 3))
+      (node (ref R45) (pin 2))
+      (node (ref R46) (pin 2))
+      (node (ref J6) (pin 8))
+      (node (ref C25) (pin 1))
+      (node (ref J2) (pin 7))
+      (node (ref J2) (pin 8))
+      (node (ref J2) (pin 10))
+      (node (ref J2) (pin 11))
+      (node (ref C56) (pin 2))
+      (node (ref U1) (pin D8))
+      (node (ref C137) (pin 1))
+      (node (ref U1) (pin B9))
+      (node (ref R51) (pin 1))
+      (node (ref D1) (pin 2))
+      (node (ref C72) (pin 2))
+      (node (ref C57) (pin 2))
+      (node (ref U3) (pin L10))
+      (node (ref U10) (pin 7))
+      (node (ref R43) (pin 2))
+      (node (ref U1) (pin F9))
+      (node (ref U8) (pin 8))
+      (node (ref U1) (pin G9))
+      (node (ref U1) (pin J8))
+      (node (ref U8) (pin 3))
+      (node (ref U1) (pin G8))
+      (node (ref U1) (pin E8))
+      (node (ref C65) (pin 2))
+      (node (ref C68) (pin 2))
+      (node (ref C69) (pin 2))
+      (node (ref C70) (pin 2))
+      (node (ref U7) (pin 46))
+      (node (ref C108) (pin 1))
+      (node (ref C110) (pin 2))
+      (node (ref U1) (pin T9))
+      (node (ref C78) (pin 2))
+      (node (ref C109) (pin 2))
+      (node (ref U7) (pin 16))
+      (node (ref C138) (pin 1))
+      (node (ref C111) (pin 2))
+      (node (ref C139) (pin 1))
+      (node (ref C98) (pin 2))
+      (node (ref C112) (pin 2))
+      (node (ref C90) (pin 2))
+      (node (ref U2) (pin D8))
+      (node (ref J8) (pin 8))
+      (node (ref R10) (pin 2))
+      (node (ref R4) (pin 1))
+      (node (ref J8) (pin 13))
+      (node (ref U3) (pin G3))
+      (node (ref X3) (pin 2))
+      (node (ref X3) (pin 4))
+      (node (ref C88) (pin 2))
+      (node (ref C89) (pin 2))
+      (node (ref C77) (pin 2))
+      (node (ref C100) (pin 2))
+      (node (ref U2) (pin J8))
+      (node (ref C79) (pin 2))
+      (node (ref C80) (pin 2))
+      (node (ref U1) (pin P9))
+      (node (ref C97) (pin 2))
+      (node (ref U6) (pin 3))
+      (node (ref R24) (pin 1))
+      (node (ref U1) (pin M9))
+      (node (ref R32) (pin 2))
+      (node (ref C62) (pin 2))
+      (node (ref U2) (pin G8))
+      (node (ref U7) (pin 49)))
+    (net (code 223) (name /RESET#)
+      (node (ref R50) (pin 1))
+      (node (ref U7) (pin 25))
+      (node (ref U3) (pin C14)))
+    (net (code 224) (name "")
+      (node (ref R50) (pin 2))
+      (node (ref D1) (pin 1)))
+    (net (code 226) (name /LIN_L)
+      (node (ref U3) (pin AB20)))
+    (net (code 227) (name /USB1P)
+      (node (ref U3) (pin P21))
+      (node (ref J3) (pin 5)))
+    (net (code 228) (name /USB1M)
+      (node (ref U3) (pin P20))
+      (node (ref J3) (pin 3)))
+    (net (code 229) (name /VMIC)
+      (node (ref U3) (pin AA21)))
+    (net (code 230) (name /MICIN2)
+      (node (ref U3) (pin AC21)))
+    (net (code 231) (name /MICIN1)
+      (node (ref U3) (pin AC20)))
+    (net (code 232) (name /VRA1)
+      (node (ref U3) (pin W20)))
+    (net (code 233) (name /VRA2)
+      (node (ref U3) (pin V20)))
+    (net (code 234) (name /VRP)
+      (node (ref U3) (pin W21)))
+    (net (code 235) (name /LIN_R)
+      (node (ref U3) (pin AB21)))
+    (net (code 236) (name /USB2M)
+      (node (ref J3) (pin 4))
+      (node (ref U3) (pin R20)))
+    (net (code 237) (name /SRAS)
+      (node (ref U3) (pin T4))
+      (node (ref U2) (pin J3))
+      (node (ref U1) (pin J3)))
+    (net (code 238) (name /SCAS)
+      (node (ref U2) (pin K3))
+      (node (ref U1) (pin K3))
+      (node (ref U3) (pin U3)))
+    (net (code 239) (name /SRST)
+      (node (ref U2) (pin T2))
+      (node (ref U1) (pin T2))
+      (node (ref U3) (pin AA6)))
+    (net (code 240) (name /ODT)
+      (node (ref U2) (pin K1))
+      (node (ref U1) (pin K1))
+      (node (ref U3) (pin AA5)))
+    (net (code 241) (name IPSOUT)
+      (node (ref U7) (pin 21))
+      (node (ref C12) (pin 1))
+      (node (ref U7) (pin 40))
+      (node (ref C11) (pin 1))
+      (node (ref C9) (pin 1))
+      (node (ref U7) (pin 14))
+      (node (ref U7) (pin 34))
+      (node (ref U7) (pin 13))
+      (node (ref C10) (pin 1))
+      (node (ref U7) (pin 44))
+      (node (ref C13) (pin 1))
+      (node (ref U7) (pin 7))
+      (node (ref U7) (pin 35))
+      (node (ref C15) (pin 1))
+      (node (ref C8) (pin 1)))
+    (net (code 242) (name /USB2P)
+      (node (ref J3) (pin 6))
+      (node (ref U3) (pin R21)))
+    (net (code 243) (name /SDQM3)
+      (node (ref U1) (pin D3))
+      (node (ref U3) (pin G1)))
+    (net (code 244) (name /SDQM2)
+      (node (ref U3) (pin R2))
+      (node (ref U1) (pin E7)))
+    (net (code 245) (name /SDQS2#)
+      (node (ref U1) (pin G3))
+      (node (ref U3) (pin P2)))
+    (net (code 246) (name /SDQS3)
+      (node (ref U3) (pin K1))
+      (node (ref U1) (pin C7)))
+    (net (code 247) (name "")
+      (node (ref U3) (pin C6)))
+    (net (code 248) (name "")
+      (node (ref U3) (pin A5)))
+    (net (code 249) (name /SDQS0)
+      (node (ref U2) (pin F3))
+      (node (ref U3) (pin AB6)))
+    (net (code 250) (name /SDQS0#)
+      (node (ref U2) (pin G3))
+      (node (ref U3) (pin AC5)))
+    (net (code 251) (name /SDQS1)
+      (node (ref U3) (pin AB1))
+      (node (ref U2) (pin C7)))
+    (net (code 252) (name /SDQS1#)
+      (node (ref U2) (pin B7))
+      (node (ref U3) (pin AA2)))
+    (net (code 253) (name /SDQM0)
+      (node (ref U2) (pin E7))
+      (node (ref U3) (pin AC6)))
+    (net (code 254) (name /SDQM1)
+      (node (ref U3) (pin W1))
+      (node (ref U2) (pin D3)))
+    (net (code 255) (name /DQ17)
+      (node (ref U1) (pin F7))
+      (node (ref U3) (pin T2)))
+    (net (code 256) (name /DQ18)
+      (node (ref U3) (pin N2))
+      (node (ref U1) (pin H3)))
+    (net (code 257) (name /DQ19)
+      (node (ref U1) (pin H7))
+      (node (ref U3) (pin U1)))
+    (net (code 258) (name /DQ20)
+      (node (ref U1) (pin H8))
+      (node (ref U3) (pin U2)))
+    (net (code 259) (name /DQ21)
+      (node (ref U3) (pin P1))
+      (node (ref U1) (pin E3)))
+    (net (code 260) (name /DQ22)
+      (node (ref U1) (pin F8))
+      (node (ref U3) (pin T1)))
+    (net (code 261) (name /DQ23)
+      (node (ref U3) (pin M2))
+      (node (ref U1) (pin G2)))
+    (net (code 262) (name /DQ24)
+      (node (ref U3) (pin L1))
+      (node (ref U1) (pin B8)))
+    (net (code 263) (name /DQ16)
+      (node (ref U3) (pin N1))
+      (node (ref U1) (pin F2)))
+    (net (code 264) (name "")
+      (node (ref U5) (pin 5))
+      (node (ref R22) (pin 1))
+      (node (ref R24) (pin 2))
+      (node (ref C24) (pin 1)))
+    (net (code 265) (name "")
+      (node (ref C18) (pin 2))
+      (node (ref U7) (pin 24)))
+    (net (code 266) (name /SDQS3#)
+      (node (ref U1) (pin B7))
+      (node (ref U3) (pin J2)))
+    (net (code 267) (name /SDQS2)
+      (node (ref U1) (pin F3))
+      (node (ref U3) (pin R1)))
+    (net (code 268) (name "")
+      (node (ref U2) (pin L8))
+      (node (ref R4) (pin 2)))
+    (net (code 269) (name /U3RX)
+      (node (ref U3) (pin B6))
+      (node (ref J6) (pin 2)))
+    (net (code 270) (name /U3TX)
+      (node (ref J6) (pin 1))
+      (node (ref U3) (pin A6)))
+    (net (code 271) (name /U5RX)
+      (node (ref U3) (pin B4))
+      (node (ref J6) (pin 6)))
+    (net (code 272) (name /U4RX)
+      (node (ref J6) (pin 4))
+      (node (ref U3) (pin C5)))
+    (net (code 273) (name /U5TX)
+      (node (ref J6) (pin 5))
+      (node (ref U3) (pin A4)))
+    (net (code 274) (name "")
+      (node (ref R29) (pin 2))
+      (node (ref C40) (pin 1))
+      (node (ref U9) (pin 5))
+      (node (ref R25) (pin 1)))
+    (net (code 275) (name "")
+      (node (ref R28) (pin 2))
+      (node (ref U7) (pin 47)))
+    (net (code 276) (name "")
+      (node (ref C16) (pin 2))
+      (node (ref U7) (pin 19)))
+    (net (code 277) (name "")
+      (node (ref U7) (pin 23))
+      (node (ref R23) (pin 2)))
+    (net (code 278) (name /SA1)
+      (node (ref U2) (pin P7))
+      (node (ref U3) (pin R4))
+      (node (ref U1) (pin P7)))
+    (net (code 279) (name /SA2)
+      (node (ref U1) (pin P3))
+      (node (ref U3) (pin U4))
+      (node (ref U2) (pin P3)))
+    (net (code 280) (name /SA3)
+      (node (ref U3) (pin M4))
+      (node (ref U1) (pin N2))
+      (node (ref U2) (pin N2)))
+    (net (code 281) (name /SA4)
+      (node (ref U3) (pin Y4))
+      (node (ref U2) (pin P8))
+      (node (ref U1) (pin P8)))
+    (net (code 282) (name /SA5)
+      (node (ref U1) (pin P2))
+      (node (ref U2) (pin P2))
+      (node (ref U3) (pin N4)))
+    (net (code 283) (name /SA6)
+      (node (ref U3) (pin V4))
+      (node (ref U1) (pin R8))
+      (node (ref U2) (pin R8)))
+    (net (code 284) (name /SA7)
+      (node (ref U1) (pin R2))
+      (node (ref U3) (pin M3))
+      (node (ref U2) (pin R2)))
+    (net (code 285) (name /SA8)
+      (node (ref U1) (pin T8))
+      (node (ref U2) (pin T8))
+      (node (ref U3) (pin AA3)))
+    (net (code 286) (name /SA9)
+      (node (ref U3) (pin P4))
+      (node (ref U1) (pin R3))
+      (node (ref U2) (pin R3)))
+    (net (code 287) (name /SA0)
+      (node (ref U1) (pin N3))
+      (node (ref U2) (pin N3))
+      (node (ref U3) (pin W4)))
+    (net (code 288) (name "")
+      (node (ref U2) (pin J9)))
+    (net (code 289) (name "")
+      (node (ref U2) (pin L9)))
+    (net (code 290) (name "")
+      (node (ref U2) (pin J1)))
+    (net (code 291) (name "")
+      (node (ref U2) (pin L1)))
+    (net (code 292) (name "")
+      (node (ref U1) (pin L8))
+      (node (ref R11) (pin 1)))
+    (net (code 293) (name "")
+      (node (ref U9) (pin 3))
+      (node (ref L4) (pin 1)))
+    (net (code 294) (name "")
+      (node (ref U1) (pin J1)))
+    (net (code 295) (name "")
+      (node (ref R31) (pin 1))
+      (node (ref U7) (pin 27)))
+    (net (code 296) (name "")
+      (node (ref U5) (pin 3))
+      (node (ref L2) (pin 1)))
+    (net (code 297) (name "")
+      (node (ref U1) (pin L1)))
+    (net (code 298) (name "")
+      (node (ref X2) (pin 1))
+      (node (ref U3) (pin N22))
+      (node (ref C4) (pin 1)))
+    (net (code 299) (name "")
+      (node (ref X2) (pin 2))
+      (node (ref C3) (pin 1))
+      (node (ref U3) (pin N23)))
+    (net (code 300) (name "")
+      (node (ref U2) (pin M7)))
+    (net (code 301) (name "")
+      (node (ref U7) (pin 18)))
+    (net (code 302) (name "")
+      (node (ref U7) (pin 5)))
+    (net (code 303) (name "")
+      (node (ref U7) (pin 3)))
+    (net (code 304) (name "")
+      (node (ref U3) (pin C1)))
+    (net (code 305) (name "")
+      (node (ref U3) (pin B1)))
+    (net (code 306) (name "")
+      (node (ref U3) (pin A1)))
+    (net (code 307) (name "")
+      (node (ref U3) (pin B2)))
+    (net (code 308) (name "")
+      (node (ref U3) (pin A2)))
+    (net (code 309) (name "")
+      (node (ref U3) (pin C3)))
+    (net (code 310) (name "")
+      (node (ref U3) (pin C4)))
+    (net (code 311) (name "")
+      (node (ref U3) (pin D4)))
+    (net (code 312) (name "")
+      (node (ref U3) (pin A3)))
+    (net (code 313) (name "")
+      (node (ref U3) (pin B3)))
+    (net (code 314) (name "")
+      (node (ref U3) (pin C2)))
+    (net (code 315) (name /DQ0)
+      (node (ref U3) (pin AB4))
+      (node (ref U2) (pin E3)))
+    (net (code 316) (name /DQ7)
+      (node (ref U2) (pin G2))
+      (node (ref U3) (pin AC3)))
+    (net (code 317) (name /DQ1)
+      (node (ref U3) (pin AC8))
+      (node (ref U2) (pin F7)))
+    (net (code 318) (name /DQ2)
+      (node (ref U3) (pin AC4))
+      (node (ref U2) (pin F2)))
+    (net (code 319) (name /DQ3)
+      (node (ref U2) (pin F8))
+      (node (ref U3) (pin AB8)))
+    (net (code 320) (name /DQ6)
+      (node (ref U3) (pin AB7))
+      (node (ref U2) (pin H3)))
+    (net (code 321) (name /DQ8)
+      (node (ref U3) (pin AB2))
+      (node (ref U2) (pin A7)))
+    (net (code 322) (name "")
+      (node (ref U3) (pin F4)))
+    (net (code 323) (name "")
+      (node (ref U3) (pin F3)))
+    (net (code 324) (name "")
+      (node (ref U3) (pin E4)))
+    (net (code 325) (name "")
+      (node (ref U3) (pin E3)))
+    (net (code 326) (name "")
+      (node (ref U3) (pin E2)))
+    (net (code 327) (name "")
+      (node (ref U3) (pin E1)))
+    (net (code 328) (name "")
+      (node (ref U3) (pin D3)))
+    (net (code 329) (name "")
+      (node (ref U3) (pin D2)))
+    (net (code 330) (name "")
+      (node (ref U3) (pin D1)))
+    (net (code 331) (name "")
+      (node (ref U7) (pin 42)))
+    (net (code 332) (name "")
+      (node (ref U7) (pin 30)))
+    (net (code 333) (name "")
+      (node (ref U7) (pin 43)))
+    (net (code 334) (name /DQ9)
+      (node (ref U3) (pin Y1))
+      (node (ref U2) (pin C3)))
+    (net (code 335) (name /DQ4)
+      (node (ref U2) (pin H7))
+      (node (ref U3) (pin AC7)))
+    (net (code 336) (name /DQ5)
+      (node (ref U3) (pin AB5))
+      (node (ref U2) (pin H8)))
+    (net (code 337) (name "")
+      (node (ref U7) (pin 6)))
+    (net (code 338) (name "")
+      (node (ref U3) (pin C10)))
+    (net (code 339) (name "")
+      (node (ref U3) (pin C9)))
+    (net (code 340) (name "")
+      (node (ref U3) (pin A8)))
+    (net (code 341) (name "")
+      (node (ref U3) (pin B8)))
+    (net (code 342) (name "")
+      (node (ref U3) (pin C8)))
+    (net (code 343) (name "")
+      (node (ref U3) (pin C7)))
+    (net (code 344) (name "")
+      (node (ref U3) (pin N8)))
+    (net (code 345) (name "")
+      (node (ref U3) (pin P8)))
+    (net (code 346) (name "")
+      (node (ref U3) (pin R8)))
+    (net (code 347) (name "")
+      (node (ref U7) (pin 45)))
+    (net (code 348) (name "")
+      (node (ref U7) (pin 36)))
+    (net (code 349) (name "")
+      (node (ref U7) (pin 38)))
+    (net (code 350) (name "")
+      (node (ref U7) (pin 29)))
+    (net (code 351) (name "")
+      (node (ref U7) (pin 39)))
+    (net (code 352) (name "")
+      (node (ref J2) (pin 4)))
+    (net (code 353) (name "")
+      (node (ref J2) (pin 9)))
+    (net (code 354) (name "")
+      (node (ref J2) (pin 15)))
+    (net (code 355) (name "")
+      (node (ref J2) (pin 12)))
+    (net (code 356) (name /VGA_R)
+      (node (ref U3) (pin AC17))
+      (node (ref J2) (pin 1)))
+    (net (code 357) (name /VGA_G)
+      (node (ref J2) (pin 2))
+      (node (ref U3) (pin AC16)))
+    (net (code 358) (name /VGA_B)
+      (node (ref U3) (pin AB16))
+      (node (ref J2) (pin 3)))
+    (net (code 359) (name /HHPD)
+      (node (ref U3) (pin P22))
+      (node (ref U8) (pin 5)))
+    (net (code 360) (name /HTX1P)
+      (node (ref U4) (pin 4))
+      (node (ref U3) (pin U23)))
+    (net (code 361) (name /HTX1N)
+      (node (ref U3) (pin U22))
+      (node (ref U4) (pin 5)))
+    (net (code 362) (name /HTX0P)
+      (node (ref U3) (pin V23))
+      (node (ref U6) (pin 1)))
+    (net (code 363) (name /HTX0N)
+      (node (ref U6) (pin 2))
+      (node (ref U3) (pin V22)))
+    (net (code 364) (name /HTXCP)
+      (node (ref U3) (pin W23))
+      (node (ref U6) (pin 4)))
+    (net (code 365) (name /HTXCN)
+      (node (ref U3) (pin W22))
+      (node (ref U6) (pin 5)))
+    (net (code 366) (name /HCEC)
+      (node (ref U3) (pin P23))
+      (node (ref U8) (pin 1)))
+    (net (code 367) (name /HSCL)
+      (node (ref R26) (pin 2))
+      (node (ref U3) (pin R23))
+      (node (ref U8) (pin 2)))
+    (net (code 368) (name /HSDA)
+      (node (ref U8) (pin 4))
+      (node (ref R27) (pin 2))
+      (node (ref U3) (pin R22)))
+    (net (code 369) (name /HTX2N)
+      (node (ref U4) (pin 2))
+      (node (ref U3) (pin T22)))
+    (net (code 370) (name /SCK#)
+      (node (ref R19) (pin 2))
+      (node (ref U3) (pin V2)))
+    (net (code 371) (name /SCK)
+      (node (ref U3) (pin V1))
+      (node (ref R18) (pin 2)))
+    (net (code 372) (name ERXD0)
+      (node (ref U3) (pin E6))
+      (node (ref U11) (pin 21)))
+    (net (code 373) (name ERXD1)
+      (node (ref U3) (pin D6))
+      (node (ref U11) (pin 20)))
+    (net (code 374) (name ETXD3)
+      (node (ref U11) (pin 3))
+      (node (ref U3) (pin D7)))
+    (net (code 375) (name ETXD2)
+      (node (ref U11) (pin 4))
+      (node (ref U3) (pin E7)))
+    (net (code 376) (name ERXD3)
+      (node (ref U3) (pin D5))
+      (node (ref U11) (pin 18)))
+    (net (code 377) (name ERXD2)
+      (node (ref U11) (pin 19))
+      (node (ref U3) (pin E5)))
+    (net (code 378) (name ERXERR)
+      (node (ref U11) (pin 24))
+      (node (ref U3) (pin E9)))
+    (net (code 379) (name ERXDV)
+      (node (ref U11) (pin 22))
+      (node (ref U3) (pin D10)))
+    (net (code 380) (name EMDC)
+      (node (ref U11) (pin 25))
+      (node (ref U3) (pin E10)))
+    (net (code 381) (name ETXD1)
+      (node (ref U11) (pin 5))
+      (node (ref U3) (pin D8)))
+    (net (code 382) (name ETXD0)
+      (node (ref U11) (pin 6))
+      (node (ref U3) (pin E8)))
+    (net (code 383) (name 1.2V)
+      (node (ref U7) (pin 17))
+      (node (ref C54) (pin 1))
+      (node (ref C55) (pin 1))
+      (node (ref U3) (pin P9))
+      (node (ref U3) (pin J16))
+      (node (ref U3) (pin K16))
+      (node (ref C56) (pin 1))
+      (node (ref U3) (pin K15))
+      (node (ref C51) (pin 1))
+      (node (ref U3) (pin R10))
+      (node (ref C50) (pin 1))
+      (node (ref C43) (pin 1))
+      (node (ref C44) (pin 2))
+      (node (ref C45) (pin 2))
+      (node (ref C52) (pin 1))
+      (node (ref C23) (pin 1))
+      (node (ref C53) (pin 1))
+      (node (ref P7) (pin 1))
+      (node (ref C49) (pin 1))
+      (node (ref U3) (pin K10))
+      (node (ref U3) (pin L8))
+      (node (ref U3) (pin M8))
+      (node (ref U3) (pin T8))
+      (node (ref U3) (pin J15))
+      (node (ref L6) (pin 2))
+      (node (ref U3) (pin N9))
+      (node (ref U3) (pin K9))
+      (node (ref U3) (pin R9))
+      (node (ref U3) (pin L9)))
+    (net (code 384) (name ERXCK)
+      (node (ref U3) (pin D9))
+      (node (ref U11) (pin 16)))
+    (net (code 385) (name "")
+      (node (ref U3) (pin J4)))
+    (net (code 386) (name "")
+      (node (ref U3) (pin J3)))
+    (net (code 387) (name /DQ26)
+      (node (ref U3) (pin L2))
+      (node (ref U1) (pin C8)))
+    (net (code 388) (name /DQ27)
+      (node (ref U3) (pin H2))
+      (node (ref U1) (pin A3)))
+    (net (code 389) (name /DQ28)
+      (node (ref U1) (pin C3))
+      (node (ref U3) (pin J1)))
+    (net (code 390) (name /DQ29)
+      (node (ref U3) (pin M1))
+      (node (ref U1) (pin D7)))
+    (net (code 391) (name /DQ30)
+      (node (ref U3) (pin G2))
+      (node (ref U1) (pin C2)))
+    (net (code 392) (name /DQ31)
+      (node (ref U3) (pin K2))
+      (node (ref U1) (pin A7)))
+    (net (code 393) (name /DQ25)
+      (node (ref U3) (pin H1))
+      (node (ref U1) (pin A2)))
+    (net (code 394) (name "")
+      (node (ref U1) (pin J9)))
+    (net (code 395) (name "")
+      (node (ref U1) (pin L9)))
+    (net (code 396) (name DRAM_REF)
+      (node (ref U3) (pin AA8))
+      (node (ref R32) (pin 1))
+      (node (ref R33) (pin 1))
+      (node (ref U3) (pin H3))
+      (node (ref U3) (pin H4))
+      (node (ref U3) (pin Y5))
+      (node (ref U2) (pin M8))
+      (node (ref C80) (pin 1))
+      (node (ref C76) (pin 1))
+      (node (ref C75) (pin 1))
+      (node (ref C74) (pin 1))
+      (node (ref C73) (pin 1))
+      (node (ref U1) (pin H1))
+      (node (ref U1) (pin M8))
+      (node (ref P11) (pin 1))
+      (node (ref C79) (pin 1))
+      (node (ref C78) (pin 1))
+      (node (ref U2) (pin H1))
+      (node (ref C77) (pin 1))
+      (node (ref C81) (pin 1)))
+    (net (code 397) (name "")
+      (node (ref X1) (pin 2))
+      (node (ref C1) (pin 1))
+      (node (ref R1) (pin 1))
+      (node (ref U3) (pin F1)))
+    (net (code 398) (name "")
+      (node (ref U1) (pin M7)))
+    (net (code 399) (name DRAM_VCC)
+      (node (ref C95) (pin 1))
+      (node (ref C99) (pin 1))
+      (node (ref C98) (pin 1))
+      (node (ref C97) (pin 1))
+      (node (ref C107) (pin 1))
+      (node (ref C87) (pin 1))
+      (node (ref C86) (pin 1))
+      (node (ref C85) (pin 1))
+      (node (ref C84) (pin 1))
+      (node (ref C83) (pin 1))
+      (node (ref C82) (pin 1))
+      (node (ref C92) (pin 1))
+      (node (ref C96) (pin 1))
+      (node (ref C100) (pin 1))
+      (node (ref C94) (pin 1))
+      (node (ref C93) (pin 1))
+      (node (ref C91) (pin 1))
+      (node (ref C90) (pin 1))
+      (node (ref C89) (pin 1))
+      (node (ref C88) (pin 1))
+      (node (ref R33) (pin 2))
+      (node (ref C108) (pin 2))
+      (node (ref C112) (pin 1))
+      (node (ref C111) (pin 1))
+      (node (ref C110) (pin 1))
+      (node (ref C81) (pin 2))
+      (node (ref C109) (pin 1))
+      (node (ref C106) (pin 1))
+      (node (ref C105) (pin 1))
+      (node (ref C104) (pin 1))
+      (node (ref C103) (pin 1))
+      (node (ref C102) (pin 1))
+      (node (ref C101) (pin 1))
+      (node (ref U3) (pin Y6))
+      (node (ref U2) (pin H9))
+      (node (ref U2) (pin N9))
+      (node (ref U2) (pin R9))
+      (node (ref U3) (pin M5))
+      (node (ref U3) (pin L5))
+      (node (ref U3) (pin H5))
+      (node (ref U3) (pin G5))
+      (node (ref U3) (pin W7))
+      (node (ref U2) (pin E9))
+      (node (ref U3) (pin W6))
+      (node (ref U3) (pin W5))
+      (node (ref U3) (pin T5))
+      (node (ref U3) (pin R5))
+      (node (ref U1) (pin A8))
+      (node (ref U1) (pin H9))
+      (node (ref U1) (pin G7))
+      (node (ref U1) (pin E9))
+      (node (ref U1) (pin D9))
+      (node (ref U1) (pin K8))
+      (node (ref R22) (pin 2))
+      (node (ref C24) (pin 2))
+      (node (ref L2) (pin 2))
+      (node (ref U2) (pin C9))
+      (node (ref U2) (pin D9))
+      (node (ref U1) (pin F1))
+      (node (ref U2) (pin F1))
+      (node (ref U2) (pin C1))
+      (node (ref U2) (pin A1))
+      (node (ref U2) (pin K2))
+      (node (ref U2) (pin H2))
+      (node (ref U2) (pin D2))
+      (node (ref U1) (pin C1))
+      (node (ref U2) (pin N1))
+      (node (ref P5) (pin 1))
+      (node (ref U1) (pin K2))
+      (node (ref U1) (pin H2))
+      (node (ref U1) (pin D2))
+      (node (ref U1) (pin B2))
+      (node (ref U1) (pin R1))
+      (node (ref U1) (pin N1))
+      (node (ref U1) (pin A1))
+      (node (ref U1) (pin C9))
+      (node (ref U2) (pin G7))
+      (node (ref U2) (pin K8))
+      (node (ref U2) (pin A8))
+      (node (ref U2) (pin B2))
+      (node (ref U2) (pin R1))
+      (node (ref C21) (pin 2))
+      (node (ref C22) (pin 2))
+      (node (ref U1) (pin N9))
+      (node (ref U1) (pin R9))
+      (node (ref C14) (pin 2))
+      (node (ref C20) (pin 2)))
+    (net (code 400) (name LDO4)
+      (node (ref C19) (pin 1))
+      (node (ref U7) (pin 11)))
+    (net (code 401) (name "")
+      (node (ref P2) (pin 2)))
+    (net (code 402) (name "")
+      (node (ref P2) (pin 3)))
+    (net (code 403) (name "")
+      (node (ref P2) (pin 4)))
+    (net (code 404) (name LDO3)
+      (node (ref C27) (pin 2))
+      (node (ref C26) (pin 2))
+      (node (ref U7) (pin 41)))
+    (net (code 405) (name 5V_IN)
+      (node (ref P3) (pin 8))
+      (node (ref C25) (pin 2))
+      (node (ref C5) (pin 2))
+      (node (ref C35) (pin 2))
+      (node (ref C34) (pin 1))
+      (node (ref C6) (pin 2))
+      (node (ref C33) (pin 1))
+      (node (ref C17) (pin 1))
+      (node (ref C30) (pin 1))
+      (node (ref J3) (pin 1))
+      (node (ref J3) (pin 2))
+      (node (ref P2) (pin 1))
+      (node (ref P1) (pin 29))
+      (node (ref U9) (pin 4))
+      (node (ref R26) (pin 1))
+      (node (ref U5) (pin 4))
+      (node (ref J6) (pin 7))
+      (node (ref U7) (pin 32))
+      (node (ref U7) (pin 33))
+      (node (ref R27) (pin 1))
+      (node (ref C39) (pin 2))
+      (node (ref U10) (pin 14))
+      (node (ref U10) (pin 5))
+      (node (ref U10) (pin 1)))
+    (net (code 406) (name LDO1)
+      (node (ref C32) (pin 2))
+      (node (ref U3) (pin K8))
+      (node (ref U7) (pin 28))
+      (node (ref C31) (pin 2)))
+    (net (code 407) (name /U4TX)
+      (node (ref J6) (pin 3))
+      (node (ref U3) (pin B5)))
+    (net (code 408) (name /EXTEN)
+      (node (ref U7) (pin 20))
+      (node (ref U9) (pin 1)))
+    (net (code 409) (name 3.3V)
+      (node (ref C40) (pin 2))
+      (node (ref L4) (pin 2))
+      (node (ref U11) (pin 48))
+      (node (ref R25) (pin 2))
+      (node (ref U3) (pin L16))
+      (node (ref U3) (pin AC19))
+      (node (ref U3) (pin P16))
+      (node (ref U3) (pin H15))
+      (node (ref U3) (pin W14))
+      (node (ref U3) (pin J14))
+      (node (ref U3) (pin W12))
+      (node (ref U3) (pin W13))
+      (node (ref U3) (pin T13))
+      (node (ref U3) (pin J19))
+      (node (ref C60) (pin 1))
+      (node (ref U3) (pin J9))
+      (node (ref U3) (pin H10))
+      (node (ref U3) (pin J10))
+      (node (ref U3) (pin H8))
+      (node (ref R49) (pin 1))
+      (node (ref U3) (pin J8))
+      (node (ref U3) (pin E18))
+      (node (ref U3) (pin N19))
+      (node (ref U11) (pin 14))
+      (node (ref U3) (pin H19))
+      (node (ref U3) (pin F19))
+      (node (ref U3) (pin W15))
+      (node (ref U3) (pin L15))
+      (node (ref U3) (pin H9))
+      (node (ref U3) (pin Y16))
+      (node (ref U3) (pin W16))
+      (node (ref C37) (pin 2))
+      (node (ref R35) (pin 2))
+      (node (ref R36) (pin 2))
+      (node (ref R37) (pin 2))
+      (node (ref R38) (pin 2))
+      (node (ref C36) (pin 2))
+      (node (ref C38) (pin 2))
+      (node (ref L1) (pin 1))
+      (node (ref R34) (pin 2))
+      (node (ref R14) (pin 1))
+      (node (ref R21) (pin 1))
+      (node (ref P6) (pin 1))
+      (node (ref R47) (pin 2))
+      (node (ref C66) (pin 1))
+      (node (ref C7) (pin 2))
+      (node (ref R13) (pin 1))
+      (node (ref R20) (pin 1))
+      (node (ref R15) (pin 1))
+      (node (ref R17) (pin 1))
+      (node (ref C65) (pin 1))
+      (node (ref C64) (pin 1))
+      (node (ref R12) (pin 1))
+      (node (ref C63) (pin 1))
+      (node (ref C62) (pin 1))
+      (node (ref C72) (pin 1))
+      (node (ref C67) (pin 1))
+      (node (ref C70) (pin 1))
+      (node (ref C69) (pin 1))
+      (node (ref C68) (pin 1))
+      (node (ref R16) (pin 1))
+      (node (ref R42) (pin 1))
+      (node (ref J8) (pin 9))
+      (node (ref C61) (pin 1))
+      (node (ref C71) (pin 1)))
+    (net (code 410) (name "")
+      (node (ref U11) (pin 12))
+      (node (ref R45) (pin 1)))
+    (net (code 411) (name "")
+      (node (ref U11) (pin 13))
+      (node (ref R44) (pin 1)))
+    (net (code 412) (name "")
+      (node (ref U11) (pin 10))
+      (node (ref R46) (pin 1)))
+    (net (code 413) (name "")
+      (node (ref U11) (pin 47))
+      (node (ref C136) (pin 1))
+      (node (ref X3) (pin 1)))
+    (net (code 414) (name "")
+      (node (ref L5) (pin 2))
+      (node (ref U11) (pin 8)))
+    (net (code 415) (name /ethernet/PFWBOUT)
+      (node (ref L5) (pin 1))
+      (node (ref J8) (pin 5))
+      (node (ref J8) (pin 4))
+      (node (ref U11) (pin 32))
+      (node (ref C139) (pin 2))
+      (node (ref C138) (pin 2)))
+    (net (code 416) (name "")
+      (node (ref J8) (pin 7)))
+    (net (code 417) (name /ethernet/RX-)
+      (node (ref U11) (pin 30))
+      (node (ref J8) (pin 6)))
+    (net (code 418) (name /ethernet/RX+)
+      (node (ref J8) (pin 3))
+      (node (ref U11) (pin 31)))
+    (net (code 419) (name /ethernet/TX-)
+      (node (ref J8) (pin 2))
+      (node (ref U11) (pin 33)))
+    (net (code 420) (name "")
+      (node (ref J8) (pin 10))
+      (node (ref R48) (pin 2)))
+    (net (code 421) (name /ethernet/LED0)
+      (node (ref R47) (pin 1))
+      (node (ref R48) (pin 1))
+      (node (ref U11) (pin 9)))
+    (net (code 422) (name "")
+      (node (ref R49) (pin 2))
+      (node (ref J8) (pin 11)))
+    (net (code 423) (name /ethernet/LED4)
+      (node (ref U11) (pin 15))
+      (node (ref J8) (pin 12))
+      (node (ref R43) (pin 1)))
+    (net (code 424) (name "")
+      (node (ref U11) (pin 27)))
+    (net (code 425) (name /ethernet/TX+)
+      (node (ref U11) (pin 34))
+      (node (ref J8) (pin 1)))
+    (net (code 426) (name "")
+      (node (ref U11) (pin 43))
+      (node (ref R40) (pin 1)))
+    (net (code 427) (name /ethernet/ETHAVDD)
+      (node (ref C140) (pin 2))
+      (node (ref U11) (pin 36))
+      (node (ref L1) (pin 2)))
+    (net (code 428) (name "")
+      (node (ref R41) (pin 1))
+      (node (ref U11) (pin 40)))
+    (net (code 429) (name "")
+      (node (ref U11) (pin 39))
+      (node (ref R34) (pin 1)))
+    (net (code 430) (name "")
+      (node (ref R39) (pin 1))
+      (node (ref U11) (pin 28)))
+    (net (code 431) (name "")
+      (node (ref C135) (pin 1))
+      (node (ref X3) (pin 3))
+      (node (ref U11) (pin 46)))
+    (net (code 432) (name "")
+      (node (ref U11) (pin 38))
+      (node (ref R35) (pin 1)))
+    (net (code 433) (name "")
+      (node (ref U11) (pin 37))
+      (node (ref R36) (pin 1)))
+    (net (code 434) (name "")
+      (node (ref U11) (pin 41))
+      (node (ref R37) (pin 1)))
+    (net (code 435) (name "")
+      (node (ref U11) (pin 44))
+      (node (ref R38) (pin 1)))))
\ No newline at end of file
diff --git a/SBC/netholi/netholi.pro b/SBC/netholi/netholi.pro
new file mode 100644
index 0000000..057cbe2
--- /dev/null
+++ b/SBC/netholi/netholi.pro
@@ -0,0 +1,81 @@
+update=Sun 09 Jun 2013 07:11:48 AM IST
+version=1
+last_client=eeschema
+[cvpcb]
+version=1
+NetIExt=net
+[cvpcb/libraries]
+EquName1=devcms
+[general]
+version=1
+[pcbnew]
+version=1
+LastNetListRead=a10-sbc.net
+UseCmpFile=1
+PadDrill=0
+PadSizeH=1.80086
+PadSizeV=1.39954
+PcbTextSizeV=1
+PcbTextSizeH=1
+PcbTextThickness=0.3
+ModuleTextSizeV=1
+ModuleTextSizeH=1
+ModuleTextSizeThickness=0.15
+SolderMaskClearance=0
+SolderMaskMinWidth=0
+DrawSegmentWidth=0.2
+BoardOutlineThickness=0.15
+ModuleOutlineThickness=0.15
+[pcbnew/libraries]
+LibDir=../electronica_jan_2013
+LibName1=sockets
+LibName2=connect
+LibName3=discret
+LibName4=pin_array
+LibName5=divers
+LibName6=libcms
+LibName7=display
+LibName8=led
+LibName9=dip_sockets
+LibName10=pga_sockets
+LibName11=valves
+LibName12=esbc-modules
+[eeschema]
+version=1
+LibDir=
+NetFmtName=PcbnewAdvanced
+RptD_X=0
+RptD_Y=100
+RptLab=1
+LabSize=60
+[eeschema/libraries]
+LibName1=power
+LibName2=device
+LibName3=transistors
+LibName4=conn
+LibName5=linear
+LibName6=regul
+LibName7=cmos4000
+LibName8=adc-dac
+LibName9=memory
+LibName10=xilinx
+LibName11=special
+LibName12=microcontrollers
+LibName13=dsp
+LibName14=microchip
+LibName15=analog_switches
+LibName16=motorola
+LibName17=texas
+LibName18=intel
+LibName19=audio
+LibName20=interface
+LibName21=digital-audio
+LibName22=philips
+LibName23=display
+LibName24=cypress
+LibName25=siliconi
+LibName26=opto
+LibName27=atmel
+LibName28=contrib
+LibName29=valves
+LibName30=A10-plus
diff --git a/SBC/netholi/netholi.sch b/SBC/netholi/netholi.sch
new file mode 100644
index 0000000..24d31d1
--- /dev/null
+++ b/SBC/netholi/netholi.sch
@@ -0,0 +1,4344 @@
+EESchema Schematic File Version 2  date Thu 06 Jun 2013 04:32:23 PM IST
+LIBS:power
+LIBS:device
+LIBS:transistors
+LIBS:conn
+LIBS:linear
+LIBS:regul
+LIBS:cmos4000
+LIBS:adc-dac
+LIBS:memory
+LIBS:xilinx
+LIBS:special
+LIBS:microcontrollers
+LIBS:dsp
+LIBS:microchip
+LIBS:analog_switches
+LIBS:motorola
+LIBS:texas
+LIBS:intel
+LIBS:audio
+LIBS:interface
+LIBS:digital-audio
+LIBS:philips
+LIBS:display
+LIBS:cypress
+LIBS:siliconi
+LIBS:opto
+LIBS:atmel
+LIBS:contrib
+LIBS:valves
+LIBS:A10-plus
+LIBS:myconns
+LIBS:a10-sbc-cache
+EELAYER 27 0
+EELAYER END
+$Descr A3 16535 11693
+encoding utf-8
+Sheet 1 3
+Title ""
+Date "4 jun 2013"
+Rev ""
+Comp ""
+Comment1 ""
+Comment2 ""
+Comment3 ""
+Comment4 ""
+$EndDescr
+NoConn ~ 8350 5300
+NoConn ~ 8350 5200
+NoConn ~ 8350 5100
+NoConn ~ 8350 5000
+NoConn ~ 8350 4900
+NoConn ~ 8350 4800
+NoConn ~ 8350 4700
+NoConn ~ 8350 4600
+NoConn ~ 8350 4500
+NoConn ~ 8350 4400
+NoConn ~ 8350 4300
+NoConn ~ 8350 3800
+NoConn ~ 8350 3700
+NoConn ~ 8350 3600
+NoConn ~ 8350 3500
+NoConn ~ 8350 3200
+NoConn ~ 8350 3100
+NoConn ~ 8350 3000
+NoConn ~ 8350 2900
+NoConn ~ 8350 2800
+NoConn ~ 8350 2700
+NoConn ~ 8350 2600
+NoConn ~ 8350 2500
+NoConn ~ 8350 2400
+NoConn ~ 8350 2300
+NoConn ~ 8350 2200
+NoConn ~ 8350 2100
+NoConn ~ 8350 2000
+NoConn ~ 8350 1900
+NoConn ~ 8350 1800
+NoConn ~ 8350 1700
+NoConn ~ 8350 1600
+NoConn ~ 8350 1500
+NoConn ~ 8350 1400
+NoConn ~ 8350 1300
+NoConn ~ 8350 1200
+NoConn ~ 9550 1200
+NoConn ~ 9550 1300
+NoConn ~ 9550 1400
+NoConn ~ 9550 1500
+NoConn ~ 9550 6700
+NoConn ~ 9550 6600
+NoConn ~ 9550 6500
+NoConn ~ 9550 6400
+NoConn ~ 9550 6300
+NoConn ~ 9550 6200
+NoConn ~ 9550 6100
+NoConn ~ 9550 6000
+NoConn ~ 9550 5900
+NoConn ~ 9550 5800
+NoConn ~ 9550 5700
+NoConn ~ 9550 5600
+NoConn ~ 9550 5500
+NoConn ~ 9550 5400
+NoConn ~ 9550 5300
+NoConn ~ 9550 5200
+NoConn ~ 10750 1900
+NoConn ~ 10750 2000
+NoConn ~ 10750 2100
+NoConn ~ 10750 2200
+NoConn ~ 10750 2300
+NoConn ~ 10750 2400
+NoConn ~ 10750 2500
+NoConn ~ 10750 2600
+NoConn ~ 10750 3100
+NoConn ~ 10750 3000
+NoConn ~ 10750 2900
+NoConn ~ 10750 2800
+NoConn ~ 10750 2700
+NoConn ~ 10750 3200
+NoConn ~ 10750 3300
+NoConn ~ 10750 3400
+NoConn ~ 10750 3500
+NoConn ~ 10750 3600
+NoConn ~ 10750 3700
+NoConn ~ 10750 3800
+NoConn ~ 10750 3900
+NoConn ~ 10750 4000
+NoConn ~ 10750 4100
+NoConn ~ 10750 4200
+NoConn ~ 10750 4300
+NoConn ~ 10750 4400
+NoConn ~ 10750 4500
+NoConn ~ 10750 4600
+NoConn ~ 10750 4700
+NoConn ~ 10750 4800
+NoConn ~ 10750 4900
+NoConn ~ 10750 5000
+NoConn ~ 10750 5100
+NoConn ~ 10750 5200
+NoConn ~ 10750 5300
+NoConn ~ 10750 5400
+NoConn ~ 10750 5500
+NoConn ~ 10750 5600
+NoConn ~ 10750 5700
+NoConn ~ 10750 5800
+NoConn ~ 10750 5900
+NoConn ~ 10750 6000
+NoConn ~ 10750 6100
+NoConn ~ 10750 6200
+NoConn ~ 10750 6300
+NoConn ~ 10750 6400
+NoConn ~ 10750 6500
+NoConn ~ 10750 6600
+NoConn ~ 10750 6700
+NoConn ~ 10750 6800
+NoConn ~ 10750 6900
+NoConn ~ 10750 7300
+NoConn ~ 10750 7200
+NoConn ~ 10750 7100
+NoConn ~ 10750 7000
+$Comp
+L GND #PWR01
+U 1 1 514BE0C9
+P 6850 3650
+F 0 "#PWR01" H 6850 3650 30  0001 C CNN
+F 1 "GND" H 6850 3580 30  0001 C CNN
+	1    6850 3650
+	1    0    0    -1  
+$EndComp
+$Comp
+L PWR_FLAG #FLG02
+U 1 1 514BE196
+P 6850 3600
+F 0 "#FLG02" H 6850 3695 30  0001 C CNN
+F 1 "PWR_FLAG" H 6850 3780 30  0000 C CNN
+	1    6850 3600
+	1    0    0    -1  
+$EndComp
+Text Label 5600 1200 0    60   ~ 0
+DQ0
+Entry Wire Line
+	5450 1100 5550 1200
+Entry Wire Line
+	5450 2500 5550 2600
+Text Label 5550 2600 0    60   ~ 0
+DQ14
+Text Label 5600 1900 0    60   ~ 0
+DQ7
+Text Label 5600 1600 0    60   ~ 0
+DQ1
+Text Label 5600 1400 0    60   ~ 0
+DQ2
+Text Label 5600 1500 0    60   ~ 0
+DQ3
+Text Label 5600 1800 0    60   ~ 0
+DQ6
+Text Label 5600 2000 0    60   ~ 0
+DQ8
+Text Label 5600 2100 0    60   ~ 0
+DQ9
+Text Label 5600 1300 0    60   ~ 0
+DQ4
+Text Label 5600 1700 0    60   ~ 0
+DQ5
+Text Label 5550 2200 0    60   ~ 0
+DQ10
+Text Label 5550 2300 0    60   ~ 0
+DQ11
+Text Label 5550 2400 0    60   ~ 0
+DQ12
+Text Label 5550 2500 0    60   ~ 0
+DQ13
+Entry Wire Line
+	5450 2400 5550 2500
+Entry Wire Line
+	5450 2300 5550 2400
+Entry Wire Line
+	5450 2200 5550 2300
+Entry Wire Line
+	5450 2100 5550 2200
+Entry Wire Line
+	5450 2000 5550 2100
+Entry Wire Line
+	5450 1900 5550 2000
+Entry Wire Line
+	5450 1800 5550 1900
+Entry Wire Line
+	5450 1700 5550 1800
+Entry Wire Line
+	5450 1600 5550 1700
+Entry Wire Line
+	5450 1500 5550 1600
+Entry Wire Line
+	5450 1400 5550 1500
+Entry Wire Line
+	5450 1300 5550 1400
+Entry Wire Line
+	5450 1200 5550 1300
+Text Label 5100 1200 0    60   ~ 0
+DQ0
+Entry Wire Line
+	5250 1200 5350 1300
+Entry Wire Line
+	5250 2600 5350 2700
+Text Label 5050 2300 0    60   ~ 0
+DQ14
+$Comp
+L AXP209 U7
+U 1 1 514C3FF4
+P 4800 9450
+F 0 "U7" H 5050 10750 60  0000 C CNN
+F 1 "AXP209" H 4500 10750 60  0000 C CNN
+	1    4800 9450
+	1    0    0    -1  
+$EndComp
+Text Label 5100 1300 0    60   ~ 0
+DQ1
+Text Label 5100 1400 0    60   ~ 0
+DQ2
+Text Label 5100 1500 0    60   ~ 0
+DQ3
+Text Label 5100 1900 0    60   ~ 0
+DQ4
+Text Label 5100 1700 0    60   ~ 0
+DQ5
+Text Label 5100 1600 0    60   ~ 0
+DQ6
+Text Label 5100 1800 0    60   ~ 0
+DQ7
+Text Label 5050 2400 0    60   ~ 0
+DQ8
+Text Label 5050 2100 0    60   ~ 0
+DQ9
+Text Label 5050 2600 0    60   ~ 0
+DQ10
+Text Label 5050 2500 0    60   ~ 0
+DQ11
+Text Label 5050 2700 0    60   ~ 0
+DQ12
+Text Label 5050 2200 0    60   ~ 0
+DQ13
+Entry Wire Line
+	5250 2500 5350 2600
+Entry Wire Line
+	5250 2400 5350 2500
+Entry Wire Line
+	5250 2300 5350 2400
+Entry Wire Line
+	5250 2200 5350 2300
+Entry Wire Line
+	5250 2100 5350 2200
+Entry Wire Line
+	5250 2000 5350 2100
+Entry Wire Line
+	5250 1900 5350 2000
+Entry Wire Line
+	5250 1800 5350 1900
+Entry Wire Line
+	5250 1700 5350 1800
+Entry Wire Line
+	5250 1600 5350 1700
+Entry Wire Line
+	5250 1500 5350 1600
+Entry Wire Line
+	5250 1400 5350 1500
+Entry Wire Line
+	5250 1300 5350 1400
+$Comp
+L R R27
+U 1 1 514C634B
+P 13800 10150
+F 0 "R27" V 13700 10150 50  0000 C CNN
+F 1 "2k2" V 13800 10150 50  0000 C CNN
+	1    13800 10150
+	-1   0    0    1   
+$EndComp
+$Comp
+L R R4
+U 1 1 514C6175
+P 3700 5750
+F 0 "R4" V 3650 5950 50  0000 C CNN
+F 1 "240" V 3700 5750 50  0000 C CNN
+	1    3700 5750
+	1    0    0    -1  
+$EndComp
+$Comp
+L R R10
+U 1 1 514C617B
+P 5550 6300
+F 0 "R10" V 5630 6300 50  0000 C CNN
+F 1 "240" V 5550 6300 50  0000 C CNN
+	1    5550 6300
+	0    1    1    0   
+$EndComp
+$Comp
+L GND #PWR03
+U 1 1 514D0E97
+P 14300 9650
+F 0 "#PWR03" H 14300 9650 30  0001 C CNN
+F 1 "GND" H 14300 9580 30  0001 C CNN
+	1    14300 9650
+	0    1    1    0   
+$EndComp
+$Comp
+L GND #PWR04
+U 1 1 514D0E9D
+P 14300 9050
+F 0 "#PWR04" H 14300 9050 30  0001 C CNN
+F 1 "GND" H 14300 8980 30  0001 C CNN
+	1    14300 9050
+	0    1    1    0   
+$EndComp
+$Comp
+L GND #PWR05
+U 1 1 514D0EA3
+P 14300 8450
+F 0 "#PWR05" H 14300 8450 30  0001 C CNN
+F 1 "GND" H 14300 8380 30  0001 C CNN
+	1    14300 8450
+	0    1    1    0   
+$EndComp
+$Comp
+L GND #PWR06
+U 1 1 514D0EA9
+P 15150 8450
+F 0 "#PWR06" H 15150 8450 30  0001 C CNN
+F 1 "GND" H 15150 8380 30  0001 C CNN
+	1    15150 8450
+	0    -1   -1   0   
+$EndComp
+$Comp
+L GND #PWR07
+U 1 1 514D0EAF
+P 15150 9650
+F 0 "#PWR07" H 15150 9650 30  0001 C CNN
+F 1 "GND" H 15150 9580 30  0001 C CNN
+	1    15150 9650
+	0    -1   -1   0   
+$EndComp
+$Comp
+L GND #PWR08
+U 1 1 514D0EB5
+P 15150 9050
+F 0 "#PWR08" H 15150 9050 30  0001 C CNN
+F 1 "GND" H 15150 8980 30  0001 C CNN
+	1    15150 9050
+	0    -1   -1   0   
+$EndComp
+$Comp
+L 524P U8
+U 1 1 514D0FDE
+P 14700 9800
+F 0 "U8" H 14850 10250 50  0000 C CNN
+F 1 "524P" H 14650 10250 50  0000 C CNN
+F 2 "~" H 14700 9800 50  0001 C CNN
+F 3 "~" H 14700 9800 50  0001 C CNN
+	1    14700 9800
+	1    0    0    -1  
+$EndComp
+$Comp
+L 524P U6
+U 1 1 514D11F4
+P 14700 9200
+F 0 "U6" H 14850 9650 50  0000 C CNN
+F 1 "524P" H 14650 9650 50  0000 C CNN
+F 2 "~" H 14700 9200 50  0001 C CNN
+F 3 "~" H 14700 9200 50  0001 C CNN
+	1    14700 9200
+	1    0    0    -1  
+$EndComp
+$Comp
+L 524P U4
+U 1 1 514D120E
+P 14700 8600
+F 0 "U4" H 14850 9050 50  0000 C CNN
+F 1 "524P" H 14650 9050 50  0000 C CNN
+F 2 "~" H 14700 8600 50  0001 C CNN
+F 3 "~" H 14700 8600 50  0001 C CNN
+	1    14700 8600
+	1    0    0    -1  
+$EndComp
+Text Label 5550 2700 0    60   ~ 0
+DQ15
+Entry Wire Line
+	5450 2600 5550 2700
+Entry Wire Line
+	5250 2700 5350 2800
+Text Label 5050 2000 0    60   ~ 0
+DQ15
+$Comp
+L 4GBDDR3 U2
+U 1 1 514D42E8
+P 4550 3700
+F 0 "U2" H 4650 6300 50  0000 C CNN
+F 1 "4GBDDR3" H 4200 6300 50  0000 C CNN
+F 2 "~" H 4550 3700 50  0001 C CNN
+F 3 "_" H 4550 3700 50  0001 C CNN
+	1    4550 3700
+	1    0    0    -1  
+$EndComp
+NoConn ~ 5800 6400
+NoConn ~ 5800 6500
+Text Label 3650 1250 0    60   ~ 0
+SA0
+Text Label 3650 1350 0    60   ~ 0
+SA1
+Text Label 3650 1450 0    60   ~ 0
+SA2
+Text Label 3650 1550 0    60   ~ 0
+SA3
+Text Label 3650 1650 0    60   ~ 0
+SA4
+Text Label 3650 1750 0    60   ~ 0
+SA5
+Text Label 3650 1850 0    60   ~ 0
+SA6
+Text Label 3650 1950 0    60   ~ 0
+SA7
+Text Label 3650 2050 0    60   ~ 0
+SA8
+Text Label 3650 2150 0    60   ~ 0
+SA9
+Text Label 3600 2250 0    60   ~ 0
+SA10
+Text Label 3600 2350 0    60   ~ 0
+SA11
+Text Label 3600 2450 0    60   ~ 0
+SA12
+Text Label 3600 2550 0    60   ~ 0
+SA13
+Text Label 3600 2650 0    60   ~ 0
+SA14
+Text Label 3600 2900 0    60   ~ 0
+BA0
+Text Label 3600 3000 0    60   ~ 0
+BA1
+Text Label 3600 3100 0    60   ~ 0
+BA2
+Text Label 6850 1200 0    60   ~ 0
+SA0
+Text Label 6850 1300 0    60   ~ 0
+SA1
+Text Label 6850 1400 0    60   ~ 0
+SA2
+Text Label 6850 1500 0    60   ~ 0
+SA3
+Text Label 6850 1600 0    60   ~ 0
+SA4
+Text Label 6850 1700 0    60   ~ 0
+SA5
+Text Label 6850 1800 0    60   ~ 0
+SA6
+Text Label 6850 1900 0    60   ~ 0
+SA7
+Text Label 6850 2000 0    60   ~ 0
+SA8
+Text Label 6850 2100 0    60   ~ 0
+SA9
+Text Label 6800 2200 0    60   ~ 0
+SA10
+Text Label 6800 2300 0    60   ~ 0
+SA11
+Text Label 6800 2400 0    60   ~ 0
+SA12
+Text Label 6800 2500 0    60   ~ 0
+SA13
+Text Label 6800 2600 0    60   ~ 0
+SA14
+Text Label 6800 2700 0    60   ~ 0
+BA0
+Text Label 6800 2800 0    60   ~ 0
+BA1
+Text Label 6800 2900 0    60   ~ 0
+BA2
+NoConn ~ 5050 4050
+NoConn ~ 5050 4150
+NoConn ~ 5050 4250
+NoConn ~ 5050 4350
+Text Label 9300 1600 0    60   ~ 0
+LD0
+Text Label 9300 1700 0    60   ~ 0
+LD1
+Text Label 9300 1800 0    60   ~ 0
+LD2
+Text Label 9300 1900 0    60   ~ 0
+LD3
+Text Label 9300 2000 0    60   ~ 0
+LD4
+Text Label 9300 2100 0    60   ~ 0
+LD5
+Text Label 9300 2200 0    60   ~ 0
+LD6
+Text Label 9300 2300 0    60   ~ 0
+LD7
+Text Label 9300 2400 0    60   ~ 0
+LD8
+Text Label 9300 2500 0    60   ~ 0
+LD9
+Text Label 9300 2600 0    60   ~ 0
+LD10
+Text Label 9300 2700 0    60   ~ 0
+LD11
+Text Label 9300 2800 0    60   ~ 0
+LD12
+Text Label 9300 2900 0    60   ~ 0
+LD13
+Text Label 9300 3000 0    60   ~ 0
+LD14
+Text Label 9300 3100 0    60   ~ 0
+LD15
+Text Label 9300 3200 0    60   ~ 0
+LD16
+Text Label 9300 3300 0    60   ~ 0
+LD17
+Text Label 9300 3400 0    60   ~ 0
+LD18
+Text Label 9300 3500 0    60   ~ 0
+LD19
+Text Label 9300 3600 0    60   ~ 0
+LD20
+Text Label 9300 3700 0    60   ~ 0
+LD21
+Text Label 9300 3800 0    60   ~ 0
+LD22
+Text Label 9300 3900 0    60   ~ 0
+LD23
+Text Label 9300 4000 0    60   ~ 0
+LCLK
+Text Label 9300 4100 0    60   ~ 0
+LDE
+Text Label 9300 4200 0    60   ~ 0
+LHSYN
+Text Label 9300 4300 0    60   ~ 0
+LVSYN
+Text GLabel 5550 8000 2    40   Input ~ 0
+IPSOUT
+Text Label 4850 8000 0    60   ~ 0
+RESET#
+Text Label 3900 8300 0    60   ~ 0
+NMI#
+Text GLabel 13150 9650 2    60   Input ~ 0
+3.3V
+Text GLabel 7950 9600 2    60   Input ~ 0
+AVCC
+Text GLabel 7000 10600 2    60   Input ~ 0
+1.2V
+Text GLabel 8400 10600 2    60   Input ~ 0
+1.25V
+$Comp
+L INDUCTOR L3
+U 1 1 514EC5DA
+P 5700 9900
+F 0 "L3" V 5650 10050 40  0000 C CNN
+F 1 "4.7uH at 1.5A" V 5650 9800 40  0000 C CNN
+	1    5700 9900
+	0    -1   -1   0   
+$EndComp
+$Comp
+L INDUCTOR L6
+U 1 1 514ECA56
+P 5700 10100
+F 0 "L6" V 5650 10250 40  0000 C CNN
+F 1 "4.7uH at 1.5A" V 5650 10000 40  0000 C CNN
+	1    5700 10100
+	0    -1   -1   0   
+$EndComp
+Text GLabel 7000 9700 2    60   Input ~ 0
+LDO1
+Text GLabel 9100 9500 2    60   Input ~ 0
+LDO3
+Text Label 11700 5900 0    60   ~ 0
+1.25V
+Text Label 11750 4400 0    60   ~ 0
+3.3V
+Text Label 11750 6900 0    60   ~ 0
+1.2V
+Text Label 13750 1200 0    60   ~ 0
+1.2V
+Text Label 11750 2000 0    60   ~ 0
+AVCC
+$Comp
+L GND #PWR09
+U 1 1 5150B417
+P 11900 1600
+F 0 "#PWR09" H 11900 1600 30  0001 C CNN
+F 1 "GND" H 11900 1530 30  0001 C CNN
+	1    11900 1600
+	0    1    1    0   
+$EndComp
+$Comp
+L CONN_30 P1
+U 1 1 5150C360
+P 700 9550
+F 0 "P1" V 650 7950 60  0000 C CNN
+F 1 "CONN30 - LCD" V 700 9500 60  0000 C CNN
+	1    700  9550
+	-1   0    0    -1  
+$EndComp
+Text Label 1050 8100 0    60   ~ 0
+LD0
+Text Label 1050 8200 0    60   ~ 0
+LD1
+Text Label 1050 8300 0    60   ~ 0
+LD2
+Text Label 1050 8400 0    60   ~ 0
+LD3
+Text Label 1050 8500 0    60   ~ 0
+LD4
+Text Label 1050 8600 0    60   ~ 0
+LD5
+Text Label 1050 8700 0    60   ~ 0
+LD6
+Text Label 1050 8800 0    60   ~ 0
+LD7
+Text Label 1050 8900 0    60   ~ 0
+LD8
+Text Label 1050 9000 0    60   ~ 0
+LD9
+Text Label 1050 9100 0    60   ~ 0
+LD10
+Text Label 1050 9200 0    60   ~ 0
+LD11
+Text Label 1050 9300 0    60   ~ 0
+LD12
+Text Label 1050 9400 0    60   ~ 0
+LD13
+Text Label 1050 9500 0    60   ~ 0
+LD14
+Text Label 1050 9600 0    60   ~ 0
+LD15
+Text Label 1050 9700 0    60   ~ 0
+LD16
+Text Label 1050 9800 0    60   ~ 0
+LD17
+Text Label 1050 9900 0    60   ~ 0
+LD18
+Text Label 1050 10000 0    60   ~ 0
+LD19
+Text Label 1050 10100 0    60   ~ 0
+LD20
+Text Label 1050 10200 0    60   ~ 0
+LD21
+Text Label 1050 10300 0    60   ~ 0
+LD22
+Text Label 1050 10400 0    60   ~ 0
+LD23
+Text Label 1050 10500 0    60   ~ 0
+LCLK
+Text Label 1050 10600 0    60   ~ 0
+LDE
+Text Label 1050 10700 0    60   ~ 0
+LHSYN
+Text Label 1100 10800 0    60   ~ 0
+LVSYN
+$Comp
+L GND #PWR010
+U 1 1 5150EAB5
+P 1150 11000
+F 0 "#PWR010" H 1150 11000 30  0001 C CNN
+F 1 "GND" H 1150 10930 30  0001 C CNN
+	1    1150 11000
+	0    -1   1    0   
+$EndComp
+NoConn ~ 3800 2750
+$Comp
+L GND #PWR011
+U 1 1 5150EB51
+P 5150 3350
+F 0 "#PWR011" H 5150 3350 30  0001 C CNN
+F 1 "GND" H 5150 3280 30  0001 C CNN
+	1    5150 3350
+	0    -1   -1   0   
+$EndComp
+$Comp
+L GND #PWR012
+U 1 1 5150EE2D
+P 3650 5350
+F 0 "#PWR012" H 3650 5350 30  0001 C CNN
+F 1 "GND" H 3650 5280 30  0001 C CNN
+	1    3650 5350
+	0    1    1    0   
+$EndComp
+NoConn ~ 13700 5500
+NoConn ~ 13700 5600
+$Comp
+L GND #PWR013
+U 1 1 51516A0B
+P 14950 4800
+F 0 "#PWR013" H 14950 4800 30  0001 C CNN
+F 1 "GND" H 14950 4730 30  0001 C CNN
+	1    14950 4800
+	0    1    1    0   
+$EndComp
+NoConn ~ 13700 1300
+NoConn ~ 13700 1400
+NoConn ~ 13700 1500
+NoConn ~ 10750 1200
+NoConn ~ 10750 1300
+NoConn ~ 10750 1400
+NoConn ~ 10750 1500
+NoConn ~ 10750 1600
+NoConn ~ 10750 1700
+NoConn ~ 10750 1800
+Text Label 13800 2500 0    60   ~ 0
+RESET#
+Text Label 13800 2600 0    60   ~ 0
+NMI#
+Text Notes 12550 1400 0    60   ~ 0
+NC
+$Comp
+L GND #PWR014
+U 1 1 5151B9E0
+P 5300 6300
+F 0 "#PWR014" H 5300 6300 30  0001 C CNN
+F 1 "GND" H 5300 6230 30  0001 C CNN
+	1    5300 6300
+	0    1    1    0   
+$EndComp
+$Comp
+L CRYSTAL X2
+U 1 1 51523481
+P 15250 2050
+F 0 "X2" H 15250 2200 60  0000 C CNN
+F 1 "24MHz" H 15250 1900 60  0000 C CNN
+	1    15250 2050
+	0    -1   -1   0   
+$EndComp
+$Comp
+L C C4
+U 1 1 51523944
+P 15600 2350
+F 0 "C4" H 15650 2450 50  0000 L CNN
+F 1 "18pF" H 15650 2250 50  0000 L CNN
+	1    15600 2350
+	0    -1   -1   0   
+$EndComp
+NoConn ~ 13700 2300
+NoConn ~ 13700 2400
+$Comp
+L C C3
+U 1 1 51523965
+P 15600 1750
+F 0 "C3" H 15650 1850 50  0000 L CNN
+F 1 "18pF" H 15650 1650 50  0000 L CNN
+	1    15600 1750
+	0    -1   -1   0   
+$EndComp
+NoConn ~ 13700 1600
+$Comp
+L GND #PWR015
+U 1 1 515270AC
+P 15850 2050
+F 0 "#PWR015" H 15850 2050 30  0001 C CNN
+F 1 "GND" H 15850 1980 30  0001 C CNN
+	1    15850 2050
+	0    -1   -1   0   
+$EndComp
+NoConn ~ 13700 1800
+$Comp
+L GND #PWR016
+U 1 1 5152724A
+P 13700 1700
+F 0 "#PWR016" H 13700 1700 30  0001 C CNN
+F 1 "GND" H 13700 1630 30  0001 C CNN
+	1    13700 1700
+	0    -1   -1   0   
+$EndComp
+NoConn ~ 13700 4700
+NoConn ~ 13700 4800
+$Comp
+L R R2
+U 1 1 5152B195
+P 13950 2700
+F 0 "R2" V 14050 2550 50  0000 C CNN
+F 1 "5k1" V 13950 2700 50  0000 C CNN
+	1    13950 2700
+	0    -1   -1   0   
+$EndComp
+$Comp
+L GND #PWR017
+U 1 1 5152B1A2
+P 14200 2700
+F 0 "#PWR017" H 14200 2700 30  0001 C CNN
+F 1 "GND" H 14200 2630 30  0001 C CNN
+	1    14200 2700
+	0    -1   -1   0   
+$EndComp
+$Comp
+L GND #PWR018
+U 1 1 5152B356
+P 14700 2700
+F 0 "#PWR018" H 14700 2700 30  0001 C CNN
+F 1 "GND" H 14700 2630 30  0001 C CNN
+	1    14700 2700
+	0    -1   -1   0   
+$EndComp
+$Comp
+L GND #PWR019
+U 1 1 5152C552
+P 14650 3900
+F 0 "#PWR019" H 14650 3900 30  0001 C CNN
+F 1 "GND" H 14650 3830 30  0001 C CNN
+	1    14650 3900
+	1    0    0    -1  
+$EndComp
+NoConn ~ 13700 3700
+NoConn ~ 13700 3800
+NoConn ~ 13700 3900
+NoConn ~ 13700 4000
+NoConn ~ 13700 4100
+NoConn ~ 13700 4200
+$Comp
+L GND #PWR020
+U 1 1 5152C8E9
+P 2800 10900
+F 0 "#PWR020" H 2800 10900 30  0001 C CNN
+F 1 "GND" H 2800 10830 30  0001 C CNN
+	1    2800 10900
+	0    -1   -1   0   
+$EndComp
+NoConn ~ 4150 8700
+NoConn ~ 4150 8800
+NoConn ~ 4150 8900
+$Comp
+L R R30
+U 1 1 5152CC57
+P 4450 11050
+F 0 "R30" V 4350 11050 50  0000 C CNN
+F 1 "240" V 4450 11050 50  0000 C CNN
+	1    4450 11050
+	0    -1   -1   0   
+$EndComp
+$Comp
+L GND #PWR021
+U 1 1 5152CC66
+P 4700 11050
+F 0 "#PWR021" H 4700 11050 30  0001 C CNN
+F 1 "GND" H 4700 10980 30  0001 C CNN
+	1    4700 11050
+	0    -1   -1   0   
+$EndComp
+$Comp
+L R R20
+U 1 1 5152D179
+P 3350 8400
+F 0 "R20" V 3430 8400 50  0000 C CNN
+F 1 "2k2" V 3350 8400 50  0000 C CNN
+	1    3350 8400
+	0    -1   -1   0   
+$EndComp
+$Comp
+L R R21
+U 1 1 5152D186
+P 3350 8500
+F 0 "R21" V 3250 8500 50  0000 C CNN
+F 1 "2k2" V 3350 8500 50  0000 C CNN
+	1    3350 8500
+	0    -1   -1   0   
+$EndComp
+Text Label 7900 5600 0    60   ~ 0
+TWI0SCK
+Text Label 7900 5500 0    60   ~ 0
+TWI0SDA
+Text Label 3700 8500 0    60   ~ 0
+TWI0SDA
+Text Label 3700 8400 0    60   ~ 0
+TWI0SCK
+Text Label 2900 8450 0    60   ~ 0
+3.3V
+$Comp
+L C C42
+U 1 1 5152E923
+P 3800 10800
+F 0 "C42" V 3700 10900 50  0000 L CNN
+F 1 "1uF" V 3850 10600 50  0000 L CNN
+	1    3800 10800
+	-1   0    0    1   
+$EndComp
+$Comp
+L GND #PWR022
+U 1 1 5152EADD
+P 3800 11000
+F 0 "#PWR022" H 3800 11000 30  0001 C CNN
+F 1 "GND" H 3800 10930 30  0001 C CNN
+	1    3800 11000
+	1    0    0    -1  
+$EndComp
+$Comp
+L GND #PWR023
+U 1 1 5152EAE3
+P 4150 10350
+F 0 "#PWR023" H 4150 10350 30  0001 C CNN
+F 1 "GND" H 4150 10280 30  0001 C CNN
+	1    4150 10350
+	0    1    1    0   
+$EndComp
+$Comp
+L SW_PUSH SW1
+U 1 1 5152F670
+P 3200 10750
+F 0 "SW1" H 2950 10800 50  0000 C CNN
+F 1 "SW_PUSH" H 3250 10900 50  0000 C CNN
+	1    3200 10750
+	0    -1   -1   0   
+$EndComp
+$Comp
+L R R28
+U 1 1 5152F676
+P 3650 10450
+F 0 "R28" V 3550 10450 50  0000 C CNN
+F 1 "33" V 3650 10450 50  0000 C CNN
+	1    3650 10450
+	0    -1   -1   0   
+$EndComp
+$Comp
+L C C41
+U 1 1 5152F82C
+P 3400 10800
+F 0 "C41" V 3300 10900 50  0000 L CNN
+F 1 ".22u" V 3350 10550 50  0000 L CNN
+	1    3400 10800
+	-1   0    0    1   
+$EndComp
+$Comp
+L GND #PWR024
+U 1 1 5153044F
+P 4150 10800
+F 0 "#PWR024" H 4150 10800 30  0001 C CNN
+F 1 "GND" H 4150 10730 30  0001 C CNN
+	1    4150 10800
+	0    1    1    0   
+$EndComp
+$Comp
+L GND #PWR025
+U 1 1 5153126F
+P 5950 10700
+F 0 "#PWR025" H 5950 10700 30  0001 C CNN
+F 1 "GND" H 5950 10630 30  0001 C CNN
+	1    5950 10700
+	0    -1   -1   0   
+$EndComp
+$Comp
+L R R31
+U 1 1 51531275
+P 5600 10700
+F 0 "R31" V 5500 10700 50  0000 C CNN
+F 1 "2k2" V 5600 10700 50  0000 C CNN
+	1    5600 10700
+	0    -1   -1   0   
+$EndComp
+$Comp
+L SY8008C U5
+U 1 1 514F0596
+P 10050 8750
+F 0 "U5" H 10000 9100 50  0000 C CNN
+F 1 "SY8008C" H 9750 9100 50  0000 C CNN
+F 2 "MODULE" H 10050 8750 50  0001 C CNN
+F 3 "DOCUMENTATION" H 10050 8750 50  0001 C CNN
+	1    10050 8750
+	1    0    0    -1  
+$EndComp
+$Comp
+L GND #PWR026
+U 1 1 514F06C7
+P 9300 8800
+F 0 "#PWR026" H 9300 8800 30  0001 C CNN
+F 1 "GND" H 9300 8730 30  0001 C CNN
+	1    9300 8800
+	1    0    0    -1  
+$EndComp
+$Comp
+L SY8008C U9
+U 1 1 514F0D71
+P 10700 9850
+F 0 "U9" H 10650 10200 50  0000 C CNN
+F 1 "SY8008C" H 10400 10200 50  0000 C CNN
+F 2 "MODULE" H 10700 9850 50  0001 C CNN
+F 3 "DOCUMENTATION" H 10700 9850 50  0001 C CNN
+	1    10700 9850
+	1    0    0    -1  
+$EndComp
+$Comp
+L HEADER_19 J7
+U 1 1 514F1A03
+P 15850 9150
+F 0 "J7" V 15900 10400 60  0000 C CNN
+F 1 "HDMI Connector" V 15950 9050 60  0000 C CNN
+	1    15850 9150
+	1    0    0    -1  
+$EndComp
+$Comp
+L R R26
+U 1 1 514F4E43
+P 13650 10150
+F 0 "R26" V 13750 10150 50  0000 C CNN
+F 1 "2k2" V 13650 10150 50  0000 C CNN
+	1    13650 10150
+	-1   0    0    1   
+$EndComp
+Text GLabel 2650 10250 0    60   Input ~ 0
+5V_IN
+$Comp
+L R R11
+U 1 1 514FBC8D
+P 1300 6400
+F 0 "R11" V 1400 6550 50  0000 C CNN
+F 1 "240" V 1300 6400 50  0000 C CNN
+	1    1300 6400
+	1    0    0    -1  
+$EndComp
+$Comp
+L 4GBDDR3 U1
+U 1 1 514FBC93
+P 2050 3700
+F 0 "U1" H 2150 6300 50  0000 C CNN
+F 1 "4GBDDR3" H 1700 6300 50  0000 C CNN
+F 2 "~" H 2050 3700 50  0001 C CNN
+F 3 "_" H 2050 3700 50  0001 C CNN
+	1    2050 3700
+	1    0    0    -1  
+$EndComp
+Text Label 1150 1250 0    60   ~ 0
+SA0
+Text Label 1150 1350 0    60   ~ 0
+SA1
+Text Label 1150 1450 0    60   ~ 0
+SA2
+Text Label 1150 1550 0    60   ~ 0
+SA3
+Text Label 1150 1650 0    60   ~ 0
+SA4
+Text Label 1150 1750 0    60   ~ 0
+SA5
+Text Label 1150 1850 0    60   ~ 0
+SA6
+Text Label 1150 1950 0    60   ~ 0
+SA7
+Text Label 1150 2050 0    60   ~ 0
+SA8
+Text Label 1150 2150 0    60   ~ 0
+SA9
+Text Label 1100 2250 0    60   ~ 0
+SA10
+Text Label 1100 2350 0    60   ~ 0
+SA11
+Text Label 1100 2450 0    60   ~ 0
+SA12
+Text Label 1100 2550 0    60   ~ 0
+SA13
+Text Label 1100 2650 0    60   ~ 0
+SA14
+Text Label 1100 2900 0    60   ~ 0
+BA0
+Text Label 1100 3000 0    60   ~ 0
+BA1
+Text Label 1100 3100 0    60   ~ 0
+BA2
+NoConn ~ 1300 2750
+$Comp
+L GND #PWR027
+U 1 1 514FBCAC
+P 1200 5650
+F 0 "#PWR027" H 1200 5650 30  0001 C CNN
+F 1 "GND" H 1200 5580 30  0001 C CNN
+	1    1200 5650
+	0    1    1    0   
+$EndComp
+$Comp
+L GND #PWR028
+U 1 1 514FBCB2
+P 1300 6650
+F 0 "#PWR028" H 1300 6650 30  0001 C CNN
+F 1 "GND" H 1300 6580 30  0001 C CNN
+	1    1300 6650
+	1    0    0    -1  
+$EndComp
+Text Label 5500 5800 0    60   ~ 0
+SCAS
+Text Label 5050 5900 0    60   ~ 0
+SRAS
+Text Label 5500 6000 0    60   ~ 0
+SWE
+Text Label 5500 5700 0    60   ~ 0
+SCK#
+Text Label 5500 5600 0    60   ~ 0
+SCK
+Text Label 2600 5600 0    60   ~ 0
+CK
+Text Label 2600 5700 0    60   ~ 0
+CK#
+Text Label 2600 5800 0    60   ~ 0
+SCAS
+Text Label 2600 5900 0    60   ~ 0
+SRAS
+Text Label 5500 6100 0    60   ~ 0
+SRST
+Text Label 2600 6100 0    60   ~ 0
+SRST
+Text Label 5500 6200 0    60   ~ 0
+ODT
+Text Label 2600 6200 0    60   ~ 0
+ODT
+Text GLabel 1200 5100 0    60   Input ~ 0
+DRAM_VCC
+Text GLabel 3700 3400 0    60   Input ~ 0
+DRAM_VCC
+$Comp
+L GND #PWR029
+U 1 1 51508154
+P 2700 2850
+F 0 "#PWR029" H 2700 2850 30  0001 C CNN
+F 1 "GND" H 2700 2780 30  0001 C CNN
+	1    2700 2850
+	0    -1   -1   0   
+$EndComp
+NoConn ~ 8350 5400
+$Comp
+L PWR_FLAG #FLG030
+U 1 1 51508972
+P 2800 10250
+F 0 "#FLG030" H 2800 10520 30  0001 C CNN
+F 1 "PWR_FLAG" H 2800 10450 30  0000 C CNN
+	1    2800 10250
+	1    0    0    -1  
+$EndComp
+Text Label 2650 1400 0    60   ~ 0
+DQ16
+Text Label 2650 1300 0    60   ~ 0
+DQ17
+Text Label 2650 1600 0    60   ~ 0
+DQ18
+Text Label 2650 1900 0    60   ~ 0
+DQ19
+Text Label 2650 1700 0    60   ~ 0
+DQ20
+Text Label 2650 1200 0    60   ~ 0
+DQ21
+Text Label 2650 1500 0    60   ~ 0
+DQ22
+Text Label 2650 1800 0    60   ~ 0
+DQ23
+Text Label 2650 2600 0    60   ~ 0
+DQ24
+Text Label 2650 2500 0    60   ~ 0
+DQ25
+Text Label 2650 2200 0    60   ~ 0
+DQ26
+Text Label 2650 2700 0    60   ~ 0
+DQ27
+Text Label 2650 2100 0    60   ~ 0
+DQ28
+Text Label 2650 2000 0    60   ~ 0
+DQ29
+Text Label 2650 2300 0    60   ~ 0
+DQ30
+Text Label 2650 2400 0    60   ~ 0
+DQ31
+Text Label 5550 2800 0    60   ~ 0
+DQ16
+Text Label 5550 2900 0    60   ~ 0
+DQ17
+Text Label 5550 3000 0    60   ~ 0
+DQ18
+Text Label 5550 3100 0    60   ~ 0
+DQ19
+Text Label 5550 3200 0    60   ~ 0
+DQ20
+Text Label 5550 3300 0    60   ~ 0
+DQ21
+Text Label 5550 3400 0    60   ~ 0
+DQ22
+Text Label 5550 3500 0    60   ~ 0
+DQ23
+Text Label 5550 3600 0    60   ~ 0
+DQ24
+Text Label 5550 3700 0    60   ~ 0
+DQ25
+Text Label 5550 3800 0    60   ~ 0
+DQ26
+Text Label 5550 3900 0    60   ~ 0
+DQ27
+Text Label 5550 4000 0    60   ~ 0
+DQ28
+Text Label 5550 4100 0    60   ~ 0
+DQ29
+Text Label 5550 4200 0    60   ~ 0
+DQ30
+Text Label 5550 4300 0    60   ~ 0
+DQ31
+Entry Wire Line
+	5450 4200 5550 4300
+Entry Wire Line
+	5450 4100 5550 4200
+Entry Wire Line
+	5450 4000 5550 4100
+Entry Wire Line
+	5450 3900 5550 4000
+Entry Wire Line
+	5450 3800 5550 3900
+Entry Wire Line
+	5450 3700 5550 3800
+Entry Wire Line
+	5450 3600 5550 3700
+Entry Wire Line
+	5450 3500 5550 3600
+Entry Wire Line
+	5450 3400 5550 3500
+Entry Wire Line
+	5450 3300 5550 3400
+Entry Wire Line
+	5450 3200 5550 3300
+Entry Wire Line
+	5450 3100 5550 3200
+Entry Wire Line
+	5450 3000 5550 3100
+Entry Wire Line
+	5450 2900 5550 3000
+Entry Wire Line
+	5450 2800 5550 2900
+Entry Wire Line
+	5450 2700 5550 2800
+NoConn ~ 2550 4050
+NoConn ~ 2550 4150
+NoConn ~ 2550 4250
+NoConn ~ 2550 4350
+$Comp
+L C C18
+U 1 1 51501971
+P 3700 9050
+F 0 "C18" V 3750 9150 50  0000 L CNN
+F 1 "1uF" V 3750 8850 50  0000 L CNN
+	1    3700 9050
+	0    -1   -1   0   
+$EndComp
+NoConn ~ 4150 9000
+NoConn ~ 4150 9100
+$Comp
+L GND #PWR031
+U 1 1 51501E8F
+P 3400 9350
+F 0 "#PWR031" H 3400 9350 30  0001 C CNN
+F 1 "GND" H 3400 9280 30  0001 C CNN
+	1    3400 9350
+	0    1    1    0   
+$EndComp
+$Comp
+L R R23
+U 1 1 51501E95
+P 3750 9350
+F 0 "R23" V 3850 9450 50  0000 C CNN
+F 1 "200k" V 3750 9350 50  0000 C CNN
+	1    3750 9350
+	0    -1   -1   0   
+$EndComp
+$Comp
+L GND #PWR032
+U 1 1 51506AAA
+P 5450 10300
+F 0 "#PWR032" H 5450 10300 30  0001 C CNN
+F 1 "GND" H 5450 10230 30  0001 C CNN
+	1    5450 10300
+	0    -1   -1   0   
+$EndComp
+Text GLabel 8600 9400 2    60   Input ~ 0
+LDO4
+Text Label 15400 6950 0    60   ~ 0
+3.3V
+NoConn ~ 5350 10200
+Entry Wire Line
+	6700 2500 6800 2600
+Entry Wire Line
+	1000 2550 1100 2650
+Entry Wire Line
+	1000 2450 1100 2550
+Entry Wire Line
+	1000 2350 1100 2450
+Entry Wire Line
+	1000 2250 1100 2350
+Entry Wire Line
+	1000 2150 1100 2250
+Entry Wire Line
+	1000 2050 1100 2150
+Entry Wire Line
+	1000 1950 1100 2050
+Entry Wire Line
+	1000 1850 1100 1950
+Entry Wire Line
+	1000 1750 1100 1850
+Entry Wire Line
+	1000 1650 1100 1750
+Entry Wire Line
+	1000 1550 1100 1650
+Entry Wire Line
+	1000 1450 1100 1550
+Entry Wire Line
+	1000 1350 1100 1450
+Entry Wire Line
+	1000 1150 1100 1250
+Entry Wire Line
+	1000 1250 1100 1350
+Entry Wire Line
+	3500 2550 3600 2650
+Entry Wire Line
+	3500 2450 3600 2550
+Entry Wire Line
+	3500 2350 3600 2450
+Entry Wire Line
+	3500 2250 3600 2350
+Entry Wire Line
+	3500 2150 3600 2250
+Entry Wire Line
+	3500 2050 3600 2150
+Entry Wire Line
+	3500 1950 3600 2050
+Entry Wire Line
+	3500 1850 3600 1950
+Entry Wire Line
+	3500 1750 3600 1850
+Entry Wire Line
+	3500 1650 3600 1750
+Entry Wire Line
+	3500 1550 3600 1650
+Entry Wire Line
+	3500 1450 3600 1550
+Entry Wire Line
+	3500 1350 3600 1450
+Entry Wire Line
+	3500 1250 3600 1350
+Entry Wire Line
+	3500 1150 3600 1250
+Entry Wire Line
+	3000 2600 2900 2700
+Entry Wire Line
+	3000 2500 2900 2600
+Entry Wire Line
+	3000 2400 2900 2500
+Entry Wire Line
+	3000 2300 2900 2400
+Entry Wire Line
+	3000 2200 2900 2300
+Entry Wire Line
+	3000 1100 2900 1200
+Entry Wire Line
+	3000 1200 2900 1300
+Entry Wire Line
+	3000 1300 2900 1400
+Entry Wire Line
+	3000 1400 2900 1500
+Entry Wire Line
+	3000 1500 2900 1600
+Entry Wire Line
+	3000 1600 2900 1700
+Entry Wire Line
+	3000 1700 2900 1800
+Entry Wire Line
+	3000 1800 2900 1900
+Entry Wire Line
+	3000 1900 2900 2000
+Entry Wire Line
+	3000 2000 2900 2100
+Entry Wire Line
+	3000 2100 2900 2200
+Entry Wire Line
+	6700 2400 6800 2500
+Entry Wire Line
+	6700 2300 6800 2400
+Entry Wire Line
+	6700 2200 6800 2300
+Entry Wire Line
+	6700 2100 6800 2200
+Entry Wire Line
+	6700 2000 6800 2100
+Entry Wire Line
+	6700 1900 6800 2000
+Entry Wire Line
+	6700 1800 6800 1900
+Entry Wire Line
+	6700 1700 6800 1800
+Entry Wire Line
+	6700 1600 6800 1700
+Entry Wire Line
+	6700 1500 6800 1600
+Entry Wire Line
+	6700 1400 6800 1500
+Entry Wire Line
+	6700 1300 6800 1400
+Entry Wire Line
+	6700 1200 6800 1300
+Entry Wire Line
+	6700 1100 6800 1200
+$Comp
+L R R22
+U 1 1 5150FD34
+P 10700 8750
+F 0 "R22" V 10800 8750 50  0000 C CNN
+F 1 "15k" V 10700 8750 50  0000 C CNN
+	1    10700 8750
+	0    -1   -1   0   
+$EndComp
+$Comp
+L INDUCTOR L2
+U 1 1 5150FD3C
+P 10650 8550
+F 0 "L2" V 10750 8700 40  0000 C CNN
+F 1 "4.7uH/3A" V 10750 8450 40  0000 C CNN
+	1    10650 8550
+	0    -1   -1   0   
+$EndComp
+$Comp
+L R R24
+U 1 1 5150FD4C
+P 10050 8950
+F 0 "R24" V 10150 8750 50  0000 C CNN
+F 1 "10k" V 10050 8950 50  0000 C CNN
+	1    10050 8950
+	0    -1   -1   0   
+$EndComp
+$Comp
+L C C24
+U 1 1 515105DA
+P 10700 8950
+F 0 "C24" V 10650 9050 50  0000 L CNN
+F 1 "22pF" V 10650 8700 50  0000 L CNN
+	1    10700 8950
+	0    -1   -1   0   
+$EndComp
+$Comp
+L GND #PWR033
+U 1 1 51511CEE
+P 12500 8950
+F 0 "#PWR033" H 12500 8950 30  0001 C CNN
+F 1 "GND" H 12500 8880 30  0001 C CNN
+	1    12500 8950
+	0    -1   -1   0   
+$EndComp
+$Comp
+L GND #PWR034
+U 1 1 51512C6A
+P 9950 9900
+F 0 "#PWR034" H 9950 9900 30  0001 C CNN
+F 1 "GND" H 9950 9830 30  0001 C CNN
+	1    9950 9900
+	1    0    0    -1  
+$EndComp
+$Comp
+L R R25
+U 1 1 5151396F
+P 11350 9850
+F 0 "R25" V 11450 9850 50  0000 C CNN
+F 1 "15k" V 11350 9850 50  0000 C CNN
+	1    11350 9850
+	0    -1   -1   0   
+$EndComp
+$Comp
+L INDUCTOR L4
+U 1 1 51513975
+P 11300 9650
+F 0 "L4" V 11400 9800 40  0000 C CNN
+F 1 "4.7uH/2A" V 11400 9550 40  0000 C CNN
+	1    11300 9650
+	0    -1   -1   0   
+$EndComp
+$Comp
+L R R29
+U 1 1 5151397B
+P 10700 10050
+F 0 "R29" V 10800 10050 50  0000 C CNN
+F 1 "3.3k" V 10700 10050 50  0000 C CNN
+	1    10700 10050
+	0    -1   -1   0   
+$EndComp
+$Comp
+L C C40
+U 1 1 51513983
+P 11350 10050
+F 0 "C40" V 11300 10100 50  0000 L CNN
+F 1 "22pF" V 11300 9800 50  0000 L CNN
+	1    11350 10050
+	0    -1   -1   0   
+$EndComp
+$Comp
+L GND #PWR035
+U 1 1 51513999
+P 10450 10050
+F 0 "#PWR035" H 10450 10050 30  0001 C CNN
+F 1 "GND" H 10450 9980 30  0001 C CNN
+	1    10450 10050
+	0    1    1    0   
+$EndComp
+Text Notes 12300 10950 0    60   ~ 0
+expEYES-SBC , a minimal A10 board
+Text Notes 15500 11100 0    60   ~ 0
+.01
+Text Notes 15850 11200 0    60   ~ 0
+Ajith 
+Text Label 11750 2100 0    60   ~ 0
+LDO1
+$Sheet
+S 10150 10350 650  750 
+U 515197D1
+F0 "decouple" 50
+F1 "decouple.sch" 50
+$EndSheet
+Text Label 9200 6900 0    60   ~ 0
+U3RX
+Text Label 9200 6800 0    60   ~ 0
+U3TX
+Text Label 9200 7200 0    60   ~ 0
+U4TX
+Text Label 9200 7300 0    60   ~ 0
+U4RX
+Text Label 9200 7400 0    60   ~ 0
+U5TX
+Text Label 10450 7400 0    60   ~ 0
+U5RX
+Text Label 1100 10900 0    60   ~ 0
+5V_IN
+Text Label 1078 6900 0    60   ~ 0
+U3TX
+Text Label 1064 7000 0    60   ~ 0
+U3RX
+Text Label 1050 7100 0    60   ~ 0
+U4TX
+Text Label 1050 7200 0    60   ~ 0
+U4RX
+Text Label 1050 7300 0    60   ~ 0
+U5TX
+Text Label 1050 7400 0    60   ~ 0
+U5RX
+Text Label 1050 7500 0    60   ~ 0
+5V_IN
+$Comp
+L HEADER_10 J1
+U 1 1 51518451
+P 14800 3350
+F 0 "J1" V 14850 2750 60  0000 C CNN
+F 1 "CONN10" V 14850 3300 60  0000 C CNN
+	1    14800 3350
+	1    0    0    -1  
+$EndComp
+$Comp
+L GND #PWR036
+U 1 1 515184ED
+P 950 7600
+F 0 "#PWR036" H 950 7600 30  0001 C CNN
+F 1 "GND" H 950 7530 30  0001 C CNN
+	1    950  7600
+	0    -1   1    0   
+$EndComp
+Text Label 8950 8600 0    60   ~ 0
+AVCC
+Text Label 8950 8500 0    60   ~ 0
+5V_IN
+Text Label 9600 9600 0    60   ~ 0
+5V_IN
+Text GLabel 5700 4600 0    60   Input ~ 0
+DRAM_REF
+$Comp
+L GND #PWR037
+U 1 1 515174EC
+P 15650 7900
+F 0 "#PWR037" H 15650 7900 30  0001 C CNN
+F 1 "GND" H 15650 7830 30  0001 C CNN
+	1    15650 7900
+	-1   0    0    1   
+$EndComp
+Text Label 14950 10050 2    60   ~ 0
+5V_IN
+$Comp
+L USB1 J4
+U 1 1 5151A43E
+P 14550 5300
+F 0 "J4" H 14550 5050 50  0000 C CNN
+F 1 "USB1" H 14000 5050 50  0000 C CNN
+F 2 "~" H 14550 5300 50  0001 C CNN
+F 3 "~" H 14550 5300 50  0001 C CNN
+	1    14550 5300
+	1    0    0    -1  
+$EndComp
+$Comp
+L DUSB J3
+U 1 1 5151A44D
+P 15800 4750
+F 0 "J3" H 15600 5300 50  0000 C CNN
+F 1 "DUSB" H 15450 5300 50  0000 C CNN
+F 2 "~" H 15800 4750 50  0001 C CNN
+F 3 "~" H 15800 4750 50  0001 C CNN
+	1    15800 4750
+	1    0    0    -1  
+$EndComp
+$Comp
+L USD J5
+U 1 1 5151A880
+P 16200 6150
+F 0 "J5" H 15900 6700 60  0000 C CNN
+F 1 "USD" H 15900 5600 60  0000 C CNN
+	1    16200 6150
+	1    0    0    -1  
+$EndComp
+NoConn ~ 4150 9950
+NoConn ~ 4150 10050
+NoConn ~ 4150 9700
+NoConn ~ 4150 9800
+NoConn ~ 4150 9600
+NoConn ~ 5350 8450
+$Comp
+L C C16
+U 1 1 5151C2DB
+P 3700 8750
+F 0 "C16" V 3750 8850 50  0000 L CNN
+F 1 "1uF" V 3750 8550 50  0000 L CNN
+	1    3700 8750
+	0    -1   -1   0   
+$EndComp
+NoConn ~ 5800 7200
+NoConn ~ 5800 7300
+Text Label 5500 5400 0    60   ~ 0
+CKE
+Text Label 2600 5400 0    60   ~ 0
+CKE
+Text Label 5500 5500 0    60   ~ 0
+CS#
+Text Label 2600 5500 0    60   ~ 0
+CS#
+NoConn ~ 5800 7400
+$Comp
+L GND #PWR038
+U 1 1 5151BE88
+P 14850 5500
+F 0 "#PWR038" H 14850 5500 30  0001 C CNN
+F 1 "GND" H 14850 5430 30  0001 C CNN
+	1    14850 5500
+	0    -1   -1   0   
+$EndComp
+Text Notes 15750 5300 1    60   ~ 0
+Double USB header
+$Comp
+L CONN_5 P2
+U 1 1 51526492
+P 2400 10700
+F 0 "P2" V 2350 10700 50  0000 C CNN
+F 1 "CONN_5" V 2450 10700 50  0000 C CNN
+	1    2400 10700
+	-1   0    0    -1  
+$EndComp
+$Comp
+L C C21
+U 1 1 5153CE6B
+P 11300 8750
+F 0 "C21" H 11150 8650 50  0000 L CNN
+F 1 ".22u" H 11100 8850 50  0000 L CNN
+	1    11300 8750
+	-1   0    0    1   
+$EndComp
+$Comp
+L C C22
+U 1 1 5153CE77
+P 11650 8750
+F 0 "C22" H 11500 8650 50  0000 L CNN
+F 1 "10u" H 11450 8850 50  0000 L CNN
+	1    11650 8750
+	-1   0    0    1   
+$EndComp
+$Comp
+L GND #PWR039
+U 1 1 5153CE8B
+P 9800 8950
+F 0 "#PWR039" H 9800 8950 30  0001 C CNN
+F 1 "GND" H 9800 8880 30  0001 C CNN
+	1    9800 8950
+	0    1    1    0   
+$EndComp
+$Comp
+L GND #PWR040
+U 1 1 5153DF19
+P 9250 8100
+F 0 "#PWR040" H 9250 8100 30  0001 C CNN
+F 1 "GND" H 9250 8030 30  0001 C CNN
+	1    9250 8100
+	-1   0    0    1   
+$EndComp
+$Comp
+L GND #PWR041
+U 1 1 5153E23F
+P 13000 10050
+F 0 "#PWR041" H 13000 10050 30  0001 C CNN
+F 1 "GND" H 13000 9980 30  0001 C CNN
+	1    13000 10050
+	0    -1   -1   0   
+$EndComp
+$Comp
+L C C36
+U 1 1 5153E245
+P 11950 9850
+F 0 "C36" H 11800 9750 50  0000 L CNN
+F 1 ".22u" H 11750 9950 50  0000 L CNN
+	1    11950 9850
+	-1   0    0    1   
+$EndComp
+$Comp
+L C C38
+U 1 1 5153E24B
+P 12750 9850
+F 0 "C38" H 12600 9750 50  0000 L CNN
+F 1 "10u" H 12550 9950 50  0000 L CNN
+	1    12750 9850
+	-1   0    0    1   
+$EndComp
+$Comp
+L C C37
+U 1 1 5153E251
+P 12300 9850
+F 0 "C37" H 12150 9750 50  0000 L CNN
+F 1 "10u" H 12100 9950 50  0000 L CNN
+	1    12300 9850
+	-1   0    0    1   
+$EndComp
+Text Label 5450 10500 0    60   ~ 0
+EXTEN
+Text Label 9600 9700 0    60   ~ 0
+EXTEN
+Text Notes 3200 3600 0    100  ~ 0
+**
+Text Notes 5200 4800 0    100  ~ 0
+**
+Text Notes 11750 4550 0    100  ~ 0
+**
+Text Notes 11450 5000 0    100  ~ 0
+**
+Text Notes 11700 6050 0    100  ~ 0
+**
+Text Notes 11750 7050 0    100  ~ 0
+**
+Text GLabel 11350 8400 2    60   Input ~ 0
+DRAM_VCC
+$Comp
+L C C29
+U 1 1 51541706
+P 7800 9800
+F 0 "C29" H 7650 9700 50  0000 L CNN
+F 1 "4.7u" H 7600 9900 50  0000 L CNN
+	1    7800 9800
+	-1   0    0    1   
+$EndComp
+$Comp
+L C C35
+U 1 1 5154170C
+P 3800 10050
+F 0 "C35" H 3800 10150 50  0000 L CNN
+F 1 ".22u" H 3850 9950 50  0000 L CNN
+	1    3800 10050
+	1    0    0    -1  
+$EndComp
+$Comp
+L GND #PWR042
+U 1 1 5154361D
+P 8100 8150
+F 0 "#PWR042" H 8100 8150 30  0001 C CNN
+F 1 "GND" H 8100 8080 30  0001 C CNN
+	1    8100 8150
+	0    -1   -1   0   
+$EndComp
+$Comp
+L C C33
+U 1 1 515489AE
+P 3000 10050
+F 0 "C33" H 2850 9950 50  0000 L CNN
+F 1 "220u" H 2800 10150 50  0000 L CNN
+	1    3000 10050
+	-1   0    0    1   
+$EndComp
+$Comp
+L C C34
+U 1 1 515489B4
+P 3400 10050
+F 0 "C34" H 3250 9950 50  0000 L CNN
+F 1 "10u" H 3200 10150 50  0000 L CNN
+	1    3400 10050
+	-1   0    0    1   
+$EndComp
+$Comp
+L C C46
+U 1 1 5154AF6B
+P 7550 10800
+F 0 "C46" H 7600 10900 50  0000 L CNN
+F 1 ".22u" H 7600 10700 50  0000 L CNN
+	1    7550 10800
+	1    0    0    -1  
+$EndComp
+$Comp
+L GND #PWR043
+U 1 1 5154AF71
+P 7900 11100
+F 0 "#PWR043" H 7900 11100 30  0001 C CNN
+F 1 "GND" H 7900 11030 30  0001 C CNN
+	1    7900 11100
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C47
+U 1 1 5154AF77
+P 7900 10800
+F 0 "C47" H 7750 10700 50  0000 L CNN
+F 1 "10u" H 7700 10900 50  0000 L CNN
+	1    7900 10800
+	-1   0    0    1   
+$EndComp
+$Comp
+L C C48
+U 1 1 5154AF7D
+P 8250 10800
+F 0 "C48" H 8100 10700 50  0000 L CNN
+F 1 "10u" H 8050 10900 50  0000 L CNN
+	1    8250 10800
+	-1   0    0    1   
+$EndComp
+NoConn ~ 2800 10600
+NoConn ~ 2800 10700
+NoConn ~ 2800 10800
+$Comp
+L C C10
+U 1 1 5154D3CE
+P 6200 8350
+F 0 "C10" H 6100 8250 50  0000 L CNN
+F 1 "10u" H 6000 8450 50  0000 L CNN
+	1    6200 8350
+	-1   0    0    1   
+$EndComp
+$Comp
+L C C11
+U 1 1 5154DEB6
+P 6500 8350
+F 0 "C11" H 6400 8250 50  0000 L CNN
+F 1 "10u" H 6300 8450 50  0000 L CNN
+	1    6500 8350
+	-1   0    0    1   
+$EndComp
+$Comp
+L C C12
+U 1 1 5154DEC1
+P 6800 8350
+F 0 "C12" H 6700 8250 50  0000 L CNN
+F 1 "10u" H 6600 8450 50  0000 L CNN
+	1    6800 8350
+	-1   0    0    1   
+$EndComp
+$Comp
+L C C8
+U 1 1 5154EB96
+P 5600 8350
+F 0 "C8" H 5500 8250 50  0000 L CNN
+F 1 ".22u" H 5400 8450 50  0000 L CNN
+	1    5600 8350
+	-1   0    0    1   
+$EndComp
+$Comp
+L C C9
+U 1 1 5154EECF
+P 5900 8350
+F 0 "C9" H 5800 8250 50  0000 L CNN
+F 1 "10u" H 5700 8450 50  0000 L CNN
+	1    5900 8350
+	-1   0    0    1   
+$EndComp
+$Comp
+L C C13
+U 1 1 51551007
+P 7100 8350
+F 0 "C13" H 6950 8250 50  0000 L CNN
+F 1 "10u" H 6900 8450 50  0000 L CNN
+	1    7100 8350
+	-1   0    0    1   
+$EndComp
+$Comp
+L C C14
+U 1 1 5155100D
+P 12400 8750
+F 0 "C14" H 12250 8650 50  0000 L CNN
+F 1 "10u" H 12200 8850 50  0000 L CNN
+	1    12400 8750
+	-1   0    0    1   
+$EndComp
+$Comp
+L C C15
+U 1 1 515517D9
+P 7700 8350
+F 0 "C15" H 7550 8250 50  0000 L CNN
+F 1 ".22u" H 7500 8450 50  0000 L CNN
+	1    7700 8350
+	-1   0    0    1   
+$EndComp
+$Comp
+L C C19
+U 1 1 51552413
+P 7950 9200
+F 0 "C19" H 7800 9100 50  0000 L CNN
+F 1 ".22u" H 7750 9300 50  0000 L CNN
+	1    7950 9200
+	-1   0    0    1   
+$EndComp
+$Comp
+L C C20
+U 1 1 51552419
+P 12000 8750
+F 0 "C20" H 11850 8650 50  0000 L CNN
+F 1 "4.7u" H 11800 8850 50  0000 L CNN
+	1    12000 8750
+	-1   0    0    1   
+$EndComp
+$Comp
+L C C26
+U 1 1 51552580
+P 8450 9700
+F 0 "C26" H 8300 9600 50  0000 L CNN
+F 1 ".22u" H 8250 9800 50  0000 L CNN
+	1    8450 9700
+	-1   0    0    1   
+$EndComp
+$Comp
+L C C27
+U 1 1 51552586
+P 8750 9700
+F 0 "C27" H 8600 9600 50  0000 L CNN
+F 1 "4.7u" H 8550 9800 50  0000 L CNN
+	1    8750 9700
+	-1   0    0    1   
+$EndComp
+$Comp
+L GND #PWR044
+U 1 1 515533A2
+P 8850 9900
+F 0 "#PWR044" H 8850 9900 30  0001 C CNN
+F 1 "GND" H 8850 9830 30  0001 C CNN
+	1    8850 9900
+	0    -1   -1   0   
+$EndComp
+$Comp
+L C C28
+U 1 1 51554668
+P 7500 9800
+F 0 "C28" H 7350 9700 50  0000 L CNN
+F 1 ".22u" H 7300 9900 50  0000 L CNN
+	1    7500 9800
+	-1   0    0    1   
+$EndComp
+$Comp
+L GND #PWR045
+U 1 1 515549A0
+P 7950 10000
+F 0 "#PWR045" H 7950 10000 30  0001 C CNN
+F 1 "GND" H 7950 9930 30  0001 C CNN
+	1    7950 10000
+	0    -1   -1   0   
+$EndComp
+$Comp
+L C C31
+U 1 1 51554E46
+P 6550 9900
+F 0 "C31" H 6400 9800 50  0000 L CNN
+F 1 ".22u" H 6350 10000 50  0000 L CNN
+	1    6550 9900
+	-1   0    0    1   
+$EndComp
+$Comp
+L C C32
+U 1 1 51554E4C
+P 6850 9900
+F 0 "C32" H 6700 9800 50  0000 L CNN
+F 1 "1u" H 6750 10000 50  0000 L CNN
+	1    6850 9900
+	-1   0    0    1   
+$EndComp
+$Comp
+L GND #PWR046
+U 1 1 515552E9
+P 7000 10100
+F 0 "#PWR046" H 7000 10100 30  0001 C CNN
+F 1 "GND" H 7000 10030 30  0001 C CNN
+	1    7000 10100
+	0    -1   -1   0   
+$EndComp
+$Comp
+L GND #PWR047
+U 1 1 5155A0C8
+P 2900 9850
+F 0 "#PWR047" H 2900 9850 30  0001 C CNN
+F 1 "GND" H 2900 9780 30  0001 C CNN
+	1    2900 9850
+	0    1    1    0   
+$EndComp
+$Comp
+L C C17
+U 1 1 5155A734
+P 9250 8300
+F 0 "C17" H 9100 8200 50  0000 L CNN
+F 1 "10u" H 9100 8400 50  0000 L CNN
+	1    9250 8300
+	-1   0    0    1   
+$EndComp
+$Comp
+L C C30
+U 1 1 5155A749
+P 9900 9400
+F 0 "C30" H 9750 9300 50  0000 L CNN
+F 1 "10u" H 9750 9500 50  0000 L CNN
+	1    9900 9400
+	-1   0    0    1   
+$EndComp
+$Comp
+L GND #PWR048
+U 1 1 5155A759
+P 9900 9200
+F 0 "#PWR048" H 9900 9200 30  0001 C CNN
+F 1 "GND" H 9900 9130 30  0001 C CNN
+	1    9900 9200
+	-1   0    0    1   
+$EndComp
+Text Label 15550 4000 0    60   ~ 0
+5V_IN
+$Comp
+L C C5
+U 1 1 5155B764
+P 15550 3400
+F 0 "C5" V 15500 3450 50  0000 L CNN
+F 1 "22pF" V 15500 3150 50  0000 L CNN
+	1    15550 3400
+	0    -1   -1   0   
+$EndComp
+$Comp
+L C C6
+U 1 1 5155B76A
+P 15550 3700
+F 0 "C6" V 15500 3750 50  0000 L CNN
+F 1 "22pF" V 15500 3450 50  0000 L CNN
+	1    15550 3700
+	0    -1   -1   0   
+$EndComp
+$Comp
+L GND #PWR049
+U 1 1 5155C101
+P 15350 3850
+F 0 "#PWR049" H 15350 3850 30  0001 C CNN
+F 1 "GND" H 15350 3780 30  0001 C CNN
+	1    15350 3850
+	1    0    0    -1  
+$EndComp
+$Comp
+L GND #PWR050
+U 1 1 51541714
+P 6500 11100
+F 0 "#PWR050" H 6500 11100 30  0001 C CNN
+F 1 "GND" H 6500 11030 30  0001 C CNN
+	1    6500 11100
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C43
+U 1 1 5155CB6D
+P 6150 10800
+F 0 "C43" H 6200 10900 50  0000 L CNN
+F 1 ".22u" H 6200 10700 50  0000 L CNN
+	1    6150 10800
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C44
+U 1 1 5155CB79
+P 6500 10800
+F 0 "C44" H 6350 10700 50  0000 L CNN
+F 1 "10u" H 6300 10900 50  0000 L CNN
+	1    6500 10800
+	-1   0    0    1   
+$EndComp
+$Comp
+L C C45
+U 1 1 5155CB7F
+P 6850 10800
+F 0 "C45" H 6700 10700 50  0000 L CNN
+F 1 "10u" H 6650 10900 50  0000 L CNN
+	1    6850 10800
+	-1   0    0    1   
+$EndComp
+Text GLabel 11950 4800 0    60   Input ~ 0
+DRAM_VCC
+Text GLabel 2650 4600 2    60   Input ~ 0
+DRAM_REF
+Text Notes 5500 9300 0    60   ~ 0
+Place caps close to IC pins
+$Comp
+L CRYSTAL X1
+U 1 1 5154375B
+P 14550 1150
+F 0 "X1" H 14550 1300 60  0000 C CNN
+F 1 "32.768k" H 14550 1000 60  0000 C CNN
+	1    14550 1150
+	0    -1   -1   0   
+$EndComp
+$Comp
+L C C1
+U 1 1 51543761
+P 15300 900
+F 0 "C1" H 15350 1000 50  0000 L CNN
+F 1 "18pF" H 15350 800 50  0000 L CNN
+	1    15300 900 
+	0    -1   -1   0   
+$EndComp
+$Comp
+L C C2
+U 1 1 51543767
+P 15300 1400
+F 0 "C2" H 15350 1500 50  0000 L CNN
+F 1 "18pF" H 15350 1300 50  0000 L CNN
+	1    15300 1400
+	0    -1   -1   0   
+$EndComp
+$Comp
+L R R1
+U 1 1 5154376F
+P 14900 1150
+F 0 "R1" V 14980 1150 50  0000 C CNN
+F 1 "10M" V 14900 1150 50  0000 C CNN
+	1    14900 1150
+	1    0    0    -1  
+$EndComp
+$Comp
+L GND #PWR051
+U 1 1 51543AD3
+P 15800 1100
+F 0 "#PWR051" H 15800 1100 30  0001 C CNN
+F 1 "GND" H 15800 1030 30  0001 C CNN
+	1    15800 1100
+	0    -1   -1   0   
+$EndComp
+Text Label 5050 5600 0    60   ~ 0
+CK
+Text Label 5050 5700 0    60   ~ 0
+CK#
+$Comp
+L R R18
+U 1 1 5154676A
+P 4850 7250
+F 0 "R18" V 4930 7250 50  0000 C CNN
+F 1 "22" V 4850 7250 50  0000 C CNN
+	1    4850 7250
+	0    -1   -1   0   
+$EndComp
+$Comp
+L R R19
+U 1 1 51546777
+P 4850 7450
+F 0 "R19" V 4930 7450 50  0000 C CNN
+F 1 "22" V 4850 7450 50  0000 C CNN
+	1    4850 7450
+	0    -1   -1   0   
+$EndComp
+Text Label 5150 7450 0    60   ~ 0
+SCK#
+Text Label 5200 7250 0    60   ~ 0
+SCK
+Text Label 4400 7250 0    60   ~ 0
+CK
+Text Label 4400 7450 0    60   ~ 0
+CK#
+Text Label 13750 6300 0    60   ~ 0
+HTX2P
+Text Label 13750 6400 0    60   ~ 0
+HTX2N
+Text Label 13750 6500 0    60   ~ 0
+HTX1P
+Text Label 13750 6600 0    60   ~ 0
+HTX1N
+Text Label 13750 6700 0    60   ~ 0
+HTX0P
+Text Label 13750 6800 0    60   ~ 0
+HTX0N
+Text Label 13750 6900 0    60   ~ 0
+HTXCP
+Text Label 13750 7000 0    60   ~ 0
+HTXCN
+Text Label 13750 7100 0    60   ~ 0
+HCEC
+Text Label 13750 7200 0    60   ~ 0
+HSCL
+Text Label 13750 7300 0    60   ~ 0
+HSDA
+Text Label 13750 7400 0    60   ~ 0
+HHPD
+Text Label 14000 8250 0    60   ~ 0
+HTX2P
+Text Label 14000 8350 0    60   ~ 0
+HTX2N
+Text Label 14000 8550 0    60   ~ 0
+HTX1P
+Text Label 14000 8650 0    60   ~ 0
+HTX1N
+Text Label 14000 8850 0    60   ~ 0
+HTX0P
+Text Label 14000 8950 0    60   ~ 0
+HTX0N
+Text Label 14000 9150 0    60   ~ 0
+HTXCP
+Text Label 14000 9250 0    60   ~ 0
+HTXCN
+Text Label 14000 9450 0    60   ~ 0
+HCEC
+Text Label 14000 9550 0    60   ~ 0
+HSCL
+Text Label 14000 9750 0    60   ~ 0
+HSDA
+Text Label 14000 9850 0    60   ~ 0
+HHPD
+$Comp
+L R R12
+U 1 1 5154C74A
+P 14750 6700
+F 0 "R12" V 14800 6450 50  0000 C CNN
+F 1 "47K" V 14750 6700 50  0000 C CNN
+	1    14750 6700
+	-1   0    0    1   
+$EndComp
+$Comp
+L R R3
+U 1 1 5154C786
+P 14000 5700
+F 0 "R3" V 14050 5500 50  0000 C CNN
+F 1 "33" V 14000 5700 50  0000 C CNN
+	1    14000 5700
+	0    1    1    0   
+$EndComp
+$Comp
+L R R5
+U 1 1 5154E138
+P 14500 5800
+F 0 "R5" V 14550 5600 50  0000 C CNN
+F 1 "33" V 14500 5800 50  0000 C CNN
+	1    14500 5800
+	0    1    1    0   
+$EndComp
+$Comp
+L R R6
+U 1 1 5154E7E5
+P 14000 5900
+F 0 "R6" V 14050 5700 50  0000 C CNN
+F 1 "33" V 14000 5900 50  0000 C CNN
+	1    14000 5900
+	0    1    1    0   
+$EndComp
+$Comp
+L R R7
+U 1 1 5154F007
+P 14500 6000
+F 0 "R7" V 14550 5800 50  0000 C CNN
+F 1 "33" V 14500 6000 50  0000 C CNN
+	1    14500 6000
+	0    1    1    0   
+$EndComp
+$Comp
+L R R8
+U 1 1 5154F826
+P 14000 6100
+F 0 "R8" V 14050 5900 50  0000 C CNN
+F 1 "33" V 14000 6100 50  0000 C CNN
+	1    14000 6100
+	0    1    1    0   
+$EndComp
+$Comp
+L R R9
+U 1 1 51550049
+P 14500 6200
+F 0 "R9" V 14550 6000 50  0000 C CNN
+F 1 "33" V 14500 6200 50  0000 C CNN
+	1    14500 6200
+	0    1    1    0   
+$EndComp
+$Comp
+L GND #PWR052
+U 1 1 514D1B1B
+P 15500 6700
+F 0 "#PWR052" H 15500 6700 30  0001 C CNN
+F 1 "GND" H 15500 6630 30  0001 C CNN
+	1    15500 6700
+	0    -1   -1   0   
+$EndComp
+$Comp
+L C C39
+U 1 1 51558187
+P 14650 10250
+F 0 "C39" H 14500 10150 50  0000 L CNN
+F 1 ".22u" H 14450 10350 50  0000 L CNN
+	1    14650 10250
+	-1   0    0    1   
+$EndComp
+$Comp
+L GND #PWR053
+U 1 1 51558864
+P 14650 10450
+F 0 "#PWR053" H 14650 10450 30  0001 C CNN
+F 1 "GND" H 14650 10380 30  0001 C CNN
+	1    14650 10450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C7
+U 1 1 51558874
+P 15350 7250
+F 0 "C7" H 15200 7150 50  0000 L CNN
+F 1 ".22u" H 15150 7350 50  0000 L CNN
+	1    15350 7250
+	-1   0    0    1   
+$EndComp
+$Comp
+L GND #PWR054
+U 1 1 51558BE3
+P 15350 7450
+F 0 "#PWR054" H 15350 7450 30  0001 C CNN
+F 1 "GND" H 15350 7380 30  0001 C CNN
+	1    15350 7450
+	1    0    0    -1  
+$EndComp
+$Comp
+L R R13
+U 1 1 51559D15
+P 14850 6700
+F 0 "R13" V 14900 6450 50  0000 C CNN
+F 1 "47K" V 14850 6700 50  0000 C CNN
+	1    14850 6700
+	-1   0    0    1   
+$EndComp
+$Comp
+L R R14
+U 1 1 51559D1B
+P 14950 6700
+F 0 "R14" V 15000 6450 50  0000 C CNN
+F 1 "47K" V 14950 6700 50  0000 C CNN
+	1    14950 6700
+	-1   0    0    1   
+$EndComp
+$Comp
+L R R15
+U 1 1 51559D21
+P 15050 6700
+F 0 "R15" V 15100 6450 50  0000 C CNN
+F 1 "47K" V 15050 6700 50  0000 C CNN
+	1    15050 6700
+	-1   0    0    1   
+$EndComp
+$Comp
+L R R16
+U 1 1 51559D27
+P 15150 6700
+F 0 "R16" V 15200 6450 50  0000 C CNN
+F 1 "47K" V 15150 6700 50  0000 C CNN
+	1    15150 6700
+	-1   0    0    1   
+$EndComp
+$Comp
+L R R17
+U 1 1 51559D2D
+P 15250 6700
+F 0 "R17" V 15300 6450 50  0000 C CNN
+F 1 "47K" V 15250 6700 50  0000 C CNN
+	1    15250 6700
+	-1   0    0    1   
+$EndComp
+$Comp
+L DB15 J2
+U 1 1 5157D108
+P 1600 7950
+F 0 "J2" H 1620 8800 70  0000 C CNN
+F 1 "DB15" H 1550 7100 70  0000 C CNN
+	1    1600 7950
+	-1   0    0    -1  
+$EndComp
+$Comp
+L GND #PWR055
+U 1 1 5157DB99
+P 3200 11100
+F 0 "#PWR055" H 3200 11100 30  0001 C CNN
+F 1 "GND" H 3200 11030 30  0001 C CNN
+	1    3200 11100
+	1    0    0    -1  
+$EndComp
+Text Label 13800 4300 0    60   ~ 0
+VGA_G
+Text Label 13800 4400 0    60   ~ 0
+VGA_B
+Text Label 13800 4500 0    60   ~ 0
+VGA_R
+NoConn ~ 13700 4600
+Text Label 2250 8450 0    60   ~ 0
+VGA_G
+Text Label 2250 8250 0    60   ~ 0
+VGA_B
+Text Label 2250 8650 0    60   ~ 0
+VGA_R
+Text Label 3700 8100 0    60   ~ 0
+LHSYN
+Text Label 3700 7450 0    60   ~ 0
+LVSYN
+Text Label 3700 7750 0    60   ~ 0
+5V_IN
+NoConn ~ 2050 8050
+NoConn ~ 2050 8550
+NoConn ~ 2050 7350
+NoConn ~ 2050 7950
+$Comp
+L GND #PWR056
+U 1 1 51584A92
+P 2150 7150
+F 0 "#PWR056" H 2150 7150 30  0001 C CNN
+F 1 "GND" H 2150 7080 30  0001 C CNN
+	1    2150 7150
+	-1   0    0    1   
+$EndComp
+Text Label 2900 7300 0    60   ~ 0
+5V
+Text Label 3100 7300 0    60   ~ 0
+5V_IN
+Text Label 8050 3300 0    60   ~ 0
+U0Rx
+Text Label 8050 3400 0    60   ~ 0
+U0Tx
+Text Label 8000 4200 0    60   ~ 0
+J_MS0
+Text Label 8000 4100 0    60   ~ 0
+J_CK0
+Text Label 8000 4000 0    60   ~ 0
+J_DO0
+Text Label 8000 3900 0    60   ~ 0
+J_DI0
+Text Label 2062 9250 0    60   ~ 0
+J_DI0
+Text Label 2028 9350 0    60   ~ 0
+J_DO0
+Text Label 2032 9450 0    60   ~ 0
+J_CK0
+Text Label 2026 9550 0    60   ~ 0
+J_MS0
+Text Label 2086 9150 0    60   ~ 0
+U0Tx
+Text Label 2072 9050 0    60   ~ 0
+U0Rx
+$Comp
+L CONN_8 P3
+U 1 1 51590E78
+P 1600 9400
+F 0 "P3" V 1550 9400 60  0000 C CNN
+F 1 "CONN_8" V 1650 9400 60  0000 C CNN
+	1    1600 9400
+	-1   0    0    -1  
+$EndComp
+$Comp
+L GND #PWR057
+U 1 1 5159275E
+P 1950 9650
+F 0 "#PWR057" H 1950 9650 30  0001 C CNN
+F 1 "GND" H 1950 9580 30  0001 C CNN
+	1    1950 9650
+	0    -1   1    0   
+$EndComp
+Text Label 2296 9750 2    60   ~ 0
+5V_IN
+Text Label 2900 8300 0    60   ~ 0
+GND
+$Comp
+L GND #PWR058
+U 1 1 515934E2
+P 3100 8300
+F 0 "#PWR058" H 3100 8300 30  0001 C CNN
+F 1 "GND" H 3100 8230 30  0001 C CNN
+	1    3100 8300
+	0    -1   -1   0   
+$EndComp
+$Comp
+L 74LS08 U10
+U 1 1 51593628
+P 3050 7550
+F 0 "U10" H 3050 7600 60  0000 C CNN
+F 1 "74LS08" H 3050 7500 60  0000 C CNN
+	1    3050 7550
+	-1   0    0    1   
+$EndComp
+$Comp
+L 74LS08 U10
+U 2 1 51593637
+P 3050 8000
+F 0 "U10" H 3050 8050 60  0000 C CNN
+F 1 "74LS08" H 3050 7950 60  0000 C CNN
+	2    3050 8000
+	-1   0    0    1   
+$EndComp
+Text Label 5050 5800 0    60   ~ 0
+SCAS
+Text Label 5500 5900 0    60   ~ 0
+SRAS
+Text Label 5050 6000 0    60   ~ 0
+SWE
+Text Label 5050 6100 0    60   ~ 0
+SRST
+Text Label 5050 6200 0    60   ~ 0
+ODT
+Text GLabel 8300 5700 0    40   Input ~ 0
+ETXERR
+Text GLabel 8350 5800 0    40   Input ~ 0
+ECOL
+Text GLabel 8350 5900 0    40   Input ~ 0
+ECRS
+Text GLabel 8350 6000 0    40   Input ~ 0
+ETXCK
+Text GLabel 8350 6100 0    40   Input ~ 0
+ETXEN
+Text GLabel 8350 6200 0    40   Input ~ 0
+EMDIO
+Text GLabel 8350 6300 0    40   Input ~ 0
+EMDC
+Text GLabel 8350 6400 0    40   Input ~ 0
+ERXDV
+Text GLabel 8350 6500 0    40   Input ~ 0
+ERXERR
+Text GLabel 8350 6600 0    40   Input ~ 0
+ERXCK
+Text GLabel 8350 6700 0    40   Input ~ 0
+ETXD0
+$Comp
+L A10-SOC U3
+U 1 1 514D47F5
+P 9850 4150
+F 0 "U3" H 9750 800 50  0000 C CNN
+F 1 "A10-SOC" H 10150 800 50  0000 C CNN
+F 3 "_" H 10150 900 50  0001 C CNN
+	1    9850 4150
+	1    0    0    -1  
+$EndComp
+Text GLabel 8350 6800 0    40   Input ~ 0
+ETXD1
+Text GLabel 8350 6900 0    40   Input ~ 0
+ETXD2
+Text GLabel 8350 7000 0    40   Input ~ 0
+ETXD3
+Text GLabel 8350 7100 0    40   Input ~ 0
+ERXD0
+Text GLabel 8350 7200 0    40   Input ~ 0
+ERXD1
+Text GLabel 8350 7300 0    40   Input ~ 0
+ERXD2
+Text GLabel 8350 7400 0    40   Input ~ 0
+ERXD3
+$Sheet
+S 11000 10550 500  500 
+U 5159654C
+F0 "ethernet" 50
+F1 "ethernet.sch" 50
+$EndSheet
+$Comp
+L CONN_2 P4
+U 1 1 515A7B4E
+P 14500 2350
+F 0 "P4" V 14450 2350 40  0000 C CNN
+F 1 "UBOOT" V 14550 2350 40  0000 C CNN
+	1    14500 2350
+	0    -1   -1   0   
+$EndComp
+Connection ~ 12000 5400
+Connection ~ 12000 5300
+Connection ~ 12000 5200
+Connection ~ 12000 5100
+Connection ~ 12000 5000
+Connection ~ 12000 4900
+Connection ~ 12000 4800
+Wire Wire Line
+	12000 5600 12000 6100
+Connection ~ 12000 5700
+Connection ~ 12000 6000
+Connection ~ 12000 6300
+Wire Wire Line
+	12000 6200 12000 7400
+Connection ~ 12000 6600
+Connection ~ 12000 6700
+Connection ~ 12000 6800
+Connection ~ 12000 6900
+Connection ~ 12000 7300
+Connection ~ 12000 7200
+Connection ~ 12000 7000
+Connection ~ 12000 7100
+Connection ~ 12000 4300
+Connection ~ 12000 4000
+Connection ~ 12000 3900
+Connection ~ 12000 3800
+Connection ~ 12000 3700
+Connection ~ 12000 3600
+Connection ~ 12000 3500
+Connection ~ 12000 3400
+Connection ~ 12000 3300
+Connection ~ 7000 5700
+Connection ~ 7000 5600
+Connection ~ 7000 5500
+Connection ~ 7000 5400
+Connection ~ 7000 5300
+Connection ~ 7000 5200
+Connection ~ 7000 5100
+Connection ~ 7000 5000
+Connection ~ 7000 4900
+Connection ~ 7000 4800
+Connection ~ 7000 4700
+Connection ~ 7000 4600
+Connection ~ 7000 4500
+Connection ~ 7000 4400
+Connection ~ 7000 4300
+Connection ~ 7000 4200
+Connection ~ 7000 4100
+Connection ~ 7000 4000
+Connection ~ 7000 3900
+Connection ~ 7000 3800
+Connection ~ 7000 3700
+Connection ~ 7000 3600
+Wire Wire Line
+	7000 3600 6850 3600
+Wire Wire Line
+	6850 3600 6850 3650
+Connection ~ 6850 3600
+Wire Bus Line
+	5450 950  5450 4200
+Wire Wire Line
+	5550 2600 5800 2600
+Wire Wire Line
+	5800 1300 5550 1300
+Wire Wire Line
+	5550 1400 5800 1400
+Wire Wire Line
+	5550 1500 5800 1500
+Wire Wire Line
+	5550 1600 5800 1600
+Wire Wire Line
+	5550 1700 5800 1700
+Wire Wire Line
+	5550 1800 5800 1800
+Wire Wire Line
+	5550 1900 5800 1900
+Wire Wire Line
+	5550 2000 5800 2000
+Wire Wire Line
+	5550 2100 5800 2100
+Wire Wire Line
+	5550 2200 5800 2200
+Wire Wire Line
+	5550 2300 5800 2300
+Wire Wire Line
+	5550 2400 5800 2400
+Wire Wire Line
+	5550 2500 5800 2500
+Wire Bus Line
+	5350 950  5350 2800
+Wire Wire Line
+	5050 2600 5250 2600
+Wire Wire Line
+	5250 1200 5050 1200
+Wire Wire Line
+	5550 1200 5800 1200
+Wire Wire Line
+	5050 1300 5250 1300
+Wire Wire Line
+	5250 1400 5050 1400
+Wire Wire Line
+	5250 1500 5050 1500
+Wire Wire Line
+	5250 1600 5050 1600
+Wire Wire Line
+	5250 1700 5050 1700
+Wire Wire Line
+	5250 1800 5050 1800
+Wire Wire Line
+	5250 2500 5050 2500
+Wire Wire Line
+	5250 2400 5050 2400
+Wire Wire Line
+	5250 2300 5050 2300
+Wire Wire Line
+	5250 2200 5050 2200
+Wire Wire Line
+	5250 2100 5050 2100
+Wire Wire Line
+	5250 2000 5050 2000
+Wire Wire Line
+	5250 1900 5050 1900
+Wire Wire Line
+	5800 2700 5550 2700
+Wire Wire Line
+	5050 2700 5250 2700
+Wire Wire Line
+	5800 4400 5800 4700
+Connection ~ 5800 4500
+Connection ~ 5800 4600
+Wire Wire Line
+	5050 4600 5050 4500
+Wire Wire Line
+	5800 4800 5050 4800
+Wire Wire Line
+	5050 4900 5800 4900
+Wire Wire Line
+	5800 5000 5050 5000
+Wire Wire Line
+	5050 5100 5800 5100
+Wire Wire Line
+	5050 5200 5800 5200
+Wire Wire Line
+	5800 5300 5050 5300
+Connection ~ 5050 4500
+Wire Wire Line
+	5050 2850 5050 3950
+Connection ~ 5050 2950
+Connection ~ 5050 3050
+Connection ~ 5050 3150
+Connection ~ 5050 3250
+Connection ~ 5050 3350
+Connection ~ 5050 3450
+Connection ~ 5050 3550
+Connection ~ 5050 3850
+Connection ~ 5050 3650
+Connection ~ 5050 3750
+Wire Wire Line
+	7000 3000 7000 7400
+Connection ~ 7000 5800
+Connection ~ 7000 5900
+Connection ~ 7000 6000
+Connection ~ 7000 6100
+Connection ~ 7000 6200
+Connection ~ 7000 6300
+Connection ~ 7000 6400
+Connection ~ 7000 6500
+Connection ~ 7000 6600
+Connection ~ 7000 6700
+Connection ~ 7000 6800
+Connection ~ 7000 6900
+Connection ~ 7000 7000
+Connection ~ 7000 7100
+Connection ~ 7000 7200
+Connection ~ 7000 7300
+Connection ~ 7000 3500
+Connection ~ 7000 3400
+Connection ~ 7000 3300
+Connection ~ 7000 3200
+Connection ~ 7000 3100
+Wire Wire Line
+	3800 3400 3800 5100
+Connection ~ 3800 3500
+Connection ~ 3800 3600
+Connection ~ 3800 3700
+Connection ~ 3800 3800
+Connection ~ 3800 3900
+Connection ~ 3800 4000
+Connection ~ 3800 4100
+Connection ~ 3800 4400
+Connection ~ 3800 4500
+Connection ~ 3800 4600
+Connection ~ 3800 4700
+Connection ~ 3800 4800
+Connection ~ 3800 4900
+Connection ~ 3800 5000
+Wire Wire Line
+	3800 5250 3800 6050
+Connection ~ 3800 5950
+Connection ~ 3800 5850
+Connection ~ 3800 5750
+Connection ~ 3800 5650
+Connection ~ 3800 5550
+Connection ~ 3800 5450
+Connection ~ 3800 5350
+Wire Wire Line
+	3600 1250 3800 1250
+Wire Wire Line
+	3600 1350 3800 1350
+Wire Wire Line
+	3600 1450 3800 1450
+Wire Wire Line
+	3600 1550 3800 1550
+Wire Wire Line
+	3600 1650 3800 1650
+Wire Wire Line
+	3600 1750 3800 1750
+Wire Wire Line
+	3600 1850 3800 1850
+Wire Wire Line
+	3600 1950 3800 1950
+Wire Wire Line
+	3600 2050 3800 2050
+Wire Wire Line
+	3600 2150 3800 2150
+Wire Wire Line
+	3600 2250 3800 2250
+Wire Wire Line
+	3600 2350 3800 2350
+Wire Wire Line
+	3600 2450 3800 2450
+Wire Wire Line
+	3600 2550 3800 2550
+Wire Wire Line
+	3600 2650 3800 2650
+Wire Wire Line
+	3600 2900 3800 2900
+Wire Wire Line
+	3600 3000 3800 3000
+Wire Wire Line
+	3600 3100 3800 3100
+Wire Wire Line
+	6800 1200 7000 1200
+Wire Wire Line
+	6800 1300 7000 1300
+Wire Wire Line
+	6800 1400 7000 1400
+Wire Wire Line
+	6800 1500 7000 1500
+Wire Wire Line
+	6800 1600 7000 1600
+Wire Wire Line
+	6800 1700 7000 1700
+Wire Wire Line
+	6800 1800 7000 1800
+Wire Wire Line
+	6800 1900 7000 1900
+Wire Wire Line
+	6800 2000 7000 2000
+Wire Wire Line
+	6800 2100 7000 2100
+Wire Wire Line
+	6800 2200 7000 2200
+Wire Wire Line
+	6800 2300 7000 2300
+Wire Wire Line
+	6800 2400 7000 2400
+Wire Wire Line
+	6800 2500 7000 2500
+Wire Wire Line
+	6800 2600 7000 2600
+Wire Wire Line
+	6800 2700 7000 2700
+Wire Wire Line
+	6800 2800 7000 2800
+Wire Wire Line
+	6800 2900 7000 2900
+Wire Wire Line
+	9550 1600 9300 1600
+Wire Wire Line
+	9300 1700 9550 1700
+Wire Wire Line
+	9300 1800 9550 1800
+Wire Wire Line
+	9300 1900 9550 1900
+Wire Wire Line
+	9300 2000 9550 2000
+Wire Wire Line
+	9300 2100 9550 2100
+Wire Wire Line
+	9300 2200 9550 2200
+Wire Wire Line
+	9300 2300 9550 2300
+Wire Wire Line
+	9300 2400 9550 2400
+Wire Wire Line
+	9300 2500 9550 2500
+Wire Wire Line
+	9300 2600 9550 2600
+Wire Wire Line
+	9300 2700 9550 2700
+Wire Wire Line
+	9300 2800 9550 2800
+Wire Wire Line
+	9300 2900 9550 2900
+Wire Wire Line
+	9300 3000 9550 3000
+Wire Wire Line
+	9300 3100 9550 3100
+Wire Wire Line
+	9300 3200 9550 3200
+Wire Wire Line
+	9300 3300 9550 3300
+Wire Wire Line
+	9300 3400 9550 3400
+Wire Wire Line
+	9300 3500 9550 3500
+Wire Wire Line
+	9300 3600 9550 3600
+Wire Wire Line
+	9300 3700 9550 3700
+Wire Wire Line
+	9300 3800 9550 3800
+Wire Wire Line
+	9300 3900 9550 3900
+Wire Wire Line
+	9300 4000 9550 4000
+Wire Wire Line
+	9300 4100 9550 4100
+Wire Wire Line
+	9300 4200 9550 4200
+Wire Wire Line
+	9300 4300 9550 4300
+Wire Wire Line
+	5350 8700 5900 8700
+Connection ~ 5450 8600
+Connection ~ 5450 8700
+Connection ~ 5450 8800
+Connection ~ 5450 8900
+Wire Wire Line
+	5350 9100 7100 9100
+Connection ~ 5450 9000
+Connection ~ 5450 9100
+Wire Wire Line
+	3900 8300 4150 8300
+Wire Wire Line
+	5350 9700 7000 9700
+Wire Wire Line
+	5350 9600 7950 9600
+Wire Wire Line
+	5350 9900 5400 9900
+Wire Wire Line
+	6000 10100 6000 10000
+Connection ~ 6000 10000
+Wire Wire Line
+	5400 10100 5350 10100
+Wire Wire Line
+	11750 6900 12000 6900
+Connection ~ 12000 2900
+Connection ~ 12000 3000
+Connection ~ 12000 2800
+Connection ~ 12000 2700
+Wire Wire Line
+	12000 2100 11750 2100
+Connection ~ 12000 1800
+Connection ~ 12000 4700
+Connection ~ 12000 4400
+Connection ~ 12000 4100
+Connection ~ 12000 4200
+Connection ~ 12000 3100
+Connection ~ 12000 3200
+Wire Wire Line
+	1050 8100 1300 8100
+Wire Wire Line
+	1050 8200 1300 8200
+Wire Wire Line
+	1050 8300 1300 8300
+Wire Wire Line
+	1300 8400 1050 8400
+Wire Wire Line
+	1050 8500 1300 8500
+Wire Wire Line
+	1050 8600 1300 8600
+Wire Wire Line
+	1050 8700 1300 8700
+Wire Wire Line
+	1300 8800 1050 8800
+Wire Wire Line
+	1050 8900 1300 8900
+Wire Wire Line
+	1050 9000 1300 9000
+Wire Wire Line
+	1050 9100 1300 9100
+Wire Wire Line
+	1050 9200 1300 9200
+Wire Wire Line
+	1050 9300 1300 9300
+Wire Wire Line
+	1050 9400 1300 9400
+Wire Wire Line
+	1050 9500 1300 9500
+Wire Wire Line
+	1050 9600 1300 9600
+Wire Wire Line
+	1050 9700 1300 9700
+Wire Wire Line
+	1050 9800 1300 9800
+Wire Wire Line
+	1050 9900 1300 9900
+Wire Wire Line
+	1050 10000 1300 10000
+Wire Wire Line
+	1050 10100 1300 10100
+Wire Wire Line
+	1050 10200 1300 10200
+Wire Wire Line
+	1050 10300 1300 10300
+Wire Wire Line
+	1050 10400 1300 10400
+Wire Wire Line
+	1050 10500 1300 10500
+Wire Wire Line
+	1300 10600 1050 10600
+Wire Wire Line
+	5150 3350 5050 3350
+Wire Wire Line
+	13700 6200 14250 6200
+Wire Wire Line
+	13700 5400 13800 5400
+Wire Wire Line
+	13700 5300 13800 5300
+Wire Wire Line
+	13700 5000 15050 5000
+Wire Wire Line
+	13700 4900 15050 4900
+Connection ~ 12000 1700
+Connection ~ 12000 1600
+Connection ~ 12000 1500
+Connection ~ 12000 1400
+Connection ~ 12000 2600
+Wire Wire Line
+	12000 2000 11750 2000
+Wire Wire Line
+	12000 1200 12000 1900
+Connection ~ 12000 1300
+Wire Wire Line
+	11900 1600 12000 1600
+Wire Notes Line
+	12550 1500 12550 1200
+Wire Wire Line
+	13700 2600 14000 2600
+Wire Wire Line
+	13700 2500 14050 2500
+Wire Wire Line
+	14950 1750 15400 1750
+Connection ~ 15250 1750
+Connection ~ 15250 2350
+Wire Wire Line
+	13700 1200 13950 1200
+Wire Wire Line
+	15800 1750 15800 2350
+Wire Wire Line
+	15850 2050 15800 2050
+Connection ~ 15800 2050
+Wire Wire Line
+	13700 4300 14050 4300
+Wire Wire Line
+	13700 4400 14050 4400
+Wire Wire Line
+	13700 4500 14050 4500
+Connection ~ 12000 2500
+Wire Wire Line
+	13700 2800 14400 2800
+Wire Wire Line
+	13700 2900 14050 2900
+Wire Wire Line
+	14050 3000 13700 3000
+Wire Wire Line
+	13700 3100 14050 3100
+Wire Wire Line
+	14050 3200 13700 3200
+Wire Wire Line
+	13700 3300 14050 3300
+Wire Wire Line
+	13700 3400 14050 3400
+Wire Wire Line
+	14050 3500 13700 3500
+Wire Wire Line
+	13700 3600 14050 3600
+Wire Wire Line
+	4150 10250 4150 10150
+Wire Wire Line
+	3600 8400 4150 8400
+Wire Wire Line
+	3600 8500 4150 8500
+Wire Wire Line
+	3100 8400 3100 8500
+Wire Wire Line
+	3100 8450 2900 8450
+Connection ~ 3100 8450
+Wire Wire Line
+	5350 10800 5350 10900
+Wire Wire Line
+	5900 10850 5350 10850
+Connection ~ 5350 10850
+Wire Wire Line
+	5850 10700 5950 10700
+Wire Wire Line
+	5900 10600 5900 10850
+Connection ~ 5900 10700
+Wire Wire Line
+	5350 10600 5900 10600
+Wire Wire Line
+	15150 8250 15750 8250
+Wire Wire Line
+	15150 8350 15550 8350
+Wire Wire Line
+	15550 8350 15550 8450
+Wire Wire Line
+	15550 8450 15750 8450
+Wire Wire Line
+	15150 8550 15750 8550
+Wire Wire Line
+	15750 8750 15550 8750
+Wire Wire Line
+	15550 8750 15550 8650
+Wire Wire Line
+	15550 8650 15150 8650
+Wire Wire Line
+	15750 8850 15150 8850
+Wire Wire Line
+	15150 8950 15550 8950
+Wire Wire Line
+	15550 8950 15550 9050
+Wire Wire Line
+	15550 9050 15750 9050
+Wire Wire Line
+	15750 9150 15150 9150
+Wire Wire Line
+	15150 9250 15550 9250
+Wire Wire Line
+	15550 9250 15550 9350
+Wire Wire Line
+	15550 9350 15750 9350
+Wire Wire Line
+	15750 9450 15150 9450
+Wire Wire Line
+	15550 9650 15750 9650
+Wire Wire Line
+	15550 9550 15550 9650
+Wire Wire Line
+	15150 9550 15550 9550
+Wire Wire Line
+	15150 9750 15750 9750
+Wire Wire Line
+	15650 10250 15750 10250
+Wire Wire Line
+	15650 7900 15650 10250
+Wire Wire Line
+	15650 8050 15750 8050
+Wire Wire Line
+	15750 8150 15650 8150
+Connection ~ 15650 8150
+Wire Wire Line
+	15750 8350 15650 8350
+Connection ~ 15650 8350
+Wire Wire Line
+	15750 8650 15650 8650
+Connection ~ 15650 8650
+Wire Wire Line
+	15750 8950 15650 8950
+Connection ~ 15650 8950
+Wire Wire Line
+	15750 9250 15650 9250
+Connection ~ 15650 9250
+Connection ~ 15650 9550
+Wire Wire Line
+	15750 9850 15650 9850
+Connection ~ 15650 9850
+Wire Wire Line
+	5350 9500 9100 9500
+Wire Wire Line
+	1300 3400 1300 5100
+Connection ~ 1300 3500
+Connection ~ 1300 3600
+Connection ~ 1300 3700
+Connection ~ 1300 3800
+Connection ~ 1300 3900
+Connection ~ 1300 4000
+Connection ~ 1300 4100
+Connection ~ 1300 4400
+Connection ~ 1300 4500
+Connection ~ 1300 4600
+Connection ~ 1300 4700
+Connection ~ 1300 4800
+Connection ~ 1300 4900
+Connection ~ 1300 5000
+Wire Wire Line
+	1300 5250 1300 6050
+Connection ~ 1300 5950
+Connection ~ 1300 5850
+Connection ~ 1300 5750
+Connection ~ 1300 5650
+Connection ~ 1300 5550
+Connection ~ 1300 5450
+Connection ~ 1300 5350
+Wire Wire Line
+	1100 1250 1300 1250
+Wire Wire Line
+	1100 1350 1300 1350
+Wire Wire Line
+	1100 1450 1300 1450
+Wire Wire Line
+	1100 1550 1300 1550
+Wire Wire Line
+	1100 1650 1300 1650
+Wire Wire Line
+	1100 1750 1300 1750
+Wire Wire Line
+	1100 1850 1300 1850
+Wire Wire Line
+	1100 1950 1300 1950
+Wire Wire Line
+	1100 2050 1300 2050
+Wire Wire Line
+	1100 2150 1300 2150
+Wire Wire Line
+	1100 2250 1300 2250
+Wire Wire Line
+	1100 2350 1300 2350
+Wire Wire Line
+	1100 2450 1300 2450
+Wire Wire Line
+	1100 2550 1300 2550
+Wire Wire Line
+	1100 2650 1300 2650
+Wire Wire Line
+	1100 2900 1300 2900
+Wire Wire Line
+	1100 3000 1300 3000
+Wire Wire Line
+	1100 3100 1300 3100
+Wire Wire Line
+	1200 5650 1300 5650
+Wire Wire Line
+	3650 5350 3800 5350
+Wire Wire Line
+	3700 5500 3700 5350
+Connection ~ 3700 5350
+Wire Wire Line
+	3700 6000 3700 6150
+Wire Wire Line
+	3700 6150 3800 6150
+Wire Wire Line
+	5800 6600 3550 6600
+Wire Wire Line
+	3550 6600 3550 4800
+Wire Wire Line
+	3550 4800 2550 4800
+Wire Wire Line
+	2550 4900 3500 4900
+Wire Wire Line
+	3500 4900 3500 6700
+Wire Wire Line
+	3500 6700 5800 6700
+Wire Wire Line
+	2550 5000 3450 5000
+Wire Wire Line
+	3450 5000 3450 6800
+Wire Wire Line
+	3450 6800 5800 6800
+Wire Wire Line
+	2550 5100 3400 5100
+Wire Wire Line
+	3400 5100 3400 6900
+Wire Wire Line
+	3400 6900 5800 6900
+Wire Wire Line
+	5800 7000 3350 7000
+Wire Wire Line
+	3350 7000 3350 5200
+Wire Wire Line
+	3350 5200 2550 5200
+Wire Wire Line
+	2550 5300 3300 5300
+Wire Wire Line
+	3300 5300 3300 7100
+Wire Wire Line
+	3300 7100 5800 7100
+Wire Wire Line
+	2550 5600 2850 5600
+Wire Wire Line
+	2550 5700 2850 5700
+Wire Wire Line
+	2550 5800 2850 5800
+Wire Wire Line
+	2550 5900 2850 5900
+Wire Wire Line
+	2550 6000 2850 6000
+Wire Wire Line
+	2550 6100 2850 6100
+Wire Wire Line
+	2550 6200 2850 6200
+Connection ~ 1300 4300
+Connection ~ 1300 4200
+Connection ~ 3800 4300
+Connection ~ 3800 4200
+Wire Wire Line
+	2550 4450 2550 4600
+Connection ~ 2550 3850
+Connection ~ 2550 3750
+Connection ~ 2550 3650
+Connection ~ 2550 3550
+Connection ~ 2550 2950
+Connection ~ 2550 3050
+Connection ~ 2550 3150
+Connection ~ 2550 3450
+Connection ~ 2550 3250
+Connection ~ 2550 3350
+Wire Wire Line
+	5550 2800 5800 2800
+Wire Wire Line
+	5550 2900 5800 2900
+Wire Wire Line
+	5550 3000 5800 3000
+Wire Wire Line
+	5550 3100 5800 3100
+Wire Wire Line
+	5550 3200 5800 3200
+Wire Wire Line
+	5550 3300 5800 3300
+Wire Wire Line
+	5800 3400 5550 3400
+Wire Wire Line
+	5550 3500 5800 3500
+Wire Wire Line
+	5800 3600 5550 3600
+Wire Wire Line
+	5550 3700 5800 3700
+Wire Wire Line
+	5800 3800 5550 3800
+Wire Wire Line
+	5550 3900 5800 3900
+Wire Wire Line
+	5550 4000 5800 4000
+Wire Wire Line
+	5800 4100 5550 4100
+Wire Wire Line
+	5550 4200 5800 4200
+Wire Wire Line
+	5800 4300 5550 4300
+Wire Wire Line
+	2550 1200 2900 1200
+Wire Wire Line
+	2900 1300 2550 1300
+Wire Wire Line
+	2900 1400 2550 1400
+Wire Wire Line
+	2900 1500 2550 1500
+Wire Wire Line
+	2900 1600 2550 1600
+Wire Wire Line
+	2900 1700 2550 1700
+Wire Wire Line
+	2900 1800 2550 1800
+Wire Wire Line
+	2900 1900 2550 1900
+Wire Wire Line
+	2900 2000 2550 2000
+Wire Wire Line
+	2900 2100 2550 2100
+Wire Wire Line
+	2900 2200 2550 2200
+Wire Wire Line
+	2900 2300 2550 2300
+Wire Wire Line
+	2900 2400 2550 2400
+Wire Wire Line
+	2900 2500 2550 2500
+Wire Wire Line
+	2900 2600 2550 2600
+Wire Wire Line
+	2900 2700 2550 2700
+Wire Wire Line
+	2550 2850 2550 3950
+Wire Wire Line
+	4150 9250 4000 9250
+Wire Wire Line
+	4000 9250 4000 9050
+Wire Wire Line
+	4000 9350 4150 9350
+Wire Wire Line
+	3400 9350 3500 9350
+Wire Wire Line
+	3450 8750 3450 9450
+Wire Wire Line
+	3450 9050 3500 9050
+Connection ~ 3450 9350
+Wire Wire Line
+	2700 2850 2550 2850
+Connection ~ 2550 2850
+Connection ~ 3800 3400
+Connection ~ 15650 10250
+Wire Wire Line
+	13700 5100 15050 5100
+Wire Wire Line
+	13700 5200 15050 5200
+Wire Wire Line
+	15750 10150 15650 10150
+Connection ~ 15650 10150
+Wire Bus Line
+	6700 800  1000 800 
+Wire Bus Line
+	1000 800  1000 2550
+Wire Bus Line
+	3500 800  3500 2550
+Wire Bus Line
+	3000 950  3000 2600
+Wire Bus Line
+	3000 950  5450 950 
+Wire Bus Line
+	6700 800  6700 2500
+Wire Wire Line
+	10350 8650 10350 8750
+Wire Wire Line
+	10350 8750 10450 8750
+Wire Wire Line
+	10450 8750 10450 8950
+Wire Wire Line
+	10300 8950 10500 8950
+Wire Wire Line
+	11050 8950 10900 8950
+Connection ~ 10450 8950
+Wire Wire Line
+	10950 8550 12400 8550
+Wire Wire Line
+	11050 8550 11050 8950
+Connection ~ 11050 8550
+Wire Wire Line
+	10950 8750 11050 8750
+Connection ~ 11050 8750
+Wire Wire Line
+	9300 8700 9300 8800
+Wire Wire Line
+	9950 9800 9950 9900
+Wire Wire Line
+	11000 9850 11100 9850
+Wire Wire Line
+	11100 9850 11100 10050
+Wire Wire Line
+	10950 10050 11150 10050
+Wire Wire Line
+	11700 10050 11550 10050
+Connection ~ 11100 10050
+Wire Wire Line
+	11700 9650 11700 10050
+Connection ~ 11700 9650
+Wire Wire Line
+	11600 9850 11700 9850
+Connection ~ 11700 9850
+Wire Wire Line
+	11000 9750 11000 9850
+Wire Wire Line
+	1050 11000 1150 11000
+Connection ~ 12000 2400
+Connection ~ 12000 6500
+Connection ~ 12000 6400
+Connection ~ 12000 5800
+Connection ~ 12000 5900
+Wire Wire Line
+	12000 5900 11700 5900
+Wire Wire Line
+	12000 4600 12000 5500
+Wire Wire Line
+	12000 2200 12000 4500
+Wire Wire Line
+	11750 4400 12000 4400
+Wire Wire Line
+	7900 5500 8350 5500
+Wire Wire Line
+	8350 5600 7900 5600
+Wire Wire Line
+	9550 6800 9200 6800
+Wire Wire Line
+	10750 7400 10450 7400
+Wire Wire Line
+	9200 6900 9550 6900
+Wire Wire Line
+	9200 7200 9550 7200
+Wire Wire Line
+	9200 7300 9550 7300
+Wire Wire Line
+	9200 7400 9550 7400
+Wire Wire Line
+	1050 10700 1350 10700
+Wire Wire Line
+	1350 10800 1050 10800
+Wire Wire Line
+	1050 10900 1350 10900
+Wire Wire Line
+	1300 6900 950  6900
+Wire Wire Line
+	950  7000 1300 7000
+Wire Wire Line
+	1300 7300 950  7300
+Wire Wire Line
+	1300 7400 950  7400
+Wire Wire Line
+	1300 7500 950  7500
+Wire Wire Line
+	3800 3400 3700 3400
+Wire Wire Line
+	8950 8600 9300 8600
+Wire Wire Line
+	9600 9600 9950 9600
+Wire Wire Line
+	11600 9650 13150 9650
+Wire Wire Line
+	5800 4600 5700 4600
+Wire Wire Line
+	5050 4500 5800 4500
+Connection ~ 2550 4600
+Connection ~ 15650 8050
+Wire Wire Line
+	5350 10300 5450 10300
+Wire Wire Line
+	5350 9300 7700 9300
+Connection ~ 5450 9200
+Wire Wire Line
+	3900 8750 4000 8750
+Wire Wire Line
+	4000 8750 4000 8600
+Wire Wire Line
+	4000 8600 4150 8600
+Wire Wire Line
+	3500 8750 3450 8750
+Connection ~ 3450 9050
+Wire Wire Line
+	4000 9050 3900 9050
+Connection ~ 4050 9350
+Wire Wire Line
+	2550 5400 2850 5400
+Wire Wire Line
+	2550 5500 2850 5500
+Connection ~ 12000 2300
+Wire Wire Line
+	15050 4800 14950 4800
+Wire Wire Line
+	15050 4300 15050 4800
+Connection ~ 15050 4700
+Connection ~ 15050 4600
+Connection ~ 15050 4500
+Connection ~ 15050 4400
+Wire Wire Line
+	15050 5300 15050 5500
+Wire Wire Line
+	14800 5400 14800 5600
+Wire Wire Line
+	14800 5500 14850 5500
+Wire Wire Line
+	14800 5600 13800 5600
+Wire Wire Line
+	13800 5600 13800 5500
+Connection ~ 14800 5500
+Wire Wire Line
+	14800 5300 15050 5300
+Wire Wire Line
+	4150 10450 3900 10450
+Wire Wire Line
+	13700 2200 14950 2200
+Wire Wire Line
+	13700 2100 14950 2100
+Connection ~ 11650 8950
+Wire Wire Line
+	11950 10050 13000 10050
+Connection ~ 12750 10050
+Connection ~ 12300 10050
+Wire Wire Line
+	9600 9700 9950 9700
+Connection ~ 9250 8500
+Connection ~ 9900 9600
+Wire Wire Line
+	5350 7700 5350 8350
+Wire Wire Line
+	5350 8000 4850 8000
+Connection ~ 5450 9300
+Wire Wire Line
+	5350 8600 5600 8600
+Wire Wire Line
+	5350 10500 5700 10500
+Connection ~ 6150 10600
+Connection ~ 6500 10600
+Connection ~ 6850 10600
+Wire Wire Line
+	5350 10000 6150 10000
+Wire Wire Line
+	6150 10000 6150 10600
+Wire Wire Line
+	6150 10600 7000 10600
+Connection ~ 7550 10600
+Connection ~ 7900 10600
+Connection ~ 8250 10600
+Wire Wire Line
+	7550 11000 8250 11000
+Connection ~ 7900 11000
+Wire Wire Line
+	7900 11000 7900 11100
+Wire Wire Line
+	7550 10600 8400 10600
+Connection ~ 5450 8000
+Wire Wire Line
+	5600 8600 5600 8550
+Wire Wire Line
+	5900 8700 5900 8550
+Wire Wire Line
+	6200 8800 6200 8550
+Wire Wire Line
+	5350 8800 6200 8800
+Wire Wire Line
+	5350 8900 6500 8900
+Wire Wire Line
+	6500 8900 6500 8550
+Wire Wire Line
+	5350 9000 6800 9000
+Wire Wire Line
+	6800 9000 6800 8550
+Wire Wire Line
+	5600 8150 8100 8150
+Connection ~ 5900 8150
+Connection ~ 6500 8150
+Connection ~ 6200 8150
+Connection ~ 6800 8150
+Wire Wire Line
+	7100 9100 7100 8550
+Wire Wire Line
+	7700 9300 7700 8550
+Wire Wire Line
+	5350 9400 8600 9400
+Connection ~ 8750 9500
+Connection ~ 8450 9500
+Connection ~ 7950 9400
+Wire Wire Line
+	8450 9900 8850 9900
+Connection ~ 8750 9900
+Wire Wire Line
+	7550 10600 7550 10300
+Wire Wire Line
+	7550 10300 6250 10300
+Wire Wire Line
+	6250 10300 6250 9800
+Wire Wire Line
+	6250 9900 6000 9900
+Wire Wire Line
+	6250 9800 5350 9800
+Connection ~ 6250 9900
+Wire Wire Line
+	7500 10000 7950 10000
+Connection ~ 7800 10000
+Wire Wire Line
+	6550 10100 7000 10100
+Connection ~ 6850 10100
+Wire Wire Line
+	11300 8550 11300 8400
+Wire Wire Line
+	11300 8400 11350 8400
+Connection ~ 11300 8550
+Connection ~ 11650 8550
+Wire Wire Line
+	11300 8950 12500 8950
+Connection ~ 12000 8950
+Wire Wire Line
+	5450 8000 5550 8000
+Wire Wire Line
+	2800 10250 2800 10500
+Connection ~ 2800 10250
+Wire Wire Line
+	2900 9850 3800 9850
+Connection ~ 3400 9850
+Connection ~ 3000 9850
+Wire Wire Line
+	8950 8500 9300 8500
+Wire Wire Line
+	15350 3400 15350 3850
+Wire Wire Line
+	15750 3400 15900 3400
+Connection ~ 15900 3400
+Wire Wire Line
+	15750 3700 15900 3700
+Connection ~ 15900 3700
+Connection ~ 15350 3700
+Connection ~ 3800 10250
+Connection ~ 3400 10250
+Connection ~ 3000 10250
+Connection ~ 4150 10250
+Connection ~ 7800 9600
+Connection ~ 7500 9600
+Connection ~ 6850 9700
+Connection ~ 6550 9700
+Wire Wire Line
+	6500 11000 6500 11100
+Connection ~ 6500 11000
+Wire Wire Line
+	6150 11000 6850 11000
+Connection ~ 12750 9650
+Connection ~ 12300 9650
+Connection ~ 11950 9650
+Connection ~ 7700 8150
+Connection ~ 7400 8150
+Connection ~ 7100 8150
+Wire Wire Line
+	11950 4800 12000 4800
+Wire Wire Line
+	2550 4600 2650 4600
+Wire Wire Line
+	14950 2100 14950 1750
+Wire Wire Line
+	14950 2350 15400 2350
+Wire Wire Line
+	15500 900  15700 900 
+Wire Wire Line
+	15700 900  15700 1400
+Wire Wire Line
+	15700 1400 15500 1400
+Wire Wire Line
+	15800 1100 15700 1100
+Connection ~ 15700 1100
+Wire Wire Line
+	15100 900  14900 900 
+Wire Wire Line
+	14250 850  14900 850 
+Wire Wire Line
+	14900 850  14900 900 
+Connection ~ 14900 900 
+Wire Wire Line
+	15100 1400 14900 1400
+Wire Wire Line
+	14900 1400 14900 1450
+Wire Wire Line
+	14900 1450 14550 1450
+Connection ~ 14900 1400
+Wire Wire Line
+	13700 2000 14550 2000
+Wire Wire Line
+	14550 2000 14550 1450
+Connection ~ 14550 1450
+Wire Wire Line
+	14250 850  14250 1900
+Wire Wire Line
+	14250 1900 13700 1900
+Connection ~ 14550 850 
+Wire Wire Line
+	5800 5600 5500 5600
+Wire Wire Line
+	5800 5700 5500 5700
+Wire Wire Line
+	5050 5600 5250 5600
+Wire Wire Line
+	5050 5700 5250 5700
+Wire Wire Line
+	5100 7250 5400 7250
+Wire Wire Line
+	4600 7250 4400 7250
+Wire Wire Line
+	5100 7450 5400 7450
+Wire Wire Line
+	4600 7450 4400 7450
+Wire Wire Line
+	13700 6300 14000 6300
+Wire Wire Line
+	13700 6400 14000 6400
+Wire Wire Line
+	14000 6500 13700 6500
+Wire Wire Line
+	14000 6600 13700 6600
+Wire Wire Line
+	14000 6700 13700 6700
+Wire Wire Line
+	14000 6800 13700 6800
+Wire Wire Line
+	14000 6900 13700 6900
+Wire Wire Line
+	14000 7000 13700 7000
+Wire Wire Line
+	14000 7100 13700 7100
+Wire Wire Line
+	14000 7200 13700 7200
+Wire Wire Line
+	14000 7300 13700 7300
+Wire Wire Line
+	14000 7400 13700 7400
+Wire Wire Line
+	14000 8250 14300 8250
+Wire Wire Line
+	14000 8350 14300 8350
+Wire Wire Line
+	14300 8550 14000 8550
+Wire Wire Line
+	14300 8650 14000 8650
+Wire Wire Line
+	14300 8850 14000 8850
+Wire Wire Line
+	14300 8950 14000 8950
+Wire Wire Line
+	14300 9150 14000 9150
+Wire Wire Line
+	14300 9250 14000 9250
+Wire Wire Line
+	14300 9450 14000 9450
+Wire Wire Line
+	13650 9550 14300 9550
+Wire Wire Line
+	13800 9750 14300 9750
+Wire Wire Line
+	14300 9850 14000 9850
+Wire Wire Line
+	13700 5700 13750 5700
+Wire Wire Line
+	14250 5700 15450 5700
+Wire Wire Line
+	13700 5800 14250 5800
+Wire Wire Line
+	14750 5800 15450 5800
+Wire Wire Line
+	13700 5900 13750 5900
+Wire Wire Line
+	14250 5900 15450 5900
+Wire Wire Line
+	14750 6000 15450 6000
+Wire Wire Line
+	14250 6000 13700 6000
+Wire Wire Line
+	13700 6100 13750 6100
+Wire Wire Line
+	14250 6100 15450 6100
+Wire Wire Line
+	15450 6200 14750 6200
+Wire Wire Line
+	14750 6200 14750 6450
+Wire Wire Line
+	14850 6450 14850 6100
+Connection ~ 14850 6100
+Wire Wire Line
+	14950 6450 14950 6000
+Connection ~ 14950 6000
+Connection ~ 14750 6200
+Wire Wire Line
+	15050 6450 15050 5900
+Connection ~ 15050 5900
+Wire Wire Line
+	15150 6450 15150 5800
+Connection ~ 15150 5800
+Wire Wire Line
+	15250 6450 15250 5700
+Connection ~ 15250 5700
+Wire Wire Line
+	15450 6400 15450 6700
+Wire Wire Line
+	15450 6700 15500 6700
+Connection ~ 15450 6600
+Wire Wire Line
+	14750 6950 15550 6950
+Connection ~ 14850 6950
+Connection ~ 14950 6950
+Connection ~ 15050 6950
+Connection ~ 15150 6950
+Connection ~ 15250 6950
+Connection ~ 15450 6500
+Wire Wire Line
+	15450 6300 15350 6300
+Wire Wire Line
+	15350 6300 15350 7050
+Connection ~ 15350 6950
+Wire Wire Line
+	15150 9850 15550 9850
+Wire Wire Line
+	15350 10050 15750 10050
+Wire Wire Line
+	15550 9850 15550 10050
+Wire Wire Line
+	15100 9950 15750 9950
+Connection ~ 14650 10050
+Wire Wire Line
+	15050 5500 15900 5500
+Wire Wire Line
+	15900 5500 15900 3400
+Connection ~ 15050 5400
+Wire Wire Line
+	15550 4000 15900 4000
+Connection ~ 15900 4000
+Wire Wire Line
+	4150 10700 4050 10700
+Wire Wire Line
+	4050 10700 4050 11050
+Wire Wire Line
+	4050 11050 4200 11050
+Wire Wire Line
+	3800 10600 4150 10600
+Wire Wire Line
+	3400 10450 3400 10600
+Connection ~ 3400 10450
+Wire Wire Line
+	3200 10450 3400 10450
+Wire Wire Line
+	3200 11100 3200 11050
+Wire Wire Line
+	3400 11000 3400 11050
+Wire Wire Line
+	3400 11050 3200 11050
+Connection ~ 3200 11050
+Wire Wire Line
+	2650 10250 4150 10250
+Wire Wire Line
+	5450 8000 5450 9300
+Wire Wire Line
+	2050 8250 2350 8250
+Wire Wire Line
+	2050 8450 2350 8450
+Wire Wire Line
+	2050 8650 2350 8650
+Wire Wire Line
+	2050 7750 2450 7750
+Wire Wire Line
+	2450 7750 2450 8000
+Wire Wire Line
+	3650 7650 3650 7900
+Connection ~ 3650 7750
+Wire Wire Line
+	3650 7750 4000 7750
+Wire Wire Line
+	3650 7450 4000 7450
+Wire Wire Line
+	3650 8100 4000 8100
+Wire Wire Line
+	2050 7550 2450 7550
+Wire Wire Line
+	2150 8350 2050 8350
+Wire Wire Line
+	2150 7150 2150 8350
+Wire Wire Line
+	2050 7250 2150 7250
+Connection ~ 2150 7250
+Wire Wire Line
+	2050 7450 2150 7450
+Connection ~ 2150 7450
+Wire Wire Line
+	2050 7650 2150 7650
+Connection ~ 2150 7650
+Wire Wire Line
+	2050 7850 2150 7850
+Connection ~ 2150 7850
+Wire Wire Line
+	2050 8150 2150 8150
+Connection ~ 2150 8150
+Wire Wire Line
+	2750 7300 3300 7300
+Wire Wire Line
+	8050 3300 8350 3300
+Wire Wire Line
+	8350 3400 8050 3400
+Wire Wire Line
+	8000 3900 8350 3900
+Wire Wire Line
+	8000 4000 8350 4000
+Wire Wire Line
+	8000 4100 8350 4100
+Wire Wire Line
+	8000 4200 8350 4200
+Wire Wire Line
+	2300 9050 1950 9050
+Wire Wire Line
+	2300 9150 1950 9150
+Wire Wire Line
+	2300 9250 1950 9250
+Wire Wire Line
+	2300 9350 1950 9350
+Wire Wire Line
+	2300 9450 1950 9450
+Wire Wire Line
+	2300 9550 1950 9550
+Wire Wire Line
+	1950 9750 2300 9750
+Wire Wire Line
+	3100 8300 2900 8300
+Wire Wire Line
+	5500 5800 5800 5800
+Wire Wire Line
+	5500 5900 5800 5900
+Wire Wire Line
+	5500 6000 5800 6000
+Wire Wire Line
+	5500 6100 5800 6100
+Wire Wire Line
+	5500 6200 5800 6200
+Wire Wire Line
+	5050 5800 5250 5800
+Wire Wire Line
+	5250 5900 5050 5900
+Wire Wire Line
+	5250 6000 5050 6000
+Wire Wire Line
+	5250 6100 5050 6100
+Wire Wire Line
+	5250 6200 5050 6200
+Wire Wire Line
+	8300 5700 8350 5700
+$Comp
+L C C25
+U 1 1 5151D4E3
+P 2550 7300
+F 0 "C25" V 2600 7400 50  0000 L CNN
+F 1 ".22" V 2600 7100 50  0000 L CNN
+	1    2550 7300
+	0    -1   -1   0   
+$EndComp
+Wire Wire Line
+	3450 9450 4150 9450
+Wire Wire Line
+	5350 9200 5450 9200
+Wire Wire Line
+	14100 10050 15100 10050
+Text Label 13850 4900 0    60   ~ 0
+USB2P
+Text Label 13850 5000 0    60   ~ 0
+USB2M
+Text Label 13850 5100 0    60   ~ 0
+USB1P
+Text Label 13850 5200 0    60   ~ 0
+USB1M
+Text Label 13850 2900 0    60   ~ 0
+VMIC
+Text Label 13750 3000 0    60   ~ 0
+MICIN2
+Text Label 13750 3100 0    60   ~ 0
+MICIN1
+Text Label 13850 3200 0    60   ~ 0
+VRA1
+Text Label 13850 3300 0    60   ~ 0
+VRA2
+Text Label 13900 3400 0    60   ~ 0
+VRP
+Text Label 13800 3500 0    60   ~ 0
+LIN_R
+Text Label 13800 3600 0    60   ~ 0
+LIN_L
+Wire Wire Line
+	14700 3000 14350 3000
+Wire Wire Line
+	14350 3100 14700 3100
+Wire Wire Line
+	14700 3200 14350 3200
+Wire Wire Line
+	14200 3300 14700 3300
+Wire Wire Line
+	14350 3400 14700 3400
+Wire Wire Line
+	14700 3500 14350 3500
+Wire Wire Line
+	14350 3600 14700 3600
+Text Label 14350 3400 0    60   ~ 0
+VMIC
+Text Label 14350 3000 0    60   ~ 0
+MICIN2
+Text Label 14350 2900 0    60   ~ 0
+MICIN1
+Text Label 14350 3500 0    60   ~ 0
+VRA1
+Text Label 14350 3600 0    60   ~ 0
+VRA2
+Text Label 14350 3700 0    60   ~ 0
+VRP
+Text Label 14350 3200 0    60   ~ 0
+LIN_R
+Text Label 14350 3100 0    60   ~ 0
+LIN_L
+Wire Wire Line
+	14400 2800 14400 2700
+Wire Wire Line
+	14350 3700 14700 3700
+Wire Wire Line
+	14200 3800 14700 3800
+Wire Wire Line
+	14650 3800 14650 3900
+Wire Wire Line
+	14600 2700 14700 2700
+Connection ~ 14600 2700
+Text Label 5400 4800 0    60   ~ 0
+SDQS0
+Text Label 5400 4900 0    60   ~ 0
+SDQS0#
+Text Label 5400 5000 0    60   ~ 0
+SDQS1
+Text Label 5400 5100 0    60   ~ 0
+SDQS1#
+Text Label 5400 5200 0    60   ~ 0
+SDQM0
+Text Label 5400 5300 0    60   ~ 0
+SDQM1
+Text Label 5350 6600 0    60   ~ 0
+SDQS2
+Text Label 5350 6700 0    60   ~ 0
+SDQS2#
+Text Label 5350 6800 0    60   ~ 0
+SDQS3
+Text Label 5350 6900 0    60   ~ 0
+SDQS3#
+Text Label 5350 7000 0    60   ~ 0
+SDQM2
+Text Label 5350 7100 0    60   ~ 0
+SDQM3
+Wire Wire Line
+	1300 5100 1200 5100
+Text Label 2600 4800 0    60   ~ 0
+SDQS2
+Text Label 2600 4900 0    60   ~ 0
+SDQS2#
+Text Label 2600 5000 0    60   ~ 0
+SDQS3
+Text Label 2600 5100 0    60   ~ 0
+SDQS3#
+Text Label 2600 5200 0    60   ~ 0
+SDQM2
+Text Label 2600 5300 0    60   ~ 0
+SDQM3
+NoConn ~ 9550 7000
+NoConn ~ 9550 7100
+Wire Wire Line
+	1300 7200 950  7200
+Wire Wire Line
+	1300 7100 950  7100
+$Comp
+L CONN_8 J6
+U 1 1 51729C76
+P 600 7250
+F 0 "J6" V 550 7250 60  0000 C CNN
+F 1 "CONN_8" V 650 7250 60  0000 C CNN
+	1    600  7250
+	-1   0    0    -1  
+$EndComp
+Text Label 2600 6000 0    60   ~ 0
+SWE
+Text Label 5050 5500 0    60   ~ 0
+CS#
+Text Label 5050 5400 0    60   ~ 0
+CKE
+Wire Wire Line
+	5800 5400 5500 5400
+Wire Wire Line
+	5800 5500 5500 5500
+Wire Wire Line
+	5050 5500 5250 5500
+Wire Wire Line
+	5050 5400 5250 5400
+Wire Wire Line
+	14700 2900 14350 2900
+Wire Wire Line
+	14200 3300 14200 3800
+Connection ~ 14650 3800
+Wire Wire Line
+	2350 7300 2150 7300
+Connection ~ 2150 7300
+$Comp
+L GND #PWR059
+U 1 1 5188D84D
+P 7950 9000
+F 0 "#PWR059" H 7950 9000 30  0001 C CNN
+F 1 "GND" H 7950 8930 30  0001 C CNN
+	1    7950 9000
+	-1   0    0    1   
+$EndComp
+Connection ~ 12400 8950
+Connection ~ 12000 8550
+$Comp
+L CONN_1 P5
+U 1 1 5189B2BF
+P 12550 8550
+F 0 "P5" H 12630 8550 40  0000 L CNN
+F 1 "CONN_1" H 12550 8605 30  0001 C CNN
+	1    12550 8550
+	1    0    0    -1  
+$EndComp
+$Comp
+L CONN_1 P6
+U 1 1 5189B2CC
+P 12900 9450
+F 0 "P6" H 12980 9450 40  0000 L CNN
+F 1 "CONN_1" H 12900 9505 30  0001 C CNN
+	1    12900 9450
+	1    0    0    -1  
+$EndComp
+$Comp
+L CONN_1 P7
+U 1 1 5189B2D2
+P 7000 10450
+F 0 "P7" H 7080 10450 40  0000 L CNN
+F 1 "CONN_1" H 7000 10505 30  0001 C CNN
+	1    7000 10450
+	1    0    0    -1  
+$EndComp
+$Comp
+L CONN_1 P8
+U 1 1 5189B2D8
+P 8400 10400
+F 0 "P8" H 8480 10400 40  0000 L CNN
+F 1 "CONN_1" H 8400 10455 30  0001 C CNN
+	1    8400 10400
+	1    0    0    -1  
+$EndComp
+Wire Wire Line
+	12750 9650 12750 9450
+Wire Wire Line
+	6850 10600 6850 10450
+Wire Wire Line
+	8250 10600 8250 10400
+$Comp
+L CONN_1 P11
+U 1 1 5189BF82
+P 2950 4450
+F 0 "P11" H 3030 4450 40  0000 L CNN
+F 1 "CONN_1" H 2950 4505 30  0001 C CNN
+	1    2950 4450
+	1    0    0    -1  
+$EndComp
+Connection ~ 5350 8000
+Wire Wire Line
+	9550 4400 9300 4400
+Text Label 9300 4400 0    60   ~ 0
+PE0
+Text Label 9300 4500 0    60   ~ 0
+PE1
+Text Label 9300 4600 0    60   ~ 0
+PE2
+Text Label 9300 4700 0    60   ~ 0
+PE3
+Text Label 9300 4800 0    60   ~ 0
+PE4
+Text Label 9300 4900 0    60   ~ 0
+PE5
+Text Label 9300 5000 0    60   ~ 0
+PE6
+Text Label 9300 5100 0    60   ~ 0
+PE7
+Wire Wire Line
+	9300 4500 9550 4500
+Wire Wire Line
+	9550 4600 9300 4600
+Wire Wire Line
+	9300 4700 9550 4700
+Wire Wire Line
+	9550 4800 9300 4800
+Wire Wire Line
+	9300 4900 9550 4900
+Wire Wire Line
+	9550 5000 9300 5000
+Wire Wire Line
+	9300 5100 9550 5100
+Text Label 13400 7850 0    60   ~ 0
+PE0
+$Comp
+L CONN_8 P14
+U 1 1 5189E5B2
+P 13050 8200
+F 0 "P14" V 13000 8200 60  0000 C CNN
+F 1 "CONN_8" V 13100 8200 60  0000 C CNN
+	1    13050 8200
+	-1   0    0    -1  
+$EndComp
+Text Label 13400 7950 0    60   ~ 0
+PE1
+Text Label 13400 8050 0    60   ~ 0
+PE2
+Text Label 13400 8150 0    60   ~ 0
+PE3
+Text Label 13400 8250 0    60   ~ 0
+PE4
+Text Label 13400 8350 0    60   ~ 0
+PE5
+Text Label 13400 8450 0    60   ~ 0
+PE6
+Text Label 13400 8550 0    60   ~ 0
+PE7
+Wire Wire Line
+	2800 4450 2550 4450
+Connection ~ 2550 4500
+$Comp
+L R R50
+U 1 1 5189E9C5
+P 5600 7700
+F 0 "R50" V 5680 7700 50  0000 C CNN
+F 1 "4k7" V 5600 7700 50  0000 C CNN
+	1    5600 7700
+	0    -1   -1   0   
+$EndComp
+$Comp
+L LED D1
+U 1 1 5189E9D2
+P 6050 7700
+F 0 "D1" H 6050 7800 50  0000 C CNN
+F 1 "LED" H 6050 7600 50  0000 C CNN
+	1    6050 7700
+	1    0    0    -1  
+$EndComp
+$Comp
+L GND #PWR060
+U 1 1 5189E9DF
+P 6250 7700
+F 0 "#PWR060" H 6250 7700 30  0001 C CNN
+F 1 "GND" H 6250 7630 30  0001 C CNN
+	1    6250 7700
+	0    -1   -1   0   
+$EndComp
+Wire Wire Line
+	14950 2200 14950 2350
+NoConn ~ 15750 9550
+Wire Wire Line
+	13800 9900 13800 9750
+Wire Wire Line
+	13650 9550 13650 9900
+Wire Wire Line
+	13650 10400 14100 10400
+Wire Wire Line
+	14100 10400 14100 10050
+Connection ~ 13800 10400
+Wire Wire Line
+	15100 10050 15100 9950
+Connection ~ 15550 10050
+$Comp
+L R R51
+U 1 1 51ADEA26
+P 15350 10300
+F 0 "R51" V 15250 10300 50  0000 C CNN
+F 1 "47k" V 15350 10300 50  0000 C CNN
+	1    15350 10300
+	-1   0    0    1   
+$EndComp
+$Comp
+L GND #PWR061
+U 1 1 51ADEA2C
+P 15350 10550
+F 0 "#PWR061" H 15350 10550 30  0001 C CNN
+F 1 "GND" H 15350 10480 30  0001 C CNN
+	1    15350 10550
+	1    0    0    -1  
+$EndComp
+$EndSCHEMATC
diff --git a/SBC/sbc-ver1/A10-plus.lib b/SBC/sbc-ver1/A10-plus.lib
new file mode 100644
index 0000000..d5691d9
--- /dev/null
+++ b/SBC/sbc-ver1/A10-plus.lib
@@ -0,0 +1,1473 @@
+EESchema-LIBRARY Version 2.3  Date: Thu 30 May 2013 07:51:58 AM IST
+#encoding utf-8
+#
+# 4GbDDR3
+#
+DEF 4GbDDR3 U 0 40 Y Y 1 F N
+F0 "U" 100 2600 50 H V C CNN
+F1 "4GbDDR3" -350 2600 50 H V C CNN
+F2 "~" 0 0 50 H I C CNN
+F3 "_" 0 0 50 H I C CNN
+DRAW
+S -450 -2700 200 2550 1 0 0 N
+X VDDQ A1 -750 300 300 R 50 50 1 1 I
+X VSSQ B1 -750 -1550 300 R 50 50 1 1 I
+X VDDQ C1 -750 200 300 R 50 50 1 1 I
+X VSSQ D1 -750 -1650 300 R 50 50 1 1 I
+X VSS E1 500 850 300 L 50 50 1 1 I
+X VDDQ F1 -750 100 300 R 50 50 1 1 I
+X VSSQ G1 -750 -1750 300 R 50 50 1 1 I
+X VREFDQ H1 500 -900 300 L 50 50 1 1 I
+X NC J1 500 -350 300 L 50 50 1 1 I
+X ODT K1 500 -2500 300 L 50 50 1 1 I
+X NC L1 500 -450 300 L 50 50 1 1 I
+X VSS M1 500 750 300 L 50 50 1 1 I
+X VDD N1 -750 -600 300 R 50 50 1 1 I
+X VSS P1 500 650 300 L 50 50 1 1 I
+X VDD R1 -750 -700 300 R 50 50 1 1 I
+X VSS T1 500 550 300 L 50 50 1 1 I
+X DQ13 A2 500 1200 300 L 50 50 1 1 I
+X VDD B2 -750 -800 300 R 50 50 1 1 I
+X DQ11 C2 500 1400 300 L 50 50 1 1 I
+X VDDQ D2 -750 0 300 R 50 50 1 1 I
+X VSSQ E2 -750 -1850 300 R 50 50 1 1 I
+X DQ2 F2 500 2300 300 L 50 50 1 1 I
+X DQ6 G2 500 1900 300 L 50 50 1 1 I
+X VDDQ H2 -750 -100 300 R 50 50 1 1 I
+X VSS J2 500 450 300 L 50 50 1 1 I
+X VDD K2 -750 -900 300 R 50 50 1 1 I
+X CS# L2 500 -1800 300 L 50 50 1 1 I
+X BA0 M2 -750 800 300 R 50 50 1 1 I
+X A3 N2 -750 2150 300 R 50 50 1 1 I
+X A5 P2 -750 1950 300 R 50 50 1 1 I
+X A7 R2 -750 1750 300 R 50 50 1 1 I
+X RESET# T2 500 -2400 300 L 50 50 1 1 I
+X DQ15 A3 500 1000 300 L 50 50 1 1 I
+X VSS B3 500 350 300 L 50 50 1 1 I
+X DQ9 C3 500 1600 300 L 50 50 1 1 I
+X UDM D3 500 -1600 300 L 50 50 1 1 I
+X DQ0 E3 500 2500 300 L 50 50 1 1 B
+X LDQS F3 500 -1100 300 L 50 50 1 1 I
+X LDQS# G3 500 -1200 300 L 50 50 1 1 I
+X DQ4 H3 500 2100 300 L 50 50 1 1 I
+X RAS# J3 500 -2200 300 L 50 50 1 1 I
+X CAS# K3 500 -2100 300 L 50 50 1 1 I
+X WE# L3 500 -2300 300 L 50 50 1 1 I
+X BA2 M3 -750 600 300 R 50 50 1 1 I
+X A0 N3 -750 2450 300 R 50 50 1 1 I
+X A2 P3 -750 2250 300 R 50 50 1 1 I
+X A9 R3 -750 1550 300 R 50 50 1 1 I
+X A13 T3 -750 1150 300 R 50 50 1 1 I
+X DQ12 A7 500 1300 300 L 50 50 1 1 I
+X UDQS# B7 500 -1400 300 L 50 50 1 1 I
+X UDQS C7 500 -1300 300 L 50 50 1 1 I
+X DQ8 D7 500 1700 300 L 50 50 1 1 I
+X LDM E7 500 -1500 300 L 50 50 1 1 I
+X DQ1 F7 500 2400 300 L 50 50 1 1 I
+X VDD G7 -750 -1000 300 R 50 50 1 1 I
+X DQ7 H7 500 1800 300 L 50 50 1 1 I
+X CK J7 500 -1900 300 L 50 50 1 1 I
+X CK# K7 500 -2000 300 L 50 50 1 1 I
+X A10 L7 -750 1450 300 R 50 50 1 1 I
+X A15 M7 -750 950 300 R 50 50 1 1 I
+X A12 N7 -750 1250 300 R 50 50 1 1 I
+X A1 P7 -750 2350 300 R 50 50 1 1 I
+X A11 R7 -750 1350 300 R 50 50 1 1 I
+X A14 T7 -750 1050 300 R 50 50 1 1 I
+X VDDQ A8 -750 -200 300 R 50 50 1 1 I
+X DQ14 B8 500 1100 300 L 50 50 1 1 I
+X DQ10 C8 500 1500 300 L 50 50 1 1 I
+X VSSQ D8 -750 -1950 300 R 50 50 1 1 I
+X VSSQ E8 -750 -2050 300 R 50 50 1 1 I
+X DQ3 F8 500 2200 300 L 50 50 1 1 I
+X VSS G8 500 250 300 L 50 50 1 1 I
+X DQ5 H8 500 2000 300 L 50 50 1 1 I
+X VSS J8 500 150 300 L 50 50 1 1 I
+X VDD K8 -750 -1100 300 R 50 50 1 1 I
+X ZQ L8 -750 -2450 300 R 50 50 1 1 I
+X VREFCA M8 500 -800 300 L 50 50 1 1 I
+X BA1 N8 -750 700 300 R 50 50 1 1 I
+X A4 P8 -750 2050 300 R 50 50 1 1 I
+X A6 R8 -750 1850 300 R 50 50 1 1 I
+X A8 T8 -750 1650 300 R 50 50 1 1 I
+X VSS A9 500 50 300 L 50 50 1 1 I
+X VSSQ B9 -750 -2150 300 R 50 50 1 1 I
+X VDDQ C9 -750 -500 300 R 50 50 1 1 I
+X VDD D9 -750 -1200 300 R 50 50 1 1 I
+X VDDQ E9 -750 -400 300 R 50 50 1 1 I
+X VSSQ F9 -750 -2250 300 R 50 50 1 1 I
+X VSSQ G9 -750 -2350 300 R 50 50 1 1 I
+X VDDQ H9 -750 -300 300 R 50 50 1 1 I
+X NC J9 500 -550 300 L 50 50 1 1 I
+X CKE K9 500 -1700 300 L 50 50 1 1 I
+X NC L9 500 -650 300 L 50 50 1 1 I
+X VSS M9 500 -50 300 L 50 50 1 1 I
+X VDD N9 -750 -1300 300 R 50 50 1 1 I
+X VSS P9 500 -150 300 L 50 50 1 1 I
+X VDD R9 -750 -1400 300 R 50 50 1 1 I
+X VSS T9 500 -250 300 L 50 50 1 1 I
+ENDDRAW
+ENDDEF
+#
+# 524P
+#
+DEF 524P U 0 40 Y Y 1 F N
+F0 "U" 150 450 50 H V C CNN
+F1 "524P" -50 450 50 H V C CNN
+F2 "~" 0 0 50 H I C CNN
+F3 "~" 0 0 50 H I C CNN
+DRAW
+S -200 -100 250 400 1 0 0 N
+X IN1 1 -400 350 200 R 50 50 1 1 I
+X IN2 2 -400 250 200 R 50 50 1 1 I
+X GND 3 -400 150 200 R 50 50 1 1 I
+X IN3 4 -400 50 200 R 50 50 1 1 I
+X IN4 5 -400 -50 200 R 50 50 1 1 I
+X OUT4 6 450 -50 200 L 50 50 1 1 I
+X OUT3 7 450 50 200 L 50 50 1 1 I
+X GND 8 450 150 200 L 50 50 1 1 I
+X OUT2 9 450 250 200 L 50 50 1 1 I
+X OUT1 10 450 350 200 L 50 50 1 1 I
+ENDDRAW
+ENDDEF
+#
+# 74LS08
+#
+DEF 74LS08 U 0 30 Y Y 4 F N
+F0 "U" 0 50 60 H V C CNN
+F1 "74LS08" 0 -50 60 H V C CNN
+ALIAS 74LS09
+DRAW
+X GND 7 -200 -200 0 U 40 40 0 0 W N
+X 5V 14 -200 200 0 U 40 40 0 0 W N
+A 100 0 200 896 -896 0 1 0 N 101 200 101 -199
+P 4 0 1 0  100 200  -300 200  -300 -200  100 -200 N
+X ~ 1 -600 100 300 R 60 60 1 1 I
+X ~ 2 -600 -100 300 R 60 60 1 1 I
+X ~ 3 600 0 300 L 60 60 1 1 O
+X ~ 4 -600 100 300 R 60 60 2 1 I
+X ~ 5 -600 -100 300 R 60 60 2 1 I
+X ~ 6 600 0 300 L 60 60 2 1 O
+X ~ 8 600 0 300 L 60 60 3 1 O
+X ~ 9 -600 100 300 R 60 60 3 1 I
+X ~ 10 -600 -100 300 R 60 60 3 1 I
+X ~ 11 600 0 300 L 60 60 4 1 O
+X ~ 12 -600 100 300 R 60 60 4 1 I
+X ~ 13 -600 -100 300 R 60 60 4 1 I
+A -470 0 262 495 -495 0 2 0 N -300 199 -300 -198
+A -2 126 326 -897 -225 0 2 0 N 0 -199 299 2
+A 4 -120 320 906 221 0 2 0 N 2 200 300 0
+P 2 0 2 0  -300 -200  0 -200 N
+P 2 0 2 0  -300 200  0 200 N
+X ~ 1 -600 100 370 R 60 60 1 2 I I
+X ~ 2 -600 -100 370 R 60 60 1 2 I I
+X ~ 3 600 0 300 L 60 60 1 2 O I
+X ~ 4 -600 100 370 R 60 60 2 2 I I
+X ~ 5 -600 -100 370 R 60 60 2 2 I I
+X ~ 6 600 0 300 L 60 60 2 2 O I
+X ~ 8 600 0 300 L 60 60 3 2 O I
+X ~ 9 -600 100 370 R 60 60 3 2 I I
+X ~ 10 -600 -100 370 R 60 60 3 2 I I
+X ~ 11 600 0 300 L 60 60 4 2 O I
+X ~ 12 -600 100 370 R 60 60 4 2 I I
+X ~ 13 -600 -100 370 R 60 60 4 2 I I
+ENDDRAW
+ENDDEF
+#
+# A10-SOC
+#
+DEF A10-SOC U 0 40 Y Y 1 F N
+F0 "U" -100 -3350 50 H V C CNN
+F1 "A10-SOC" 300 -3350 50 H V C CNN
+F3 "_" 300 -3250 50 H I C CNN
+DRAW
+S -3750 -3300 3550 3050 1 0 0 N
+P 2 0 1 0  -3750 -200  -3450 -200 N
+P 2 0 1 0  -2550 -3300  -2550 3050 N
+P 2 0 1 0  -2550 1200  -2300 1200 N
+P 2 0 1 0  -1200 -1500  -950 -1500 N
+P 2 0 1 0  -1200 900  -1000 900 N
+P 2 0 1 0  -1200 3050  -1200 -3300 N
+P 2 0 1 0  0 -2600  300 -2600 N
+P 2 0 1 0  0 -1400  250 -1400 N
+P 2 0 1 0  0 -200  250 -200 N
+P 2 0 1 0  0 2600  350 2600 N
+P 2 0 1 0  0 3050  0 -3300 N
+P 2 0 1 0  1200 -3300  1200 -3300 N
+P 2 0 1 0  1200 -1300  1450 -1300 N
+P 2 0 1 0  1200 1000  1400 1000 N
+P 2 0 1 0  1200 3050  1200 -3300 N
+P 2 0 1 0  2450 -3300  2450 3050 N
+P 2 0 1 0  2450 -2300  2750 -2300 N
+P 2 0 1 0  2450 -2000  2750 -2000 N
+P 2 0 1 0  2450 -1400  2800 -1400 N
+P 2 0 1 0  2450 -400  2850 -400 N
+P 2 0 1 0  2450 400  2800 400 N
+P 2 0 1 0  2450 700  2800 700 N
+P 2 0 1 0  2450 1000  2850 1000 N
+P 2 0 1 0  3050 -2100  3550 -2100 N
+P 2 0 1 0  3150 -1500  3550 -1500 N
+P 2 0 1 0  3200 -100  3550 -100 N
+P 2 0 1 0  3300 -700  3550 -700 N
+P 2 0 1 0  3550 -1300  3300 -1300 N
+X PH15 A1 900 -2450 300 R 50 50 1 1 B
+X PH16 B1 900 -2350 300 R 50 50 1 1 B
+X PH17 C1 900 -2250 300 R 50 50 1 1 B
+X PH19 D1 900 -2050 300 R 50 50 1 1 B
+X PH22 E1 900 -1750 300 R 50 50 1 1 B
+X CLK32KIN F1 3850 2250 300 L 50 50 1 1 B
+X SDQM3 G1 -4050 -2950 300 R 50 50 1 1 O
+X SDQ25 H1 -4050 450 300 R 50 50 1 1 B
+X SDQ28 J1 -4050 150 300 R 50 50 1 1 B
+X SDQS3 K1 -4050 -2650 300 R 50 50 1 1 O
+X SDQ24 L1 -4050 550 300 R 50 50 1 1 B
+X SDQ29 M1 -4050 50 300 R 50 50 1 1 B
+X SDQ16 N1 -4050 1350 300 R 50 50 1 1 B
+X SDQ21 P1 -4050 850 300 R 50 50 1 1 B
+X SDQS2 R1 -4050 -2450 300 R 50 50 1 1 O
+X SDQ22 T1 -4050 750 300 R 50 50 1 1 B
+X SDQ19 U1 -4050 1050 300 R 50 50 1 1 B
+X SCK V1 -4050 -1450 300 R 50 50 1 1 O
+X SDQM1 W1 -4050 -1150 300 R 50 50 1 1 O
+X SDQ9 Y1 -4050 2050 300 R 50 50 1 1 B
+X PH13 A2 900 -2650 300 R 50 50 1 1 B
+X PH14 B2 900 -2550 300 R 50 50 1 1 B
+X PH18 C2 900 -2150 300 R 50 50 1 1 B
+X PH20 D2 900 -1950 300 R 50 50 1 1 B
+X PH23 E2 900 -1650 300 R 50 50 1 1 B
+X CLK32KOUT F2 3850 2150 300 L 50 50 1 1 B
+X SDQ30 G2 -4050 -50 300 R 50 50 1 1 B
+X SDQ27 H2 -4050 250 300 R 50 50 1 1 B
+X SDQS3# J2 -4050 -2750 300 R 50 50 1 1 O
+X SDQ31 K2 -4050 -150 300 R 50 50 1 1 B
+X SDQ26 L2 -4050 350 300 R 50 50 1 1 B
+X SDQ23 M2 -4050 650 300 R 50 50 1 1 B
+X SDQ18 N2 -4050 1150 300 R 50 50 1 1 B
+X SDQS2# P2 -4050 -2550 300 R 50 50 1 1 O
+X SDQM2 R2 -4050 -2850 300 R 50 50 1 1 O
+X SDQ17 T2 -4050 1250 300 R 50 50 1 1 B
+X SDQ20 U2 -4050 950 300 R 50 50 1 1 B
+X SCK# V2 -4050 -1550 300 R 50 50 1 1 O
+X SDQ14 W2 -4050 1550 300 R 50 50 1 1 B
+X SDQ11 Y2 -4050 1850 300 R 50 50 1 1 B
+X PH10 A3 900 -2950 300 R 50 50 1 1 B
+X PH11 B3 900 -2850 300 R 50 50 1 1 B
+X PH12 C3 900 -2750 300 R 50 50 1 1 B
+X PH21 D3 900 -1850 300 R 50 50 1 1 B
+X PH24 E3 900 -1550 300 R 50 50 1 1 B
+X PH26 F3 900 -1350 300 R 50 50 1 1 B
+X GND_DRAM G3 -2850 -3150 300 R 50 50 1 1 I
+X SVREF0 H3 -4050 -250 300 R 50 50 1 1 I
+X ODT1 J3 -4050 -3250 300 R 50 50 1 1 B
+X SBA0 K3 -2850 1450 300 R 50 50 1 1 O
+X SA10 L3 -2850 1950 300 R 50 50 1 1 O
+X SA7 M3 -2850 2250 300 R 50 50 1 1 O
+X SCKE0 N3 -4050 -1250 300 R 50 50 1 1 O
+X SA12 P3 -2850 1750 300 R 50 50 1 1 O
+X SA14 R3 -2850 1550 300 R 50 50 1 1 O
+X SWE T3 -4050 -1850 300 R 50 50 1 1 B
+X SCAS U3 -4050 -1650 300 R 50 50 1 1 B
+X SCS0 V3 -4050 -1350 300 R 50 50 1 1 B
+X SA11 W3 -2850 1850 300 R 50 50 1 1 O
+X SA13 Y3 -2850 1650 300 R 50 50 1 1 O
+X U5TX A4 -300 -3250 300 R 50 50 1 1 B
+X U5RX B4 900 -3250 300 R 50 50 1 1 B
+X PH8 C4 900 -3150 300 R 50 50 1 1 B
+X PH9 D4 900 -3050 300 R 50 50 1 1 B
+X PH25 E4 900 -1450 300 R 50 50 1 1 B
+X PH27 F4 900 -1250 300 R 50 50 1 1 I
+X GND_DRAM G4 -2850 -3050 300 R 50 50 1 1 I
+X SVREF1 H4 -4050 -350 300 R 50 50 1 1 I
+X SCKE1 J4 -4050 -3050 300 R 50 50 1 1 O
+X SBA2 K4 -2850 1250 300 R 50 50 1 1 O
+X SBA1 L4 -2850 1350 300 R 50 50 1 1 O
+X SA3 M4 -2850 2650 300 R 50 50 1 1 O
+X SA5 N4 -2850 2450 300 R 50 50 1 1 O
+X SA9 P4 -2850 2050 300 R 50 50 1 1 O
+X SA1 R4 -2850 2850 300 R 50 50 1 1 O
+X SRAS T4 -4050 -1750 300 R 50 50 1 1 B
+X SA2 U4 -2850 2750 300 R 50 50 1 1 O
+X SA6 V4 -2850 2350 300 R 50 50 1 1 O
+X SA0 W4 -2850 2950 300 R 50 50 1 1 O
+X SA4 Y4 -2850 2550 300 R 50 50 1 1 O
+X U3CTS A5 -300 -2950 300 R 50 50 1 1 B
+X U4TX B5 -300 -3050 300 R 50 50 1 1 B
+X U4RX C5 -300 -3150 300 R 50 50 1 1 B
+X ERXD3 D5 -1500 -3250 300 R 50 50 1 1 I
+X ERXD2 E5 -1500 -3150 300 R 50 50 1 1 I
+X NMI# F5 3850 1550 300 L 50 50 1 1 I
+X VCC_DRAM G5 2150 -450 300 R 50 50 1 1 I
+X VCC_DRAM H5 2150 -550 300 R 50 50 1 1 I
+X GND_DRAM J5 -2850 -2950 300 R 50 50 1 1 I
+X GND_DRAM K5 -2850 -3250 300 R 50 50 1 1 I
+X VCC_DRAM L5 2150 -650 300 R 50 50 1 1 I
+X VCC_DRAM M5 2150 -750 300 R 50 50 1 1 I
+X GND_DRAM N5 -2850 -2850 300 R 50 50 1 1 I
+X GND_DRAM P5 -2850 -2750 300 R 50 50 1 1 I
+X VCC_DRAM R5 2150 -850 300 R 50 50 1 1 I
+X VCC_DRAM T5 2150 -950 300 R 50 50 1 1 I
+X GND_DRAM U5 -2850 -2650 300 R 50 50 1 1 I
+X GND_DRAM V5 -2850 -2550 300 R 50 50 1 1 I
+X VCC_DRAM W5 2150 -1050 300 R 50 50 1 1 I
+X SVREF2 Y5 -4050 -450 300 R 50 50 1 1 I
+X U3TX A6 -300 -2650 300 R 50 50 1 1 B
+X U3RX B6 -300 -2750 300 R 50 50 1 1 B
+X U3RTS C6 -300 -2850 300 R 50 50 1 1 B
+X ERXD1 D6 -1500 -3050 300 R 50 50 1 1 O
+X ERXD0 E6 -1500 -2950 300 R 50 50 1 1 I
+X VCC_DRAM W6 2150 -1150 300 R 50 50 1 1 I
+X VCC_DRAM Y6 2150 -1350 300 R 50 50 1 1 I
+X PB22 A7 -1500 750 300 R 50 50 1 1 I
+X PB23 B7 -1500 850 300 R 50 50 1 1 I
+X PB21 C7 -1500 650 300 R 50 50 1 1 I
+X ETXD3 D7 -1500 -2850 300 R 50 50 1 1 I
+X ETXD2 E7 -1500 -2750 300 R 50 50 1 1 I
+X VCC_DRAM W7 2150 -1250 300 R 50 50 1 1 I
+X GND_DRAM Y7 -2850 -2450 300 R 50 50 1 1 I
+X PB18 A8 -1500 350 300 R 50 50 1 1 I
+X PB19 B8 -1500 450 300 R 50 50 1 1 I
+X PB20 C8 -1500 550 300 R 50 50 1 1 I
+X ETXD1 D8 -1500 -2650 300 R 50 50 1 1 I
+X ETXD0 E8 -1500 -2550 300 R 50 50 1 1 I
+X VCC H8 2150 350 300 R 50 50 1 1 I
+X VCC J8 2150 -50 300 R 50 50 1 1 I
+X RTC_VDD K8 2150 2050 300 R 50 50 1 1 I
+X VDD_INT L8 2150 -2950 300 R 50 50 1 1 I
+X VDD_DLL M8 2150 -2250 300 R 50 50 1 1 I
+X NC N8 900 1150 300 R 50 50 1 1 I
+X NC P8 900 1250 300 R 50 50 1 1 I
+X NC R8 900 1350 300 R 50 50 1 1 I
+X VDD_INT T8 2150 -3250 300 R 50 50 1 1 I
+X UBOOT_SEL W8 3850 1350 300 L 50 50 1 1 I
+X GND_DRAM Y8 -2850 -2350 300 R 50 50 1 1 I
+X PB16 A9 -1500 150 300 R 50 50 1 1 I
+X PB17 B9 -1500 250 300 R 50 50 1 1 I
+X PB12 C9 -1500 -250 300 R 50 50 1 1 I
+X ERXCK D9 -1500 -2450 300 R 50 50 1 1 I
+X ERXERR E9 -1500 -2350 300 R 50 50 1 1 I
+X VCC H9 2150 250 300 R 50 50 1 1 I
+X VCC J9 2150 -150 300 R 50 50 1 1 I
+X VDD_INT K9 2150 -2750 300 R 50 50 1 1 I
+X VDD_INT L9 2150 -2850 300 R 50 50 1 1 I
+X GND_DLL M9 -2850 -2250 300 R 50 50 1 1 I
+X VDD_DLL N9 2150 -2150 300 R 50 50 1 1 I
+X VDD_DLL P9 2150 -2050 300 R 50 50 1 1 I
+X VDD_INT R9 2150 -3150 300 R 50 50 1 1 I
+X GND T9 -2850 -1650 300 R 50 50 1 1 I
+X GND_LVDS W9 -2850 -1750 300 R 50 50 1 1 I
+X LCD0_CLK Y9 -300 150 300 R 50 50 1 1 B
+X PB14 A10 -1500 -50 300 R 50 50 1 1 I
+X PB15 B10 -1500 50 300 R 50 50 1 1 I
+X PB11 C10 -1500 -350 300 R 50 50 1 1 I
+X ERXDV D10 -1500 -2250 300 R 50 50 1 1 O
+X EMDC E10 -1500 -2150 300 R 50 50 1 1 I
+X VCC H10 2150 150 300 R 50 50 1 1 I
+X VCC J10 2150 -250 300 R 50 50 1 1 I
+X VDD_INT K10 2150 -2650 300 R 50 50 1 1 I
+X GND L10 -2850 -150 300 R 50 50 1 1 I
+X GND M10 -2850 -450 300 R 50 50 1 1 I
+X GND_DLL N10 -2850 -2150 300 R 50 50 1 1 I
+X GND_DLL P10 -2850 -2050 300 R 50 50 1 1 I
+X VDD_INT R10 2150 -3050 300 R 50 50 1 1 I
+X JTAG_SEL T10 3850 1450 300 L 50 50 1 1 I
+X GND_LVDS W10 -2850 -1850 300 R 50 50 1 1 I
+X LCD0_D20 Y10 -300 550 300 R 50 50 1 1 B
+X PI0 A20 900 -1150 300 R 50 50 1 1 I
+X PI1 B20 900 -1050 300 R 50 50 1 1 I
+X PG7 C20 -300 -2150 300 R 50 50 1 1 I
+X PG4 D20 -300 -1850 300 R 50 50 1 1 I
+X PG2 E20 -300 -1650 300 R 50 50 1 1 I
+X PG0 F20 -300 -1450 300 R 50 50 1 1 I
+X PC15 G20 -1500 2450 300 R 50 50 1 1 I
+X PC13 H20 -1500 2250 300 R 50 50 1 1 I
+X PC22 J20 -300 2850 300 R 50 50 1 1 I
+X SDC0_D3 K20 3850 -1650 300 L 50 50 1 1 B
+X SDC0_CLK L20 3850 -1850 300 L 50 50 1 1 O
+X SDC0_D1 M20 3850 -2050 300 L 50 50 1 1 B
+X DM0 N20 3850 -1250 300 L 50 50 1 1 B
+X DM1 P20 3850 -1050 300 L 50 50 1 1 B
+X DM2 R20 3850 -850 300 L 50 50 1 1 B
+X NC T20 900 2050 300 R 50 50 1 1 I
+X NC U20 900 2750 300 R 50 50 1 1 I
+X VRA2 V20 3850 850 300 L 50 50 1 1 I
+X VRA1 W20 3850 950 300 L 50 50 1 1 I
+X FMINL Y20 3850 -1350 300 L 50 50 1 1 I
+X PB8 A11 -1500 -650 300 R 50 50 1 1 I
+X PB13 B11 -1500 -150 300 R 50 50 1 1 I
+X PB10 C11 -1500 -450 300 R 50 50 1 1 I
+X EMDIO D11 -1500 -2050 300 R 50 50 1 1 I
+X ETXEN E11 -1500 -1950 300 R 50 50 1 1 I
+X VDD_CPU H11 2150 -1750 300 R 50 50 1 1 I
+X GND J11 -2850 250 300 R 50 50 1 1 W
+X GND K11 -2850 150 300 R 50 50 1 1 W
+X GND L11 -2850 -250 300 R 50 50 1 1 I
+X GND M11 -2850 -550 300 R 50 50 1 1 I
+X GND N11 -2850 -850 300 R 50 50 1 1 I
+X GND P11 -2850 -1050 300 R 50 50 1 1 I
+X GND R11 -2850 -1250 300 R 50 50 1 1 I
+X GND T11 -2850 -1450 300 R 50 50 1 1 I
+X GND_LVDS W11 -2850 -1950 300 R 50 50 1 1 I
+X LCD0_D18 Y11 -300 750 300 R 50 50 1 1 B
+X PE11 A21 -300 -1350 300 R 50 50 1 1 I
+X PE10 B21 -300 -1250 300 R 50 50 1 1 I
+X PG5 C21 -300 -1950 300 R 50 50 1 1 I
+X PG3 D21 -300 -1750 300 R 50 50 1 1 I
+X PG1 E21 -300 -1550 300 R 50 50 1 1 I
+X PC24 F21 -300 2650 300 R 50 50 1 1 I
+X PC14 G21 -1500 2350 300 R 50 50 1 1 I
+X PC12 H21 -1500 2150 300 R 50 50 1 1 I
+X PC21 J21 -300 2950 300 R 50 50 1 1 I
+X PC20 K21 -1500 2950 300 R 50 50 1 1 I
+X PC19 L21 -1500 2850 300 R 50 50 1 1 I
+X PC16 M21 -1500 2550 300 R 50 50 1 1 I
+X DP0 N21 3850 -1150 300 L 50 50 1 1 B
+X DP1 P21 3850 -950 300 L 50 50 1 1 B
+X DP2 R21 3850 -750 300 L 50 50 1 1 B
+X NC T21 900 2950 300 R 50 50 1 1 I
+X NC U21 900 2850 300 R 50 50 1 1 I
+X NC V21 900 2650 300 R 50 50 1 1 I
+X VRP W21 3850 750 300 L 50 50 1 1 I
+X FMINR Y21 3850 -1450 300 L 50 50 1 1 I
+X SDQ12 AA1 -4050 1750 300 R 50 50 1 1 B
+X SDQS1 AB1 -4050 -850 300 R 50 50 1 1 O
+X SDQ15 AC1 -4050 1450 300 R 50 50 1 1 B
+X PB6 A12 -1500 -850 300 R 50 50 1 1 I
+X PB7 B12 -1500 -750 300 R 50 50 1 1 I
+X PB9 C12 -1500 -550 300 R 50 50 1 1 I
+X ETXCK D12 -1500 -1850 300 R 50 50 1 1 I
+X ECRS E12 -1500 -1750 300 R 50 50 1 1 I
+X VDD_CPU H12 2150 -1650 300 R 50 50 1 1 I
+X VDD_CPU J12 2150 -1950 300 R 50 50 1 1 I
+X GND K12 -2850 50 300 R 50 50 1 1 I
+X GND L12 -2850 -350 300 R 50 50 1 1 I
+X GND M12 -2850 -650 300 R 50 50 1 1 I
+X GND N12 -2850 -950 300 R 50 50 1 1 I
+X GND P12 -2850 -1150 300 R 50 50 1 1 I
+X GND R12 -2850 -1350 300 R 50 50 1 1 I
+X GND T12 -2850 -1550 300 R 50 50 1 1 I
+X VCC_LVDS W12 2150 650 300 R 50 50 1 1 I
+X LCD0_D16 Y12 -300 950 300 R 50 50 1 1 B
+X PE9 A22 -300 -1150 300 R 50 50 1 1 I
+X PE7 B22 -300 -950 300 R 50 50 1 1 I
+X PE5 C22 -300 -750 300 R 50 50 1 1 I
+X PE3 D22 -300 -550 300 R 50 50 1 1 I
+X PE1 E22 -300 -350 300 R 50 50 1 1 I
+X PC18 F22 -1500 2750 300 R 50 50 1 1 I
+X PC11 G22 -1500 2050 300 R 50 50 1 1 I
+X PC9 H22 -1500 1850 300 R 50 50 1 1 I
+X PC7 J22 -1500 1650 300 R 50 50 1 1 I
+X PC5 K22 -1500 1450 300 R 50 50 1 1 I
+X PC3 L22 -1500 1250 300 R 50 50 1 1 I
+X PC1 M22 -1500 1050 300 R 50 50 1 1 B
+X CLK24m_OUT N22 3850 1950 300 L 50 50 1 1 B
+X HPD_HDMI P22 3850 -3250 300 L 50 50 1 1 B
+X SDA_HDMI R22 3850 -3150 300 L 50 50 1 1 B
+X TX2N_HDMI T22 3850 -2250 300 L 50 50 1 1 B
+X TX1N_HDMI U22 3850 -2450 300 L 50 50 1 1 B
+X TX0N_HDMI V22 3850 -2650 300 L 50 50 1 1 B
+X TXCN_HDMI W22 3850 -2850 300 L 50 50 1 1 B
+X XP_TP Y22 3850 2550 300 L 50 50 1 1 I
+X SDQS1# AA2 -4050 -950 300 R 50 50 1 1 O
+X SDQ8 AB2 -4050 2150 300 R 50 50 1 1 B
+X SDQ10 AC2 -4050 1950 300 R 50 50 1 1 B
+X PB4 A13 -1500 -1050 300 R 50 50 1 1 I
+X PB5 B13 -1500 -950 300 R 50 50 1 1 I
+X ETXERR C13 -1500 -1550 300 R 50 50 1 1 I
+X ECOL D13 -1500 -1650 300 R 50 50 1 1 I
+X PI21 E13 900 950 300 R 50 50 1 1 I
+X VDD_CPU H13 2150 -1550 300 R 50 50 1 1 I
+X VDD_CPU J13 2150 -1850 300 R 50 50 1 1 I
+X GND K13 -2850 -50 300 R 50 50 1 1 I
+X UGND_T L13 -2850 750 300 R 50 50 1 1 I
+X GND M13 -2850 -750 300 R 50 50 1 1 I
+X GND_SATA N13 -2850 350 300 R 50 50 1 1 W
+X GND_HDMI P13 2150 2250 300 R 50 50 1 1 I
+X GND_HDMI R13 2150 2350 300 R 50 50 1 1 I
+X VP_HDMI T13 2150 1850 300 R 50 50 1 1 B
+X VCC_LVDS W13 2150 550 300 R 50 50 1 1 I
+X LCD0_D14 Y13 -300 1150 300 R 50 50 1 1 B
+X PE8 A23 -300 -1050 300 R 50 50 1 1 I
+X PE6 B23 -300 -850 300 R 50 50 1 1 I
+X PE4 C23 -300 -650 300 R 50 50 1 1 I
+X PE2 D23 -300 -450 300 R 50 50 1 1 I
+X PE0 E23 -300 -250 300 R 50 50 1 1 I
+X PC17 F23 -1500 2650 300 R 50 50 1 1 I
+X PC10 G23 -1500 1950 300 R 50 50 1 1 I
+X PC8 H23 -1500 1750 300 R 50 50 1 1 I
+X PC6 J23 -1500 1550 300 R 50 50 1 1 I
+X PC4 K23 -1500 1350 300 R 50 50 1 1 I
+X PC2 L23 -1500 1150 300 R 50 50 1 1 B
+X PC0 M23 -1500 950 300 R 50 50 1 1 B
+X CLK24M_IN N23 3850 2050 300 L 50 50 1 1 B
+X CEC_HDMI P23 3850 -2950 300 L 50 50 1 1 B
+X SCL_HDMI R23 3850 -3050 300 L 50 50 1 1 B
+X TX2P_HDMI T23 3850 -2150 300 L 50 50 1 1 B
+X TX1P_HDMI U23 3850 -2350 300 L 50 50 1 1 B
+X TX0P_HDMI V23 3850 -2550 300 L 50 50 1 1 B
+X TXCP_HDMI W23 3850 -2750 300 L 50 50 1 1 B
+X YP_TP Y23 3850 2750 300 L 50 50 1 1 I
+X SA8 AA3 -2850 2150 300 R 50 50 1 1 O
+X SDQ13 AB3 -4050 1650 300 R 50 50 1 1 B
+X SDQ7 AC3 -4050 2250 300 R 50 50 1 1 B
+X PB2 A14 -1500 -1250 300 R 50 50 1 1 I
+X PB3 B14 -1500 -1150 300 R 50 50 1 1 I
+X RESET# C14 3850 1650 300 L 50 50 1 1 I
+X PI19 D14 900 750 300 R 50 50 1 1 I
+X PI20 E14 900 850 300 R 50 50 1 1 I
+X VDD_CPU H14 2150 -1450 300 R 50 50 1 1 I
+X VCC J14 2150 -350 300 R 50 50 1 1 I
+X ULGND K14 -2850 650 300 R 50 50 1 1 I
+X UGND_C L14 -2850 850 300 R 50 50 1 1 I
+X GND_SATA M14 -2850 450 300 R 50 50 1 1 W
+X GND_SATA N14 -2850 550 300 R 50 50 1 1 W
+X GND_HDMI P14 2150 2450 300 R 50 50 1 1 I
+X NC R14 900 2250 300 R 50 50 1 1 I
+X NC T14 900 2150 300 R 50 50 1 1 I
+X VCC_LVDS W14 2150 450 300 R 50 50 1 1 I
+X LCD0_D12 Y14 -300 1350 300 R 50 50 1 1 B
+X SCS1 AA4 -4050 -3150 300 R 50 50 1 1 B
+X SDQ0 AB4 -4050 2950 300 R 50 50 1 1 B
+X SDQ2 AC4 -4050 2750 300 R 50 50 1 1 B
+X PB0 A15 -1500 -1450 300 R 50 50 1 1 I
+X PB1 B15 -1500 -1350 300 R 50 50 1 1 I
+X PI14 C15 900 250 300 R 50 50 1 1 I
+X PI15 D15 900 350 300 R 50 50 1 1 I
+X PI18 E15 900 650 300 R 50 50 1 1 I
+X VCC H15 2150 50 300 R 50 50 1 1 I
+X VDD_INT J15 2150 -2450 300 R 50 50 1 1 I
+X VDD_INT K15 2150 -2550 300 R 50 50 1 1 I
+X UVCC_T L15 2150 1350 300 R 50 50 1 1 I
+X NC M15 3850 -650 300 L 50 50 1 1 I
+X NC N15 900 1850 300 R 50 50 1 1 I
+X PLLGND P15 -2850 950 300 R 50 50 1 1 I
+X NC R15 900 1450 300 R 50 50 1 1 I
+X PLL_TEST T15 3850 2350 300 L 50 50 1 1 I
+X VCC33_TVO W15 2150 1550 300 R 50 50 1 1 I
+X LCD0_D10 Y15 -300 1550 300 R 50 50 1 1 B
+X ODT0 AA5 -4050 -2050 300 R 50 50 1 1 B
+X SDQ5 AB5 -4050 2450 300 R 50 50 1 1 B
+X SDQSO# AC5 -4050 -750 300 R 50 50 1 1 O
+X PI8 A16 900 -350 300 R 50 50 1 1 I
+X PI9 B16 900 -250 300 R 50 50 1 1 I
+X PI12 C16 900 50 300 R 50 50 1 1 I
+X PI13 D16 900 150 300 R 50 50 1 1 I
+X PI17 E16 900 550 300 R 50 50 1 1 I
+X TEST H16 3850 2450 300 L 50 50 1 1 I
+X VDD_INT J16 2150 -2350 300 R 50 50 1 1 I
+X ULVDD K16 3850 2950 300 L 50 50 1 1 I
+X UVCC_C L16 2150 1250 300 R 50 50 1 1 I
+X NC M16 900 2350 300 R 50 50 1 1 I
+X NC N16 900 1750 300 R 50 50 1 1 I
+X PLLVP25 P16 2150 1450 300 R 50 50 1 1 I
+X NC R16 900 1650 300 R 50 50 1 1 I
+X NC T16 900 1550 300 R 50 50 1 1 I
+X VCC33_TVIN W16 2150 1650 300 R 50 50 1 1 I
+X HPVCCIN Y16 2150 1750 300 R 50 50 1 1 I
+X SRST AA6 -4050 -1950 300 R 50 50 1 1 B
+X SDQS0 AB6 -4050 -650 300 R 50 50 1 1 O
+X SDQM0 AC6 -4050 -1050 300 R 50 50 1 1 O
+X PI6 A17 900 -550 300 R 50 50 1 1 I
+X PI7 B17 900 -450 300 R 50 50 1 1 I
+X PI10 C17 900 -150 300 R 50 50 1 1 I
+X PI11 D17 900 -50 300 R 50 50 1 1 I
+X PI16 E17 900 450 300 R 50 50 1 1 I
+X NC W17 900 1050 300 R 50 50 1 1 I
+X TVIN3 Y17 2150 2650 300 R 50 50 1 1 I
+X SZQ AA7 -4050 -2150 300 R 50 50 1 1 B
+X SDQ6 AB7 -4050 2350 300 R 50 50 1 1 B
+X SDQ1 AC7 -4050 2850 300 R 50 50 1 1 B
+X PI4 A18 900 -750 300 R 50 50 1 1 I
+X PI5 B18 900 -650 300 R 50 50 1 1 I
+X PG11 C18 -300 -2550 300 R 50 50 1 1 I
+X PG10 D18 -300 -2450 300 R 50 50 1 1 I
+X VCC_CSI0 E18 2150 1150 300 R 50 50 1 1 I
+X GND33_TV W18 2150 2550 300 R 50 50 1 1 I
+X NC Y18 -4050 -2350 300 R 50 50 1 1 I
+X SVREF3 AA8 -4050 -550 300 R 50 50 1 1 I
+X SDQ3 AB8 -4050 2650 300 R 50 50 1 1 B
+X SDQ4 AC8 -4050 2550 300 R 50 50 1 1 B
+X PI2 A19 900 -950 300 R 50 50 1 1 I
+X PI3 B19 900 -850 300 R 50 50 1 1 I
+X PG9 C19 -300 -2350 300 R 50 50 1 1 I
+X PG8 D19 -300 -2250 300 R 50 50 1 1 I
+X PG6 E19 -300 -2050 300 R 50 50 1 1 I
+X VCC_CSI1 F19 2150 1050 300 R 50 50 1 1 I
+X PC23 G19 -300 2750 300 R 50 50 1 1 I
+X VCC_NAND H19 2150 850 300 R 50 50 1 1 I
+X VCC_NAND J19 2150 950 300 R 50 50 1 1 I
+X SDC0_D2 K19 3850 -1550 300 L 50 50 1 1 B
+X SDC0_CMD L19 3850 -1750 300 L 50 50 1 1 B
+X SDC0_D0 M19 3850 -1950 300 L 50 50 1 1 B
+X VCC_CARD N19 2150 750 300 R 50 50 1 1 I
+X NC P19 900 2550 300 R 50 50 1 1 I
+X NC R19 900 2450 300 R 50 50 1 1 I
+X AVCC T19 2150 2150 300 R 50 50 1 1 I
+X AGND U19 -2850 1150 300 R 50 50 1 1 I
+X HPGND V19 -2850 1050 300 R 50 50 1 1 I
+X HPR W19 3850 450 300 L 50 50 1 1 I
+X HPL Y19 3850 350 300 L 50 50 1 1 I
+X LCD0_DE AA9 -300 50 300 R 50 50 1 1 B
+X LCD0_HSYNC AB9 -300 -50 300 R 50 50 1 1 B
+X LCD0_VSYNC AC9 -300 -150 300 R 50 50 1 1 B
+X LCD0_D21 AA10 -300 450 300 R 50 50 1 1 B
+X LCD0_D22 AB10 -300 350 300 R 50 50 1 1 B
+X LCD0_D23 AC10 -300 250 300 R 50 50 1 1 B
+X HPCOMFB AA20 3850 150 300 L 50 50 1 1 I
+X LINEINL AB20 3850 550 300 L 50 50 1 1 I
+X MICIN1 AC20 3850 1050 300 L 50 50 1 1 I
+X LCD0_D19 AA11 -300 650 300 R 50 50 1 1 B
+X LCD0_D8 AB11 -300 1750 300 R 50 50 1 1 B
+X LCD0_D9 AC11 -300 1650 300 R 50 50 1 1 B
+X VMIC AA21 3850 1250 300 L 50 50 1 1 I
+X LINEINR AB21 3850 650 300 L 50 50 1 1 I
+X MICIN2 AC21 3850 1150 300 L 50 50 1 1 I
+X LCD0_D17 AA12 -300 850 300 R 50 50 1 1 B
+X LCD0_D6 AB12 -300 1950 300 R 50 50 1 1 B
+X LCD0_D7 AC12 -300 1850 300 R 50 50 1 1 B
+X XN_TP AA22 3850 2650 300 L 50 50 1 1 I
+X LRADC1 AB22 3850 -50 300 L 50 50 1 1 I
+X MIC1OUTP AC22 3850 1850 300 L 50 50 1 1 B
+X LCD0_D15 AA13 -300 1050 300 R 50 50 1 1 B
+X LCD0_D4 AB13 -300 2150 300 R 50 50 1 1 B
+X LCD0_D5 AC13 -300 2050 300 R 50 50 1 1 B
+X YN_TP AA23 3850 2850 300 L 50 50 1 1 I
+X LRADC0 AB23 3850 50 300 L 50 50 1 1 I
+X MIC1OUTN AC23 3850 1750 300 L 50 50 1 1 B
+X LCD0_D13 AA14 -300 1250 300 R 50 50 1 1 B
+X LCD0_D2 AB14 -300 2350 300 R 50 50 1 1 B
+X LCD0_D3 AC14 -300 2250 300 R 50 50 1 1 B
+X LCD0_D11 AA15 -300 1450 300 R 50 50 1 1 B
+X LCD0_D0 AB15 -300 2550 300 R 50 50 1 1 B
+X LCD0_D1 AC15 -300 2450 300 R 50 50 1 1 B
+X NC AA16 3850 -550 300 L 50 50 1 1 I
+X TVOUT1 AB16 3850 -250 300 L 50 50 1 1 O
+X TVOUT0 AC16 3850 -150 300 L 50 50 1 1 O
+X TVIN2 AA17 2150 2750 300 R 50 50 1 1 I
+X TVOUT3 AB17 3850 -450 300 L 50 50 1 1 O
+X TVOUT2 AC17 3850 -350 300 L 50 50 1 1 O
+X NC AA18 -4050 -2250 300 R 50 50 1 1 I
+X TVIN1 AB18 2150 2850 300 R 50 50 1 1 I
+X TVIN0 AC18 2150 2950 300 R 50 50 1 1 I
+X HPCOM AA19 3850 250 300 L 50 50 1 1 I
+X NC AB19 900 1950 300 R 50 50 1 1 I
+X HPVCC AC19 2150 1950 300 R 50 50 1 1 I
+X SDQ5 80 -4050 2450 300 R 50 50 2 1 B
+X SDQ16 90 -4050 1350 300 R 50 50 2 1 B
+X SDQ6 81 -4050 2350 300 R 50 50 2 1 B
+X SDQ17 91 -4050 1250 300 R 50 50 2 1 B
+X SDQ8 82 -4050 2150 300 R 50 50 2 1 B
+X SDQ18 92 -4050 1150 300 R 50 50 2 1 B
+X SDQS3# J2 -4050 -2750 300 R 50 50 2 1 O
+X SDQ9 83 -4050 2050 300 R 50 50 2 1 B
+X SDQ19 93 -4050 1050 300 R 50 50 2 1 B
+X GND_DDR G3 -5000 50 300 R 50 50 2 1 I
+X ODT1 J3 -4050 -2450 300 R 50 50 2 1 B
+X SDQ10 84 -4050 1950 300 R 50 50 2 1 B
+X SDQ20 94 -4050 950 300 R 50 50 2 1 B
+X GND_DRAM G4 -2850 -3050 300 R 50 50 2 1 I
+X SDQ0 75 -4050 2950 300 R 50 50 2 1 B
+X SDQ11 85 -4050 1850 300 R 50 50 2 1 B
+X SDQ21 95 -4050 850 300 R 50 50 2 1 B
+X VCC_DRAM G5 2150 -450 300 R 50 50 2 1 I
+X GND_DRAM J5 -2850 -2950 300 R 50 50 2 1 I
+X GND_DRAM N5 -2850 -2850 300 R 50 50 2 1 I
+X GND_DRAM P5 -2850 -2750 300 R 50 50 2 1 I
+X GND_DRAM U5 -2850 -2650 300 R 50 50 2 1 I
+X GND_DRAM V5 -2850 -2550 300 R 50 50 2 1 I
+X VCC_DRAM W5 2150 -1050 300 R 50 50 2 1 I
+X SDQ1 76 -4050 2850 300 R 50 50 2 1 B
+X SDQ12 86 -4050 1750 300 R 50 50 2 1 B
+X SDQ22 96 -4050 750 300 R 50 50 2 1 B
+X VCC_DRAM W6 2150 -1150 300 R 50 50 2 1 I
+X VCC_DRAM Y6 2150 -1350 300 R 50 50 2 1 I
+X SDQ2 77 -4050 2750 300 R 50 50 2 1 B
+X SDQ13 87 -4050 1650 300 R 50 50 2 1 B
+X SDQ23 97 -4050 650 300 R 50 50 2 1 B
+X VCC_DRAM W7 2150 -1250 300 R 50 50 2 1 I
+X GND_DRAM Y7 -2850 -2450 300 R 50 50 2 1 I
+X SDQ3 78 -4050 2650 300 R 50 50 2 1 B
+X SDQ14 88 -4050 1550 300 R 50 50 2 1 B
+X SDQ24 98 -4050 550 300 R 50 50 2 1 B
+X GND_DRAM Y8 -2850 -2350 300 R 50 50 2 1 I
+X SDQ4 79 -4050 2550 300 R 50 50 2 1 B
+X SDQ15 89 -4050 1450 300 R 50 50 2 1 B
+X SDQ25 99 -4050 450 300 R 50 50 2 1 B
+X SDQ26 100 -4050 350 300 R 50 50 2 1 B
+X VCC_DRAM 110 2150 -850 300 R 50 50 2 1 I
+X ODT0 120 -4050 -2550 300 R 50 50 2 1 B
+X SCK 130 -4050 -1350 300 R 50 50 2 1 O
+X SA8 140 -2850 2150 300 R 50 50 2 1 O
+X SDQ27 101 -4050 250 300 R 50 50 2 1 B
+X VCC_DRAM 111 2150 -950 300 R 50 50 2 1 I
+X ODT1 121 -4050 -2450 300 R 50 50 2 1 B
+X SBA2 131 -2850 1250 300 R 50 50 2 1 O
+X SA7 141 -2850 2250 300 R 50 50 2 1 O
+X SDQ28 102 -4050 150 300 R 50 50 2 1 B
+X SDQS1 112 -4050 -850 300 R 50 50 2 1 O
+X TVIN1 122 2150 2850 300 R 50 50 2 1 I
+X SBA1 132 -2850 1350 300 R 50 50 2 1 O
+X SA6 142 -2850 2350 300 R 50 50 2 1 O
+X SDQ29 103 -4050 50 300 R 50 50 2 1 B
+X SDQSO# 113 -4050 -750 300 R 50 50 2 1 O
+X SDQS3 123 -4050 -2650 300 R 50 50 2 1 O
+X SBA0 133 -2850 1450 300 R 50 50 2 1 O
+X SA5 143 -2850 2450 300 R 50 50 2 1 O
+X SDQ30 104 -4050 -50 300 R 50 50 2 1 B
+X SDQS0 114 -4050 -650 300 R 50 50 2 1 O
+X SDQS2# 124 -4050 -2550 300 R 50 50 2 1 O
+X SA14 134 -2850 1550 300 R 50 50 2 1 O
+X SA4 144 -2850 2550 300 R 50 50 2 1 O
+X SDQ31 105 -4050 -150 300 R 50 50 2 1 B
+X SDQM3 115 -4050 -2950 300 R 50 50 2 1 O
+X SDQS2 125 -4050 -2450 300 R 50 50 2 1 O
+X SA13 135 -2850 1650 300 R 50 50 2 1 O
+X SA3 145 -2850 2650 300 R 50 50 2 1 O
+X TVIN1 AA5 2150 2850 300 R 50 50 2 1 I
+X SDQ7 106 -4050 2250 300 R 50 50 2 1 B
+X SDQM2 116 -4050 -2850 300 R 50 50 2 1 O
+X SDQS1# 126 -4050 -950 300 R 50 50 2 1 O
+X SA12 136 -2850 1750 300 R 50 50 2 1 O
+X SA2 146 -2850 2750 300 R 50 50 2 1 O
+X VCC_DRAM 107 2150 -550 300 R 50 50 2 1 I
+X SDQM1 117 -4050 -1150 300 R 50 50 2 1 O
+X TVIN0 127 2150 2950 300 R 50 50 2 1 I
+X SA11 137 -2850 1850 300 R 50 50 2 1 O
+X SA1 147 -2850 2850 300 R 50 50 2 1 O
+X VCC_DRAM 108 2150 -650 300 R 50 50 2 1 I
+X SDQM0 118 -4050 -1050 300 R 50 50 2 1 O
+X SCKE0 128 -4950 -3300 300 R 50 50 2 1 O
+X SA10 138 -2850 1950 300 R 50 50 2 1 O
+X SA0 148 -2850 2950 300 R 50 50 2 1 O
+X VCC_DRAM 109 2150 -750 300 R 50 50 2 1 I
+X TVIN1 119 2150 2850 300 R 50 50 2 1 I
+X SCK# 129 -4950 -3200 300 R 50 50 2 1 O
+X SA9 139 -2850 2050 300 R 50 50 2 1 O
+X SDQS3# J2 -4050 -2750 300 R 50 50 3 1 O
+X GND_DDR G3 -5000 50 300 R 50 50 3 1 I
+X ODT1 J3 -4050 -2450 300 R 50 50 3 1 B
+X GND_DRAM G4 -2850 -3050 300 R 50 50 3 1 I
+X VCC_DRAM G5 2150 -450 300 R 50 50 3 1 I
+X GND_DRAM J5 -2850 -2950 300 R 50 50 3 1 I
+X GND_DRAM N5 -2850 -2850 300 R 50 50 3 1 I
+X GND_DRAM P5 -2850 -2750 300 R 50 50 3 1 I
+X GND_DRAM U5 -2850 -2650 300 R 50 50 3 1 I
+X GND_DRAM V5 -2850 -2550 300 R 50 50 3 1 I
+X VCC_DRAM W5 2150 -1050 300 R 50 50 3 1 I
+X VCC_DRAM W6 2150 -1150 300 R 50 50 3 1 I
+X VCC_DRAM Y6 2150 -1350 300 R 50 50 3 1 I
+X VCC_DRAM W7 2150 -1250 300 R 50 50 3 1 I
+X GND_DRAM Y7 -2850 -2450 300 R 50 50 3 1 I
+X GND_DRAM Y8 -2850 -2350 300 R 50 50 3 1 I
+X SDQS1# 200 -4050 -950 300 R 50 50 3 1 O
+X SA12 210 -2850 1750 300 R 50 50 3 1 O
+X SA2 220 -2850 2750 300 R 50 50 3 1 O
+X SDQ1 150 -4050 2850 300 R 50 50 3 1 B
+X SDQ12 160 -4050 1750 300 R 50 50 3 1 B
+X SDQ22 170 -4050 750 300 R 50 50 3 1 B
+X SDQ7 180 -4050 2250 300 R 50 50 3 1 B
+X SDQM2 190 -4050 -2850 300 R 50 50 3 1 O
+X TVIN0 201 2150 2950 300 R 50 50 3 1 I
+X SA11 211 -2850 1850 300 R 50 50 3 1 O
+X SA1 221 -2850 2850 300 R 50 50 3 1 O
+X SDQ2 151 -4050 2750 300 R 50 50 3 1 B
+X SDQ13 161 -4050 1650 300 R 50 50 3 1 B
+X SDQ23 171 -4050 650 300 R 50 50 3 1 B
+X VCC_DRAM 181 2150 -550 300 R 50 50 3 1 I
+X SDQM1 191 -4050 -1150 300 R 50 50 3 1 O
+X SCKE0 202 -4950 -3300 300 R 50 50 3 1 O
+X SA10 212 -2850 1950 300 R 50 50 3 1 O
+X SA0 222 -2850 2950 300 R 50 50 3 1 O
+X SDQ3 152 -4050 2650 300 R 50 50 3 1 B
+X SDQ14 162 -4050 1550 300 R 50 50 3 1 B
+X SDQ24 172 -4050 550 300 R 50 50 3 1 B
+X VCC_DRAM 182 2150 -650 300 R 50 50 3 1 I
+X SDQM0 192 -4050 -1050 300 R 50 50 3 1 O
+X SCK# 203 -4950 -3200 300 R 50 50 3 1 O
+X SA9 213 -2850 2050 300 R 50 50 3 1 O
+X SDQ4 153 -4050 2550 300 R 50 50 3 1 B
+X SDQ15 163 -4050 1450 300 R 50 50 3 1 B
+X SDQ25 173 -4050 450 300 R 50 50 3 1 B
+X VCC_DRAM 183 2150 -750 300 R 50 50 3 1 I
+X TVIN1 193 2150 2850 300 R 50 50 3 1 I
+X SCK 204 -4050 -1350 300 R 50 50 3 1 O
+X SA8 214 -2850 2150 300 R 50 50 3 1 O
+X SDQ5 154 -4050 2450 300 R 50 50 3 1 B
+X SDQ16 164 -4050 1350 300 R 50 50 3 1 B
+X SDQ26 174 -4050 350 300 R 50 50 3 1 B
+X VCC_DRAM 184 2150 -850 300 R 50 50 3 1 I
+X ODT0 194 -4050 -2550 300 R 50 50 3 1 B
+X SBA2 205 -2850 1250 300 R 50 50 3 1 O
+X SA7 215 -2850 2250 300 R 50 50 3 1 O
+X SDQ6 155 -4050 2350 300 R 50 50 3 1 B
+X SDQ17 165 -4050 1250 300 R 50 50 3 1 B
+X SDQ27 175 -4050 250 300 R 50 50 3 1 B
+X VCC_DRAM 185 2150 -950 300 R 50 50 3 1 I
+X ODT1 195 -4050 -2450 300 R 50 50 3 1 B
+X TVIN1 AA5 2150 2850 300 R 50 50 3 1 I
+X SBA1 206 -2850 1350 300 R 50 50 3 1 O
+X SA6 216 -2850 2350 300 R 50 50 3 1 O
+X SDQ8 156 -4050 2150 300 R 50 50 3 1 B
+X SDQ18 166 -4050 1150 300 R 50 50 3 1 B
+X SDQ28 176 -4050 150 300 R 50 50 3 1 B
+X SDQS1 186 -4050 -850 300 R 50 50 3 1 O
+X TVIN1 196 2150 2850 300 R 50 50 3 1 I
+X SBA0 207 -2850 1450 300 R 50 50 3 1 O
+X SA5 217 -2850 2450 300 R 50 50 3 1 O
+X SDQ9 157 -4050 2050 300 R 50 50 3 1 B
+X SDQ19 167 -4050 1050 300 R 50 50 3 1 B
+X SDQ29 177 -4050 50 300 R 50 50 3 1 B
+X SDQSO# 187 -4050 -750 300 R 50 50 3 1 O
+X SDQS3 197 -4050 -2650 300 R 50 50 3 1 O
+X SA14 208 -2850 1550 300 R 50 50 3 1 O
+X SA4 218 -2850 2550 300 R 50 50 3 1 O
+X SDQ10 158 -4050 1950 300 R 50 50 3 1 B
+X SDQ20 168 -4050 950 300 R 50 50 3 1 B
+X SDQ30 178 -4050 -50 300 R 50 50 3 1 B
+X SDQS0 188 -4050 -650 300 R 50 50 3 1 O
+X SDQS2# 198 -4050 -2550 300 R 50 50 3 1 O
+X SA13 209 -2850 1650 300 R 50 50 3 1 O
+X SA3 219 -2850 2650 300 R 50 50 3 1 O
+X SDQ0 149 -4050 2950 300 R 50 50 3 1 B
+X SDQ11 159 -4050 1850 300 R 50 50 3 1 B
+X SDQ21 169 -4050 850 300 R 50 50 3 1 B
+X SDQ31 179 -4050 -150 300 R 50 50 3 1 B
+X SDQM3 189 -4050 -2950 300 R 50 50 3 1 O
+X SDQS2 199 -4050 -2450 300 R 50 50 3 1 O
+X SDQS3# J2 -4050 -2750 300 R 50 50 4 1 O
+X GND_DDR G3 -5000 50 300 R 50 50 4 1 I
+X ODT1 J3 -4050 -2450 300 R 50 50 4 1 B
+X GND_DRAM G4 -2850 -3050 300 R 50 50 4 1 I
+X VCC_DRAM G5 2150 -450 300 R 50 50 4 1 I
+X GND_DRAM J5 -2850 -2950 300 R 50 50 4 1 I
+X GND_DRAM N5 -2850 -2850 300 R 50 50 4 1 I
+X GND_DRAM P5 -2850 -2750 300 R 50 50 4 1 I
+X GND_DRAM U5 -2850 -2650 300 R 50 50 4 1 I
+X GND_DRAM V5 -2850 -2550 300 R 50 50 4 1 I
+X VCC_DRAM W5 2150 -1050 300 R 50 50 4 1 I
+X VCC_DRAM W6 2150 -1150 300 R 50 50 4 1 I
+X VCC_DRAM Y6 2150 -1350 300 R 50 50 4 1 I
+X VCC_DRAM W7 2150 -1250 300 R 50 50 4 1 I
+X GND_DRAM Y7 -2850 -2450 300 R 50 50 4 1 I
+X GND_DRAM Y8 -2850 -2350 300 R 50 50 4 1 I
+X SDQ8 230 -4050 2150 300 R 50 50 4 1 B
+X SDQ18 240 -4050 1150 300 R 50 50 4 1 B
+X SDQ28 250 -4050 150 300 R 50 50 4 1 B
+X SDQS1 260 -4050 -850 300 R 50 50 4 1 O
+X TVIN1 270 2150 2850 300 R 50 50 4 1 I
+X SBA1 280 -2850 1350 300 R 50 50 4 1 O
+X SA6 290 -2850 2350 300 R 50 50 4 1 O
+X SDQ9 231 -4050 2050 300 R 50 50 4 1 B
+X SDQ19 241 -4050 1050 300 R 50 50 4 1 B
+X SDQ29 251 -4050 50 300 R 50 50 4 1 B
+X SDQSO# 261 -4050 -750 300 R 50 50 4 1 O
+X SDQS3 271 -4050 -2650 300 R 50 50 4 1 O
+X SBA0 281 -2850 1450 300 R 50 50 4 1 O
+X SA5 291 -2850 2450 300 R 50 50 4 1 O
+X SDQ10 232 -4050 1950 300 R 50 50 4 1 B
+X SDQ20 242 -4050 950 300 R 50 50 4 1 B
+X SDQ30 252 -4050 -50 300 R 50 50 4 1 B
+X SDQS0 262 -4050 -650 300 R 50 50 4 1 O
+X SDQS2# 272 -4050 -2550 300 R 50 50 4 1 O
+X SA14 282 -2850 1550 300 R 50 50 4 1 O
+X SA4 292 -2850 2550 300 R 50 50 4 1 O
+X SDQ0 223 -4050 2950 300 R 50 50 4 1 B
+X SDQ11 233 -4050 1850 300 R 50 50 4 1 B
+X SDQ21 243 -4050 850 300 R 50 50 4 1 B
+X SDQ31 253 -4050 -150 300 R 50 50 4 1 B
+X SDQM3 263 -4050 -2950 300 R 50 50 4 1 O
+X SDQS2 273 -4050 -2450 300 R 50 50 4 1 O
+X SA13 283 -2850 1650 300 R 50 50 4 1 O
+X SA3 293 -2850 2650 300 R 50 50 4 1 O
+X SDQ1 224 -4050 2850 300 R 50 50 4 1 B
+X SDQ12 234 -4050 1750 300 R 50 50 4 1 B
+X SDQ22 244 -4050 750 300 R 50 50 4 1 B
+X SDQ7 254 -4050 2250 300 R 50 50 4 1 B
+X SDQM2 264 -4050 -2850 300 R 50 50 4 1 O
+X SDQS1# 274 -4050 -950 300 R 50 50 4 1 O
+X SA12 284 -2850 1750 300 R 50 50 4 1 O
+X SA2 294 -2850 2750 300 R 50 50 4 1 O
+X SDQ2 225 -4050 2750 300 R 50 50 4 1 B
+X SDQ13 235 -4050 1650 300 R 50 50 4 1 B
+X SDQ23 245 -4050 650 300 R 50 50 4 1 B
+X VCC_DRAM 255 2150 -550 300 R 50 50 4 1 I
+X SDQM1 265 -4050 -1150 300 R 50 50 4 1 O
+X TVIN0 275 2150 2950 300 R 50 50 4 1 I
+X SA11 285 -2850 1850 300 R 50 50 4 1 O
+X SA1 295 -2850 2850 300 R 50 50 4 1 O
+X TVIN1 AA5 2150 2850 300 R 50 50 4 1 I
+X SDQ3 226 -4050 2650 300 R 50 50 4 1 B
+X SDQ14 236 -4050 1550 300 R 50 50 4 1 B
+X SDQ24 246 -4050 550 300 R 50 50 4 1 B
+X VCC_DRAM 256 2150 -650 300 R 50 50 4 1 I
+X SDQM0 266 -4050 -1050 300 R 50 50 4 1 O
+X SCKE0 276 -4950 -3300 300 R 50 50 4 1 O
+X SA10 286 -2850 1950 300 R 50 50 4 1 O
+X SA0 296 -2850 2950 300 R 50 50 4 1 O
+X SDQ4 227 -4050 2550 300 R 50 50 4 1 B
+X SDQ15 237 -4050 1450 300 R 50 50 4 1 B
+X SDQ25 247 -4050 450 300 R 50 50 4 1 B
+X VCC_DRAM 257 2150 -750 300 R 50 50 4 1 I
+X TVIN1 267 2150 2850 300 R 50 50 4 1 I
+X SCK# 277 -4950 -3200 300 R 50 50 4 1 O
+X SA9 287 -2850 2050 300 R 50 50 4 1 O
+X SDQ5 228 -4050 2450 300 R 50 50 4 1 B
+X SDQ16 238 -4050 1350 300 R 50 50 4 1 B
+X SDQ26 248 -4050 350 300 R 50 50 4 1 B
+X VCC_DRAM 258 2150 -850 300 R 50 50 4 1 I
+X ODT0 268 -4050 -2550 300 R 50 50 4 1 B
+X SCK 278 -4050 -1350 300 R 50 50 4 1 O
+X SA8 288 -2850 2150 300 R 50 50 4 1 O
+X SDQ6 229 -4050 2350 300 R 50 50 4 1 B
+X SDQ17 239 -4050 1250 300 R 50 50 4 1 B
+X SDQ27 249 -4050 250 300 R 50 50 4 1 B
+X VCC_DRAM 259 2150 -950 300 R 50 50 4 1 I
+X ODT1 269 -4050 -2450 300 R 50 50 4 1 B
+X SBA2 279 -2850 1250 300 R 50 50 4 1 O
+X SA7 289 -2850 2250 300 R 50 50 4 1 O
+X SDQS3# J2 -4050 -2750 300 R 50 50 5 1 O
+X GND_DDR G3 -5000 50 300 R 50 50 5 1 I
+X ODT1 J3 -4050 -2450 300 R 50 50 5 1 B
+X GND_DRAM G4 -2850 -3050 300 R 50 50 5 1 I
+X VCC_DRAM G5 2150 -450 300 R 50 50 5 1 I
+X GND_DRAM J5 -2850 -2950 300 R 50 50 5 1 I
+X GND_DRAM N5 -2850 -2850 300 R 50 50 5 1 I
+X GND_DRAM P5 -2850 -2750 300 R 50 50 5 1 I
+X GND_DRAM U5 -2850 -2650 300 R 50 50 5 1 I
+X GND_DRAM V5 -2850 -2550 300 R 50 50 5 1 I
+X VCC_DRAM W5 2150 -1050 300 R 50 50 5 1 I
+X VCC_DRAM W6 2150 -1150 300 R 50 50 5 1 I
+X VCC_DRAM Y6 2150 -1350 300 R 50 50 5 1 I
+X VCC_DRAM W7 2150 -1250 300 R 50 50 5 1 I
+X GND_DRAM Y7 -2850 -2450 300 R 50 50 5 1 I
+X GND_DRAM Y8 -2850 -2350 300 R 50 50 5 1 I
+X SDQ3 300 -4050 2650 300 R 50 50 5 1 B
+X SDQ14 310 -4050 1550 300 R 50 50 5 1 B
+X SDQ24 320 -4050 550 300 R 50 50 5 1 B
+X VCC_DRAM 330 2150 -650 300 R 50 50 5 1 I
+X SDQM0 340 -4050 -1050 300 R 50 50 5 1 O
+X SCKE0 350 -4950 -3300 300 R 50 50 5 1 O
+X SA10 360 -2850 1950 300 R 50 50 5 1 O
+X SA0 370 -2850 2950 300 R 50 50 5 1 O
+X SDQ4 301 -4050 2550 300 R 50 50 5 1 B
+X SDQ15 311 -4050 1450 300 R 50 50 5 1 B
+X SDQ25 321 -4050 450 300 R 50 50 5 1 B
+X VCC_DRAM 331 2150 -750 300 R 50 50 5 1 I
+X TVIN1 341 2150 2850 300 R 50 50 5 1 I
+X SCK# 351 -4950 -3200 300 R 50 50 5 1 O
+X SA9 361 -2850 2050 300 R 50 50 5 1 O
+X SDQ5 302 -4050 2450 300 R 50 50 5 1 B
+X SDQ16 312 -4050 1350 300 R 50 50 5 1 B
+X SDQ26 322 -4050 350 300 R 50 50 5 1 B
+X VCC_DRAM 332 2150 -850 300 R 50 50 5 1 I
+X ODT0 342 -4050 -2550 300 R 50 50 5 1 B
+X SCK 352 -4050 -1350 300 R 50 50 5 1 O
+X SA8 362 -2850 2150 300 R 50 50 5 1 O
+X SDQ6 303 -4050 2350 300 R 50 50 5 1 B
+X SDQ17 313 -4050 1250 300 R 50 50 5 1 B
+X SDQ27 323 -4050 250 300 R 50 50 5 1 B
+X VCC_DRAM 333 2150 -950 300 R 50 50 5 1 I
+X ODT1 343 -4050 -2450 300 R 50 50 5 1 B
+X SBA2 353 -2850 1250 300 R 50 50 5 1 O
+X SA7 363 -2850 2250 300 R 50 50 5 1 O
+X SDQ8 304 -4050 2150 300 R 50 50 5 1 B
+X SDQ18 314 -4050 1150 300 R 50 50 5 1 B
+X SDQ28 324 -4050 150 300 R 50 50 5 1 B
+X SDQS1 334 -4050 -850 300 R 50 50 5 1 O
+X TVIN1 344 2150 2850 300 R 50 50 5 1 I
+X SBA1 354 -2850 1350 300 R 50 50 5 1 O
+X SA6 364 -2850 2350 300 R 50 50 5 1 O
+X SDQ9 305 -4050 2050 300 R 50 50 5 1 B
+X SDQ19 315 -4050 1050 300 R 50 50 5 1 B
+X SDQ29 325 -4050 50 300 R 50 50 5 1 B
+X SDQSO# 335 -4050 -750 300 R 50 50 5 1 O
+X SDQS3 345 -4050 -2650 300 R 50 50 5 1 O
+X SBA0 355 -2850 1450 300 R 50 50 5 1 O
+X SA5 365 -2850 2450 300 R 50 50 5 1 O
+X TVIN1 AA5 2150 2850 300 R 50 50 5 1 I
+X SDQ10 306 -4050 1950 300 R 50 50 5 1 B
+X SDQ20 316 -4050 950 300 R 50 50 5 1 B
+X SDQ30 326 -4050 -50 300 R 50 50 5 1 B
+X SDQS0 336 -4050 -650 300 R 50 50 5 1 O
+X SDQS2# 346 -4050 -2550 300 R 50 50 5 1 O
+X SA14 356 -2850 1550 300 R 50 50 5 1 O
+X SA4 366 -2850 2550 300 R 50 50 5 1 O
+X SDQ11 307 -4050 1850 300 R 50 50 5 1 B
+X SDQ21 317 -4050 850 300 R 50 50 5 1 B
+X SDQ31 327 -4050 -150 300 R 50 50 5 1 B
+X SDQM3 337 -4050 -2950 300 R 50 50 5 1 O
+X SDQS2 347 -4050 -2450 300 R 50 50 5 1 O
+X SA13 357 -2850 1650 300 R 50 50 5 1 O
+X SA3 367 -2850 2650 300 R 50 50 5 1 O
+X SDQ0 297 -4050 2950 300 R 50 50 5 1 B
+X SDQ12 308 -4050 1750 300 R 50 50 5 1 B
+X SDQ22 318 -4050 750 300 R 50 50 5 1 B
+X SDQ7 328 -4050 2250 300 R 50 50 5 1 B
+X SDQM2 338 -4050 -2850 300 R 50 50 5 1 O
+X SDQS1# 348 -4050 -950 300 R 50 50 5 1 O
+X SA12 358 -2850 1750 300 R 50 50 5 1 O
+X SA2 368 -2850 2750 300 R 50 50 5 1 O
+X SDQ1 298 -4050 2850 300 R 50 50 5 1 B
+X SDQ13 309 -4050 1650 300 R 50 50 5 1 B
+X SDQ23 319 -4050 650 300 R 50 50 5 1 B
+X VCC_DRAM 329 2150 -550 300 R 50 50 5 1 I
+X SDQM1 339 -4050 -1150 300 R 50 50 5 1 O
+X TVIN0 349 2150 2950 300 R 50 50 5 1 I
+X SA11 359 -2850 1850 300 R 50 50 5 1 O
+X SA1 369 -2850 2850 300 R 50 50 5 1 O
+X SDQ2 299 -4050 2750 300 R 50 50 5 1 B
+X SDQS3# J2 -4050 -2750 300 R 50 50 6 1 O
+X GND_DDR G3 -5000 50 300 R 50 50 6 1 I
+X ODT1 J3 -4050 -2450 300 R 50 50 6 1 B
+X GND_DRAM G4 -2850 -3050 300 R 50 50 6 1 I
+X VCC_DRAM G5 2150 -450 300 R 50 50 6 1 I
+X GND_DRAM J5 -2850 -2950 300 R 50 50 6 1 I
+X GND_DRAM N5 -2850 -2850 300 R 50 50 6 1 I
+X GND_DRAM P5 -2850 -2750 300 R 50 50 6 1 I
+X GND_DRAM U5 -2850 -2650 300 R 50 50 6 1 I
+X GND_DRAM V5 -2850 -2550 300 R 50 50 6 1 I
+X VCC_DRAM W5 2150 -1050 300 R 50 50 6 1 I
+X VCC_DRAM W6 2150 -1150 300 R 50 50 6 1 I
+X VCC_DRAM Y6 2150 -1350 300 R 50 50 6 1 I
+X VCC_DRAM W7 2150 -1250 300 R 50 50 6 1 I
+X GND_DRAM Y7 -2850 -2450 300 R 50 50 6 1 I
+X GND_DRAM Y8 -2850 -2350 300 R 50 50 6 1 I
+X SDQ30 400 -4050 -50 300 R 50 50 6 1 B
+X SDQS0 410 -4050 -650 300 R 50 50 6 1 O
+X SDQS2# 420 -4050 -2550 300 R 50 50 6 1 O
+X SA14 430 -2850 1550 300 R 50 50 6 1 O
+X SA4 440 -2850 2550 300 R 50 50 6 1 O
+X SDQ10 380 -4050 1950 300 R 50 50 6 1 B
+X SDQ20 390 -4050 950 300 R 50 50 6 1 B
+X SDQ31 401 -4050 -150 300 R 50 50 6 1 B
+X SDQM3 411 -4050 -2950 300 R 50 50 6 1 O
+X SDQS2 421 -4050 -2450 300 R 50 50 6 1 O
+X SA13 431 -2850 1650 300 R 50 50 6 1 O
+X SA3 441 -2850 2650 300 R 50 50 6 1 O
+X SDQ0 371 -4050 2950 300 R 50 50 6 1 B
+X SDQ11 381 -4050 1850 300 R 50 50 6 1 B
+X SDQ21 391 -4050 850 300 R 50 50 6 1 B
+X SDQ7 402 -4050 2250 300 R 50 50 6 1 B
+X SDQM2 412 -4050 -2850 300 R 50 50 6 1 O
+X SDQS1# 422 -4050 -950 300 R 50 50 6 1 O
+X SA12 432 -2850 1750 300 R 50 50 6 1 O
+X SDQ1 372 -4050 2850 300 R 50 50 6 1 B
+X SDQ12 382 -4050 1750 300 R 50 50 6 1 B
+X SDQ22 392 -4050 750 300 R 50 50 6 1 B
+X VCC_DRAM 403 2150 -550 300 R 50 50 6 1 I
+X SDQM1 413 -4050 -1150 300 R 50 50 6 1 O
+X TVIN0 423 2150 2950 300 R 50 50 6 1 I
+X SA11 433 -2850 1850 300 R 50 50 6 1 O
+X SDQ2 373 -4050 2750 300 R 50 50 6 1 B
+X SDQ13 383 -4050 1650 300 R 50 50 6 1 B
+X SDQ23 393 -4050 650 300 R 50 50 6 1 B
+X VCC_DRAM 404 2150 -650 300 R 50 50 6 1 I
+X SDQM0 414 -4050 -1050 300 R 50 50 6 1 O
+X SCKE0 424 -4950 -3300 300 R 50 50 6 1 O
+X SA10 434 -2850 1950 300 R 50 50 6 1 O
+X SDQ3 374 -4050 2650 300 R 50 50 6 1 B
+X SDQ14 384 -4050 1550 300 R 50 50 6 1 B
+X SDQ24 394 -4050 550 300 R 50 50 6 1 B
+X VCC_DRAM 405 2150 -750 300 R 50 50 6 1 I
+X TVIN1 415 2150 2850 300 R 50 50 6 1 I
+X SCK# 425 -4950 -3200 300 R 50 50 6 1 O
+X SA9 435 -2850 2050 300 R 50 50 6 1 O
+X SDQ4 375 -4050 2550 300 R 50 50 6 1 B
+X SDQ15 385 -4050 1450 300 R 50 50 6 1 B
+X SDQ25 395 -4050 450 300 R 50 50 6 1 B
+X TVIN1 AA5 2150 2850 300 R 50 50 6 1 I
+X VCC_DRAM 406 2150 -850 300 R 50 50 6 1 I
+X ODT0 416 -4050 -2550 300 R 50 50 6 1 B
+X SCK 426 -4050 -1350 300 R 50 50 6 1 O
+X SA8 436 -2850 2150 300 R 50 50 6 1 O
+X SDQ5 376 -4050 2450 300 R 50 50 6 1 B
+X SDQ16 386 -4050 1350 300 R 50 50 6 1 B
+X SDQ26 396 -4050 350 300 R 50 50 6 1 B
+X VCC_DRAM 407 2150 -950 300 R 50 50 6 1 I
+X ODT1 417 -4050 -2450 300 R 50 50 6 1 B
+X SBA2 427 -2850 1250 300 R 50 50 6 1 O
+X SA7 437 -2850 2250 300 R 50 50 6 1 O
+X SDQ6 377 -4050 2350 300 R 50 50 6 1 B
+X SDQ17 387 -4050 1250 300 R 50 50 6 1 B
+X SDQ27 397 -4050 250 300 R 50 50 6 1 B
+X SDQS1 408 -4050 -850 300 R 50 50 6 1 O
+X TVIN1 418 2150 2850 300 R 50 50 6 1 I
+X SBA1 428 -2850 1350 300 R 50 50 6 1 O
+X SA6 438 -2850 2350 300 R 50 50 6 1 O
+X SDQ8 378 -4050 2150 300 R 50 50 6 1 B
+X SDQ18 388 -4050 1150 300 R 50 50 6 1 B
+X SDQ28 398 -4050 150 300 R 50 50 6 1 B
+X SDQSO# 409 -4050 -750 300 R 50 50 6 1 O
+X SDQS3 419 -4050 -2650 300 R 50 50 6 1 O
+X SBA0 429 -2850 1450 300 R 50 50 6 1 O
+X SA5 439 -2850 2450 300 R 50 50 6 1 O
+X SDQ9 379 -4050 2050 300 R 50 50 6 1 B
+X SDQ19 389 -4050 1050 300 R 50 50 6 1 B
+X SDQ29 399 -4050 50 300 R 50 50 6 1 B
+ENDDRAW
+ENDDEF
+#
+# AXP209
+#
+DEF AXP209 U 0 40 Y Y 1 F N
+F0 "U" 300 1300 60 H V C CNN
+F1 "AXP209" -250 1300 60 H V C CNN
+DRAW
+T 0 250 0 30 0 0 0 "~"  Normal 0 C C
+S -450 1250 350 -1500 0 1 0 N
+X SDA 1 -650 950 200 R 50 50 1 1 B
+X SCK 2 -650 1050 200 R 50 50 1 1 I
+X GPIO3 3 -650 550 200 R 50 50 1 1 B
+X N_OE 4 550 -850 200 L 50 50 1 1 I
+X GPIO2 5 -650 650 200 R 50 50 1 1 B
+X N_VBUSEN 6 550 -750 200 L 50 50 1 1 I
+X VIN2 7 550 550 200 L 50 50 1 1 I
+X LX2 8 550 -450 200 L 50 50 1 1 I
+X PGND2 9 550 -1450 200 L 50 50 1 1 W
+X DCDC2 10 550 -350 200 L 50 50 1 1 w
+X EXTEN 20 550 -1050 200 L 50 50 1 1 O
+X BACKUP 30 -650 450 200 R 50 50 1 1 B
+X LDO3IN 40 550 350 200 L 50 50 1 1 I
+X LDO4 11 550 50 200 L 50 50 1 1 w
+X APS 21 550 150 200 L 50 50 1 1 I
+X VBUS 31 550 -1150 200 L 50 50 1 1 W
+X LDO3 41 550 -50 200 L 50 50 1 1 w
+X LDO2 12 550 -150 200 L 50 50 1 1 w
+X AGND 22 -650 0 200 R 50 50 1 1 W
+X ACIN 32 -650 -800 200 R 50 50 1 1 W
+X BATSENSE 42 -650 -350 200 R 50 50 1 1 I
+X LDO24IN 13 550 250 200 L 50 50 1 1 I
+X BIAS 23 -650 100 200 R 50 50 1 1 B
+X ACIN 33 -650 -700 200 R 50 50 1 1 W
+X CHSENSE 43 -650 -250 200 R 50 50 1 1 O
+X VIN3 14 550 650 200 L 50 50 1 1 I
+X VREF 24 -650 200 200 R 50 50 1 1 O
+X IPSOUT 34 550 750 200 L 50 50 1 1 I
+X VIN1 44 550 450 200 L 50 50 1 1 I
+X LX3 15 550 -650 200 L 50 50 1 1 I
+X PWROK 25 550 1100 200 L 50 50 1 1 O
+X IPSOUT 35 550 850 200 L 50 50 1 1 w
+X LX1 45 -650 -150 200 R 50 50 1 1 B
+X PGND3 16 550 -1350 200 L 50 50 1 1 W
+X VINT 26 -650 -1150 200 R 50 50 1 1 w
+X CHGLED 36 550 1000 200 L 50 50 1 1 O
+X PGND1 46 -650 -900 200 R 50 50 1 1 W
+X DCDC3 17 550 -550 200 L 50 50 1 1 w
+X LDO1SET 27 550 -1250 200 L 50 50 1 1 I
+X TS 37 -650 -1250 200 R 50 50 1 1 I
+X PWRON 47 -650 -1000 200 R 50 50 1 1 I
+X GPIO1 18 -650 750 200 R 50 50 1 1 O
+X LDO1 28 550 -250 200 L 50 50 1 1 w
+X BAT 38 -650 -500 200 R 50 50 1 1 W
+X IRQ 48 -650 1150 200 R 50 50 1 1 B
+X GPIO0 19 -650 850 200 R 50 50 1 1 B
+X DC3SET 29 -650 350 200 R 50 50 1 1 B
+X BAT 39 -650 -600 200 R 50 50 1 1 W
+X DP_[BODY] 49 -650 -1350 200 R 50 50 1 1 W
+ENDDRAW
+ENDDEF
+#
+# CONN
+#
+DEF CONN uSD 0 40 Y Y 1 F N
+F0 "uSD" -300 550 60 H V C CNN
+F1 "CONN" -300 -550 60 H V C CNN
+DRAW
+S -450 500 -200 -500 0 1 0 N
+X DAT2 1 -750 450 300 R 50 50 1 1 I
+X DAT3 2 -750 350 300 R 50 50 1 1 I
+X CMD 3 -750 250 300 R 50 50 1 1 I
+X VDD 4 -750 -150 300 R 50 50 1 1 I
+X CLK 5 -750 150 300 R 50 50 1 1 I
+X VSS 6 -750 -250 300 R 50 50 1 1 I
+X DAT0 7 -750 50 300 R 50 50 1 1 I
+X DAT1 8 -750 -50 300 R 50 50 1 1 I
+X CD1 ~ -750 -350 300 R 50 50 1 1 I
+X CD2 ~ -750 -450 300 R 50 50 1 1 I
+ENDDRAW
+ENDDEF
+#
+# CRYSTAL
+#
+DEF CRYSTAL X 0 40 N N 1 F N
+F0 "X" 0 150 60 H V C CNN
+F1 "CRYSTAL" 0 -150 60 H V C CNN
+DRAW
+P 2 0 1 16  -100 100  -100 -100 N
+P 2 0 1 16  100 100  100 -100 N
+P 5 0 1 12  -50 50  50 50  50 -50  -50 -50  -50 50 f
+X 1 1 -300 -50 200 R 40 40 1 1 P
+X 2 2 300 -50 200 L 40 40 1 1 P
+X 3 3 -300 50 200 R 50 50 1 1 I
+X 4 4 300 50 200 L 50 50 1 1 I
+ENDDRAW
+ENDDEF
+#
+# CRYSTAL-SMD
+#
+DEF CRYSTAL-SMD X 0 40 N N 1 F N
+F0 "X" 0 150 60 H V C CNN
+F1 "CRYSTAL-SMD" 0 -150 60 H V C CNN
+DRAW
+P 2 0 1 16  -100 100  -100 -100 N
+P 2 0 1 16  100 100  100 -100 N
+P 5 0 1 12  -50 50  50 50  50 -50  -50 -50  -50 50 f
+X 1 1 -300 -50 200 R 40 40 1 1 P
+X GND 2 300 -50 200 L 40 40 1 1 P
+X 3 3 300 50 200 L 50 50 1 1 I
+X GND 4 -300 50 200 R 50 50 1 1 I
+ENDDRAW
+ENDDEF
+#
+# DUSB
+#
+DEF DUSB J 0 40 Y Y 1 F N
+F0 "J" -200 550 50 H V C CNN
+F1 "DUSB" -350 550 50 H V C CNN
+F2 "~" 0 0 50 H I C CNN
+F3 "~" 0 0 50 H I C CNN
+DRAW
+S -450 -700 -150 500 1 0 0 N
+X PWR 1 -750 -650 300 R 50 50 1 1 I
+X PWR 2 -750 -550 300 R 50 50 1 1 I
+X D- 3 -750 -450 300 R 50 50 1 1 I
+X D- 4 -750 -250 300 R 50 50 1 1 I
+X D+ 5 -750 -350 300 R 50 50 1 1 I
+X D+ 6 -750 -150 300 R 50 50 1 1 I
+X GND 7 -750 -50 300 R 50 50 1 1 I
+X GND 8 -750 50 300 R 50 50 1 1 I
+X ~ sh1 -750 150 300 R 50 50 1 1 I
+X ~ sh2 -750 250 300 R 50 50 1 1 I
+X ~ sh3 -750 350 300 R 50 50 1 1 I
+X ~ sh4 -750 450 300 R 50 50 1 1 I
+ENDDRAW
+ENDDEF
+#
+# HEADER_10x2
+#
+DEF HEADER_10x2 J 0 0 Y Y 1 F N
+F0 "J" 0 550 60 H V C CNN
+F1 "HEADER_10x2" 50 -550 60 H V C CNN
+DRAW
+S 50 500 -50 -500 0 1 0 N
+X ~ 1 -150 450 100 R 35 50 1 1 P
+X ~ 2 150 450 100 L 35 50 1 1 P
+X ~ 3 -150 350 100 R 35 50 1 1 P
+X ~ 4 150 350 100 L 35 50 1 1 P
+X ~ 5 -150 250 100 R 35 50 1 1 P
+X ~ 6 150 250 100 L 35 50 1 1 P
+X ~ 7 -150 150 100 R 35 50 1 1 P
+X ~ 8 150 150 100 L 35 50 1 1 P
+X ~ 9 -150 50 100 R 35 50 1 1 P
+X ~ 10 150 50 100 L 35 50 1 1 P
+X ~ 20 150 -450 100 L 35 50 1 1 P
+X ~ 11 -150 -50 100 R 35 50 1 1 P
+X ~ 12 150 -50 100 L 35 50 1 1 P
+X ~ 13 -150 -150 100 R 35 50 1 1 P
+X ~ 14 150 -150 100 L 35 50 1 1 P
+X ~ 15 -150 -250 100 R 35 50 1 1 P
+X ~ 16 150 -250 100 L 35 50 1 1 P
+X ~ 17 -150 -350 100 R 35 50 1 1 P
+X ~ 18 150 -350 100 L 35 50 1 1 P
+X ~ 19 -150 -450 100 R 35 50 1 1 P
+ENDDRAW
+ENDDEF
+#
+# RJ45-HANRUN
+#
+DEF RJ45-HANRUN J 0 0 Y Y 1 F N
+F0 "J" 200 600 60 H V L CNN
+F1 "RJ45-HANRUN" -50 600 60 H V R CNN
+DRAW
+T 0 -575 -250 40 0 0 0 GREEN  Normal 0 C C
+T 0 -275 475 40 0 0 0 J1  Normal 0 C C
+T 0 -275 325 40 0 0 0 J2  Normal 0 C C
+T 0 -275 175 40 0 0 0 J3  Normal 0 C C
+T 0 -275 400 35 0 0 0 J4  Normal 0 C B
+T 0 -275 375 35 0 0 0 J5  Normal 0 C C
+T 0 -275 25 40 0 0 0 J6  Normal 0 C C
+T 0 -275 100 35 0 0 0 J7  Normal 0 C B
+T 0 -275 75 35 0 0 0 J8  Normal 0 C C
+T 0 -675 -25 40 0 0 0 RCV  Normal 0 C C
+T 0 -650 275 40 0 0 0 XMIT  Normal 0 C C
+T 0 -575 -450 40 0 0 0 YELLOW  Normal 0 C C
+P 3 0 0 0  -700 -475  -800 -475  -800 -475 N
+P 3 0 0 0  -700 -275  -800 -275  -800 -275 N
+P 3 0 0 0  -500 100  -500 0  -500 0 N
+P 3 0 0 0  -500 400  -500 100  -500 100 N
+P 3 0 0 10  -450 -25  -550 -25  -550 -25 N
+P 3 0 0 0  -350 100  -375 100  -375 100 N
+P 3 0 0 0  -350 400  -375 400  -375 400 N
+P 4 0 0 0  -925 -500  -750 -500  -750 -475  -750 -475 N
+P 4 0 0 0  -925 -400  -750 -400  -750 -425  -750 -425 N
+P 4 0 0 0  -925 -200  -750 -200  -750 -225  -750 -225 N
+P 4 0 0 0  -750 -275  -750 -300  -925 -300  -900 -300 N
+P 4 0 0 0  -500 -25  -500 -100  -925 -100  -875 -100 N
+P 4 0 0 10  -450 0  -525 0  -550 0  -550 0 N
+P 5 0 0 0  -800 -225  -700 -225  -750 -275  -800 -225  -800 -225 N
+P 5 0 0 0  -700 -425  -800 -425  -750 -475  -700 -425  -700 -425 N
+P 5 0 0 0  -325 125  -350 125  -350 75  -325 75  -325 75 N
+P 5 0 0 0  -325 425  -350 425  -350 375  -325 375  -325 375 N
+A -900 25 25 -899 899 0 1 0 N -900 0 -900 50
+A -900 75 25 -899 899 0 1 0 N -900 50 -900 100
+A -900 125 25 -899 899 0 1 0 N -900 100 -900 150
+A -900 175 25 -899 899 0 1 0 N -900 150 -900 200
+A -900 325 25 -899 899 0 1 0 N -900 300 -900 350
+A -900 375 25 -899 899 0 1 0 N -900 350 -900 400
+A -900 425 25 -899 899 0 1 0 N -900 400 -900 450
+A -900 475 25 -899 899 0 1 0 N -900 450 -900 500
+A -800 25 25 901 -901 0 1 0 N -800 50 -800 0
+A -800 75 25 901 -901 0 1 0 N -800 100 -800 50
+A -800 125 25 901 -901 0 1 0 N -800 150 -800 100
+A -800 175 25 901 -901 0 1 0 N -800 200 -800 150
+A -800 325 25 901 -901 0 1 0 N -800 350 -800 300
+A -800 375 25 901 -901 0 1 0 N -800 400 -800 350
+A -800 425 25 901 -901 0 1 0 N -800 450 -800 400
+A -800 475 25 901 -901 0 1 0 N -800 500 -800 450
+A -725 25 25 1 1799 0 1 0 N -700 25 -750 25
+A -725 175 25 -1799 -1 0 1 0 N -750 175 -700 175
+A -725 325 25 1 1799 0 1 0 N -700 325 -750 325
+A -725 475 25 -1799 -1 0 1 0 N -750 475 -700 475
+A -675 25 25 1 1799 0 1 0 N -650 25 -700 25
+A -675 175 25 -1799 -1 0 1 0 N -700 175 -650 175
+A -675 325 25 1 1799 0 1 0 N -650 325 -700 325
+A -675 475 25 -1799 -1 0 1 0 N -700 475 -650 475
+S -925 -550 400 550 0 1 0 N
+S -625 125 -525 75 0 1 0 N
+S -625 425 -525 375 0 1 0 N
+S -475 125 -375 75 0 1 0 N
+S -475 425 -375 375 0 1 0 N
+P 3 0 1 0  -900 0  -925 0  -925 0 N
+P 3 0 1 0  -900 100  -925 100  -925 100 N
+P 3 0 1 0  -900 200  -925 200  -925 200 N
+P 3 0 1 0  -900 300  -925 300  -925 300 N
+P 3 0 1 0  -900 400  -925 400  -925 400 N
+P 3 0 1 0  -650 25  -325 25  -325 25 N
+P 3 0 1 0  -650 175  -325 175  -325 175 N
+P 3 0 1 0  -650 325  -325 325  -325 325 N
+P 3 0 1 0  -650 475  -325 475  -325 475 N
+P 3 0 1 0  -525 100  -475 100  -475 100 N
+P 3 0 1 0  -525 400  -475 400  -475 400 N
+P 3 0 1 0  300 -175  350 -175  350 -175 N
+P 3 0 1 0  300 -125  350 -125  350 -125 N
+P 3 0 1 0  300 175  350 175  350 175 N
+P 3 0 1 0  350 -75  300 -75  300 -75 N
+P 3 0 1 0  350 -25  300 -25  300 -25 N
+P 3 0 1 0  350 25  300 25  300 25 N
+P 3 0 1 0  350 75  300 75  300 75 N
+P 3 0 1 0  350 125  300 125  300 125 N
+P 4 0 1 0  -900 500  -925 500  -925 500  -925 500 N
+P 4 0 1 0  -750 25  -750 0  -800 0  -800 0 N
+P 4 0 1 0  -750 325  -750 300  -800 300  -800 300 N
+P 4 0 1 0  -625 100  -800 100  -800 100  -800 100 N
+P 4 0 1 0  -625 400  -800 400  -800 400  -800 400 N
+P 6 0 1 0  -800 200  -750 200  -750 175  -750 175  -750 175  -750 175 N
+P 6 0 1 0  -800 500  -750 500  -750 475  -750 475  -750 475  -750 475 N
+P 14 0 1 0  350 225  350 -225  -50 -225  -50 -125  -100 -125  -100 -75  -150 -75  -150 75  -100 75  -100 125  -50 125  -50 225  350 225  350 225 N
+X TD+ 1 -1100 500 175 R 30 20 1 1 P
+X TD- 2 -1100 300 175 R 30 20 1 1 P
+X RD+ 3 -1100 200 175 R 30 20 1 1 P
+X COM 4 -1100 400 175 R 30 20 1 1 P
+X COM 5 -1100 100 175 R 30 20 1 1 P
+X RD- 6 -1100 0 175 R 30 20 1 1 P
+X NC 7 600 -500 200 L 30 20 1 1 P
+X GND 8 -1100 -100 175 R 30 20 1 1 P
+X LEDG_A 9 -1100 -200 175 R 30 20 1 1 P
+X LEDG_K 10 -1100 -300 175 R 30 20 1 1 P
+X LEDY_K 11 -1100 -500 175 R 30 20 1 1 P
+X LEDY_A 12 -1100 -400 175 R 30 20 1 1 P
+X SHIELD 13 600 -400 200 L 30 20 1 1 P
+ENDDRAW
+ENDDEF
+#
+# RTL8201CP
+#
+DEF RTL8201CP U 0 40 Y Y 1 F N
+F0 "U" 350 1400 50 H V C CNN
+F1 "RTL8201CP" -200 1400 50 H V C CNN
+F2 "MODULE" 0 0 50 H I C CNN
+F3 "~" 0 0 50 H I C CNN
+DRAW
+S -450 -1550 450 1350 1 0 0 N
+X COL 1 -750 -350 300 R 50 50 1 1 B
+X TXEN 2 -750 -50 300 R 50 50 1 1 B
+X TXD3 3 -750 850 300 R 50 50 1 1 B
+X TXD2 4 -750 750 300 R 50 50 1 1 B
+X TXD1 5 -750 650 300 R 50 50 1 1 B
+X TXD0 6 -750 550 300 R 50 50 1 1 B
+X TXC 7 -750 -150 300 R 50 50 1 1 B
+X PFWBIN 8 -750 -1000 300 R 50 50 1 1 I
+X LED0 9 750 -1000 300 L 50 50 1 1 I
+X LED1 10 750 -900 300 L 50 50 1 1 I
+X RXD1 20 -750 1050 300 R 50 50 1 1 B
+X TPRX- 30 750 -1450 300 L 50 50 1 1 I
+X RPTR 40 750 -400 300 L 50 50 1 1 I
+X DGND 11 750 150 300 L 50 50 1 1 I
+X RXD0 21 -750 950 300 R 50 50 1 1 B
+X TPRX+ 31 750 -1350 300 L 50 50 1 1 I
+X LDPS 41 750 500 300 L 50 50 1 1 I
+X LED2 12 750 -800 300 L 50 50 1 1 I
+X RXDV 22 -750 250 300 R 50 50 1 1 B
+X PFWBOUT 32 -750 -1150 300 R 50 50 1 1 I
+X RESETB 42 -750 -450 300 R 50 50 1 1 B I
+X LED3 13 750 -700 300 L 50 50 1 1 I
+X CRS 23 -750 -250 300 R 50 50 1 1 B
+X TPTX- 33 750 -1250 300 L 50 50 1 1 I
+X ISOLATE 43 750 -300 300 L 50 50 1 1 I
+X DVDD33 14 -750 -750 300 R 50 50 1 1 I
+X RXER 24 -750 350 300 R 50 50 1 1 B
+X TPTX+ 34 750 -1150 300 L 50 50 1 1 I
+X MII 44 750 400 300 L 50 50 1 1 I
+X LED4 15 750 -600 300 L 50 50 1 1 I
+X MDC 25 -750 150 300 R 50 50 1 1 B C
+X AGND 35 -750 -1450 300 R 50 50 1 1 I
+X DGND 45 750 -50 300 L 50 50 1 1 I
+X RXC 16 -750 450 300 R 50 50 1 1 B
+X MDIO 26 -750 50 300 R 50 50 1 1 B
+X AVDD33 36 -750 -650 300 R 50 50 1 1 I
+X X1 46 750 1250 300 L 50 50 1 1 I
+X DGND 17 750 50 300 L 50 50 1 1 I
+X NC 27 750 1050 300 L 50 50 1 1 I
+X ANE 37 750 600 300 L 50 50 1 1 I
+X X2 47 750 1150 300 L 50 50 1 1 I
+X RXD3 18 -750 1250 300 R 50 50 1 1 B
+X RTEST 28 750 -200 300 L 50 50 1 1 I
+X DUPLEX 38 750 700 300 L 50 50 1 1 I
+X DVDD33 48 -750 -850 300 R 50 50 1 1 I
+X RXD2 19 -750 1150 300 R 50 50 1 1 B
+X AGND 29 -750 -1350 300 R 50 50 1 1 I
+X SPEED 39 750 800 300 L 50 50 1 1 I
+ENDDRAW
+ENDDEF
+#
+# SY8008C
+#
+DEF SY8008C U 0 40 Y Y 1 F N
+F0 "U" -50 350 50 H V C CNN
+F1 "SY8008C" -300 350 50 H V C CNN
+F2 "MODULE" -200 -50 50 H I C CNN
+F3 "~" 0 0 50 H I C CNN
+DRAW
+S -450 0 0 300 1 0 0 N
+X EN 1 -750 150 300 R 50 50 1 1 I
+X GND 2 -750 50 300 R 50 50 1 1 I
+X LX 3 300 200 300 L 50 50 1 1 w
+X IN 4 -750 250 300 R 50 50 1 1 I
+X FB 5 300 100 300 L 50 50 1 1 I
+ENDDRAW
+ENDDEF
+#
+# XTL-SMD
+#
+DEF XTL-SMD X 0 40 Y Y 1 F N
+F0 "X" 50 100 60 H V C CNN
+F1 "XTL-SMD" 50 -50 30 H V C CNN
+DRAW
+S -100 50 150 -150 0 1 0 N
+X 1 1 -200 -100 100 R 40 40 1 1 B
+X GND 2 250 -100 100 L 40 30 1 1 B
+X 3 3 250 0 100 L 40 40 1 1 B
+X GND 4 -200 0 100 R 40 30 1 1 B
+ENDDRAW
+ENDDEF
+#
+#End Library
diff --git a/SBC/sbc-ver1/a10-sbc.cmp b/SBC/sbc-ver1/a10-sbc.cmp
new file mode 100644
index 0000000..43cef38
--- /dev/null
+++ b/SBC/sbc-ver1/a10-sbc.cmp
@@ -0,0 +1,1578 @@
+Cmp-Mod V01 Created by CvPcb (2012-nov-02)-testing date = Thu 30 May 2013 10:05:11 AM IST
+
+BeginCmp
+TimeStamp = /51543761;
+Reference = C1;
+ValeurCmp = 18pF;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /51543767;
+Reference = C2;
+ValeurCmp = 18pF;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /51523965;
+Reference = C3;
+ValeurCmp = 18pF;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /51523944;
+Reference = C4;
+ValeurCmp = 18pF;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5155B764;
+Reference = C5;
+ValeurCmp = 22pF;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5155B76A;
+Reference = C6;
+ValeurCmp = 22pF;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /51558874;
+Reference = C7;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5154EB96;
+Reference = C8;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5154EECF;
+Reference = C9;
+ValeurCmp = 10u;
+IdModule  = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /5154D3CE;
+Reference = C10;
+ValeurCmp = 10u;
+IdModule  = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /5154DEB6;
+Reference = C11;
+ValeurCmp = 10u;
+IdModule  = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /5154DEC1;
+Reference = C12;
+ValeurCmp = 10u;
+IdModule  = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /51551007;
+Reference = C13;
+ValeurCmp = 10u;
+IdModule  = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /5155100D;
+Reference = C14;
+ValeurCmp = 10u;
+IdModule  = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /515517D9;
+Reference = C15;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5151C2DB;
+Reference = C16;
+ValeurCmp = 1uF;
+IdModule  = SM0603;
+EndCmp
+
+BeginCmp
+TimeStamp = /5155A734;
+Reference = C17;
+ValeurCmp = 10u;
+IdModule  = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /51501971;
+Reference = C18;
+ValeurCmp = 1uF;
+IdModule  = SM0603;
+EndCmp
+
+BeginCmp
+TimeStamp = /51552413;
+Reference = C19;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /51552419;
+Reference = C20;
+ValeurCmp = 4.7u;
+IdModule  = SM0603;
+EndCmp
+
+BeginCmp
+TimeStamp = /5153CE6B;
+Reference = C21;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5153CE77;
+Reference = C22;
+ValeurCmp = 10u;
+IdModule  = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/515199A4;
+Reference = C23;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515105DA;
+Reference = C24;
+ValeurCmp = 22pF;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5151D4E3;
+Reference = C25;
+ValeurCmp = .22;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /51552580;
+Reference = C26;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /51552586;
+Reference = C27;
+ValeurCmp = 4.7u;
+IdModule  = SM0603;
+EndCmp
+
+BeginCmp
+TimeStamp = /51554668;
+Reference = C28;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /51541706;
+Reference = C29;
+ValeurCmp = 4.7u;
+IdModule  = SM0603;
+EndCmp
+
+BeginCmp
+TimeStamp = /5155A749;
+Reference = C30;
+ValeurCmp = 10u;
+IdModule  = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /51554E46;
+Reference = C31;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /51554E4C;
+Reference = C32;
+ValeurCmp = 1u;
+IdModule  = SM0603;
+EndCmp
+
+BeginCmp
+TimeStamp = /515489AE;
+Reference = C33;
+ValeurCmp = 220u;
+IdModule  = C2V8;
+EndCmp
+
+BeginCmp
+TimeStamp = /515489B4;
+Reference = C34;
+ValeurCmp = 10u;
+IdModule  = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /5154170C;
+Reference = C35;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5153E245;
+Reference = C36;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5153E251;
+Reference = C37;
+ValeurCmp = 10u;
+IdModule  = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /5153E24B;
+Reference = C38;
+ValeurCmp = 10u;
+IdModule  = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /51558187;
+Reference = C39;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /51513983;
+Reference = C40;
+ValeurCmp = 22pF;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5152F82C;
+Reference = C41;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5152E923;
+Reference = C42;
+ValeurCmp = 1uF;
+IdModule  = SM0603;
+EndCmp
+
+BeginCmp
+TimeStamp = /5155CB6D;
+Reference = C43;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5155CB79;
+Reference = C44;
+ValeurCmp = 10u;
+IdModule  = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /5155CB7F;
+Reference = C45;
+ValeurCmp = 10u;
+IdModule  = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /5154AF6B;
+Reference = C46;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5154AF77;
+Reference = C47;
+ValeurCmp = 10u;
+IdModule  = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /5154AF7D;
+Reference = C48;
+ValeurCmp = 10u;
+IdModule  = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/515197E6;
+Reference = C49;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/5151947E;
+Reference = C50;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51519490;
+Reference = C51;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/5151948A;
+Reference = C52;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51519484;
+Reference = C53;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51519496;
+Reference = C54;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/5151949C;
+Reference = C55;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/515194A2;
+Reference = C56;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/515194A8;
+Reference = C57;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/515194AE;
+Reference = C58;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/515194B4;
+Reference = C59;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/515194BA;
+Reference = C60;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/515194C0;
+Reference = C61;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/515194C6;
+Reference = C62;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/515194D6;
+Reference = C63;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/515194DC;
+Reference = C64;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/515194E2;
+Reference = C65;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/515194E8;
+Reference = C66;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/515194EE;
+Reference = C67;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/515194F4;
+Reference = C68;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51519600;
+Reference = C69;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51519606;
+Reference = C70;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51519612;
+Reference = C71;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/5151960C;
+Reference = C72;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51519618;
+Reference = C73;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/5151961E;
+Reference = C74;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51519624;
+Reference = C75;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/5151962A;
+Reference = C76;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51514BD9;
+Reference = C77;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51514BD3;
+Reference = C78;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51514BCD;
+Reference = C79;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51514B03;
+Reference = C80;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51514BDF;
+Reference = C81;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51523C00;
+Reference = C82;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51518E22;
+Reference = C83;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51518E28;
+Reference = C84;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51518E2E;
+Reference = C85;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51518E34;
+Reference = C86;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51518E3A;
+Reference = C87;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51518E40;
+Reference = C88;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51518E46;
+Reference = C89;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51518E4C;
+Reference = C90;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51518E52;
+Reference = C91;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51518E58;
+Reference = C92;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51518E5E;
+Reference = C93;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51518E64;
+Reference = C94;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51518E6A;
+Reference = C95;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51518E70;
+Reference = C96;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51518E76;
+Reference = C97;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51518E7C;
+Reference = C98;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51518E82;
+Reference = C99;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51518E88;
+Reference = C100;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51518E8E;
+Reference = C101;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51518E94;
+Reference = C102;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51518E9A;
+Reference = C103;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51518EA0;
+Reference = C104;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51518EA6;
+Reference = C105;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51518EAC;
+Reference = C106;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51518EB2;
+Reference = C107;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51513AB2;
+Reference = C108;
+ValeurCmp = 22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/515198E6;
+Reference = C109;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51519944;
+Reference = C110;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/5151994A;
+Reference = C111;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51519950;
+Reference = C112;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51519956;
+Reference = C113;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/5151995C;
+Reference = C114;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51519962;
+Reference = C115;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51519968;
+Reference = C116;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/5151996E;
+Reference = C117;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51519974;
+Reference = C118;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/5151997A;
+Reference = C119;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51519980;
+Reference = C120;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51519986;
+Reference = C121;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/5151998C;
+Reference = C122;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51519992;
+Reference = C123;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/51519998;
+Reference = C124;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/5151999E;
+Reference = C125;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5159654C/51599A5C;
+Reference = C135;
+ValeurCmp = 18pF;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5159654C/51599A05;
+Reference = C136;
+ValeurCmp = 18pF;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5159654C/515AE348;
+Reference = C137;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5159654C/515AE226;
+Reference = C138;
+ValeurCmp = 10u;
+IdModule  = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /5159654C/515AE245;
+Reference = C139;
+ValeurCmp = .22u;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5159654C/515ADE9A;
+Reference = C140;
+ValeurCmp = 10u;
+IdModule  = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /5189E9D2;
+Reference = D1;
+ValeurCmp = LED;
+IdModule  = LED-3MM;
+EndCmp
+
+BeginCmp
+TimeStamp = /51518451;
+Reference = J1;
+ValeurCmp = CONN10;
+IdModule  = PIN_ARRAY_5x2;
+EndCmp
+
+BeginCmp
+TimeStamp = /5157D108;
+Reference = J2;
+ValeurCmp = DB15;
+IdModule  = DB_15F-VGA;
+EndCmp
+
+BeginCmp
+TimeStamp = /5151A44D;
+Reference = J3;
+ValeurCmp = DUSB;
+IdModule  = USB_Double-from-FCI;
+EndCmp
+
+BeginCmp
+TimeStamp = /5151A43E;
+Reference = J4;
+ValeurCmp = USB1;
+IdModule  = conn_usb_A-vert;
+EndCmp
+
+BeginCmp
+TimeStamp = /5151A880;
+Reference = J5;
+ValeurCmp = USD;
+IdModule  = microsd_socket;
+EndCmp
+
+BeginCmp
+TimeStamp = /51729C76;
+Reference = J6;
+ValeurCmp = CONN_8;
+IdModule  = pin_array_4x2;
+EndCmp
+
+BeginCmp
+TimeStamp = /514F1A03;
+Reference = J7;
+ValeurCmp = HDMI;
+IdModule  = HDMI;
+EndCmp
+
+BeginCmp
+TimeStamp = /5159654C/51A4CD0A;
+Reference = J8;
+ValeurCmp = RJ45-HANRUN;
+IdModule  = RJ45-HANRUN;
+EndCmp
+
+BeginCmp
+TimeStamp = /5159654C/515ADE75;
+Reference = L1;
+ValeurCmp = Bead / SM0603;
+IdModule  = SM0603_Capa;
+EndCmp
+
+BeginCmp
+TimeStamp = /5150FD3C;
+Reference = L2;
+ValeurCmp = 4.7uH/3A;
+IdModule  = SM1812E;
+EndCmp
+
+BeginCmp
+TimeStamp = /514EC5DA;
+Reference = L3;
+ValeurCmp = 4.7uH at 1.5A;
+IdModule  = SM1812E;
+EndCmp
+
+BeginCmp
+TimeStamp = /51513975;
+Reference = L4;
+ValeurCmp = 4.7uH/2A;
+IdModule  = SM1812E;
+EndCmp
+
+BeginCmp
+TimeStamp = /5159654C/515AE1D6;
+Reference = L5;
+ValeurCmp = bead /SM0603;
+IdModule  = SM0603_Capa;
+EndCmp
+
+BeginCmp
+TimeStamp = /514ECA56;
+Reference = L6;
+ValeurCmp = 4.7uH at 1.5A;
+IdModule  = SM1812E;
+EndCmp
+
+BeginCmp
+TimeStamp = /5150C360;
+Reference = P1;
+ValeurCmp = CONN30 - LCD;
+IdModule  = pin2mm-30;
+EndCmp
+
+BeginCmp
+TimeStamp = /51526492;
+Reference = P2;
+ValeurCmp = CONN_5;
+IdModule  = conn_usb_B_micro_smd;
+EndCmp
+
+BeginCmp
+TimeStamp = /51590E78;
+Reference = P3;
+ValeurCmp = CONN_8;
+IdModule  = pin_array_4x2;
+EndCmp
+
+BeginCmp
+TimeStamp = /515A7B4E;
+Reference = P4;
+ValeurCmp = UBOOT;
+IdModule  = PIN_ARRAY_2X1;
+EndCmp
+
+BeginCmp
+TimeStamp = /5189B2BF;
+Reference = P5;
+ValeurCmp = CONN_1;
+IdModule  = PIN_ARRAY_1;
+EndCmp
+
+BeginCmp
+TimeStamp = /5189B2CC;
+Reference = P6;
+ValeurCmp = CONN_1;
+IdModule  = PIN_ARRAY_1;
+EndCmp
+
+BeginCmp
+TimeStamp = /5189B2D2;
+Reference = P7;
+ValeurCmp = CONN_1;
+IdModule  = PIN_ARRAY_1;
+EndCmp
+
+BeginCmp
+TimeStamp = /5189B2D8;
+Reference = P8;
+ValeurCmp = CONN_1;
+IdModule  = PIN_ARRAY_1;
+EndCmp
+
+BeginCmp
+TimeStamp = /5189B2DE;
+Reference = P9;
+ValeurCmp = CONN_1;
+IdModule  = PIN_ARRAY_1;
+EndCmp
+
+BeginCmp
+TimeStamp = /5189BC00;
+Reference = P10;
+ValeurCmp = CONN_1;
+IdModule  = PIN_ARRAY_1;
+EndCmp
+
+BeginCmp
+TimeStamp = /5189BF82;
+Reference = P11;
+ValeurCmp = CONN_1;
+IdModule  = PIN_ARRAY_1;
+EndCmp
+
+BeginCmp
+TimeStamp = /5189B501;
+Reference = P12;
+ValeurCmp = CONN_1;
+IdModule  = PIN_ARRAY_1;
+EndCmp
+
+BeginCmp
+TimeStamp = /5189B880;
+Reference = P13;
+ValeurCmp = CONN_1;
+IdModule  = PIN_ARRAY_1;
+EndCmp
+
+BeginCmp
+TimeStamp = /5189E5B2;
+Reference = P14;
+ValeurCmp = CONN_8;
+IdModule  = pin_array_4x2;
+EndCmp
+
+BeginCmp
+TimeStamp = /5154376F;
+Reference = R1;
+ValeurCmp = 10M;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5152B195;
+Reference = R2;
+ValeurCmp = 5k1;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5154C786;
+Reference = R3;
+ValeurCmp = 33;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /514C6175;
+Reference = R4;
+ValeurCmp = 240;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5154E138;
+Reference = R5;
+ValeurCmp = 33;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5154E7E5;
+Reference = R6;
+ValeurCmp = 33;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5154F007;
+Reference = R7;
+ValeurCmp = 33;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5154F826;
+Reference = R8;
+ValeurCmp = 33;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /51550049;
+Reference = R9;
+ValeurCmp = 33;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /514C617B;
+Reference = R10;
+ValeurCmp = 240;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /514FBC8D;
+Reference = R11;
+ValeurCmp = 240;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5154C74A;
+Reference = R12;
+ValeurCmp = 47K;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /51559D15;
+Reference = R13;
+ValeurCmp = 47K;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /51559D1B;
+Reference = R14;
+ValeurCmp = 47K;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /51559D21;
+Reference = R15;
+ValeurCmp = 47K;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /51559D27;
+Reference = R16;
+ValeurCmp = 47K;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /51559D2D;
+Reference = R17;
+ValeurCmp = 47K;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5154676A;
+Reference = R18;
+ValeurCmp = 22;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /51546777;
+Reference = R19;
+ValeurCmp = 22;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5152D179;
+Reference = R20;
+ValeurCmp = 2k2;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5152D186;
+Reference = R21;
+ValeurCmp = 2k2;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5150FD34;
+Reference = R22;
+ValeurCmp = 15k;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /51501E95;
+Reference = R23;
+ValeurCmp = 200k;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5150FD4C;
+Reference = R24;
+ValeurCmp = 10k;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5151396F;
+Reference = R25;
+ValeurCmp = 15k;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /514F4E43;
+Reference = R26;
+ValeurCmp = 2k2;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /514C634B;
+Reference = R27;
+ValeurCmp = 2k2;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5152F676;
+Reference = R28;
+ValeurCmp = 33;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5151397B;
+Reference = R29;
+ValeurCmp = 3.3k;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5152CC57;
+Reference = R30;
+ValeurCmp = 240;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /51531275;
+Reference = R31;
+ValeurCmp = 2k2;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/515147DD;
+Reference = R32;
+ValeurCmp = 2k2;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /515197D1/515147D0;
+Reference = R33;
+ValeurCmp = 2k2;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5159654C/5159ABE0;
+Reference = R34;
+ValeurCmp = 5k1;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5159654C/5159A227;
+Reference = R35;
+ValeurCmp = 5k1;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5159654C/5159A22D;
+Reference = R36;
+ValeurCmp = 5k1;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5159654C/5159A233;
+Reference = R37;
+ValeurCmp = 5k1;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5159654C/5159A239;
+Reference = R38;
+ValeurCmp = 5k1;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5159654C/5159A0EF;
+Reference = R39;
+ValeurCmp = 2k2;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5159654C/5159A133;
+Reference = R40;
+ValeurCmp = 5k1;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5159654C/5159A139;
+Reference = R41;
+ValeurCmp = 5k1;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5159654C/515AE399;
+Reference = R42;
+ValeurCmp = 5k1;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5159654C/515AE4AA;
+Reference = R43;
+ValeurCmp = 5k1;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5159654C/515AE4B0;
+Reference = R44;
+ValeurCmp = 5k1;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5159654C/515AE4B6;
+Reference = R45;
+ValeurCmp = 5k1;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5159654C/515AE4BC;
+Reference = R46;
+ValeurCmp = 5k1;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5159654C/515AE4C2;
+Reference = R47;
+ValeurCmp = 5k1;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5159654C/515AE801;
+Reference = R48;
+ValeurCmp = 1k;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5159654C/515AE7FB;
+Reference = R49;
+ValeurCmp = 1k;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5189E9C5;
+Reference = R50;
+ValeurCmp = 4k7;
+IdModule  = SM0402;
+EndCmp
+
+BeginCmp
+TimeStamp = /5152F670;
+Reference = SW1;
+ValeurCmp = SW_PUSH;
+IdModule  = SIL-2;
+EndCmp
+
+BeginCmp
+TimeStamp = /514FBC93;
+Reference = U1;
+ValeurCmp = 4GBDDR3;
+IdModule  = FBGA96-new;
+EndCmp
+
+BeginCmp
+TimeStamp = /514D42E8;
+Reference = U2;
+ValeurCmp = 4GBDDR3;
+IdModule  = FBGA96-new;
+EndCmp
+
+BeginCmp
+TimeStamp = /514D47F5;
+Reference = U3;
+ValeurCmp = A10-SOC;
+IdModule  = TFBGA441-new;
+EndCmp
+
+BeginCmp
+TimeStamp = /514D120E;
+Reference = U4;
+ValeurCmp = 524P;
+IdModule  = RCLAMP;
+EndCmp
+
+BeginCmp
+TimeStamp = /514F0596;
+Reference = U5;
+ValeurCmp = SY8008C;
+IdModule  = SOT23-5;
+EndCmp
+
+BeginCmp
+TimeStamp = /514D11F4;
+Reference = U6;
+ValeurCmp = 524P;
+IdModule  = RCLAMP;
+EndCmp
+
+BeginCmp
+TimeStamp = /514C3FF4;
+Reference = U7;
+ValeurCmp = AXP209;
+IdModule  = QFN48+1;
+EndCmp
+
+BeginCmp
+TimeStamp = /514D0FDE;
+Reference = U8;
+ValeurCmp = 524P;
+IdModule  = RCLAMP;
+EndCmp
+
+BeginCmp
+TimeStamp = /514F0D71;
+Reference = U9;
+ValeurCmp = SY8008C;
+IdModule  = SOT23-5;
+EndCmp
+
+BeginCmp
+TimeStamp = /51593628;
+Reference = U10;
+ValeurCmp = 74LS08;
+IdModule  = SO14E;
+EndCmp
+
+BeginCmp
+TimeStamp = /5159654C/51597EA2;
+Reference = U11;
+ValeurCmp = RTL8201CP;
+IdModule  = lqfp48;
+EndCmp
+
+BeginCmp
+TimeStamp = /5154375B;
+Reference = X1;
+ValeurCmp = 32k;
+IdModule  = crystal-32k-4.5x1.9mm;
+EndCmp
+
+BeginCmp
+TimeStamp = /51523481;
+Reference = X2;
+ValeurCmp = 24M;
+IdModule  = Crystal_SMD_7x5mm;
+EndCmp
+
+BeginCmp
+TimeStamp = /5159654C/51A6DE19;
+Reference = X3;
+ValeurCmp = 25M;
+IdModule  = Crystal-TXC-SMD3.2x2.5;
+EndCmp
+
+EndListe
diff --git a/SBC/sbc-ver1/a10-sbc.kicad_pcb b/SBC/sbc-ver1/a10-sbc.kicad_pcb
new file mode 100644
index 0000000..93ea7a4
--- /dev/null
+++ b/SBC/sbc-ver1/a10-sbc.kicad_pcb
@@ -0,0 +1,28869 @@
+(kicad_pcb (version 3) (host pcbnew "(2012-nov-02)-testing")
+
+  (general
+    (links 1092)
+    (no_connects 0)
+    (area 91.393362 68.599972 239.921181 161.081441)
+    (thickness 1.6)
+    (drawings 29)
+    (tracks 8370)
+    (zones 0)
+    (modules 428)
+    (nets 460)
+  )
+
+  (page User 431.8 279.4)
+  (layers
+    (15 TOP-L1 signal)
+    (4 GND-L2 signal hide)
+    (3 Sig1-L3 signal)
+    (2 Sig2-L4 signal)
+    (1 GND-L5 signal hide)
+    (0 BOT-L6 signal)
+    (16 B.Adhes user)
+    (17 F.Adhes user)
+    (18 B.Paste user)
+    (19 F.Paste user)
+    (20 B.SilkS user)
+    (21 F.SilkS user)
+    (22 B.Mask user)
+    (23 F.Mask user)
+    (24 Dwgs.User user)
+    (25 Cmts.User user)
+    (26 Eco1.User user)
+    (27 Eco2.User user)
+    (28 Edge.Cuts user)
+  )
+
+  (setup
+    (last_trace_width 0.1524)
+    (user_trace_width 0.508)
+    (user_trace_width 0.762)
+    (user_trace_width 0.2)
+    (user_trace_width 0.3)
+    (user_trace_width 0.5)
+    (user_trace_width 0.8)
+    (user_trace_width 2)
+    (trace_clearance 0.09144)
+    (zone_clearance 0.2032)
+    (zone_45_only no)
+    (trace_min 0.127)
+    (segment_width 0.2)
+    (edge_width 0.15)
+    (via_size 0.4572)
+    (via_drill 0.2032)
+    (via_min_size 0.4064)
+    (via_min_drill 0.2032)
+    (user_via 0.8128 0.6096)
+    (user_via 0.8128 0.6096)
+    (user_via 1.016 0.8128)
+    (uvia_size 0.508)
+    (uvia_drill 0.127)
+    (uvias_allowed no)
+    (uvia_min_size 0.508)
+    (uvia_min_drill 0.127)
+    (pcb_text_width 0.3)
+    (pcb_text_size 1 1)
+    (mod_edge_width 0.2)
+    (mod_text_size 1 1)
+    (mod_text_width 0.15)
+    (pad_size 1.1 1.9)
+    (pad_drill 0)
+    (pad_to_mask_clearance 0)
+    (aux_axis_origin 43.3658 115.4814)
+    (visible_elements 7FFF7FFF)
+    (pcbplotparams
+      (layerselection 284983327)
+      (usegerberextensions true)
+      (excludeedgelayer true)
+      (linewidth 0)
+      (plotframeref false)
+      (viasonmask false)
+      (mode 1)
+      (useauxorigin false)
+      (hpglpennumber 1)
+      (hpglpenspeed 20)
+      (hpglpendiameter 15)
+      (hpglpenoverlay 2)
+      (psnegative false)
+      (psa4output false)
+      (plotreference true)
+      (plotvalue true)
+      (plotothertext true)
+      (plotinvisibletext false)
+      (padsonsilk false)
+      (subtractmaskfromsilk false)
+      (outputformat 1)
+      (mirror false)
+      (drillshape 0)
+      (scaleselection 1)
+      (outputdirectory Gerber/))
+  )
+
+  (net 0 "")
+  (net 1 /BA0)
+  (net 2 /BA1)
+  (net 3 /BA2)
+  (net 4 /CK)
+  (net 5 /CK#)
+  (net 6 /CKE)
+  (net 7 /CS#)
+  (net 8 /DQ0)
+  (net 9 /DQ1)
+  (net 10 /DQ10)
+  (net 11 /DQ11)
+  (net 12 /DQ12)
+  (net 13 /DQ13)
+  (net 14 /DQ14)
+  (net 15 /DQ15)
+  (net 16 /DQ16)
+  (net 17 /DQ17)
+  (net 18 /DQ18)
+  (net 19 /DQ19)
+  (net 20 /DQ2)
+  (net 21 /DQ20)
+  (net 22 /DQ21)
+  (net 23 /DQ22)
+  (net 24 /DQ23)
+  (net 25 /DQ24)
+  (net 26 /DQ25)
+  (net 27 /DQ26)
+  (net 28 /DQ27)
+  (net 29 /DQ28)
+  (net 30 /DQ29)
+  (net 31 /DQ3)
+  (net 32 /DQ30)
+  (net 33 /DQ31)
+  (net 34 /DQ4)
+  (net 35 /DQ5)
+  (net 36 /DQ6)
+  (net 37 /DQ7)
+  (net 38 /DQ8)
+  (net 39 /DQ9)
+  (net 40 /EXTEN)
+  (net 41 /HCEC)
+  (net 42 /HHPD)
+  (net 43 /HSCL)
+  (net 44 /HSDA)
+  (net 45 /HTX0N)
+  (net 46 /HTX0P)
+  (net 47 /HTX1N)
+  (net 48 /HTX1P)
+  (net 49 /HTX2N)
+  (net 50 /HTX2P)
+  (net 51 /HTXCN)
+  (net 52 /HTXCP)
+  (net 53 /J_CK0)
+  (net 54 /J_DI0)
+  (net 55 /J_DO0)
+  (net 56 /J_MS0)
+  (net 57 /LCLK)
+  (net 58 /LD0)
+  (net 59 /LD1)
+  (net 60 /LD10)
+  (net 61 /LD11)
+  (net 62 /LD12)
+  (net 63 /LD13)
+  (net 64 /LD14)
+  (net 65 /LD15)
+  (net 66 /LD16)
+  (net 67 /LD17)
+  (net 68 /LD18)
+  (net 69 /LD19)
+  (net 70 /LD2)
+  (net 71 /LD20)
+  (net 72 /LD21)
+  (net 73 /LD22)
+  (net 74 /LD23)
+  (net 75 /LD3)
+  (net 76 /LD4)
+  (net 77 /LD5)
+  (net 78 /LD6)
+  (net 79 /LD7)
+  (net 80 /LD8)
+  (net 81 /LD9)
+  (net 82 /LDE)
+  (net 83 /LHSYN)
+  (net 84 /LIN_L)
+  (net 85 /LIN_R)
+  (net 86 /LVSYN)
+  (net 87 /MICIN1)
+  (net 88 /MICIN2)
+  (net 89 /NMI#)
+  (net 90 /ODT)
+  (net 91 /PE0)
+  (net 92 /PE1)
+  (net 93 /PE2)
+  (net 94 /PE3)
+  (net 95 /PE4)
+  (net 96 /PE5)
+  (net 97 /PE6)
+  (net 98 /PE7)
+  (net 99 /RESET#)
+  (net 100 /SA0)
+  (net 101 /SA1)
+  (net 102 /SA10)
+  (net 103 /SA11)
+  (net 104 /SA12)
+  (net 105 /SA13)
+  (net 106 /SA14)
+  (net 107 /SA2)
+  (net 108 /SA3)
+  (net 109 /SA4)
+  (net 110 /SA5)
+  (net 111 /SA6)
+  (net 112 /SA7)
+  (net 113 /SA8)
+  (net 114 /SA9)
+  (net 115 /SCAS)
+  (net 116 /SCK)
+  (net 117 /SCK#)
+  (net 118 /SDQM0)
+  (net 119 /SDQM1)
+  (net 120 /SDQM2)
+  (net 121 /SDQM3)
+  (net 122 /SDQS0)
+  (net 123 /SDQS0#)
+  (net 124 /SDQS1)
+  (net 125 /SDQS1#)
+  (net 126 /SDQS2)
+  (net 127 /SDQS2#)
+  (net 128 /SDQS3)
+  (net 129 /SDQS3#)
+  (net 130 /SRAS)
+  (net 131 /SRST)
+  (net 132 /SWE)
+  (net 133 /TWI0SCK)
+  (net 134 /TWI0SDA)
+  (net 135 /U0Rx)
+  (net 136 /U0Tx)
+  (net 137 /U3RX)
+  (net 138 /U3TX)
+  (net 139 /U4RX)
+  (net 140 /U4TX)
+  (net 141 /U5RX)
+  (net 142 /U5TX)
+  (net 143 /USB1M)
+  (net 144 /USB1P)
+  (net 145 /USB2M)
+  (net 146 /USB2P)
+  (net 147 /VGA_B)
+  (net 148 /VGA_G)
+  (net 149 /VGA_R)
+  (net 150 /VMIC)
+  (net 151 /VRA1)
+  (net 152 /VRA2)
+  (net 153 /VRP)
+  (net 154 /ethernet/ETHAVDD)
+  (net 155 /ethernet/LED0)
+  (net 156 /ethernet/LED4)
+  (net 157 /ethernet/PFWBOUT)
+  (net 158 /ethernet/RX+)
+  (net 159 /ethernet/RX-)
+  (net 160 /ethernet/TX+)
+  (net 161 /ethernet/TX-)
+  (net 162 1.25V)
+  (net 163 1.2V)
+  (net 164 3.3V)
+  (net 165 5V_IN)
+  (net 166 AVCC)
+  (net 167 DRAM_REF)
+  (net 168 DRAM_VCC)
+  (net 169 ECOL)
+  (net 170 ECRS)
+  (net 171 EMDC)
+  (net 172 EMDIO)
+  (net 173 ERXCK)
+  (net 174 ERXD0)
+  (net 175 ERXD1)
+  (net 176 ERXD2)
+  (net 177 ERXD3)
+  (net 178 ERXDV)
+  (net 179 ERXERR)
+  (net 180 ETXCK)
+  (net 181 ETXD0)
+  (net 182 ETXD1)
+  (net 183 ETXD2)
+  (net 184 ETXD3)
+  (net 185 ETXEN)
+  (net 186 ETXERR)
+  (net 187 GND)
+  (net 188 IPSOUT)
+  (net 189 LDO1)
+  (net 190 LDO3)
+  (net 191 LDO4)
+  (net 192 N-00000132)
+  (net 193 N-00000133)
+  (net 194 N-00000134)
+  (net 195 N-00000139)
+  (net 196 N-00000171)
+  (net 197 N-00000185)
+  (net 198 N-00000189)
+  (net 199 N-00000190)
+  (net 200 N-00000191)
+  (net 201 N-00000192)
+  (net 202 N-00000193)
+  (net 203 N-00000194)
+  (net 204 N-00000195)
+  (net 205 N-00000196)
+  (net 206 N-00000197)
+  (net 207 N-00000199)
+  (net 208 N-00000200)
+  (net 209 N-00000201)
+  (net 210 N-00000202)
+  (net 211 N-00000203)
+  (net 212 N-00000228)
+  (net 213 N-00000263)
+  (net 214 N-00000264)
+  (net 215 N-00000265)
+  (net 216 N-00000266)
+  (net 217 N-00000267)
+  (net 218 N-00000268)
+  (net 219 N-00000276)
+  (net 220 N-00000277)
+  (net 221 N-00000294)
+  (net 222 N-00000295)
+  (net 223 N-00000296)
+  (net 224 N-00000297)
+  (net 225 N-0000030)
+  (net 226 N-00000300)
+  (net 227 N-00000301)
+  (net 228 N-0000031)
+  (net 229 N-0000032)
+  (net 230 N-0000033)
+  (net 231 N-0000034)
+  (net 232 N-0000035)
+  (net 233 N-0000036)
+  (net 234 N-00000364)
+  (net 235 N-0000037)
+  (net 236 N-0000038)
+  (net 237 N-0000039)
+  (net 238 N-0000040)
+  (net 239 N-00000409)
+  (net 240 N-00000410)
+  (net 241 N-00000411)
+  (net 242 N-00000412)
+  (net 243 N-00000413)
+  (net 244 N-00000419)
+  (net 245 N-00000421)
+  (net 246 N-00000425)
+  (net 247 N-00000427)
+  (net 248 N-00000428)
+  (net 249 N-00000429)
+  (net 250 N-00000430)
+  (net 251 N-00000431)
+  (net 252 N-00000432)
+  (net 253 N-00000433)
+  (net 254 N-00000434)
+  (net 255 N-0000053)
+  (net 256 N-0000054)
+  (net 257 N-0000088)
+  (net 258 N-0000097)
+  (net 259 N-0000098)
+  (net 260 N-000176)
+  (net 261 N-000177)
+  (net 262 N-000178)
+  (net 263 N-000179)
+  (net 264 N-000180)
+  (net 265 N-000181)
+  (net 266 N-000182)
+  (net 267 N-000183)
+  (net 268 N-000184)
+  (net 269 N-000185)
+  (net 270 N-000186)
+  (net 271 N-000187)
+  (net 272 N-000188)
+  (net 273 N-000189)
+  (net 274 N-000190)
+  (net 275 N-000191)
+  (net 276 N-000192)
+  (net 277 N-000193)
+  (net 278 N-000194)
+  (net 279 N-000195)
+  (net 280 N-000196)
+  (net 281 N-000197)
+  (net 282 N-000198)
+  (net 283 N-000199)
+  (net 284 N-000200)
+  (net 285 N-000201)
+  (net 286 N-000202)
+  (net 287 N-000203)
+  (net 288 N-000204)
+  (net 289 N-000205)
+  (net 290 N-000206)
+  (net 291 N-000207)
+  (net 292 N-000208)
+  (net 293 N-000209)
+  (net 294 N-000210)
+  (net 295 N-000211)
+  (net 296 N-000212)
+  (net 297 N-000213)
+  (net 298 N-000214)
+  (net 299 N-000215)
+  (net 300 N-000216)
+  (net 301 N-000217)
+  (net 302 N-000218)
+  (net 303 N-000219)
+  (net 304 N-000220)
+  (net 305 N-000221)
+  (net 306 N-000222)
+  (net 307 N-000223)
+  (net 308 N-000224)
+  (net 309 N-000225)
+  (net 310 N-000226)
+  (net 311 N-000227)
+  (net 312 N-000228)
+  (net 313 N-000229)
+  (net 314 N-000230)
+  (net 315 N-000231)
+  (net 316 N-000232)
+  (net 317 N-000233)
+  (net 318 N-000234)
+  (net 319 N-000235)
+  (net 320 N-000236)
+  (net 321 N-000237)
+  (net 322 N-000238)
+  (net 323 N-000239)
+  (net 324 N-000240)
+  (net 325 N-000241)
+  (net 326 N-000242)
+  (net 327 N-000243)
+  (net 328 N-000244)
+  (net 329 N-000245)
+  (net 330 N-000246)
+  (net 331 N-000247)
+  (net 332 N-000248)
+  (net 333 N-000249)
+  (net 334 N-000250)
+  (net 335 N-000251)
+  (net 336 N-000252)
+  (net 337 N-000253)
+  (net 338 N-000255)
+  (net 339 N-000256)
+  (net 340 N-000257)
+  (net 341 N-000258)
+  (net 342 N-000259)
+  (net 343 N-000260)
+  (net 344 N-000261)
+  (net 345 N-000262)
+  (net 346 N-000263)
+  (net 347 N-000264)
+  (net 348 N-000265)
+  (net 349 N-000614)
+  (net 350 N-000687)
+  (net 351 N-000688)
+  (net 352 N-000689)
+  (net 353 N-000690)
+  (net 354 N-000691)
+  (net 355 N-000692)
+  (net 356 N-000693)
+  (net 357 N-000694)
+  (net 358 N-000695)
+  (net 359 N-000696)
+  (net 360 N-000697)
+  (net 361 N-000698)
+  (net 362 N-000699)
+  (net 363 N-000700)
+  (net 364 N-000701)
+  (net 365 N-000702)
+  (net 366 N-000703)
+  (net 367 N-000704)
+  (net 368 N-000705)
+  (net 369 N-000706)
+  (net 370 N-000707)
+  (net 371 N-000708)
+  (net 372 N-000709)
+  (net 373 N-000710)
+  (net 374 N-000711)
+  (net 375 N-000712)
+  (net 376 N-000713)
+  (net 377 N-000714)
+  (net 378 N-000715)
+  (net 379 N-000716)
+  (net 380 N-000717)
+  (net 381 N-000744)
+  (net 382 N-000745)
+  (net 383 N-000746)
+  (net 384 N-000747)
+  (net 385 N-000748)
+  (net 386 N-000749)
+  (net 387 N-000750)
+  (net 388 N-000751)
+  (net 389 N-000767)
+  (net 390 N-000768)
+  (net 391 N-000769)
+  (net 392 N-000770)
+  (net 393 N-000771)
+  (net 394 N-000772)
+  (net 395 N-000773)
+  (net 396 N-000774)
+  (net 397 N-000775)
+  (net 398 N-000776)
+  (net 399 N-000777)
+  (net 400 N-000778)
+  (net 401 N-000779)
+  (net 402 N-000780)
+  (net 403 N-000781)
+  (net 404 N-000782)
+  (net 405 N-000783)
+  (net 406 N-000784)
+  (net 407 N-000785)
+  (net 408 N-000786)
+  (net 409 N-000787)
+  (net 410 N-000788)
+  (net 411 N-000789)
+  (net 412 N-000790)
+  (net 413 N-000791)
+  (net 414 N-000792)
+  (net 415 N-000793)
+  (net 416 N-000794)
+  (net 417 N-000795)
+  (net 418 N-000796)
+  (net 419 N-000797)
+  (net 420 N-000798)
+  (net 421 N-000799)
+  (net 422 N-000800)
+  (net 423 N-000801)
+  (net 424 N-000802)
+  (net 425 N-000803)
+  (net 426 N-000804)
+  (net 427 N-000805)
+  (net 428 N-000806)
+  (net 429 N-000807)
+  (net 430 N-000808)
+  (net 431 N-000809)
+  (net 432 N-000810)
+  (net 433 N-000811)
+  (net 434 N-000812)
+  (net 435 N-000813)
+  (net 436 N-000814)
+  (net 437 N-000815)
+  (net 438 N-000816)
+  (net 439 N-000817)
+  (net 440 N-000818)
+  (net 441 N-000819)
+  (net 442 N-000820)
+  (net 443 N-000821)
+  (net 444 N-000822)
+  (net 445 N-000823)
+  (net 446 N-000824)
+  (net 447 N-000825)
+  (net 448 N-000826)
+  (net 449 N-000827)
+  (net 450 N-000828)
+  (net 451 N-000829)
+  (net 452 N-000830)
+  (net 453 N-000831)
+  (net 454 N-000832)
+  (net 455 N-000833)
+  (net 456 N-000834)
+  (net 457 N-000835)
+  (net 458 N-000836)
+  (net 459 N-000837)
+
+  (net_class Default "This is the default net class."
+    (clearance 0.09144)
+    (trace_width 0.1524)
+    (via_dia 0.4572)
+    (via_drill 0.2032)
+    (uvia_dia 0.508)
+    (uvia_drill 0.127)
+    (add_net "")
+    (add_net /BA0)
+    (add_net /BA1)
+    (add_net /BA2)
+    (add_net /CK)
+    (add_net /CK#)
+    (add_net /CKE)
+    (add_net /CS#)
+    (add_net /DQ0)
+    (add_net /DQ1)
+    (add_net /DQ10)
+    (add_net /DQ11)
+    (add_net /DQ12)
+    (add_net /DQ13)
+    (add_net /DQ14)
+    (add_net /DQ15)
+    (add_net /DQ16)
+    (add_net /DQ17)
+    (add_net /DQ18)
+    (add_net /DQ19)
+    (add_net /DQ2)
+    (add_net /DQ20)
+    (add_net /DQ21)
+    (add_net /DQ22)
+    (add_net /DQ23)
+    (add_net /DQ24)
+    (add_net /DQ25)
+    (add_net /DQ26)
+    (add_net /DQ27)
+    (add_net /DQ28)
+    (add_net /DQ29)
+    (add_net /DQ3)
+    (add_net /DQ30)
+    (add_net /DQ31)
+    (add_net /DQ4)
+    (add_net /DQ5)
+    (add_net /DQ6)
+    (add_net /DQ7)
+    (add_net /DQ8)
+    (add_net /DQ9)
+    (add_net /EXTEN)
+    (add_net /HCEC)
+    (add_net /HHPD)
+    (add_net /HSCL)
+    (add_net /HSDA)
+    (add_net /HTX0N)
+    (add_net /HTX0P)
+    (add_net /HTX1N)
+    (add_net /HTX1P)
+    (add_net /HTX2N)
+    (add_net /HTX2P)
+    (add_net /HTXCN)
+    (add_net /HTXCP)
+    (add_net /J_CK0)
+    (add_net /J_DI0)
+    (add_net /J_DO0)
+    (add_net /J_MS0)
+    (add_net /LCLK)
+    (add_net /LD0)
+    (add_net /LD1)
+    (add_net /LD10)
+    (add_net /LD11)
+    (add_net /LD12)
+    (add_net /LD13)
+    (add_net /LD14)
+    (add_net /LD15)
+    (add_net /LD16)
+    (add_net /LD17)
+    (add_net /LD18)
+    (add_net /LD19)
+    (add_net /LD2)
+    (add_net /LD20)
+    (add_net /LD21)
+    (add_net /LD22)
+    (add_net /LD23)
+    (add_net /LD3)
+    (add_net /LD4)
+    (add_net /LD5)
+    (add_net /LD6)
+    (add_net /LD7)
+    (add_net /LD8)
+    (add_net /LD9)
+    (add_net /LDE)
+    (add_net /LHSYN)
+    (add_net /LIN_L)
+    (add_net /LIN_R)
+    (add_net /LVSYN)
+    (add_net /MICIN1)
+    (add_net /MICIN2)
+    (add_net /NMI#)
+    (add_net /ODT)
+    (add_net /PE0)
+    (add_net /PE1)
+    (add_net /PE2)
+    (add_net /PE3)
+    (add_net /PE4)
+    (add_net /PE5)
+    (add_net /PE6)
+    (add_net /PE7)
+    (add_net /RESET#)
+    (add_net /SA0)
+    (add_net /SA1)
+    (add_net /SA10)
+    (add_net /SA11)
+    (add_net /SA12)
+    (add_net /SA13)
+    (add_net /SA14)
+    (add_net /SA2)
+    (add_net /SA3)
+    (add_net /SA4)
+    (add_net /SA5)
+    (add_net /SA6)
+    (add_net /SA7)
+    (add_net /SA8)
+    (add_net /SA9)
+    (add_net /SCAS)
+    (add_net /SCK)
+    (add_net /SCK#)
+    (add_net /SDQM0)
+    (add_net /SDQM1)
+    (add_net /SDQM2)
+    (add_net /SDQM3)
+    (add_net /SDQS0)
+    (add_net /SDQS0#)
+    (add_net /SDQS1)
+    (add_net /SDQS1#)
+    (add_net /SDQS2)
+    (add_net /SDQS2#)
+    (add_net /SDQS3)
+    (add_net /SDQS3#)
+    (add_net /SRAS)
+    (add_net /SRST)
+    (add_net /SWE)
+    (add_net /TWI0SCK)
+    (add_net /TWI0SDA)
+    (add_net /U0Rx)
+    (add_net /U0Tx)
+    (add_net /U3RX)
+    (add_net /U3TX)
+    (add_net /U4RX)
+    (add_net /U4TX)
+    (add_net /U5RX)
+    (add_net /U5TX)
+    (add_net /USB1M)
+    (add_net /USB1P)
+    (add_net /USB2M)
+    (add_net /USB2P)
+    (add_net /VGA_B)
+    (add_net /VGA_G)
+    (add_net /VGA_R)
+    (add_net /VMIC)
+    (add_net /VRA1)
+    (add_net /VRA2)
+    (add_net /VRP)
+    (add_net /ethernet/ETHAVDD)
+    (add_net /ethernet/LED0)
+    (add_net /ethernet/LED4)
+    (add_net /ethernet/PFWBOUT)
+    (add_net /ethernet/RX+)
+    (add_net /ethernet/RX-)
+    (add_net /ethernet/TX+)
+    (add_net /ethernet/TX-)
+    (add_net ECOL)
+    (add_net ECRS)
+    (add_net EMDC)
+    (add_net EMDIO)
+    (add_net ERXCK)
+    (add_net ERXD0)
+    (add_net ERXD1)
+    (add_net ERXD2)
+    (add_net ERXD3)
+    (add_net ERXDV)
+    (add_net ERXERR)
+    (add_net ETXCK)
+    (add_net ETXD0)
+    (add_net ETXD1)
+    (add_net ETXD2)
+    (add_net ETXD3)
+    (add_net ETXEN)
+    (add_net ETXERR)
+    (add_net N-00000132)
+    (add_net N-00000133)
+    (add_net N-00000134)
+    (add_net N-00000139)
+    (add_net N-00000171)
+    (add_net N-00000185)
+    (add_net N-00000189)
+    (add_net N-00000190)
+    (add_net N-00000191)
+    (add_net N-00000192)
+    (add_net N-00000193)
+    (add_net N-00000194)
+    (add_net N-00000195)
+    (add_net N-00000196)
+    (add_net N-00000197)
+    (add_net N-00000199)
+    (add_net N-00000200)
+    (add_net N-00000201)
+    (add_net N-00000202)
+    (add_net N-00000203)
+    (add_net N-00000228)
+    (add_net N-00000263)
+    (add_net N-00000264)
+    (add_net N-00000265)
+    (add_net N-00000266)
+    (add_net N-00000267)
+    (add_net N-00000268)
+    (add_net N-00000276)
+    (add_net N-00000277)
+    (add_net N-00000294)
+    (add_net N-00000295)
+    (add_net N-00000296)
+    (add_net N-00000297)
+    (add_net N-0000030)
+    (add_net N-00000300)
+    (add_net N-00000301)
+    (add_net N-0000031)
+    (add_net N-0000032)
+    (add_net N-0000033)
+    (add_net N-0000034)
+    (add_net N-0000035)
+    (add_net N-0000036)
+    (add_net N-00000364)
+    (add_net N-0000037)
+    (add_net N-0000038)
+    (add_net N-0000039)
+    (add_net N-0000040)
+    (add_net N-00000409)
+    (add_net N-00000410)
+    (add_net N-00000411)
+    (add_net N-00000412)
+    (add_net N-00000413)
+    (add_net N-00000419)
+    (add_net N-00000421)
+    (add_net N-00000425)
+    (add_net N-00000427)
+    (add_net N-00000428)
+    (add_net N-00000429)
+    (add_net N-00000430)
+    (add_net N-00000431)
+    (add_net N-00000432)
+    (add_net N-00000433)
+    (add_net N-00000434)
+    (add_net N-0000053)
+    (add_net N-0000054)
+    (add_net N-0000088)
+    (add_net N-0000097)
+    (add_net N-0000098)
+    (add_net N-000176)
+    (add_net N-000177)
+    (add_net N-000178)
+    (add_net N-000179)
+    (add_net N-000180)
+    (add_net N-000181)
+    (add_net N-000182)
+    (add_net N-000183)
+    (add_net N-000184)
+    (add_net N-000185)
+    (add_net N-000186)
+    (add_net N-000187)
+    (add_net N-000188)
+    (add_net N-000189)
+    (add_net N-000190)
+    (add_net N-000191)
+    (add_net N-000192)
+    (add_net N-000193)
+    (add_net N-000194)
+    (add_net N-000195)
+    (add_net N-000196)
+    (add_net N-000197)
+    (add_net N-000198)
+    (add_net N-000199)
+    (add_net N-000200)
+    (add_net N-000201)
+    (add_net N-000202)
+    (add_net N-000203)
+    (add_net N-000204)
+    (add_net N-000205)
+    (add_net N-000206)
+    (add_net N-000207)
+    (add_net N-000208)
+    (add_net N-000209)
+    (add_net N-000210)
+    (add_net N-000211)
+    (add_net N-000212)
+    (add_net N-000213)
+    (add_net N-000214)
+    (add_net N-000215)
+    (add_net N-000216)
+    (add_net N-000217)
+    (add_net N-000218)
+    (add_net N-000219)
+    (add_net N-000220)
+    (add_net N-000221)
+    (add_net N-000222)
+    (add_net N-000223)
+    (add_net N-000224)
+    (add_net N-000225)
+    (add_net N-000226)
+    (add_net N-000227)
+    (add_net N-000228)
+    (add_net N-000229)
+    (add_net N-000230)
+    (add_net N-000231)
+    (add_net N-000232)
+    (add_net N-000233)
+    (add_net N-000234)
+    (add_net N-000235)
+    (add_net N-000236)
+    (add_net N-000237)
+    (add_net N-000238)
+    (add_net N-000239)
+    (add_net N-000240)
+    (add_net N-000241)
+    (add_net N-000242)
+    (add_net N-000243)
+    (add_net N-000244)
+    (add_net N-000245)
+    (add_net N-000246)
+    (add_net N-000247)
+    (add_net N-000248)
+    (add_net N-000249)
+    (add_net N-000250)
+    (add_net N-000251)
+    (add_net N-000252)
+    (add_net N-000253)
+    (add_net N-000255)
+    (add_net N-000256)
+    (add_net N-000257)
+    (add_net N-000258)
+    (add_net N-000259)
+    (add_net N-000260)
+    (add_net N-000261)
+    (add_net N-000262)
+    (add_net N-000263)
+    (add_net N-000264)
+    (add_net N-000265)
+    (add_net N-000614)
+    (add_net N-000687)
+    (add_net N-000688)
+    (add_net N-000689)
+    (add_net N-000690)
+    (add_net N-000691)
+    (add_net N-000692)
+    (add_net N-000693)
+    (add_net N-000694)
+    (add_net N-000695)
+    (add_net N-000696)
+    (add_net N-000697)
+    (add_net N-000698)
+    (add_net N-000699)
+    (add_net N-000700)
+    (add_net N-000701)
+    (add_net N-000702)
+    (add_net N-000703)
+    (add_net N-000704)
+    (add_net N-000705)
+    (add_net N-000706)
+    (add_net N-000707)
+    (add_net N-000708)
+    (add_net N-000709)
+    (add_net N-000710)
+    (add_net N-000711)
+    (add_net N-000712)
+    (add_net N-000713)
+    (add_net N-000714)
+    (add_net N-000715)
+    (add_net N-000716)
+    (add_net N-000717)
+    (add_net N-000744)
+    (add_net N-000745)
+    (add_net N-000746)
+    (add_net N-000747)
+    (add_net N-000748)
+    (add_net N-000749)
+    (add_net N-000750)
+    (add_net N-000751)
+    (add_net N-000767)
+    (add_net N-000768)
+    (add_net N-000769)
+    (add_net N-000770)
+    (add_net N-000771)
+    (add_net N-000772)
+    (add_net N-000773)
+    (add_net N-000774)
+    (add_net N-000775)
+    (add_net N-000776)
+    (add_net N-000777)
+    (add_net N-000778)
+    (add_net N-000779)
+    (add_net N-000780)
+    (add_net N-000781)
+    (add_net N-000782)
+    (add_net N-000783)
+    (add_net N-000784)
+    (add_net N-000785)
+    (add_net N-000786)
+    (add_net N-000787)
+    (add_net N-000788)
+    (add_net N-000789)
+    (add_net N-000790)
+    (add_net N-000791)
+    (add_net N-000792)
+    (add_net N-000793)
+    (add_net N-000794)
+    (add_net N-000795)
+    (add_net N-000796)
+    (add_net N-000797)
+    (add_net N-000798)
+    (add_net N-000799)
+    (add_net N-000800)
+    (add_net N-000801)
+    (add_net N-000802)
+    (add_net N-000803)
+    (add_net N-000804)
+    (add_net N-000805)
+    (add_net N-000806)
+    (add_net N-000807)
+    (add_net N-000808)
+    (add_net N-000809)
+    (add_net N-000810)
+    (add_net N-000811)
+    (add_net N-000812)
+    (add_net N-000813)
+    (add_net N-000814)
+    (add_net N-000815)
+    (add_net N-000816)
+    (add_net N-000817)
+    (add_net N-000818)
+    (add_net N-000819)
+    (add_net N-000820)
+    (add_net N-000821)
+    (add_net N-000822)
+    (add_net N-000823)
+    (add_net N-000824)
+    (add_net N-000825)
+    (add_net N-000826)
+    (add_net N-000827)
+    (add_net N-000828)
+    (add_net N-000829)
+    (add_net N-000830)
+    (add_net N-000831)
+    (add_net N-000832)
+    (add_net N-000833)
+    (add_net N-000834)
+    (add_net N-000835)
+    (add_net N-000836)
+    (add_net N-000837)
+  )
+
+  (net_class power ""
+    (clearance 0.09144)
+    (trace_width 0.1524)
+    (via_dia 0.4572)
+    (via_drill 0.2032)
+    (uvia_dia 0.508)
+    (uvia_drill 0.127)
+    (add_net 1.25V)
+    (add_net 1.2V)
+    (add_net 3.3V)
+    (add_net 5V_IN)
+    (add_net AVCC)
+    (add_net DRAM_REF)
+    (add_net DRAM_VCC)
+    (add_net GND)
+    (add_net IPSOUT)
+    (add_net LDO1)
+    (add_net LDO3)
+    (add_net LDO4)
+  )
+
+  (module m-pad-2.1-SODIMM200-JAE (layer TOP-L1) (tedit 5112D1A3) (tstamp 511242E8)
+    (at 103.45314 116.95048 270)
+    (descr "JAE-CONNECTOR SO-DIMM 200 CONNECTOR")
+    (tags "JAE-CONNECTOR SO-DIMM 200 CONNECTOR")
+    (path /51124EB5)
+    (attr smd)
+    (fp_text reference SO1 (at -32.35198 -0.59436 360) (layer B.SilkS) hide
+      (effects (font (size 1.27 1.27) (thickness 0.0889)))
+    )
+    (fp_text value SODIMM200_EDGE_D (at -36.44646 0.635 360) (layer B.SilkS) hide
+      (effects (font (size 1.27 1.27) (thickness 0.0889)))
+    )
+    (fp_text user >VALUE (at 36.44646 0 360) (layer B.SilkS) hide
+      (effects (font (size 1.27 1.27) (thickness 0.0889)))
+    )
+    (fp_text user 1 (at -32.02432 1.89738 360) (layer B.SilkS)
+      (effects (font (size 0.8128 0.8128) (thickness 0.0889)))
+    )
+    (fp_text user 199 (at 31.05658 1.09474 360) (layer B.SilkS)
+      (effects (font (size 0.8128 0.8128) (thickness 0.0889)))
+    )
+    (fp_text user 41 (at -16.42364 1.6891 360) (layer B.SilkS)
+      (effects (font (size 0.8128 0.8128) (thickness 0.0889)))
+    )
+    (pad 1 smd rect (at -31.64586 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 324 N-000240)
+    )
+    (pad 2 smd rect (at -31.33598 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 435 N-000813)
+    )
+    (pad 3 smd rect (at -31.04896 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 310 N-000226)
+    )
+    (pad 4 smd rect (at -30.73908 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 421 N-000799)
+    )
+    (pad 5 smd rect (at -30.44952 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 322 N-000238)
+    )
+    (pad 6 smd rect (at -30.13964 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 433 N-000811)
+    )
+    (pad 7 smd rect (at -29.84754 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 321 N-000237)
+    )
+    (pad 8 smd rect (at -29.53766 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 432 N-000810)
+    )
+    (pad 9 smd rect (at -29.2481 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 320 N-000236)
+    )
+    (pad 10 smd rect (at -28.93822 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 431 N-000809)
+    )
+    (pad 11 smd rect (at -28.64866 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 319 N-000235)
+    )
+    (pad 12 smd rect (at -28.33878 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 430 N-000808)
+    )
+    (pad 13 smd rect (at -28.04922 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 318 N-000234)
+    )
+    (pad 14 smd rect (at -27.73934 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 429 N-000807)
+    )
+    (pad 15 smd rect (at -27.44978 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 317 N-000233)
+    )
+    (pad 16 smd rect (at -27.1399 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 428 N-000806)
+    )
+    (pad 17 smd rect (at -26.8478 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 316 N-000232)
+    )
+    (pad 18 smd rect (at -26.53792 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 427 N-000805)
+    )
+    (pad 19 smd rect (at -26.24836 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 315 N-000231)
+    )
+    (pad 20 smd rect (at -25.93848 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 426 N-000804)
+    )
+    (pad 21 smd rect (at -25.64892 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 314 N-000230)
+    )
+    (pad 22 smd rect (at -25.33904 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 425 N-000803)
+    )
+    (pad 23 smd rect (at -25.04948 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 313 N-000229)
+    )
+    (pad 24 smd rect (at -24.7396 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 424 N-000802)
+    )
+    (pad 25 smd rect (at -24.4475 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 312 N-000228)
+    )
+    (pad 26 smd rect (at -24.13762 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 423 N-000801)
+    )
+    (pad 27 smd rect (at -23.84806 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 311 N-000227)
+    )
+    (pad 28 smd rect (at -23.53818 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 422 N-000800)
+    )
+    (pad 29 smd rect (at -23.24862 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 323 N-000239)
+    )
+    (pad 30 smd rect (at -22.93874 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 434 N-000812)
+    )
+    (pad 31 smd rect (at -22.64918 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 348 N-000265)
+    )
+    (pad 32 smd rect (at -22.3393 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 459 N-000837)
+    )
+    (pad 33 smd rect (at -22.04974 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 347 N-000264)
+    )
+    (pad 34 smd rect (at -21.73986 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 458 N-000836)
+    )
+    (pad 35 smd rect (at -21.44776 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 346 N-000263)
+    )
+    (pad 36 smd rect (at -21.13788 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 457 N-000835)
+    )
+    (pad 37 smd rect (at -20.84832 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 345 N-000262)
+    )
+    (pad 38 smd rect (at -20.53844 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 456 N-000834)
+    )
+    (pad 39 smd rect (at -20.24888 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 344 N-000261)
+    )
+    (pad 40 smd rect (at -19.939 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 455 N-000833)
+    )
+    (pad 41 smd rect (at -16.03756 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 343 N-000260)
+    )
+    (pad 42 smd rect (at -15.73784 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 454 N-000832)
+    )
+    (pad 43 smd rect (at -15.44828 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 342 N-000259)
+    )
+    (pad 44 smd rect (at -15.1384 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 453 N-000831)
+    )
+    (pad 45 smd rect (at -14.84884 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 341 N-000258)
+    )
+    (pad 46 smd rect (at -14.53896 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 452 N-000830)
+    )
+    (pad 47 smd rect (at -14.2494 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 340 N-000257)
+    )
+    (pad 48 smd rect (at -13.93952 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 451 N-000829)
+    )
+    (pad 49 smd rect (at -13.64996 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 339 N-000256)
+    )
+    (pad 50 smd rect (at -13.33754 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 450 N-000828)
+    )
+    (pad 51 smd rect (at -13.04798 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 338 N-000255)
+    )
+    (pad 52 smd rect (at -12.7381 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 449 N-000827)
+    )
+    (pad 53 smd rect (at -12.44854 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 325 N-000241)
+    )
+    (pad 54 smd rect (at -12.13866 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 436 N-000814)
+    )
+    (pad 55 smd rect (at -11.8491 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 337 N-000253)
+    )
+    (pad 56 smd rect (at -11.53922 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 448 N-000826)
+    )
+    (pad 57 smd rect (at -11.24966 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 336 N-000252)
+    )
+    (pad 58 smd rect (at -10.93978 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 447 N-000825)
+    )
+    (pad 59 smd rect (at -10.64768 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 335 N-000251)
+    )
+    (pad 60 smd rect (at -10.3378 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 446 N-000824)
+    )
+    (pad 61 smd rect (at -10.04824 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 334 N-000250)
+    )
+    (pad 62 smd rect (at -9.73836 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 445 N-000823)
+    )
+    (pad 63 smd rect (at -9.4488 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 333 N-000249)
+    )
+    (pad 64 smd rect (at -9.13892 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 444 N-000822)
+    )
+    (pad 65 smd rect (at -8.84936 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 332 N-000248)
+    )
+    (pad 66 smd rect (at -8.53948 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 443 N-000821)
+    )
+    (pad 67 smd rect (at -8.24992 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 331 N-000247)
+    )
+    (pad 68 smd rect (at -7.9375 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 442 N-000820)
+    )
+    (pad 69 smd rect (at -7.64794 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 330 N-000246)
+    )
+    (pad 70 smd rect (at -7.33806 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 441 N-000819)
+    )
+    (pad 71 smd rect (at -7.0485 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 329 N-000245)
+    )
+    (pad 72 smd rect (at -6.73862 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 440 N-000818)
+    )
+    (pad 73 smd rect (at -6.44906 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 328 N-000244)
+    )
+    (pad 74 smd rect (at -6.13918 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 439 N-000817)
+    )
+    (pad 75 smd rect (at -5.84962 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 327 N-000243)
+    )
+    (pad 76 smd rect (at -5.53974 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 438 N-000816)
+    )
+    (pad 77 smd rect (at -5.24764 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 326 N-000242)
+    )
+    (pad 78 smd rect (at -4.93776 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 437 N-000815)
+    )
+    (pad 79 smd rect (at -4.6482 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 298 N-000214)
+    )
+    (pad 80 smd rect (at -4.33832 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 414 N-000792)
+    )
+    (pad 81 smd rect (at -4.04876 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 284 N-000200)
+    )
+    (pad 82 smd rect (at -3.73888 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 413 N-000791)
+    )
+    (pad 83 smd rect (at -3.44932 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 283 N-000199)
+    )
+    (pad 84 smd rect (at -3.13944 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 412 N-000790)
+    )
+    (pad 85 smd rect (at -2.84988 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 282 N-000198)
+    )
+    (pad 86 smd rect (at -2.54 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 411 N-000789)
+    )
+    (pad 87 smd rect (at -2.2479 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 281 N-000197)
+    )
+    (pad 88 smd rect (at -1.93802 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 410 N-000788)
+    )
+    (pad 89 smd rect (at -1.64846 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 280 N-000196)
+    )
+    (pad 90 smd rect (at -1.33858 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 409 N-000787)
+    )
+    (pad 91 smd rect (at -1.04902 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 279 N-000195)
+    )
+    (pad 92 smd rect (at -0.73914 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 408 N-000786)
+    )
+    (pad 93 smd rect (at -0.44958 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 278 N-000194)
+    )
+    (pad 94 smd rect (at -0.1397 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 407 N-000785)
+    )
+    (pad 95 smd rect (at 0.14986 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 277 N-000193)
+    )
+    (pad 96 smd rect (at 0.45974 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 406 N-000784)
+    )
+    (pad 97 smd rect (at 0.7493 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 276 N-000192)
+    )
+    (pad 98 smd rect (at 1.05918 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 405 N-000783)
+    )
+    (pad 99 smd rect (at 1.34874 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 275 N-000191)
+    )
+    (pad 100 smd rect (at 1.65862 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 404 N-000782)
+    )
+    (pad 101 smd rect (at 1.94818 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 274 N-000190)
+    )
+    (pad 102 smd rect (at 2.25806 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 403 N-000781)
+    )
+    (pad 103 smd rect (at 2.54762 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 260 N-000176)
+    )
+    (pad 104 smd rect (at 2.8575 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 389 N-000767)
+    )
+    (pad 105 smd rect (at 3.1496 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 272 N-000188)
+    )
+    (pad 106 smd rect (at 3.45948 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 401 N-000779)
+    )
+    (pad 107 smd rect (at 3.74904 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 271 N-000187)
+    )
+    (pad 108 smd rect (at 4.05892 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 400 N-000778)
+    )
+    (pad 109 smd rect (at 4.34848 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 270 N-000186)
+    )
+    (pad 110 smd rect (at 4.65836 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 399 N-000777)
+    )
+    (pad 111 smd rect (at 4.94792 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 269 N-000185)
+    )
+    (pad 112 smd rect (at 5.2578 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 398 N-000776)
+    )
+    (pad 113 smd rect (at 5.5499 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 268 N-000184)
+    )
+    (pad 114 smd rect (at 5.85978 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 397 N-000775)
+    )
+    (pad 115 smd rect (at 6.14934 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 267 N-000183)
+    )
+    (pad 116 smd rect (at 6.45922 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 396 N-000774)
+    )
+    (pad 117 smd rect (at 6.74878 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 266 N-000182)
+    )
+    (pad 118 smd rect (at 7.05866 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 395 N-000773)
+    )
+    (pad 119 smd rect (at 7.34822 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 265 N-000181)
+    )
+    (pad 120 smd rect (at 7.6581 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 394 N-000772)
+    )
+    (pad 121 smd rect (at 7.94766 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 264 N-000180)
+    )
+    (pad 122 smd rect (at 8.25754 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 393 N-000771)
+    )
+    (pad 123 smd rect (at 8.54964 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 263 N-000179)
+    )
+    (pad 124 smd rect (at 8.85952 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 392 N-000770)
+    )
+    (pad 125 smd rect (at 9.14908 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 262 N-000178)
+    )
+    (pad 126 smd rect (at 9.45896 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 391 N-000769)
+    )
+    (pad 127 smd rect (at 9.74852 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 261 N-000177)
+    )
+    (pad 128 smd rect (at 10.0584 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 390 N-000768)
+    )
+    (pad 129 smd rect (at 10.34796 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 273 N-000189)
+    )
+    (pad 130 smd rect (at 10.65784 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 402 N-000780)
+    )
+    (pad 131 smd rect (at 10.94994 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 309 N-000225)
+    )
+    (pad 132 smd rect (at 11.25982 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 420 N-000798)
+    )
+    (pad 133 smd rect (at 11.54938 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 308 N-000224)
+    )
+    (pad 134 smd rect (at 11.85926 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 419 N-000797)
+    )
+    (pad 135 smd rect (at 12.14882 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 307 N-000223)
+    )
+    (pad 136 smd rect (at 12.4587 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 418 N-000796)
+    )
+    (pad 137 smd rect (at 12.74826 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 306 N-000222)
+    )
+    (pad 138 smd rect (at 13.05814 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 417 N-000795)
+    )
+    (pad 139 smd rect (at 13.3477 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 305 N-000221)
+    )
+    (pad 140 smd rect (at 13.65758 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 416 N-000794)
+    )
+    (pad 141 smd rect (at 13.94968 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 304 N-000220)
+    )
+    (pad 142 smd rect (at 14.25956 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 415 N-000793)
+    )
+    (pad 143 smd rect (at 14.54912 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 303 N-000219)
+    )
+    (pad 144 smd rect (at 14.859 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 369 N-000706)
+    )
+    (pad 145 smd rect (at 15.14856 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 302 N-000218)
+    )
+    (pad 146 smd rect (at 15.45844 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 370 N-000707)
+    )
+    (pad 147 smd rect (at 15.748 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 301 N-000217)
+    )
+    (pad 148 smd rect (at 16.05788 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 371 N-000708)
+    )
+    (pad 149 smd rect (at 16.34998 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 300 N-000216)
+    )
+    (pad 150 smd rect (at 16.65986 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 372 N-000709)
+    )
+    (pad 151 smd rect (at 16.94942 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 299 N-000215)
+    )
+    (pad 152 smd rect (at 17.2593 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 373 N-000710)
+    )
+    (pad 153 smd rect (at 17.54886 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 285 N-000201)
+    )
+    (pad 154 smd rect (at 17.85874 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 374 N-000711)
+    )
+    (pad 155 smd rect (at 18.1483 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 297 N-000213)
+    )
+    (pad 156 smd rect (at 18.45818 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 368 N-000705)
+    )
+    (pad 157 smd rect (at 18.74774 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 296 N-000212)
+    )
+    (pad 158 smd rect (at 19.05762 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 376 N-000713)
+    )
+    (pad 159 smd rect (at 19.34972 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 295 N-000211)
+    )
+    (pad 160 smd rect (at 19.6596 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 377 N-000714)
+    )
+    (pad 161 smd rect (at 19.94916 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 294 N-000210)
+    )
+    (pad 162 smd rect (at 20.25904 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 378 N-000715)
+    )
+    (pad 163 smd rect (at 20.5486 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 293 N-000209)
+    )
+    (pad 164 smd rect (at 20.85848 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 379 N-000716)
+    )
+    (pad 165 smd rect (at 21.14804 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 292 N-000208)
+    )
+    (pad 166 smd rect (at 21.45792 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 380 N-000717)
+    )
+    (pad 167 smd rect (at 21.74748 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 291 N-000207)
+    )
+    (pad 168 smd rect (at 22.0599 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 356 N-000693)
+    )
+    (pad 169 smd rect (at 22.34946 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 290 N-000206)
+    )
+    (pad 170 smd rect (at 22.65934 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 350 N-000687)
+    )
+    (pad 171 smd rect (at 22.9489 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 289 N-000205)
+    )
+    (pad 172 smd rect (at 23.25878 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 351 N-000688)
+    )
+    (pad 173 smd rect (at 23.54834 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 288 N-000204)
+    )
+    (pad 174 smd rect (at 23.85822 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 352 N-000689)
+    )
+    (pad 175 smd rect (at 24.14778 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 287 N-000203)
+    )
+    (pad 176 smd rect (at 24.45766 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 353 N-000690)
+    )
+    (pad 177 smd rect (at 24.74976 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 286 N-000202)
+    )
+    (pad 178 smd rect (at 25.05964 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 354 N-000691)
+    )
+    (pad 179 smd rect (at 25.3492 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 349 N-000614)
+    )
+    (pad 180 smd rect (at 25.65908 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 355 N-000692)
+    )
+    (pad 181 smd rect (at 25.94864 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 358 N-000695)
+    )
+    (pad 182 smd rect (at 26.25852 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 357 N-000694)
+    )
+    (pad 183 smd rect (at 26.54808 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 360 N-000697)
+    )
+    (pad 184 smd rect (at 26.85796 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 359 N-000696)
+    )
+    (pad 185 smd rect (at 27.14752 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 362 N-000699)
+    )
+    (pad 186 smd rect (at 27.45994 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 361 N-000698)
+    )
+    (pad 187 smd rect (at 27.7495 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 364 N-000701)
+    )
+    (pad 188 smd rect (at 28.05938 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 363 N-000700)
+    )
+    (pad 189 smd rect (at 28.34894 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 366 N-000703)
+    )
+    (pad 190 smd rect (at 28.65882 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 365 N-000702)
+    )
+    (pad 191 smd rect (at 28.94838 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 375 N-000712)
+    )
+    (pad 192 smd rect (at 29.25826 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 367 N-000704)
+    )
+    (pad 193 smd rect (at 29.54782 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 382 N-000745)
+    )
+    (pad 194 smd rect (at 29.8577 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 381 N-000744)
+    )
+    (pad 195 smd rect (at 30.1498 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 384 N-000747)
+    )
+    (pad 196 smd rect (at 30.45968 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 383 N-000746)
+    )
+    (pad 197 smd rect (at 30.74924 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 386 N-000749)
+    )
+    (pad 198 smd rect (at 31.05912 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 385 N-000748)
+    )
+    (pad 199 smd rect (at 31.34868 4.14782 270) (size 0.44958 2.54762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 388 N-000751)
+    )
+    (pad 200 smd rect (at 31.65856 4.14782 270) (size 0.44958 2.54762)
+      (layers BOT-L6 F.Paste F.Mask)
+      (net 387 N-000750)
+    )
+  )
+
+  (module 1pin (layer TOP-L1) (tedit 51113251) (tstamp 511141E5)
+    (at 166.45 77.525 270)
+    (descr "module 1 pin (ou trou mecanique de percage)")
+    (tags DEV)
+    (path 1pin)
+    (fp_text reference "" (at 0 -3.048 270) (layer F.SilkS)
+      (effects (font (size 1.016 1.016) (thickness 0.254)))
+    )
+    (fp_text value P*** (at 0 2.794 270) (layer F.SilkS) hide
+      (effects (font (size 1.016 1.016) (thickness 0.254)))
+    )
+    (fp_circle (center 0 0) (end 0 -2.286) (layer F.SilkS) (width 0.381))
+    (pad 1 thru_hole circle (at 0 0 270) (size 4.064 4.064) (drill 3.048)
+      (layers *.Cu *.Mask F.SilkS)
+    )
+  )
+
+  (module 1pin (layer TOP-L1) (tedit 5111324C) (tstamp 511141F0)
+    (at 145.7495 155.0025 270)
+    (descr "module 1 pin (ou trou mecanique de percage)")
+    (tags DEV)
+    (path 1pin)
+    (fp_text reference "" (at 0 -3.048 270) (layer F.SilkS)
+      (effects (font (size 1.016 1.016) (thickness 0.254)))
+    )
+    (fp_text value P*** (at 0 2.794 270) (layer F.SilkS) hide
+      (effects (font (size 1.016 1.016) (thickness 0.254)))
+    )
+    (fp_circle (center 0 0) (end 0 -2.286) (layer F.SilkS) (width 0.381))
+    (pad 1 thru_hole circle (at 0 0 270) (size 4.064 4.064) (drill 3.048)
+      (layers *.Cu *.Mask F.SilkS)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 51127804) (tstamp 51126130)
+    (at 107.0955 127.5245 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127429)
+    (fp_text reference S140 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 416 N-000794)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112B75A) (tstamp 51126139)
+    (at 114.7155 124.4765 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /511273E1)
+    (fp_text reference S128 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 390 N-000768)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112B77C) (tstamp 51126142)
+    (at 118.5255 124.4765 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /511273E7)
+    (fp_text reference S127 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 261 N-000177)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 51127800) (tstamp 5112614B)
+    (at 107.0955 124.4765 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /511273ED)
+    (fp_text reference S130 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 402 N-000780)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112B780) (tstamp 51126154)
+    (at 110.9055 124.4765 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /511273F3)
+    (fp_text reference S129 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 273 N-000189)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112B784) (tstamp 5112615D)
+    (at 137.5755 127.5245 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /511273F9)
+    (fp_text reference S132 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 420 N-000798)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112B782) (tstamp 51126166)
+    (at 141.3855 127.5245 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /511273FF)
+    (fp_text reference S131 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 309 N-000225)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112B788) (tstamp 5112616F)
+    (at 129.9555 127.5245 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127405)
+    (fp_text reference S134 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 419 N-000797)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112B786) (tstamp 51126178)
+    (at 133.7655 127.5245 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /5112740B)
+    (fp_text reference S133 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 308 N-000224)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112642F) (tstamp 51126181)
+    (at 122.3355 127.5245 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127411)
+    (fp_text reference S136 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 418 N-000796)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112B78A) (tstamp 5112618A)
+    (at 126.1455 127.5245 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127417)
+    (fp_text reference S135 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 307 N-000223)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112B78F) (tstamp 51126193)
+    (at 114.7155 127.5245 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /5112741D)
+    (fp_text reference S138 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 417 N-000795)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112B78C) (tstamp 5112619C)
+    (at 118.5255 127.5245 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127423)
+    (fp_text reference S137 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 306 N-000222)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112B778) (tstamp 511261A5)
+    (at 126.1455 124.4765 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /511273DB)
+    (fp_text reference S125 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 262 N-000178)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112B791) (tstamp 511261AE)
+    (at 110.9055 127.5245 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /5112742F)
+    (fp_text reference S139 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 305 N-000221)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112B8E5) (tstamp 511261B7)
+    (at 137.5755 130.5725 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127435)
+    (fp_text reference S142 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 415 N-000793)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112B8E2) (tstamp 511261C0)
+    (at 141.3855 130.5725 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /5112743B)
+    (fp_text reference S141 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 304 N-000220)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 51126447) (tstamp 511261C9)
+    (at 129.9555 130.5725 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127441)
+    (fp_text reference S144 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 369 N-000706)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112B8E7) (tstamp 511261D2)
+    (at 133.7655 130.5725 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127447)
+    (fp_text reference S143 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 303 N-000219)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112B8EC) (tstamp 511261DB)
+    (at 122.3355 130.5725 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /5112744D)
+    (fp_text reference S146 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 370 N-000707)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112B8E9) (tstamp 511261E4)
+    (at 126.1455 130.5725 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127453)
+    (fp_text reference S145 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 302 N-000218)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112B8F1) (tstamp 511261ED)
+    (at 114.7155 130.5725 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127459)
+    (fp_text reference S148 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 371 N-000708)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112B8EF) (tstamp 511261F6)
+    (at 118.5255 130.5725 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /5112745F)
+    (fp_text reference S147 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 301 N-000217)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 51127807) (tstamp 511261FF)
+    (at 107.0955 130.5725 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127465)
+    (fp_text reference S150 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 372 N-000709)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112B8F3) (tstamp 51126208)
+    (at 110.9055 130.5725 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /5112746B)
+    (fp_text reference S149 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 300 N-000216)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112B6AA) (tstamp 51126211)
+    (at 133.7655 121.4285 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127393)
+    (fp_text reference S113 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 268 N-000184)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112B694) (tstamp 5112621A)
+    (at 141.3855 118.3805 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /5112734B)
+    (fp_text reference S101 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 274 N-000190)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 511263EF) (tstamp 51126223)
+    (at 129.9555 118.3805 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127351)
+    (fp_text reference S104 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 389 N-000767)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112B699) (tstamp 5112622C)
+    (at 133.7655 118.3805 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127357)
+    (fp_text reference S103 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 260 N-000176)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112B69D) (tstamp 51126235)
+    (at 122.3355 118.3805 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /5112735D)
+    (fp_text reference S106 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 401 N-000779)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112B69B) (tstamp 5112623E)
+    (at 126.1455 118.3805 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127363)
+    (fp_text reference S105 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 272 N-000188)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112B6A2) (tstamp 51126247)
+    (at 114.7155 118.3805 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127369)
+    (fp_text reference S108 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 400 N-000778)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112B6A0) (tstamp 51126250)
+    (at 118.5255 118.3805 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /5112736F)
+    (fp_text reference S107 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 271 N-000187)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 511277FD) (tstamp 51126259)
+    (at 107.0955 118.3805 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127375)
+    (fp_text reference S110 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 399 N-000777)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112B6A4) (tstamp 51126262)
+    (at 110.9055 118.3805 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /5112737B)
+    (fp_text reference S109 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 270 N-000186)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 51126352) (tstamp 5112626B)
+    (at 137.5755 121.4285 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127381)
+    (fp_text reference S112 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 398 N-000776)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112B6A7) (tstamp 51126274)
+    (at 141.3855 121.4285 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127387)
+    (fp_text reference S111 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 269 N-000185)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112B6AC) (tstamp 5112627D)
+    (at 129.9555 121.4285 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /5112738D)
+    (fp_text reference S114 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 397 N-000775)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 51126453) (tstamp 51126286)
+    (at 137.5755 133.6205 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127471)
+    (fp_text reference S152 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 373 N-000710)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112B6AF) (tstamp 5112628F)
+    (at 122.3355 121.4285 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127399)
+    (fp_text reference S116 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 396 N-000774)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112B6AD) (tstamp 51126298)
+    (at 126.1455 121.4285 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /5112739F)
+    (fp_text reference S115 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 267 N-000183)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112B6B3) (tstamp 511262A1)
+    (at 114.7155 121.4285 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /511273A5)
+    (fp_text reference S118 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 395 N-000773)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112B6B1) (tstamp 511262AA)
+    (at 118.5255 121.4285 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /511273AB)
+    (fp_text reference S117 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 266 N-000182)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 51126411) (tstamp 511262B3)
+    (at 107.0955 121.4285 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /511273B1)
+    (fp_text reference S120 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 394 N-000772)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112B6B6) (tstamp 511262BC)
+    (at 110.9055 121.4285 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /511273B7)
+    (fp_text reference S119 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 265 N-000181)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112B771) (tstamp 511262C5)
+    (at 137.5755 124.4765 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /511273BD)
+    (fp_text reference S122 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 393 N-000771)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112B76F) (tstamp 511262CE)
+    (at 141.3855 124.4765 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /511273C3)
+    (fp_text reference S121 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 264 N-000180)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112B776) (tstamp 511262D7)
+    (at 129.9555 124.4765 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /511273C9)
+    (fp_text reference S124 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 392 N-000770)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112B774) (tstamp 511262E0)
+    (at 133.7655 124.4765 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /511273CF)
+    (fp_text reference S123 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 263 N-000179)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112B77B) (tstamp 511262E9)
+    (at 122.3355 124.4765 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /511273D5)
+    (fp_text reference S126 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 391 N-000769)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112780F) (tstamp 511262F2)
+    (at 107.0955 142.7645 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127555)
+    (fp_text reference S190 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 365 N-000702)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112BBA6) (tstamp 511262FB)
+    (at 114.7155 139.7165 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /5112750D)
+    (fp_text reference S178 (at -0.0254 2.0066 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 354 N-000691)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112BBA3) (tstamp 51126304)
+    (at 118.5255 139.7165 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127513)
+    (fp_text reference S177 (at -0.127 2.0066 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 286 N-000202)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112780D) (tstamp 5112630D)
+    (at 107.0955 139.7165 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127519)
+    (fp_text reference S180 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 355 N-000692)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112BBA8) (tstamp 51126316)
+    (at 110.9055 139.7165 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /5112751F)
+    (fp_text reference S179 (at 0 1.8542 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 349 N-000614)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112BC2C) (tstamp 5112631F)
+    (at 137.5755 142.7645 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127525)
+    (fp_text reference S182 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 357 N-000694)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112BBB7) (tstamp 51126328)
+    (at 141.3855 142.7645 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /5112752B)
+    (fp_text reference S181 (at 0.1016 2.0066 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 358 N-000695)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112BBBC) (tstamp 51126331)
+    (at 129.9555 142.7645 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127531)
+    (fp_text reference S184 (at -0.0762 1.8796 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 359 N-000696)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112BBBB) (tstamp 5112633A)
+    (at 133.7655 142.7645 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127537)
+    (fp_text reference S183 (at -0.0254 1.8542 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 360 N-000697)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112BBC1) (tstamp 51126343)
+    (at 122.3355 142.7645 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /5112753D)
+    (fp_text reference S186 (at -0.0254 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 361 N-000698)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112BBBE) (tstamp 5112634C)
+    (at 126.1455 142.7645 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127543)
+    (fp_text reference S185 (at 0.0762 1.8542 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 362 N-000699)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112BBC5) (tstamp 51126355)
+    (at 114.7155 142.7645 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127549)
+    (fp_text reference S188 (at 0 1.8288 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 363 N-000700)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112BBC3) (tstamp 5112635E)
+    (at 118.5255 142.7645 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /5112754F)
+    (fp_text reference S187 (at -0.0254 1.8542 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 364 N-000701)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112BB9F) (tstamp 51126367)
+    (at 126.1455 139.7165 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127507)
+    (fp_text reference S175 (at 0.1016 1.9812 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 287 N-000203)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112BBC7) (tstamp 51126370)
+    (at 110.9055 142.7645 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /5112755B)
+    (fp_text reference S189 (at 0.0762 1.8796 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 366 N-000703)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 511264BE) (tstamp 51126379)
+    (at 137.5755 145.8125 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127561)
+    (fp_text reference S192 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 367 N-000704)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112BBC9) (tstamp 51126382)
+    (at 141.3855 145.8125 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127567)
+    (fp_text reference S191 (at 0.0254 1.9304 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 375 N-000712)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112BBCD) (tstamp 5112638B)
+    (at 129.9555 145.8125 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /5112756D)
+    (fp_text reference S194 (at 0.127 2.0066 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 381 N-000744)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112BBCB) (tstamp 51126394)
+    (at 133.7655 145.8125 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127573)
+    (fp_text reference S193 (at 0.0254 1.8288 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 382 N-000745)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112BBD1) (tstamp 5112639D)
+    (at 122.3355 145.8125 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127579)
+    (fp_text reference S196 (at 0.0254 2.0066 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 383 N-000746)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112BBCF) (tstamp 511263A6)
+    (at 126.1455 145.8125 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /5112757F)
+    (fp_text reference S195 (at 0.0508 1.905 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 384 N-000747)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112BBD4) (tstamp 511263AF)
+    (at 114.7155 145.8125 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127585)
+    (fp_text reference S198 (at -0.0762 1.9304 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 385 N-000748)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112BBD2) (tstamp 511263B8)
+    (at 118.5255 145.8125 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /5112758B)
+    (fp_text reference S197 (at 0.0254 1.8288 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 386 N-000749)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 51127811) (tstamp 511263C1)
+    (at 107.0955 145.8125 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127591)
+    (fp_text reference S200 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 387 N-000750)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112BBD7) (tstamp 511263CA)
+    (at 110.9055 145.8125 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127597)
+    (fp_text reference S199 (at 0.0762 1.8796 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 388 N-000751)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112BB8C) (tstamp 511263D3)
+    (at 133.7655 136.6685 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /511274BF)
+    (fp_text reference S163 (at 0.0508 1.905 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 293 N-000209)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112B8F5) (tstamp 511263DC)
+    (at 141.3855 133.6205 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127477)
+    (fp_text reference S151 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 299 N-000215)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112B900) (tstamp 511263E5)
+    (at 129.9555 133.6205 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /5112747D)
+    (fp_text reference S154 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 374 N-000711)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112B901) (tstamp 511263EE)
+    (at 133.7655 133.6205 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127483)
+    (fp_text reference S153 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 285 N-000201)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112B8FD) (tstamp 511263F7)
+    (at 122.3355 133.6205 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127489)
+    (fp_text reference S156 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 368 N-000705)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112B8FE) (tstamp 51126400)
+    (at 126.1455 133.6205 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /5112748F)
+    (fp_text reference S155 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 297 N-000213)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112B8F9) (tstamp 51126409)
+    (at 114.7155 133.6205 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127495)
+    (fp_text reference S158 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 376 N-000713)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112B8FB) (tstamp 51126412)
+    (at 118.5255 133.6205 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /5112749B)
+    (fp_text reference S157 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 296 N-000212)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112645C) (tstamp 5112641B)
+    (at 107.0955 133.6205 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /511274A1)
+    (fp_text reference S160 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 377 N-000714)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112B8F7) (tstamp 51126424)
+    (at 110.9055 133.6205 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /511274A7)
+    (fp_text reference S159 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 295 N-000211)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112BB75) (tstamp 5112642D)
+    (at 137.5755 136.6685 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /511274AD)
+    (fp_text reference S162 (at 0.0508 1.9304 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 378 N-000715)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112BB72) (tstamp 51126436)
+    (at 141.3855 136.6685 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /511274B3)
+    (fp_text reference S161 (at 0.0762 1.9558 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 294 N-000210)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112BBEB) (tstamp 5112643F)
+    (at 129.9555 136.6685 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /511274B9)
+    (fp_text reference S164 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 379 N-000716)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112B697) (tstamp 51126448)
+    (at 137.5755 118.3805 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127345)
+    (fp_text reference S102 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 403 N-000781)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112BB81) (tstamp 51126451)
+    (at 122.3355 136.6685 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /511274C5)
+    (fp_text reference S166 (at 0.0508 1.905 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 380 N-000717)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112BBEF) (tstamp 5112645A)
+    (at 126.1455 136.6685 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /511274CB)
+    (fp_text reference S165 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 292 N-000208)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112BB93) (tstamp 51126463)
+    (at 114.7155 136.6685 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /511274D1)
+    (fp_text reference S168 (at -0.0508 1.8542 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 356 N-000693)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112BB90) (tstamp 5112646C)
+    (at 118.5255 136.6685 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /511274D7)
+    (fp_text reference S167 (at -0.127 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 291 N-000207)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112780B) (tstamp 51126475)
+    (at 107.0955 136.6685 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /511274DD)
+    (fp_text reference S170 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 350 N-000687)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112BB95) (tstamp 5112647E)
+    (at 110.9055 136.6685 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /511274E3)
+    (fp_text reference S169 (at -0.1016 1.8288 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 290 N-000206)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112BB9A) (tstamp 51126487)
+    (at 137.5755 139.7165 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /511274E9)
+    (fp_text reference S172 (at 0.0508 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 351 N-000688)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112BC57) (tstamp 51126490)
+    (at 141.3855 139.7165 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /511274EF)
+    (fp_text reference S171 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 289 N-000205)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112BB9D) (tstamp 51126499)
+    (at 129.9555 139.7165 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /511274F5)
+    (fp_text reference S174 (at 0.0254 1.9304 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 352 N-000689)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112BB9B) (tstamp 511264A2)
+    (at 133.7655 139.7165 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /511274FB)
+    (fp_text reference S173 (at -0.0508 1.9558 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 288 N-000204)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112649A) (tstamp 511264AB)
+    (at 122.3355 139.7165 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127501)
+    (fp_text reference S176 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 353 N-000690)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 51126398) (tstamp 511264B4)
+    (at 107.0955 97.0445 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /511271D1)
+    (fp_text reference S40 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 455 N-000833)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112698F) (tstamp 511264BD)
+    (at 114.7155 93.9965 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127189)
+    (fp_text reference S28 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 422 N-000800)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112698E) (tstamp 511264C6)
+    (at 118.5255 93.9965 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /5112718F)
+    (fp_text reference S27 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 311 N-000227)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 51126994) (tstamp 511264CF)
+    (at 107.0955 93.9965 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127195)
+    (fp_text reference S30 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 434 N-000812)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 51126991) (tstamp 511264D8)
+    (at 110.9055 93.9965 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /5112719B)
+    (fp_text reference S29 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 323 N-000239)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 511262A7) (tstamp 511264E1)
+    (at 137.5755 97.0445 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /511271A1)
+    (fp_text reference S32 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 459 N-000837)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 51126997) (tstamp 511264EA)
+    (at 141.3855 97.0445 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /511271A7)
+    (fp_text reference S31 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 348 N-000265)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112699B) (tstamp 511264F3)
+    (at 129.9555 97.0445 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /511271AD)
+    (fp_text reference S34 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 458 N-000836)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 51126999) (tstamp 511264FC)
+    (at 133.7655 97.0445 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /511271B3)
+    (fp_text reference S33 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 347 N-000264)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 511269A0) (tstamp 51126505)
+    (at 122.3355 97.0445 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /511271B9)
+    (fp_text reference S36 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 457 N-000835)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112699D) (tstamp 5112650E)
+    (at 126.1455 97.0445 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /511271BF)
+    (fp_text reference S35 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 346 N-000263)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 511269A3) (tstamp 51126517)
+    (at 114.7155 97.0445 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /511271C5)
+    (fp_text reference S38 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 456 N-000834)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 511269A2) (tstamp 51126520)
+    (at 118.5255 97.0445 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /511271CB)
+    (fp_text reference S37 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 345 N-000262)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112698A) (tstamp 51126529)
+    (at 126.1455 93.9965 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127183)
+    (fp_text reference S25 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 312 N-000228)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 511269A5) (tstamp 51126532)
+    (at 110.9055 97.0445 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /511271D7)
+    (fp_text reference S39 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 344 N-000261)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 51128180) (tstamp 5112653B)
+    (at 137.5755 100.0925 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /511271DD)
+    (fp_text reference S42 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 454 N-000832)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112817E) (tstamp 51126544)
+    (at 141.3855 100.0925 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /511271E3)
+    (fp_text reference S41 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 343 N-000260)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 51128186) (tstamp 5112654D)
+    (at 129.9555 100.0925 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /511271E9)
+    (fp_text reference S44 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 453 N-000831)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 51128183) (tstamp 51126556)
+    (at 133.7655 100.0925 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /511271EF)
+    (fp_text reference S43 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 342 N-000259)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112818B) (tstamp 5112655F)
+    (at 122.3355 100.0925 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /511271F5)
+    (fp_text reference S46 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 452 N-000830)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 51128188) (tstamp 51126568)
+    (at 126.1455 100.0925 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /511271FB)
+    (fp_text reference S45 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 341 N-000258)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 511262B1) (tstamp 51126571)
+    (at 114.7155 100.0925 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127201)
+    (fp_text reference S48 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 451 N-000829)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112818E) (tstamp 5112657A)
+    (at 118.5255 100.0925 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127207)
+    (fp_text reference S47 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 340 N-000257)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 511277E9) (tstamp 51126583)
+    (at 107.0955 100.0925 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /5112720D)
+    (fp_text reference S50 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 450 N-000828)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 51128192) (tstamp 5112658C)
+    (at 110.9055 100.0925 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127213)
+    (fp_text reference S49 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 339 N-000256)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 51126883) (tstamp 51126595)
+    (at 133.7655 90.9485 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /5112713B)
+    (fp_text reference S13 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 318 N-000234)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112620D) (tstamp 5112659E)
+    (at 141.3855 87.9005 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /511270E9)
+    (fp_text reference S1 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 324 N-000240)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 51126237) (tstamp 511265A7)
+    (at 129.9555 87.9005 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /511270F9)
+    (fp_text reference S4 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 421 N-000799)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 51126222) (tstamp 511265B0)
+    (at 133.7655 87.9005 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /511270FF)
+    (fp_text reference S3 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 310 N-000226)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 51126251) (tstamp 511265B9)
+    (at 122.3355 87.9005 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127105)
+    (fp_text reference S6 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 433 N-000811)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 51126248) (tstamp 511265C2)
+    (at 126.1455 87.9005 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /5112710B)
+    (fp_text reference S5 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 322 N-000238)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 51126266) (tstamp 511265CB)
+    (at 114.7155 87.9005 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127111)
+    (fp_text reference S8 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 432 N-000810)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112625C) (tstamp 511265D4)
+    (at 118.5255 87.9005 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127117)
+    (fp_text reference S7 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 321 N-000237)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112687D) (tstamp 511265DD)
+    (at 107.0955 87.9005 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /5112711D)
+    (fp_text reference S10 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 431 N-000809)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 51126879) (tstamp 511265E6)
+    (at 110.9055 87.9005 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127123)
+    (fp_text reference S9 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 320 N-000236)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 51126881) (tstamp 511265EF)
+    (at 137.5755 90.9485 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127129)
+    (fp_text reference S12 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 430 N-000808)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112687E) (tstamp 511265F8)
+    (at 141.3855 90.9485 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /5112712F)
+    (fp_text reference S11 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 319 N-000235)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 51126885) (tstamp 51126601)
+    (at 129.9555 90.9485 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127135)
+    (fp_text reference S14 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 429 N-000807)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 51128196) (tstamp 5112660A)
+    (at 137.5755 103.1405 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127219)
+    (fp_text reference S52 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 449 N-000827)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 51126288) (tstamp 51126893)
+    (at 122.3355 90.9485 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127141)
+    (fp_text reference S16 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 428 N-000806)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 51126889) (tstamp 5112661C)
+    (at 126.1455 90.9485 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127147)
+    (fp_text reference S15 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 317 N-000233)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 51126914) (tstamp 51126625)
+    (at 114.7155 90.9485 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /5112714D)
+    (fp_text reference S18 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 427 N-000805)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 51126911) (tstamp 5112662E)
+    (at 118.5255 90.9485 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127153)
+    (fp_text reference S17 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 316 N-000232)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 51126918) (tstamp 51126637)
+    (at 107.0955 90.9485 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127159)
+    (fp_text reference S20 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 426 N-000804)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 51126916) (tstamp 51126640)
+    (at 110.9055 90.9485 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /5112715F)
+    (fp_text reference S19 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 315 N-000231)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112691C) (tstamp 51126649)
+    (at 137.5755 93.9965 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127165)
+    (fp_text reference S22 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 425 N-000803)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112691A) (tstamp 51126652)
+    (at 141.3855 93.9965 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /5112716B)
+    (fp_text reference S21 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 314 N-000230)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 51126293) (tstamp 5112665B)
+    (at 129.9555 93.9965 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127171)
+    (fp_text reference S24 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 424 N-000802)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112691F) (tstamp 51126664)
+    (at 133.7655 93.9965 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127177)
+    (fp_text reference S23 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 313 N-000229)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112698C) (tstamp 5112666D)
+    (at 122.3355 93.9965 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /5112717D)
+    (fp_text reference S26 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 423 N-000801)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 511277F1) (tstamp 51126676)
+    (at 107.0955 112.2845 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /511272FD)
+    (fp_text reference S90 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 409 N-000787)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112846A) (tstamp 5112667F)
+    (at 114.7155 109.2365 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /511272B5)
+    (fp_text reference S78 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 437 N-000815)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 51128469) (tstamp 51126688)
+    (at 118.5255 109.2365 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /511272BB)
+    (fp_text reference S77 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 326 N-000242)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 51126308) (tstamp 51126691)
+    (at 107.0955 109.2365 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /511272C1)
+    (fp_text reference S80 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 414 N-000792)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112846C) (tstamp 5112669A)
+    (at 110.9055 109.2365 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /511272C7)
+    (fp_text reference S79 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 298 N-000214)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 51128526) (tstamp 511266A3)
+    (at 137.5755 112.2845 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /511272CD)
+    (fp_text reference S82 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 413 N-000791)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 51128524) (tstamp 511266AC)
+    (at 141.3855 112.2845 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /511272D3)
+    (fp_text reference S81 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 284 N-000200)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 51128529) (tstamp 511266B5)
+    (at 129.9555 112.2845 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /511272D9)
+    (fp_text reference S84 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 412 N-000790)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 51128527) (tstamp 511266BE)
+    (at 133.7655 112.2845 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /511272DF)
+    (fp_text reference S83 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 283 N-000199)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112852D) (tstamp 511266C7)
+    (at 122.3355 112.2845 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /511272E5)
+    (fp_text reference S86 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 411 N-000789)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112852B) (tstamp 511266D0)
+    (at 126.1455 112.2845 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /511272EB)
+    (fp_text reference S85 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 282 N-000198)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 511263D5) (tstamp 511266D9)
+    (at 114.7155 112.2845 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /511272F1)
+    (fp_text reference S88 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 410 N-000788)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112852E) (tstamp 511266E2)
+    (at 118.5255 112.2845 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /511272F7)
+    (fp_text reference S87 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 281 N-000197)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 51128465) (tstamp 511266EB)
+    (at 126.1455 109.2365 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /511272AF)
+    (fp_text reference S75 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 327 N-000243)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 51128530) (tstamp 511266F4)
+    (at 110.9055 112.2845 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127303)
+    (fp_text reference S89 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 280 N-000196)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 51128538) (tstamp 511266FD)
+    (at 137.5755 115.3325 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127309)
+    (fp_text reference S92 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 408 N-000786)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 51128532) (tstamp 51126706)
+    (at 141.3855 115.3325 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /5112730F)
+    (fp_text reference S91 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 279 N-000195)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112853C) (tstamp 5112670F)
+    (at 129.9555 115.3325 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127315)
+    (fp_text reference S94 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 407 N-000785)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112853A) (tstamp 51126718)
+    (at 133.7655 115.3325 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /5112731B)
+    (fp_text reference S93 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 278 N-000194)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112632C) (tstamp 51126721)
+    (at 122.3355 115.3325 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127321)
+    (fp_text reference S96 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 406 N-000784)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112853E) (tstamp 5112672A)
+    (at 126.1455 115.3325 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127327)
+    (fp_text reference S95 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 277 N-000193)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 51128543) (tstamp 51126733)
+    (at 114.7155 115.3325 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /5112732D)
+    (fp_text reference S98 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 405 N-000783)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 51128542) (tstamp 5112673C)
+    (at 118.5255 115.3325 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127333)
+    (fp_text reference S97 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 276 N-000192)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 511277F3) (tstamp 51126745)
+    (at 107.0955 115.3325 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127339)
+    (fp_text reference S100 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 404 N-000782)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 51128545) (tstamp 5112674E)
+    (at 110.9055 115.3325 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /5112733F)
+    (fp_text reference S99 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 275 N-000191)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 51128452) (tstamp 51126757)
+    (at 133.7655 106.1885 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127267)
+    (fp_text reference S63 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 333 N-000249)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 51128194) (tstamp 51126760)
+    (at 141.3855 103.1405 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /5112721F)
+    (fp_text reference S51 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 338 N-000255)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112819A) (tstamp 51126769)
+    (at 129.9555 103.1405 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127225)
+    (fp_text reference S54 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 436 N-000814)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 51128199) (tstamp 51126772)
+    (at 133.7655 103.1405 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /5112722B)
+    (fp_text reference S53 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 325 N-000241)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 511263A9) (tstamp 5112677B)
+    (at 122.3355 103.1405 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127231)
+    (fp_text reference S56 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 448 N-000826)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112819C) (tstamp 51126784)
+    (at 126.1455 103.1405 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127237)
+    (fp_text reference S55 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 337 N-000253)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 511281A0) (tstamp 5112678D)
+    (at 114.7155 103.1405 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /5112723D)
+    (fp_text reference S58 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 447 N-000825)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 511281A4) (tstamp 51126796)
+    (at 118.5255 103.1405 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127243)
+    (fp_text reference S57 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 336 N-000252)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 511277EB) (tstamp 5112679F)
+    (at 107.0955 103.1405 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127249)
+    (fp_text reference S60 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 446 N-000824)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112819E) (tstamp 511267A8)
+    (at 110.9055 103.1405 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /5112724F)
+    (fp_text reference S59 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 335 N-000251)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 51128450) (tstamp 511267B1)
+    (at 137.5755 106.1885 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127255)
+    (fp_text reference S62 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 445 N-000823)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112844E) (tstamp 511267BA)
+    (at 141.3855 106.1885 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /5112725B)
+    (fp_text reference S61 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 334 N-000250)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 511262BD) (tstamp 511267C3)
+    (at 129.9555 106.1885 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127261)
+    (fp_text reference S64 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 444 N-000822)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112621D) (tstamp 511267CC)
+    (at 137.5755 87.9005 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /511270E3)
+    (fp_text reference S2 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 435 N-000813)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 51128455) (tstamp 511267D5)
+    (at 122.3355 106.1885 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /5112726D)
+    (fp_text reference S66 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 443 N-000821)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 51128454) (tstamp 511267DE)
+    (at 126.1455 106.1885 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127273)
+    (fp_text reference S65 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 332 N-000248)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 51128459) (tstamp 511267E7)
+    (at 114.7155 106.1885 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127279)
+    (fp_text reference S68 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 442 N-000820)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 51128458) (tstamp 511267F0)
+    (at 118.5255 106.1885 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /5112727F)
+    (fp_text reference S67 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 331 N-000247)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 511277ED) (tstamp 511267F9)
+    (at 107.0955 106.1885 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127285)
+    (fp_text reference S70 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 441 N-000819)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112845B) (tstamp 51126802)
+    (at 110.9055 106.1885 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /5112728B)
+    (fp_text reference S69 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 330 N-000246)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112845F) (tstamp 5112680B)
+    (at 137.5755 109.2365 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127291)
+    (fp_text reference S72 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 440 N-000818)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 5112845D) (tstamp 51126814)
+    (at 141.3855 109.2365 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /51127297)
+    (fp_text reference S71 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 329 N-000245)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 51128463) (tstamp 5112681D)
+    (at 129.9555 109.2365 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /5112729D)
+    (fp_text reference S74 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 439 N-000817)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 51128461) (tstamp 51126826)
+    (at 133.7655 109.2365 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /511272A3)
+    (fp_text reference S73 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 328 N-000244)
+    )
+  )
+
+  (module PIN_CPU_PAD1 (layer TOP-L1) (tedit 51128466) (tstamp 5112682F)
+    (at 122.3355 109.2365 270)
+    (descr "1 pin for CPU pad")
+    (tags "CONN DEV")
+    (path /511272A9)
+    (fp_text reference S76 (at 0 2.032 270) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value P (at 0 -1.905 270) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 438 N-000816)
+    )
+  )
+
+  (module SOT23-5 (layer TOP-L1) (tedit 51626E18) (tstamp 5162DC46)
+    (at 213.46716 78.877 90)
+    (path /514F0596)
+    (attr smd)
+    (fp_text reference U5 (at 0 0.635 90) (layer F.SilkS)
+      (effects (font (size 0.635 0.635) (thickness 0.127)))
+    )
+    (fp_text value SY8008C (at 0.46736 -0.17272 90) (layer F.SilkS)
+      (effects (font (size 0.635 0.635) (thickness 0.127)))
+    )
+    (fp_line (start 1.524 -0.889) (end 1.524 0.889) (layer F.SilkS) (width 0.127))
+    (fp_line (start 1.524 0.889) (end -1.524 0.889) (layer F.SilkS) (width 0.127))
+    (fp_line (start -1.524 0.889) (end -1.524 -0.889) (layer F.SilkS) (width 0.127))
+    (fp_line (start -1.524 -0.889) (end 1.524 -0.889) (layer F.SilkS) (width 0.127))
+    (pad 1 smd rect (at -0.9525 1.27 90) (size 0.508 0.762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 166 AVCC)
+    )
+    (pad 3 smd rect (at 0.9525 1.27 90) (size 0.508 0.762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 222 N-00000295)
+    )
+    (pad 5 smd rect (at -0.9525 -1.27 90) (size 0.508 0.762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 216 N-00000266)
+    )
+    (pad 2 smd rect (at 0 1.27 90) (size 0.508 0.762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 4 smd rect (at 0.9525 -1.27 90) (size 0.508 0.762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 165 5V_IN)
+    )
+    (model smd/SOT23_5.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.1 0.1 0.1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SOT23-5 (layer TOP-L1) (tedit 5188CCAA) (tstamp 51544573)
+    (at 203.4125 86.7625 90)
+    (path /514F0D71)
+    (attr smd)
+    (fp_text reference U9 (at 2.05 -0.05 180) (layer F.SilkS)
+      (effects (font (size 0.635 0.635) (thickness 0.127)))
+    )
+    (fp_text value SY8008C (at -0.05 0.55 90) (layer F.SilkS)
+      (effects (font (size 0.2 0.2) (thickness 0.05)))
+    )
+    (fp_line (start 1.524 -0.889) (end 1.524 0.889) (layer F.SilkS) (width 0.127))
+    (fp_line (start 1.524 0.889) (end -1.524 0.889) (layer F.SilkS) (width 0.127))
+    (fp_line (start -1.524 0.889) (end -1.524 -0.889) (layer F.SilkS) (width 0.127))
+    (fp_line (start -1.524 -0.889) (end 1.524 -0.889) (layer F.SilkS) (width 0.127))
+    (pad 1 smd rect (at -0.9525 1.27 90) (size 0.508 0.762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 40 /EXTEN)
+    )
+    (pad 3 smd rect (at 0.9525 1.27 90) (size 0.508 0.762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 224 N-00000297)
+    )
+    (pad 5 smd rect (at -0.9525 -1.27 90) (size 0.508 0.762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 218 N-00000268)
+    )
+    (pad 2 smd rect (at 0 1.27 90) (size 0.508 0.762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 4 smd rect (at 0.9525 -1.27 90) (size 0.508 0.762)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 165 5V_IN)
+    )
+    (model smd/SOT23_5.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.1 0.1 0.1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0805 (layer TOP-L1) (tedit 5091495C) (tstamp 515445E4)
+    (at 204.3625 89.3125)
+    (path /5153E251)
+    (attr smd)
+    (fp_text reference C37 (at 0 -0.3175) (layer F.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_text value 10u (at 0 0.381) (layer F.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_circle (center -1.651 0.762) (end -1.651 0.635) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -0.508 0.762) (end -1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 0.762) (end -1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 -0.762) (end -0.508 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 0.508 -0.762) (end 1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 -0.762) (end 1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 0.762) (end 0.508 0.762) (layer F.SilkS) (width 0.09906))
+    (pad 1 smd rect (at -0.9525 0) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 2 smd rect (at 0.9525 0) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (model smd/chip_cms.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.1 0.1 0.1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0805 (layer TOP-L1) (tedit 5188CEF5) (tstamp 515445F1)
+    (at 197.7625 88.5375 270)
+    (path /5153E24B)
+    (attr smd)
+    (fp_text reference C38 (at -0.075 1.2 270) (layer F.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_text value 10u (at -0.3 1.9 270) (layer F.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_circle (center -1.651 0.762) (end -1.651 0.635) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -0.508 0.762) (end -1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 0.762) (end -1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 -0.762) (end -0.508 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 0.508 -0.762) (end 1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 -0.762) (end 1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 0.762) (end 0.508 0.762) (layer F.SilkS) (width 0.09906))
+    (pad 1 smd rect (at -0.9525 0 270) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 2 smd rect (at 0.9525 0 270) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (model smd/chip_cms.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.1 0.1 0.1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0805 (layer TOP-L1) (tedit 5188CB63) (tstamp 515445FE)
+    (at 214.5875 81.6375)
+    (path /5153CE77)
+    (attr smd)
+    (fp_text reference C22 (at 0.025 1.325) (layer F.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_text value 10u (at 0.1 2) (layer F.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_circle (center -1.651 0.762) (end -1.651 0.635) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -0.508 0.762) (end -1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 0.762) (end -1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 -0.762) (end -0.508 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 0.508 -0.762) (end 1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 -0.762) (end 1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 0.762) (end 0.508 0.762) (layer F.SilkS) (width 0.09906))
+    (pad 1 smd rect (at -0.9525 0) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 2 smd rect (at 0.9525 0) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (model smd/chip_cms.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.1 0.1 0.1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0805 (layer TOP-L1) (tedit 519E06C8) (tstamp 5154460B)
+    (at 215.0125 88.2625 180)
+    (path /515489B4)
+    (attr smd)
+    (fp_text reference C34 (at -0.09 1.195 180) (layer F.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_text value 10u (at -0.05 1.915 180) (layer F.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_circle (center -1.651 0.762) (end -1.651 0.635) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -0.508 0.762) (end -1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 0.762) (end -1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 -0.762) (end -0.508 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 0.508 -0.762) (end 1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 -0.762) (end 1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 0.762) (end 0.508 0.762) (layer F.SilkS) (width 0.09906))
+    (pad 1 smd rect (at -0.9525 0 180) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 165 5V_IN)
+    )
+    (pad 2 smd rect (at 0.9525 0 180) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (model smd/chip_cms.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.1 0.1 0.1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0805 (layer TOP-L1) (tedit 5188D57C) (tstamp 51544618)
+    (at 227.4875 94.5625 270)
+    (path /5155CB79)
+    (attr smd)
+    (fp_text reference C44 (at 0.05 -1.325 270) (layer F.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_text value 10u (at 0.025 -2.075 270) (layer F.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_circle (center -1.651 0.762) (end -1.651 0.635) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -0.508 0.762) (end -1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 0.762) (end -1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 -0.762) (end -0.508 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 0.508 -0.762) (end 1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 -0.762) (end 1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 0.762) (end 0.508 0.762) (layer F.SilkS) (width 0.09906))
+    (pad 1 smd rect (at -0.9525 0 270) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 2 smd rect (at 0.9525 0 270) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 163 1.2V)
+    )
+    (model smd/chip_cms.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.1 0.1 0.1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0805 (layer TOP-L1) (tedit 5192FF60) (tstamp 51544625)
+    (at 228.4375 103.8125)
+    (path /5155CB7F)
+    (attr smd)
+    (fp_text reference C45 (at 0.05 1.375) (layer F.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_text value 10u (at 0.1 2.2) (layer F.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_circle (center -1.651 0.762) (end -1.651 0.635) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -0.508 0.762) (end -1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 0.762) (end -1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 -0.762) (end -0.508 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 0.508 -0.762) (end 1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 -0.762) (end 1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 0.762) (end 0.508 0.762) (layer F.SilkS) (width 0.09906))
+    (pad 1 smd rect (at -0.9525 0) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 2 smd rect (at 0.9525 0) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 163 1.2V)
+    )
+    (model smd/chip_cms.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.1 0.1 0.1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0805 (layer TOP-L1) (tedit 5192FF5C) (tstamp 51544632)
+    (at 222.4375 108.2375 180)
+    (path /5154AF77)
+    (attr smd)
+    (fp_text reference C47 (at -2.5 -0.275 180) (layer F.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_text value 10u (at -2.55 0.55 180) (layer F.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_circle (center -1.651 0.762) (end -1.651 0.635) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -0.508 0.762) (end -1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 0.762) (end -1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 -0.762) (end -0.508 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 0.508 -0.762) (end 1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 -0.762) (end 1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 0.762) (end 0.508 0.762) (layer F.SilkS) (width 0.09906))
+    (pad 1 smd rect (at -0.9525 0 180) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 2 smd rect (at 0.9525 0 180) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 162 1.25V)
+    )
+    (model smd/chip_cms.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.1 0.1 0.1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0805 (layer TOP-L1) (tedit 5188CA6A) (tstamp 5162DC38)
+    (at 210.15246 77.5181 270)
+    (path /5155A734)
+    (attr smd)
+    (fp_text reference C17 (at -0.2306 1.28996 270) (layer F.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_text value 10u (at -1.7556 -1.41004 270) (layer F.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_circle (center -1.651 0.762) (end -1.651 0.635) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -0.508 0.762) (end -1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 0.762) (end -1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 -0.762) (end -0.508 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 0.508 -0.762) (end 1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 -0.762) (end 1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 0.762) (end 0.508 0.762) (layer F.SilkS) (width 0.09906))
+    (pad 1 smd rect (at -0.9525 0 270) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 165 5V_IN)
+    )
+    (pad 2 smd rect (at 0.9525 0 270) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (model smd/chip_cms.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.1 0.1 0.1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0805 (layer TOP-L1) (tedit 51888414) (tstamp 51544666)
+    (at 199.6125 84.6125 180)
+    (path /5155A749)
+    (attr smd)
+    (fp_text reference C30 (at 0.00372 2.16414 180) (layer F.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_text value 10u (at 0.05372 1.31414 180) (layer F.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_circle (center -1.651 0.762) (end -1.651 0.635) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -0.508 0.762) (end -1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 0.762) (end -1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 -0.762) (end -0.508 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 0.508 -0.762) (end 1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 -0.762) (end 1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 0.762) (end 0.508 0.762) (layer F.SilkS) (width 0.09906))
+    (pad 1 smd rect (at -0.9525 0 180) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 165 5V_IN)
+    )
+    (pad 2 smd rect (at 0.9525 0 180) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (model smd/chip_cms.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.1 0.1 0.1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0805 (layer BOT-L6) (tedit 5188F144) (tstamp 51544673)
+    (at 158.1875 101.6875)
+    (path /5155100D)
+    (attr smd)
+    (fp_text reference C14 (at 0.175 -1.225) (layer B.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)) (justify mirror))
+    )
+    (fp_text value 10u (at 0.15 -1.875) (layer B.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)) (justify mirror))
+    )
+    (fp_circle (center -1.651 -0.762) (end -1.651 -0.635) (layer B.SilkS) (width 0.09906))
+    (fp_line (start -0.508 -0.762) (end -1.524 -0.762) (layer B.SilkS) (width 0.09906))
+    (fp_line (start -1.524 -0.762) (end -1.524 0.762) (layer B.SilkS) (width 0.09906))
+    (fp_line (start -1.524 0.762) (end -0.508 0.762) (layer B.SilkS) (width 0.09906))
+    (fp_line (start 0.508 0.762) (end 1.524 0.762) (layer B.SilkS) (width 0.09906))
+    (fp_line (start 1.524 0.762) (end 1.524 -0.762) (layer B.SilkS) (width 0.09906))
+    (fp_line (start 1.524 -0.762) (end 0.508 -0.762) (layer B.SilkS) (width 0.09906))
+    (pad 1 smd rect (at -0.9525 0) (size 0.889 1.397)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (pad 2 smd rect (at 0.9525 0) (size 0.889 1.397)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (model smd/chip_cms.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.1 0.1 0.1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0805 (layer TOP-L1) (tedit 5188DCC2) (tstamp 51544680)
+    (at 211.8125 95.5875 90)
+    (path /51551007)
+    (attr smd)
+    (fp_text reference C13 (at 2.35 -0.4 90) (layer F.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_text value 10u (at 2.35 0.325 90) (layer F.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_circle (center -1.651 0.762) (end -1.651 0.635) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -0.508 0.762) (end -1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 0.762) (end -1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 -0.762) (end -0.508 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 0.508 -0.762) (end 1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 -0.762) (end 1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 0.762) (end 0.508 0.762) (layer F.SilkS) (width 0.09906))
+    (pad 1 smd rect (at -0.9525 0 90) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 188 IPSOUT)
+    )
+    (pad 2 smd rect (at 0.9525 0 90) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (model smd/chip_cms.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.1 0.1 0.1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0805 (layer TOP-L1) (tedit 5091495C) (tstamp 5154469A)
+    (at 213.5125 91.5625 90)
+    (path /5154EECF)
+    (attr smd)
+    (fp_text reference C9 (at 0 -0.3175 90) (layer F.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_text value 10u (at 0 0.381 90) (layer F.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_circle (center -1.651 0.762) (end -1.651 0.635) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -0.508 0.762) (end -1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 0.762) (end -1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 -0.762) (end -0.508 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 0.508 -0.762) (end 1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 -0.762) (end 1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 0.762) (end 0.508 0.762) (layer F.SilkS) (width 0.09906))
+    (pad 1 smd rect (at -0.9525 0 90) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 188 IPSOUT)
+    )
+    (pad 2 smd rect (at 0.9525 0 90) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (model smd/chip_cms.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.1 0.1 0.1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0805 (layer TOP-L1) (tedit 5188E83F) (tstamp 515446B4)
+    (at 210.4625 99.2875 180)
+    (path /5154DEC1)
+    (attr smd)
+    (fp_text reference C12 (at 1.05 -1.425 180) (layer F.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_text value 10u (at 1.025 -2.175 180) (layer F.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_circle (center -1.651 0.762) (end -1.651 0.635) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -0.508 0.762) (end -1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 0.762) (end -1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 -0.762) (end -0.508 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 0.508 -0.762) (end 1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 -0.762) (end 1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 0.762) (end 0.508 0.762) (layer F.SilkS) (width 0.09906))
+    (pad 1 smd rect (at -0.9525 0 180) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 188 IPSOUT)
+    )
+    (pad 2 smd rect (at 0.9525 0 180) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (model smd/chip_cms.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.1 0.1 0.1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0805 (layer TOP-L1) (tedit 5188DB3B) (tstamp 515446C1)
+    (at 215.6625 103.9625 180)
+    (path /5154DEB6)
+    (attr smd)
+    (fp_text reference C11 (at 2.275 0.225 180) (layer F.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_text value 10u (at 2.25 -0.6 180) (layer F.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_circle (center -1.651 0.762) (end -1.651 0.635) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -0.508 0.762) (end -1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 0.762) (end -1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 -0.762) (end -0.508 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 0.508 -0.762) (end 1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 -0.762) (end 1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 0.762) (end 0.508 0.762) (layer F.SilkS) (width 0.09906))
+    (pad 1 smd rect (at -0.9525 0 180) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 188 IPSOUT)
+    )
+    (pad 2 smd rect (at 0.9525 0 180) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (model smd/chip_cms.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.1 0.1 0.1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0805 (layer TOP-L1) (tedit 5188D68C) (tstamp 515446CE)
+    (at 223.5375 102.0625 270)
+    (path /5154D3CE)
+    (attr smd)
+    (fp_text reference C10 (at 0.825 -1.25 270) (layer F.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_text value 10u (at 0.85 -1.95 270) (layer F.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_circle (center -1.651 0.762) (end -1.651 0.635) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -0.508 0.762) (end -1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 0.762) (end -1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 -0.762) (end -0.508 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 0.508 -0.762) (end 1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 -0.762) (end 1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 0.762) (end 0.508 0.762) (layer F.SilkS) (width 0.09906))
+    (pad 1 smd rect (at -0.9525 0 270) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 188 IPSOUT)
+    )
+    (pad 2 smd rect (at 0.9525 0 270) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (model smd/chip_cms.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.1 0.1 0.1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0805 (layer TOP-L1) (tedit 5192FF58) (tstamp 515446DB)
+    (at 222.4375 106.3875 180)
+    (path /5154AF7D)
+    (attr smd)
+    (fp_text reference C48 (at -2.525 -0.15 180) (layer F.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_text value 10u (at -2.6 0.7 180) (layer F.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_circle (center -1.651 0.762) (end -1.651 0.635) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -0.508 0.762) (end -1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 0.762) (end -1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 -0.762) (end -0.508 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 0.508 -0.762) (end 1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 -0.762) (end 1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 0.762) (end 0.508 0.762) (layer F.SilkS) (width 0.09906))
+    (pad 1 smd rect (at -0.9525 0 180) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 2 smd rect (at 0.9525 0 180) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 162 1.25V)
+    )
+    (model smd/chip_cms.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.1 0.1 0.1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0603 (layer TOP-L1) (tedit 5192FF14) (tstamp 5188D2AD)
+    (at 221.7125 93.3125 270)
+    (path /51501971)
+    (attr smd)
+    (fp_text reference C18 (at -1.9 -0.175 270) (layer F.SilkS)
+      (effects (font (size 0.508 0.4572) (thickness 0.1143)))
+    )
+    (fp_text value 1uF (at -1.975 -0.975 270) (layer F.SilkS) hide
+      (effects (font (size 0.508 0.4572) (thickness 0.1143)))
+    )
+    (fp_line (start -1.143 -0.635) (end 1.143 -0.635) (layer F.SilkS) (width 0.127))
+    (fp_line (start 1.143 -0.635) (end 1.143 0.635) (layer F.SilkS) (width 0.127))
+    (fp_line (start 1.143 0.635) (end -1.143 0.635) (layer F.SilkS) (width 0.127))
+    (fp_line (start -1.143 0.635) (end -1.143 -0.635) (layer F.SilkS) (width 0.127))
+    (pad 1 smd rect (at -0.762 0 270) (size 0.635 1.143)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 2 smd rect (at 0.762 0 270) (size 0.635 1.143)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 213 N-00000263)
+    )
+    (model smd\resistors\R0603.wrl
+      (at (xyz 0 0 0.001))
+      (scale (xyz 0.5 0.5 0.5))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0603 (layer TOP-L1) (tedit 5192FF51) (tstamp 515446EF)
+    (at 221.8625 103.7625 90)
+    (path /51541706)
+    (attr smd)
+    (fp_text reference C29 (at 1.55 0.525 180) (layer F.SilkS)
+      (effects (font (size 0.508 0.4572) (thickness 0.1143)))
+    )
+    (fp_text value 4.7u (at 2.35 0.375 180) (layer F.SilkS) hide
+      (effects (font (size 0.508 0.4572) (thickness 0.1143)))
+    )
+    (fp_line (start -1.143 -0.635) (end 1.143 -0.635) (layer F.SilkS) (width 0.127))
+    (fp_line (start 1.143 -0.635) (end 1.143 0.635) (layer F.SilkS) (width 0.127))
+    (fp_line (start 1.143 0.635) (end -1.143 0.635) (layer F.SilkS) (width 0.127))
+    (fp_line (start -1.143 0.635) (end -1.143 -0.635) (layer F.SilkS) (width 0.127))
+    (pad 1 smd rect (at -0.762 0 90) (size 0.635 1.143)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 2 smd rect (at 0.762 0 90) (size 0.635 1.143)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 166 AVCC)
+    )
+    (model smd\resistors\R0603.wrl
+      (at (xyz 0 0 0.001))
+      (scale (xyz 0.5 0.5 0.5))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0603 (layer TOP-L1) (tedit 5188D379) (tstamp 51544703)
+    (at 225.4875 94.3875 270)
+    (path /5151C2DB)
+    (attr smd)
+    (fp_text reference C16 (at -1.975 -0.4 270) (layer F.SilkS)
+      (effects (font (size 0.508 0.4572) (thickness 0.1143)))
+    )
+    (fp_text value 1uF (at -1.925 0.275 270) (layer F.SilkS) hide
+      (effects (font (size 0.508 0.4572) (thickness 0.1143)))
+    )
+    (fp_line (start -1.143 -0.635) (end 1.143 -0.635) (layer F.SilkS) (width 0.127))
+    (fp_line (start 1.143 -0.635) (end 1.143 0.635) (layer F.SilkS) (width 0.127))
+    (fp_line (start 1.143 0.635) (end -1.143 0.635) (layer F.SilkS) (width 0.127))
+    (fp_line (start -1.143 0.635) (end -1.143 -0.635) (layer F.SilkS) (width 0.127))
+    (pad 1 smd rect (at -0.762 0 270) (size 0.635 1.143)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 2 smd rect (at 0.762 0 270) (size 0.635 1.143)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 219 N-00000276)
+    )
+    (model smd\resistors\R0603.wrl
+      (at (xyz 0 0 0.001))
+      (scale (xyz 0.5 0.5 0.5))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0603 (layer TOP-L1) (tedit 5192FF0D) (tstamp 5154470D)
+    (at 217.9375 91.1625)
+    (path /51554E4C)
+    (attr smd)
+    (fp_text reference C32 (at 0.075 -1.05) (layer F.SilkS)
+      (effects (font (size 0.508 0.4572) (thickness 0.1143)))
+    )
+    (fp_text value 1u (at 0.05 -1.825) (layer F.SilkS) hide
+      (effects (font (size 0.508 0.4572) (thickness 0.1143)))
+    )
+    (fp_line (start -1.143 -0.635) (end 1.143 -0.635) (layer F.SilkS) (width 0.127))
+    (fp_line (start 1.143 -0.635) (end 1.143 0.635) (layer F.SilkS) (width 0.127))
+    (fp_line (start 1.143 0.635) (end -1.143 0.635) (layer F.SilkS) (width 0.127))
+    (fp_line (start -1.143 0.635) (end -1.143 -0.635) (layer F.SilkS) (width 0.127))
+    (pad 1 smd rect (at -0.762 0) (size 0.635 1.143)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 2 smd rect (at 0.762 0) (size 0.635 1.143)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 189 LDO1)
+    )
+    (model smd\resistors\R0603.wrl
+      (at (xyz 0 0 0.001))
+      (scale (xyz 0.5 0.5 0.5))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0603 (layer TOP-L1) (tedit 5192FF09) (tstamp 51544717)
+    (at 220.0375 92.3875 270)
+    (path /5152E923)
+    (attr smd)
+    (fp_text reference C42 (at -1.95 0.3 270) (layer F.SilkS)
+      (effects (font (size 0.508 0.4572) (thickness 0.1143)))
+    )
+    (fp_text value 1uF (at -1.95 -0.375 270) (layer F.SilkS) hide
+      (effects (font (size 0.508 0.4572) (thickness 0.1143)))
+    )
+    (fp_line (start -1.143 -0.635) (end 1.143 -0.635) (layer F.SilkS) (width 0.127))
+    (fp_line (start 1.143 -0.635) (end 1.143 0.635) (layer F.SilkS) (width 0.127))
+    (fp_line (start 1.143 0.635) (end -1.143 0.635) (layer F.SilkS) (width 0.127))
+    (fp_line (start -1.143 0.635) (end -1.143 -0.635) (layer F.SilkS) (width 0.127))
+    (pad 1 smd rect (at -0.762 0 270) (size 0.635 1.143)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 2 smd rect (at 0.762 0 270) (size 0.635 1.143)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 208 N-00000200)
+    )
+    (model smd\resistors\R0603.wrl
+      (at (xyz 0 0 0.001))
+      (scale (xyz 0.5 0.5 0.5))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51921108) (tstamp 51544723)
+    (at 201.7875 111.4875)
+    (path /515197D1/515194E8)
+    (attr smd)
+    (fp_text reference C66 (at 0.025 0.7) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0.975 0.825) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 164 3.3V)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 519210B9) (tstamp 5154472F)
+    (at 193.3875 120.5875 180)
+    (path /515197D1/515194EE)
+    (attr smd)
+    (fp_text reference C67 (at -0.85 0.65 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 1.175 -0.375 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 164 3.3V)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51887A9C) (tstamp 5154473B)
+    (at 201.7875 110.4625)
+    (path /515197D1/515194E2)
+    (attr smd)
+    (fp_text reference C65 (at -0.025 -0.725) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0.95 -0.775) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 164 3.3V)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 519210F3) (tstamp 51544747)
+    (at 196.2625 112.9125 270)
+    (path /515197D1/515194BA)
+    (attr smd)
+    (fp_text reference C60 (at -0.025 -0.7 270) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -0.1 -1.225 270) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 162 1.25V)
+    )
+    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 519210D0) (tstamp 51544753)
+    (at 195.5125 119.5625 90)
+    (path /515197D1/515194F4)
+    (attr smd)
+    (fp_text reference C68 (at 1.05 0.025 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -1.275 -0.525 90) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 164 3.3V)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51920F82) (tstamp 5154475F)
+    (at 166.7525 127.4575 180)
+    (path /515197D1/51518EB2)
+    (attr smd)
+    (fp_text reference C107 (at 0.04 -0.755 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -0.01 -1.28 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 515444BA) (tstamp 5154476B)
+    (at 211.7125 122.6875 180)
+    (path /5154F007)
+    (attr smd)
+    (fp_text reference R7 (at -0.2 0.1 180) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 33 (at 0.09906 0 180) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 202 N-00000193)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 259 N-0000098)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51920F40) (tstamp 51544777)
+    (at 162.0375 111.0125 180)
+    (path /515197D1/51518E5E)
+    (attr smd)
+    (fp_text reference C93 (at -0.05 -0.7 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -0.075 -1.175 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 50A4E0BA) (tstamp 51544783)
+    (at 165.4375 108.0125)
+    (path /515197D1/51518E64)
+    (attr smd)
+    (fp_text reference C94 (at 0 0) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0.09906 0) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 5191E385) (tstamp 5154478F)
+    (at 166.0375 112.0875 180)
+    (path /515197D1/51518E6A)
+    (attr smd)
+    (fp_text reference C95 (at 0.025 -0.7 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -0.05 -1.2 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51920F23) (tstamp 5154479B)
+    (at 170.0375 103.5125 90)
+    (path /515197D1/51518E70)
+    (attr smd)
+    (fp_text reference C96 (at 0.45 0.75 90) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0.525 1.275 90) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 5191E37E) (tstamp 515447A7)
+    (at 168.6375 111.9625)
+    (path /515197D1/51518E76)
+    (attr smd)
+    (fp_text reference C97 (at 0.05 0.725) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0.1 1.2) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51920F33) (tstamp 515447B3)
+    (at 169.1875 110.0375 180)
+    (path /515197D1/51518E7C)
+    (attr smd)
+    (fp_text reference C98 (at 0 0.65 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -0.05 1.2 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 5191E39D) (tstamp 515447BF)
+    (at 164.7875 110.5625)
+    (path /515197D1/51518E82)
+    (attr smd)
+    (fp_text reference C99 (at -1.05 0 90) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -1.125 0.95 90) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51920F54) (tstamp 515447CB)
+    (at 158.9725 125.3475)
+    (path /515197D1/51518E88)
+    (attr smd)
+    (fp_text reference C100 (at -0.26 -0.71) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -0.335 -1.235) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51920F5A) (tstamp 515447D7)
+    (at 160.6225 125.3575)
+    (path /515197D1/51518E8E)
+    (attr smd)
+    (fp_text reference C101 (at -0.46 -0.67) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -0.56 -1.195) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 5192FDA2) (tstamp 515447E3)
+    (at 163.2875 126.8875)
+    (path /515197D1/51518E94)
+    (attr smd)
+    (fp_text reference C102 (at -0.2 -0.65) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -1.275 -0.65) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 5192FD8C) (tstamp 515447EF)
+    (at 165.4725 125.2475 180)
+    (path /515197D1/51518E9A)
+    (attr smd)
+    (fp_text reference C103 (at -0.04 0.67 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -0.49 1.21 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 5192FD91) (tstamp 515447FB)
+    (at 168.0025 125.2175 180)
+    (path /515197D1/51518EA0)
+    (attr smd)
+    (fp_text reference C104 (at 0.02 0.66 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -0.01 1.18 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 5192FD88) (tstamp 51544807)
+    (at 169.6825 125.2875 180)
+    (path /515197D1/51518EA6)
+    (attr smd)
+    (fp_text reference C105 (at 0.02 0.71 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -0.005 1.25 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 5192FD80) (tstamp 5188E8FE)
+    (at 169.5825 126.8075)
+    (path /515197D1/51518EAC)
+    (attr smd)
+    (fp_text reference C106 (at 1.055 -0.02 90) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 1.605 0.005 90) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 519210FD) (tstamp 5154481F)
+    (at 198.7625 110.4625)
+    (path /515197D1/515194DC)
+    (attr smd)
+    (fp_text reference C64 (at -0.05 -0.75) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -0.05 -1.3) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 164 3.3V)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 519210EC) (tstamp 5154482B)
+    (at 199.2125 111.8625)
+    (path /515197D1/5151947E)
+    (attr smd)
+    (fp_text reference C50 (at -0.275 0.75) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0 1.2) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 163 1.2V)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 5191F91F) (tstamp 51544837)
+    (at 193.0375 115.6875)
+    (path /515197D1/51519484)
+    (attr smd)
+    (fp_text reference C53 (at 1.225 -0.225) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0.09906 0) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 163 1.2V)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 5192FC79) (tstamp 51544843)
+    (at 193.0375 112.9875)
+    (path /515197D1/5151948A)
+    (attr smd)
+    (fp_text reference C52 (at 0 -0.625) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0.075 1.175) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 163 1.2V)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 5192FC95) (tstamp 5154484F)
+    (at 193.0375 114.7625)
+    (path /515197D1/51519490)
+    (attr smd)
+    (fp_text reference C51 (at 0.925 -0.025 90) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0.09906 0) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 163 1.2V)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 5192FCBD) (tstamp 5154485B)
+    (at 193.0375 113.8875)
+    (path /515197D1/51519496)
+    (attr smd)
+    (fp_text reference C54 (at -0.925 -0.025 90) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0.09906 0) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 163 1.2V)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 5191FF74) (tstamp 51544867)
+    (at 196.7875 116.8375 90)
+    (path /515197D1/5151949C)
+    (attr smd)
+    (fp_text reference C55 (at -0.425 -0.675 90) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -0.05 -0.05 90) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 163 1.2V)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 5191FF6B) (tstamp 51544873)
+    (at 197.6875 116.8375 90)
+    (path /515197D1/515194A2)
+    (attr smd)
+    (fp_text reference C56 (at -0.025 0.725 90) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -0.15 1.125 90) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 163 1.2V)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 5191F918) (tstamp 5154487F)
+    (at 193.0875 116.5875)
+    (path /515197D1/515194A8)
+    (attr smd)
+    (fp_text reference C57 (at 1.25 0.55) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0 0.525) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 163 1.2V)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 5192FCDE) (tstamp 5191FB4F)
+    (at 194.3625 112.9125 270)
+    (path /515197D1/515194AE)
+    (attr smd)
+    (fp_text reference C58 (at 0.95 0 360) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 1.275 0.325 270) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 162 1.25V)
+    )
+    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 5192FCD1) (tstamp 51544897)
+    (at 195.3125 112.9125 270)
+    (path /515197D1/515194B4)
+    (attr smd)
+    (fp_text reference C59 (at 1 -0.075 360) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 1.2 -0.275 270) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 162 1.25V)
+    )
+    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51920F46) (tstamp 515448A3)
+    (at 159.7375 111.9375 180)
+    (path /515197D1/51518E58)
+    (attr smd)
+    (fp_text reference C92 (at -0.025 -0.7 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -0.525 -1.15 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 5192FCE6) (tstamp 515448AF)
+    (at 196.8875 114.6375 270)
+    (path /515197D1/515194C0)
+    (attr smd)
+    (fp_text reference C61 (at 0.25 -0.675 270) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0.09906 0 270) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 164 3.3V)
+    )
+    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51876FC2) (tstamp 515448BB)
+    (at 192.8625 110.6875 90)
+    (path /515197D1/515194C6)
+    (attr smd)
+    (fp_text reference C62 (at -0.0061 0.7192 90) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0.09906 0 90) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 164 3.3V)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51920C43) (tstamp 515448C7)
+    (at 191.9375 110.6875 90)
+    (path /515197D1/515194D6)
+    (attr smd)
+    (fp_text reference C63 (at 1.575 0.025 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0.09906 0 90) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 164 3.3V)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51921083) (tstamp 515448DF)
+    (at 190.2375 114.2125)
+    (path /515197D1/51519992)
+    (attr smd)
+    (fp_text reference C123 (at 1.1 0.6 90) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -0.25 2.325) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51921086) (tstamp 515448EB)
+    (at 190.2375 115.7875)
+    (path /515197D1/51519998)
+    (attr smd)
+    (fp_text reference C124 (at 1.075 0.55 90) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -0.225 1.175) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 5192108F) (tstamp 515448F7)
+    (at 190.2375 118.1375)
+    (path /515197D1/5151999E)
+    (attr smd)
+    (fp_text reference C125 (at -0.2 0.725) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -0.175 1.225) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51920C7A) (tstamp 51544957)
+    (at 190.2375 113.2875)
+    (path /515197D1/5151998C)
+    (attr smd)
+    (fp_text reference C122 (at 1.075 0.3 90) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -0.3 -1.075) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 5192FDA7) (tstamp 5154496F)
+    (at 164.2125 128.2625)
+    (path /515197D1/51513AB2)
+    (attr smd)
+    (fp_text reference C108 (at 0.075 0.7) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value 22u (at -0.485 1.185) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51920FD9) (tstamp 5154497B)
+    (at 158.6625 136.4125 90)
+    (path /515197D1/515147D0)
+    (attr smd)
+    (fp_text reference R33 (at -1.3 -0.225 90) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value 2k2 (at -1.25 0.275 90) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 167 DRAM_REF)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51920FE5) (tstamp 51544987)
+    (at 160.2875 137.0625)
+    (path /515197D1/515147DD)
+    (attr smd)
+    (fp_text reference R32 (at -0.075 0.775) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value 2k2 (at -0.075 1.325) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 167 DRAM_REF)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51920F62) (tstamp 51876690)
+    (at 163.0375 125.2375 180)
+    (path /515197D1/51514B03)
+    (attr smd)
+    (fp_text reference C80 (at 0.125 0.675 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0.1 1.275 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 167 DRAM_REF)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51920EB1) (tstamp 5154499F)
+    (at 161.4875 108.5375 90)
+    (path /515197D1/51514BCD)
+    (attr smd)
+    (fp_text reference C79 (at 0.05 -0.725 90) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0.125 -1.2 90) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 167 DRAM_REF)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51920EDF) (tstamp 515449AB)
+    (at 163.3625 103.7125 270)
+    (path /515197D1/51514BD3)
+    (attr smd)
+    (fp_text reference C78 (at -1.1 0.025 360) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0.225 -0.7 270) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 167 DRAM_REF)
+    )
+    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 518764F1) (tstamp 515449B7)
+    (at 159.4625 131.7875 180)
+    (path /515197D1/51514BD9)
+    (attr smd)
+    (fp_text reference C77 (at 0.025 0.675 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -0.225 -0.7 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 167 DRAM_REF)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 5191E7BB) (tstamp 515449C3)
+    (at 157.3125 136.4625 90)
+    (path /515197D1/51514BDF)
+    (attr smd)
+    (fp_text reference C81 (at 0.05 -0.7 90) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0.125 -1.275 90) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 167 DRAM_REF)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51920FAB) (tstamp 515449CF)
+    (at 166.2125 133.9625 180)
+    (path /515197D1/51519950)
+    (attr smd)
+    (fp_text reference C112 (at -0.1 -0.7 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -0.075 -1.25 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 519210C6) (tstamp 515449DB)
+    (at 197.3875 119.5375 90)
+    (path /515197D1/51519606)
+    (attr smd)
+    (fp_text reference C70 (at 0.55 0.7 90) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 1.025 1.125 90) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 164 3.3V)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51889AF2) (tstamp 515449E7)
+    (at 211.7125 121.5625 180)
+    (path /515197D1/5151960C)
+    (attr smd)
+    (fp_text reference C72 (at -0.025 -0.675 180) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value .22u (at 0.025 0.7 180) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 518880FF) (tstamp 515449F3)
+    (at 199.6875 124.4625 180)
+    (path /515197D1/51519612)
+    (attr smd)
+    (fp_text reference C71 (at 0.025 -0.8 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -1.4 -0.1 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 164 3.3V)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 519210A9) (tstamp 515449FF)
+    (at 190.0625 120.6375)
+    (path /515197D1/51519618)
+    (attr smd)
+    (fp_text reference C73 (at 0.225 0.725) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -1.3 0) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 167 DRAM_REF)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 5192100A) (tstamp 519F470E)
+    (at 196.5625 133.4625)
+    (path /515197D1/5151961E)
+    (attr smd)
+    (fp_text reference C74 (at 0.05 0.725) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0.075 1.25) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 167 DRAM_REF)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 518773FE) (tstamp 51544A17)
+    (at 188.0125 112.1875 270)
+    (path /515197D1/51519624)
+    (attr smd)
+    (fp_text reference C75 (at 0.225 0.625 270) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0.5 1.1 270) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 167 DRAM_REF)
+    )
+    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51877356) (tstamp 51544A23)
+    (at 186.5125 111.4625 90)
+    (path /515197D1/5151962A)
+    (attr smd)
+    (fp_text reference C76 (at 0.025 -0.65 90) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0.025 -1.15 90) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 167 DRAM_REF)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51920F92) (tstamp 51544A2F)
+    (at 169.5875 130.9625 180)
+    (path /515197D1/515198E6)
+    (attr smd)
+    (fp_text reference C109 (at -0.025 0.675 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -0.05 1.3 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51920FA5) (tstamp 51544A3B)
+    (at 168.2375 133.9875)
+    (path /515197D1/51519944)
+    (attr smd)
+    (fp_text reference C110 (at -0.0261 0.6892) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0.025 1.225) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51920FC4) (tstamp 51544A47)
+    (at 156.8375 134.5375 90)
+    (path /515197D1/5151994A)
+    (attr smd)
+    (fp_text reference C111 (at 0.05 -0.65 90) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -0.35 -1.15 90) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 519210E0) (tstamp 51544A53)
+    (at 196.4375 119.5375 90)
+    (path /515197D1/51519600)
+    (attr smd)
+    (fp_text reference C69 (at 1.425 0.025 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -1.3 -0.5 90) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 164 3.3V)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51920FED) (tstamp 51544A5F)
+    (at 163.8125 136.7125 270)
+    (path /515197D1/51519956)
+    (attr smd)
+    (fp_text reference C113 (at -1.525 0.175 270) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -1.475 -0.3 270) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51920FB9) (tstamp 51544A6B)
+    (at 164.0125 132.2875 180)
+    (path /515197D1/5151995C)
+    (attr smd)
+    (fp_text reference C114 (at 0.475 -0.7 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0.6 -1.2 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 519210A2) (tstamp 51544A77)
+    (at 191.4875 119.4375)
+    (path /515197D1/51519962)
+    (attr smd)
+    (fp_text reference C115 (at 0 0.7) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0.09906 0) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51876FDE) (tstamp 51544A83)
+    (at 161.6125 132.3875 180)
+    (path /515197D1/51519968)
+    (attr smd)
+    (fp_text reference C116 (at -0.0061 -0.7558 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0.09906 0 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51920FCC) (tstamp 51544A8F)
+    (at 159.7625 134.0125 180)
+    (path /515197D1/5151996E)
+    (attr smd)
+    (fp_text reference C117 (at -0.05 -0.725 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -0.075 -1.2 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51920FC9) (tstamp 51544A9B)
+    (at 158.0875 133.9875 180)
+    (path /515197D1/51519974)
+    (attr smd)
+    (fp_text reference C118 (at -0.05 -0.725 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -0.075 -1.175 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 5191E436) (tstamp 51544AA7)
+    (at 164.7125 130.8875)
+    (path /515197D1/5151997A)
+    (attr smd)
+    (fp_text reference C119 (at 0.075 -0.7) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0.075 -1.2) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51921067) (tstamp 51920C7E)
+    (at 190.2125 112.3625)
+    (path /515197D1/51519980)
+    (attr smd)
+    (fp_text reference C120 (at 1.25 0.05 90) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0.975 -0.05) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51921057) (tstamp 51544ABF)
+    (at 190.2125 111.4625)
+    (path /515197D1/51519986)
+    (attr smd)
+    (fp_text reference C121 (at 1.05 -0.2 90) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0.09906 0) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 5188CAB6) (tstamp 515E31A6)
+    (at 211.12274 81.32302 270)
+    (path /5150FD34)
+    (attr smd)
+    (fp_text reference R22 (at 1.28948 0.21024 270) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 15k (at 1.26448 -0.26476 270) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 216 N-00000266)
+    )
+    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 519E1604) (tstamp 51544AD7)
+    (at 214.3625 155.1875 180)
+    (path /5155B764)
+    (attr smd)
+    (fp_text reference C5 (at 1.3 -0.1 180) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 22pF (at 2.4 -0.2 180) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 165 5V_IN)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 5188D1B6) (tstamp 51544AE3)
+    (at 217.5375 92.4625)
+    (path /51554E46)
+    (attr smd)
+    (fp_text reference C31 (at -1.25 0.25) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value .22u (at -1.35 -0.3) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 189 LDO1)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 5188D975) (tstamp 51544AEF)
+    (at 220.5125 103.3875 90)
+    (path /51554668)
+    (attr smd)
+    (fp_text reference C28 (at -1.25 0.325 90) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value .22u (at -1.35 -0.175 90) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 166 AVCC)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 5188DCB9) (tstamp 51544AFB)
+    (at 209.9125 97.8125)
+    (path /51552580)
+    (attr smd)
+    (fp_text reference C26 (at -1.25 -0.225) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value .22u (at -1.3 0.275) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 190 LDO3)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 5188D989) (tstamp 51544B07)
+    (at 219.5875 103.5625 270)
+    (path /51552413)
+    (attr smd)
+    (fp_text reference C19 (at -0.5 0.7 270) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value .22u (at -0.475 1.2 270) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 191 LDO4)
+    )
+    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51920F48) (tstamp 51544B13)
+    (at 158.0125 111.9375 180)
+    (path /515197D1/51518E52)
+    (attr smd)
+    (fp_text reference C91 (at 0.05 -0.75 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -0.025 -1.25 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 5188D969) (tstamp 51544B1F)
+    (at 219.2375 104.8125)
+    (path /5154AF6B)
+    (attr smd)
+    (fp_text reference C46 (at -1.325 0.25) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value .22u (at -1.375 -0.325) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 162 1.25V)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 5188DBC9) (tstamp 51544B2B)
+    (at 216.6375 93.4625 180)
+    (path /5154170C)
+    (attr smd)
+    (fp_text reference C35 (at 0.325 -0.725 180) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value .22u (at -0.675 -0.75 180) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 165 5V_IN)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 5188CD1A) (tstamp 51544B37)
+    (at 199.8375 89.1625 270)
+    (path /5153E245)
+    (attr smd)
+    (fp_text reference C36 (at 1.25 -0.275 270) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value .22u (at 1.375 0.225 270) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 5188840D) (tstamp 51544B43)
+    (at 210.1375 81.3375 270)
+    (path /5153CE6B)
+    (attr smd)
+    (fp_text reference C21 (at 0.0209 0.76884 270) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value .22u (at -0.0291 -0.70616 270) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 5188CCF8) (tstamp 51544B4F)
+    (at 201.9125 89.1625 270)
+    (path /51513983)
+    (attr smd)
+    (fp_text reference C40 (at 1.275 -0.35 270) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 22pF (at 1.325 0.075 270) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 218 N-00000268)
+    )
+    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 5188CE36) (tstamp 51544B5B)
+    (at 200.4625 87.7375)
+    (path /5151397B)
+    (attr smd)
+    (fp_text reference R29 (at -1.325 -0.25) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 3.3k (at -1.325 0.225) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 218 N-00000268)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 5188CD0A) (tstamp 51544B67)
+    (at 200.9125 89.1625 270)
+    (path /5151396F)
+    (attr smd)
+    (fp_text reference R25 (at 1.275 -0.275 270) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 15k (at 1.25 0.2 270) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 218 N-00000268)
+    )
+    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 5188CAB1) (tstamp 51544B73)
+    (at 212.10318 81.32302 270)
+    (path /515105DA)
+    (attr smd)
+    (fp_text reference C24 (at 1.23948 -0.38432 270) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 22pF (at 1.33948 0.21568 270) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 216 N-00000266)
+    )
+    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 515E33BF) (tstamp 51544B7F)
+    (at 210.74682 79.87268)
+    (path /5150FD4C)
+    (attr smd)
+    (fp_text reference R24 (at -0.03048 -0.66548) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 10k (at 0.09906 0) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 216 N-00000266)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 5192FF31) (tstamp 5188D33B)
+    (at 223.9625 94.7875 90)
+    (path /515517D9)
+    (attr smd)
+    (fp_text reference C15 (at -1.25 -0.075 90) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value .22u (at -1.275 0.425 90) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 188 IPSOUT)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 5192FF18) (tstamp 51544B97)
+    (at 223.0125 93.0625 270)
+    (path /51501E95)
+    (attr smd)
+    (fp_text reference R23 (at -1.275 -0.275 270) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 200k (at -1.4 -0.75 270) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 220 N-00000277)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 5185CE64) (tstamp 51544BA3)
+    (at 158.9125 109.9625 180)
+    (path /514FBC8D)
+    (attr smd)
+    (fp_text reference R11 (at 0 0.675 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value 240 (at 0 -0.725 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 223 N-00000296)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 519F3418) (tstamp 519F33C9)
+    (at 197.1725 147.7675)
+    (path /514F4E43)
+    (attr smd)
+    (fp_text reference R26 (at -1.35 1.03) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 2k2 (at -1.37 -0.59) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 165 5V_IN)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 214 N-00000264)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 5188D252) (tstamp 51544BBB)
+    (at 218.8125 92.8625 90)
+    (path /51531275)
+    (attr smd)
+    (fp_text reference R31 (at -1.225 0.2 90) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 2k2 (at -1.25 -0.3 90) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 221 N-00000294)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 5188E80D) (tstamp 51544BC7)
+    (at 225.9125 91.1375 180)
+    (path /5152F82C)
+    (attr smd)
+    (fp_text reference C41 (at -1.525 0.225 180) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value .22u (at -1.35 -0.225 180) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 209 N-00000201)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 5188DD7F) (tstamp 51544BD3)
+    (at 212.1875 100.8875)
+    (path /5152F676)
+    (attr smd)
+    (fp_text reference R28 (at -0.35 0.825) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 33 (at 0.525 0.8) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 209 N-00000201)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 217 N-00000267)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 5188E2C7) (tstamp 51544BDF)
+    (at 196.2375 104.1125 270)
+    (path /5152D186)
+    (attr smd)
+    (fp_text reference R21 (at -1.25 0.225 270) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 2k2 (at -1.225 -0.225 270) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 134 /TWI0SDA)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 5188E2C1) (tstamp 51544BEB)
+    (at 197.1625 104.1125 270)
+    (path /5152D179)
+    (attr smd)
+    (fp_text reference R20 (at -1.275 0.125 270) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 2k2 (at -1.25 -0.3 270) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 133 /TWI0SCK)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 5188E5E5) (tstamp 51544BF7)
+    (at 211.8625 91.1625 90)
+    (path /5152CC57)
+    (attr smd)
+    (fp_text reference R30 (at -0.3 -0.7 90) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 240 (at -0.4 -1.225 90) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 207 N-00000199)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 5192100E) (tstamp 51544C03)
+    (at 197.9625 131.9875)
+    (path /5152B195)
+    (attr smd)
+    (fp_text reference R2 (at 0.05 0.725) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value 5k1 (at 0.075 1.25) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 257 N-0000088)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 519E0358) (tstamp 51544C0F)
+    (at 211.8725 115.6975 270)
+    (path /51523965)
+    (attr smd)
+    (fp_text reference C3 (at 0 0.71 270) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 18pF (at 0.82 1.09 270) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 226 N-00000300)
+    )
+    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 519E0394) (tstamp 51640911)
+    (at 206.0225 109.0875 90)
+    (path /51523944)
+    (attr smd)
+    (fp_text reference C4 (at 0.22 -0.68 90) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 18pF (at 1.425 0.075 90) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 227 N-00000301)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51921006) (tstamp 5191EA34)
+    (at 187.8875 126.4375 270)
+    (path /514C617B)
+    (attr smd)
+    (fp_text reference R10 (at -0.05 0.725 270) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value 240 (at 0 1.225 270) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 196 N-00000171)
+    )
+    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 5191E645) (tstamp 51544C33)
+    (at 161.3625 134.9125 90)
+    (path /514C6175)
+    (attr smd)
+    (fp_text reference R4 (at 0.1 0.8 90) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 240 (at 0.175 1.3 90) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 215 N-00000265)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 519F341B) (tstamp 519F33BC)
+    (at 197.1725 148.7575)
+    (path /514C634B)
+    (attr smd)
+    (fp_text reference R27 (at -1.34 -0.96) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 2k2 (at -1.36 0.56) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 165 5V_IN)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 199 N-00000190)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51920EC7) (tstamp 51544C4B)
+    (at 158.1125 104.5125 180)
+    (path /515197D1/51523C00)
+    (attr smd)
+    (fp_text reference C82 (at -0.025 -0.725 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -0.075 -1.2 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51A71AC9) (tstamp 51544C57)
+    (at 211.7125 125.9625 180)
+    (path /51550049)
+    (attr smd)
+    (fp_text reference R9 (at 0.1125 -0.7625 180) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 33 (at 0.09906 0 180) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 203 N-00000194)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 225 N-0000030)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 519E1608) (tstamp 51544C63)
+    (at 214.4125 156.2875 180)
+    (path /51558187)
+    (attr smd)
+    (fp_text reference C39 (at 1.45 -0.1 180) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value .22u (at 2.65 0 180) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 165 5V_IN)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 5189C95D) (tstamp 51544C6F)
+    (at 215.0375 138.9875)
+    (path /5155B76A)
+    (attr smd)
+    (fp_text reference C6 (at 0 0.8) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 22pF (at 0.275 1.3) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 165 5V_IN)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51584567) (tstamp 51544C7B)
+    (at 216.4875 124.8875 180)
+    (path /51559D15)
+    (attr smd)
+    (fp_text reference R13 (at -1.47 -0.05 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value 47K (at 0.09906 0 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 164 3.3V)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 201 N-00000192)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51889211) (tstamp 51544C87)
+    (at 216.4875 122.6875 180)
+    (path /51559D1B)
+    (attr smd)
+    (fp_text reference R14 (at -1.35 0.25 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value 47K (at -1.35 -0.325 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 164 3.3V)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 202 N-00000193)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 5188920B) (tstamp 51544C93)
+    (at 216.4125 120.4875 180)
+    (path /51559D21)
+    (attr smd)
+    (fp_text reference R15 (at -1.375 0.2 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value 47K (at -1.375 -0.3 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 164 3.3V)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 204 N-00000195)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51889202) (tstamp 518891B1)
+    (at 216.3875 119.3625 180)
+    (path /51559D27)
+    (attr smd)
+    (fp_text reference R16 (at -1.375 0.175 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value 47K (at -1.425 -0.3 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 164 3.3V)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 205 N-00000196)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 518891FC) (tstamp 51544CAB)
+    (at 216.3875 118.2625 180)
+    (path /51559D2D)
+    (attr smd)
+    (fp_text reference R17 (at -1.425 0.2 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value 47K (at -1.425 -0.325 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 164 3.3V)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 200 N-00000191)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 5187A23F) (tstamp 51544CB7)
+    (at 199.2375 113.8125)
+    (path /515197D1/515197E6)
+    (attr smd)
+    (fp_text reference C49 (at 0.05 0.725) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 1.175 0.15 90) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 163 1.2V)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 5188C328) (tstamp 51544CC3)
+    (at 174.2375 93.7875 180)
+    (path /51558874)
+    (attr smd)
+    (fp_text reference C7 (at -1.1 0.3 180) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value .22u (at 0.075 0.725 180) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51920ED0) (tstamp 51544CCF)
+    (at 159.7625 104.5125 180)
+    (path /515197D1/51518E22)
+    (attr smd)
+    (fp_text reference C83 (at 0 -0.75 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -0.05 -1.2 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51920EE9) (tstamp 51866B21)
+    (at 162.0125 104.0625 180)
+    (path /515197D1/51518E28)
+    (attr smd)
+    (fp_text reference C84 (at -0.275 0.75 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0.625 -0.75 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51920EF6) (tstamp 51544CE7)
+    (at 165.5625 105.5125)
+    (path /515197D1/51518E2E)
+    (attr smd)
+    (fp_text reference C85 (at 0.025 0.7) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0.05 1.125) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51920EFD) (tstamp 51544CF3)
+    (at 167.3375 105.4375 180)
+    (path /515197D1/51518E34)
+    (attr smd)
+    (fp_text reference C86 (at 0 -0.7 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -0.1 -1.175 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 5199FA14) (tstamp 51544CFF)
+    (at 168.5875 103.2625)
+    (path /515197D1/51518E3A)
+    (attr smd)
+    (fp_text reference C87 (at 0.025 -0.625) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0.725 -1.15) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51920F13) (tstamp 5185C9A4)
+    (at 170.9125 105.1875 270)
+    (path /515197D1/51518E40)
+    (attr smd)
+    (fp_text reference C88 (at 1.3 0.65 270) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at -0.1 -1.3 270) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51920F10) (tstamp 51544D17)
+    (at 170.0125 105.1875 270)
+    (path /515197D1/51518E46)
+    (attr smd)
+    (fp_text reference C89 (at -0.05 -1.65 270) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 1.525 0.25 270) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 5185CAE4) (tstamp 51544D23)
+    (at 158.2125 103.0625 180)
+    (path /515197D1/51518E4C)
+    (attr smd)
+    (fp_text reference C90 (at 0.2 -0.675 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0.875 0.7 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 51584559) (tstamp 51544D2F)
+    (at 216.4875 125.9625 180)
+    (path /5154C74A)
+    (attr smd)
+    (fp_text reference R12 (at -1.38 0.11 180) (layer B.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value 47K (at 0.09906 0 180) (layer B.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 164 3.3V)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 203 N-00000194)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 5192FF3E) (tstamp 51544D3B)
+    (at 222.6875 98.9875 270)
+    (path /5155CB6D)
+    (attr smd)
+    (fp_text reference C43 (at -1.325 -0.075 270) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value .22u (at -1.225 -0.675 270) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 163 1.2V)
+    )
+    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 519E04E7) (tstamp 51544D53)
+    (at 185.7525 96.6575)
+    (path /51543767)
+    (attr smd)
+    (fp_text reference C2 (at -0.07 -0.69) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 18pF (at -0.15 -1.3) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 211 N-00000203)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 519E04E4) (tstamp 51544D5F)
+    (at 183.8025 96.6275)
+    (path /5154376F)
+    (attr smd)
+    (fp_text reference R1 (at -0.05 0.71) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 10M (at -0.14 1.23) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 234 N-00000364)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 211 N-00000203)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51907BC1) (tstamp 51544D6B)
+    (at 176.5625 115.1125 270)
+    (path /5154676A)
+    (attr smd)
+    (fp_text reference R18 (at -0.125 -0.7 270) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 22 (at -0.125 -1.2 270) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 4 /CK)
+    )
+    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 116 /SCK)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51907BBB) (tstamp 51544D77)
+    (at 175.6625 115.1125 270)
+    (path /51546777)
+    (attr smd)
+    (fp_text reference R19 (at -0.125 0.675 270) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 22 (at -0.1 1.175 270) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 5 /CK#)
+    )
+    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 117 /SCK#)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51A71AC7) (tstamp 51544D83)
+    (at 211.7125 124.8625 180)
+    (path /5154F826)
+    (attr smd)
+    (fp_text reference R8 (at 0.2125 0.6125 180) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 33 (at 0.09906 0 180) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 201 N-00000192)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 194 N-00000134)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 50A4E0BA) (tstamp 51544D8F)
+    (at 211.7125 118.2625 180)
+    (path /5154C786)
+    (attr smd)
+    (fp_text reference R3 (at 0 0 180) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 33 (at 0.09906 0 180) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 200 N-00000191)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 192 N-00000132)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 515444B6) (tstamp 51544D9B)
+    (at 211.7125 119.3625 180)
+    (path /5154E138)
+    (attr smd)
+    (fp_text reference R5 (at 0 0 180) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 33 (at 0.09906 0 180) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 205 N-00000196)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 258 N-0000097)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 50A4E0BA) (tstamp 51544DA7)
+    (at 211.7125 120.4625 180)
+    (path /5154E7E5)
+    (attr smd)
+    (fp_text reference R6 (at 0 0 180) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 33 (at 0.09906 0 180) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 204 N-00000195)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 193 N-00000133)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module RCLAMP (layer TOP-L1) (tedit 5189C9F9) (tstamp 51544DB9)
+    (at 208.1859 145.4037 180)
+    (descr "Module CMS SOJ 8 pins large")
+    (tags "CMS SOJ")
+    (path /514D120E)
+    (attr smd)
+    (fp_text reference U4 (at 1.0234 1.8162 180) (layer F.SilkS)
+      (effects (font (size 1.143 1.016) (thickness 0.127)))
+    )
+    (fp_text value 524P (at 0.1484 3.2912 180) (layer F.SilkS)
+      (effects (font (size 1.016 1.016) (thickness 0.127)))
+    )
+    (fp_line (start -1.35 -1.05) (end 1.4 -1.05) (layer F.SilkS) (width 0.15))
+    (fp_line (start 1.4 -1.05) (end 1.4 1) (layer F.SilkS) (width 0.15))
+    (fp_line (start 1.4 1) (end -1.35 1) (layer F.SilkS) (width 0.15))
+    (fp_line (start -1.35 1) (end -1.35 -1.05) (layer F.SilkS) (width 0.15))
+    (pad 7 smd rect (at 0.5 -0.45 180) (size 0.2 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 233 N-0000036)
+    )
+    (pad 8 smd rect (at 0 -0.45 180) (size 0.4 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 3 smd rect (at 0 0.45 180) (size 0.4 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 4 smd rect (at 0.5 0.45 180) (size 0.2 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 48 /HTX1P)
+    )
+    (pad 6 smd rect (at 1 -0.45 180) (size 0.2 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 230 N-0000033)
+    )
+    (pad 5 smd rect (at 1 0.45 180) (size 0.2 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 47 /HTX1N)
+    )
+    (pad 9 smd rect (at -0.5 -0.45 180) (size 0.2 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 232 N-0000035)
+    )
+    (pad 2 smd rect (at -0.5 0.45 180) (size 0.2 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 49 /HTX2N)
+    )
+    (pad 10 smd rect (at -1 -0.45 180) (size 0.2 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 231 N-0000034)
+    )
+    (pad 1 smd rect (at -1 0.45 180) (size 0.2 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 50 /HTX2P)
+    )
+    (model cms_so10.wrl
+      (at (xyz -0.01 0 0))
+      (scale (xyz 0.2 0.08 0.5))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module RCLAMP (layer TOP-L1) (tedit 5189C9F3) (tstamp 51544DCB)
+    (at 204.3759 145.4418 180)
+    (descr "Module CMS SOJ 8 pins large")
+    (tags "CMS SOJ")
+    (path /514D11F4)
+    (attr smd)
+    (fp_text reference U6 (at 1.4134 1.7793 180) (layer F.SilkS)
+      (effects (font (size 1.143 1.016) (thickness 0.127)))
+    )
+    (fp_text value 524P (at 0.6634 3.2793 180) (layer F.SilkS)
+      (effects (font (size 1.016 1.016) (thickness 0.127)))
+    )
+    (fp_line (start -1.35 -1.05) (end 1.4 -1.05) (layer F.SilkS) (width 0.15))
+    (fp_line (start 1.4 -1.05) (end 1.4 1) (layer F.SilkS) (width 0.15))
+    (fp_line (start 1.4 1) (end -1.35 1) (layer F.SilkS) (width 0.15))
+    (fp_line (start -1.35 1) (end -1.35 -1.05) (layer F.SilkS) (width 0.15))
+    (pad 7 smd rect (at 0.5 -0.45 180) (size 0.2 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 237 N-0000039)
+    )
+    (pad 8 smd rect (at 0 -0.45 180) (size 0.4 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 3 smd rect (at 0 0.45 180) (size 0.4 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 4 smd rect (at 0.5 0.45 180) (size 0.2 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 52 /HTXCP)
+    )
+    (pad 6 smd rect (at 1 -0.45 180) (size 0.2 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 238 N-0000040)
+    )
+    (pad 5 smd rect (at 1 0.45 180) (size 0.2 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 51 /HTXCN)
+    )
+    (pad 9 smd rect (at -0.5 -0.45 180) (size 0.2 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 236 N-0000038)
+    )
+    (pad 2 smd rect (at -0.5 0.45 180) (size 0.2 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 45 /HTX0N)
+    )
+    (pad 10 smd rect (at -1 -0.45 180) (size 0.2 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 235 N-0000037)
+    )
+    (pad 1 smd rect (at -1 0.45 180) (size 0.2 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 46 /HTX0P)
+    )
+    (model cms_so10.wrl
+      (at (xyz -0.01 0 0))
+      (scale (xyz 0.2 0.08 0.5))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module RCLAMP (layer TOP-L1) (tedit 5189C9EE) (tstamp 51544DDD)
+    (at 200.3119 145.4799 180)
+    (descr "Module CMS SOJ 8 pins large")
+    (tags "CMS SOJ")
+    (path /514D0FDE)
+    (attr smd)
+    (fp_text reference U8 (at 2.2994 1.7924 180) (layer F.SilkS)
+      (effects (font (size 1.143 1.016) (thickness 0.127)))
+    )
+    (fp_text value 524P (at 1.3994 3.3424 180) (layer F.SilkS)
+      (effects (font (size 1.016 1.016) (thickness 0.127)))
+    )
+    (fp_line (start -1.35 -1.05) (end 1.4 -1.05) (layer F.SilkS) (width 0.15))
+    (fp_line (start 1.4 -1.05) (end 1.4 1) (layer F.SilkS) (width 0.15))
+    (fp_line (start 1.4 1) (end -1.35 1) (layer F.SilkS) (width 0.15))
+    (fp_line (start -1.35 1) (end -1.35 -1.05) (layer F.SilkS) (width 0.15))
+    (pad 7 smd rect (at 0.5 -0.45 180) (size 0.2 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 199 N-00000190)
+    )
+    (pad 8 smd rect (at 0 -0.45 180) (size 0.4 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 3 smd rect (at 0 0.45 180) (size 0.4 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 4 smd rect (at 0.5 0.45 180) (size 0.2 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 44 /HSDA)
+    )
+    (pad 6 smd rect (at 1 -0.45 180) (size 0.2 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 198 N-00000189)
+    )
+    (pad 5 smd rect (at 1 0.45 180) (size 0.2 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 42 /HHPD)
+    )
+    (pad 9 smd rect (at -0.5 -0.45 180) (size 0.2 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 214 N-00000264)
+    )
+    (pad 2 smd rect (at -0.5 0.45 180) (size 0.2 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 43 /HSCL)
+    )
+    (pad 10 smd rect (at -1 -0.45 180) (size 0.2 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 197 N-00000185)
+    )
+    (pad 1 smd rect (at -1 0.45 180) (size 0.2 0.675)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 41 /HCEC)
+    )
+    (model cms_so10.wrl
+      (at (xyz -0.01 0 0))
+      (scale (xyz 0.2 0.08 0.5))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module QFN48+1 (layer TOP-L1) (tedit 515E7D5F) (tstamp 51544E1A)
+    (at 217.013 98.4223 90)
+    (path /514C3FF4)
+    (attr smd)
+    (fp_text reference U7 (at 0.01016 2.45364 90) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.127)))
+    )
+    (fp_text value AXP209 (at 0.381 -0.1524 90) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.635) (thickness 0.1524)))
+    )
+    (fp_line (start -2.921 -3.81) (end -3.175 -3.81) (layer F.SilkS) (width 0.127))
+    (fp_line (start -3.175 -3.81) (end -3.81 -3.302) (layer F.SilkS) (width 0.127))
+    (fp_line (start -3.81 -3.302) (end -3.81 -2.921) (layer F.SilkS) (width 0.127))
+    (fp_line (start -2.921 -3.81) (end 3.81 -3.81) (layer F.SilkS) (width 0.127))
+    (fp_line (start 3.81 -3.81) (end 3.81 3.81) (layer F.SilkS) (width 0.127))
+    (fp_line (start 3.81 3.81) (end -3.81 3.81) (layer F.SilkS) (width 0.127))
+    (fp_line (start -3.81 3.81) (end -3.81 -2.921) (layer F.SilkS) (width 0.127))
+    (fp_circle (center -3.32994 -3.25882) (end -3.43154 -3.56108) (layer F.SilkS) (width 0.127))
+    (pad 1 smd rect (at -3.39852 -2.74828 90) (size 0.59944 0.24892)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 134 /TWI0SDA)
+    )
+    (pad 2 smd rect (at -3.39852 -2.2479 90) (size 0.59944 0.24892)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 133 /TWI0SCK)
+    )
+    (pad 3 smd rect (at -3.39852 -1.74752 90) (size 0.59944 0.24892)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 4 smd rect (at -3.39852 -1.24714 90) (size 0.59944 0.24892)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 5 smd rect (at -3.39852 -0.7493 90) (size 0.59944 0.24892)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 6 smd rect (at -3.39852 -0.24892 90) (size 0.59944 0.24892)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 7 smd rect (at -3.39852 0.25146 90) (size 0.59944 0.24892)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 188 IPSOUT)
+    )
+    (pad 8 smd rect (at -3.39852 0.75184 90) (size 0.59944 0.24892)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 255 N-0000053)
+    )
+    (pad 9 smd rect (at -3.39852 1.25222 90) (size 0.59944 0.24892)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 10 smd rect (at -3.39852 1.7526 90) (size 0.59944 0.24892)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 162 1.25V)
+    )
+    (pad 11 smd rect (at -3.39852 2.25298 90) (size 0.59944 0.24892)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 191 LDO4)
+    )
+    (pad 12 smd rect (at -3.39852 2.75082 90) (size 0.59944 0.24892)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 166 AVCC)
+    )
+    (pad 33 smd rect (at 3.39852 -1.25222 90) (size 0.59944 0.24892)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 165 5V_IN)
+    )
+    (pad 34 smd rect (at 3.39852 -1.75006 90) (size 0.59944 0.24892)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 188 IPSOUT)
+    )
+    (pad 35 smd rect (at 3.39852 -2.25044 90) (size 0.59944 0.24892)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 188 IPSOUT)
+    )
+    (pad 36 smd rect (at 3.39852 -2.75082 90) (size 0.59944 0.24892)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 17 smd rect (at -0.7493 3.40106 90) (size 0.24892 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 163 1.2V)
+    )
+    (pad 18 smd rect (at -0.25146 3.40106 90) (size 0.24892 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 19 smd rect (at 0.24892 3.40106 90) (size 0.24892 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 219 N-00000276)
+    )
+    (pad 20 smd rect (at 0.7493 3.40106 90) (size 0.24892 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 40 /EXTEN)
+    )
+    (pad 21 smd rect (at 1.24968 3.40106 90) (size 0.24892 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 188 IPSOUT)
+    )
+    (pad 22 smd rect (at 1.75006 3.40106 90) (size 0.24892 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 23 smd rect (at 2.25044 3.40106 90) (size 0.24892 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 220 N-00000277)
+    )
+    (pad 24 smd rect (at 2.75082 3.40106 90) (size 0.24892 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 213 N-00000263)
+    )
+    (pad 49 smd rect (at 0 0 90) (size 5.10032 5.10032)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 13 smd rect (at -2.74828 3.40106 90) (size 0.24892 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 188 IPSOUT)
+    )
+    (pad 14 smd rect (at -2.2479 3.40106 90) (size 0.24892 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 188 IPSOUT)
+    )
+    (pad 15 smd rect (at -1.74752 3.40106 90) (size 0.24892 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 256 N-0000054)
+    )
+    (pad 16 smd rect (at -1.24968 3.40106 90) (size 0.24892 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 25 smd rect (at 3.39852 2.74828 90) (size 0.59944 0.24892)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 99 /RESET#)
+    )
+    (pad 26 smd rect (at 3.40106 2.2479 90) (size 0.59944 0.24892)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 208 N-00000200)
+    )
+    (pad 27 smd rect (at 3.40106 1.75006 90) (size 0.59944 0.24892)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 221 N-00000294)
+    )
+    (pad 28 smd rect (at 3.40106 1.24968 90) (size 0.59944 0.24892)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 189 LDO1)
+    )
+    (pad 29 smd rect (at 3.40106 0.7493 90) (size 0.59944 0.24892)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 30 smd rect (at 3.40106 0.24892 90) (size 0.59944 0.24892)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 31 smd rect (at 3.40106 -0.25146 90) (size 0.59944 0.24892)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 32 smd rect (at 3.40106 -0.75184 90) (size 0.59944 0.24892)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 165 5V_IN)
+    )
+    (pad 37 smd rect (at 2.75082 -3.39852 90) (size 0.24892 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 207 N-00000199)
+    )
+    (pad 38 smd rect (at 2.25044 -3.39852 90) (size 0.24892 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 39 smd rect (at 1.75006 -3.39852 90) (size 0.24892 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 40 smd rect (at 1.25222 -3.39852 90) (size 0.24892 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 188 IPSOUT)
+    )
+    (pad 41 smd rect (at 0.75184 -3.39852 90) (size 0.24892 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 190 LDO3)
+    )
+    (pad 42 smd rect (at 0.25146 -3.39852 90) (size 0.24892 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 43 smd rect (at -0.24892 -3.39852 90) (size 0.24892 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 44 smd rect (at -0.7493 -3.39852 90) (size 0.24892 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 188 IPSOUT)
+    )
+    (pad 45 smd rect (at -1.24968 -3.39852 90) (size 0.24892 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 46 smd rect (at -1.75006 -3.39852 90) (size 0.24892 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 47 smd rect (at -2.2479 -3.39852 90) (size 0.24892 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 217 N-00000267)
+    )
+    (pad 48 smd rect (at -2.74828 -3.39852 90) (size 0.24892 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 89 /NMI#)
+    )
+    (model s-pvqfn-n48_axp209.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 90))
+    )
+  )
+
+  (module PIN_ARRAY_5x2 (layer TOP-L1) (tedit 51833FF7) (tstamp 51544E2C)
+    (at 201.6325 131.8975 270)
+    (descr "Double rangee de contacts 2 x 5 pins")
+    (tags CONN)
+    (path /51518451)
+    (fp_text reference J1 (at 5.75 3.625 270) (layer F.SilkS)
+      (effects (font (size 1.016 1.016) (thickness 0.2032)))
+    )
+    (fp_text value CONN10 (at 0 -3.81 270) (layer F.SilkS) hide
+      (effects (font (size 1.016 1.016) (thickness 0.2032)))
+    )
+    (fp_line (start -6.35 -2.54) (end 6.35 -2.54) (layer F.SilkS) (width 0.3048))
+    (fp_line (start 6.35 -2.54) (end 6.35 2.54) (layer F.SilkS) (width 0.3048))
+    (fp_line (start 6.35 2.54) (end -6.35 2.54) (layer F.SilkS) (width 0.3048))
+    (fp_line (start -6.35 2.54) (end -6.35 -2.54) (layer F.SilkS) (width 0.3048))
+    (pad 1 thru_hole rect (at -5.08 1.27 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 87 /MICIN1)
+    )
+    (pad 2 thru_hole circle (at -5.08 -1.27 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 88 /MICIN2)
+    )
+    (pad 3 thru_hole circle (at -2.54 1.27 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 84 /LIN_L)
+    )
+    (pad 4 thru_hole circle (at -2.54 -1.27 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 85 /LIN_R)
+    )
+    (pad 5 thru_hole circle (at 0 1.27 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 187 GND)
+    )
+    (pad 6 thru_hole circle (at 0 -1.27 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 150 /VMIC)
+    )
+    (pad 7 thru_hole circle (at 2.54 1.27 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 151 /VRA1)
+    )
+    (pad 8 thru_hole circle (at 2.54 -1.27 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 152 /VRA2)
+    )
+    (pad 9 thru_hole circle (at 5.08 1.27 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 153 /VRP)
+    )
+    (pad 10 thru_hole circle (at 5.08 -1.27 270) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 187 GND)
+    )
+    (model pin_array/pins_array_5x2.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module microsd_socket (layer TOP-L1) (tedit 5189CA22) (tstamp 518304C2)
+    (at 221.2421 123.073 90)
+    (descr "MicroSD Card socket, Molex P/N 502774-0811")
+    (path /5151A880)
+    (fp_text reference J5 (at -5.9395 -9.6796 90) (layer F.SilkS)
+      (effects (font (size 0.0004 0.0004) (thickness 0.3048)))
+    )
+    (fp_text value USD (at -5.0395 9.5954 90) (layer F.SilkS)
+      (effects (font (size 0.0004 0.0004) (thickness 0.3048)))
+    )
+    (fp_line (start 2.90068 7.29996) (end 4.39928 7.59968) (layer F.SilkS) (width 0.381))
+    (fp_line (start -2.19964 7.59968) (end -1.39954 7.39902) (layer F.SilkS) (width 0.381))
+    (fp_line (start -1.39954 7.39902) (end 0.50038 7.2009) (layer F.SilkS) (width 0.381))
+    (fp_line (start 0.50038 7.2009) (end 1.80086 7.2009) (layer F.SilkS) (width 0.381))
+    (fp_line (start 1.80086 7.2009) (end 2.90068 7.29996) (layer F.SilkS) (width 0.381))
+    (fp_line (start -2.19964 7.59968) (end -4.39928 7.59968) (layer F.SilkS) (width 0.381))
+    (fp_line (start -4.40182 7.59968) (end -4.70154 8.001) (layer F.SilkS) (width 0.381))
+    (fp_line (start 4.699 8.001) (end 7.2009 8.001) (layer F.SilkS) (width 0.381))
+    (fp_line (start -4.699 8.001) (end -7.2009 8.001) (layer F.SilkS) (width 0.381))
+    (fp_line (start 4.699 8.001) (end 4.39928 7.59968) (layer F.SilkS) (width 0.381))
+    (fp_line (start -3.70078 -7.00024) (end -3.70078 -8.001) (layer F.SilkS) (width 0.381))
+    (fp_line (start -3.70078 -8.001) (end -5.19938 -8.001) (layer F.SilkS) (width 0.381))
+    (fp_line (start -5.19938 -8.001) (end -5.19938 -7.00024) (layer F.SilkS) (width 0.381))
+    (fp_line (start -5.90042 -8.001) (end -7.00024 -8.001) (layer F.SilkS) (width 0.381))
+    (fp_line (start -5.90042 -8.001) (end -5.90042 -7.00024) (layer F.SilkS) (width 0.381))
+    (fp_line (start -7.00024 -7.00024) (end -7.00024 -8.001) (layer F.SilkS) (width 0.381))
+    (fp_line (start 5.69976 -7.8994) (end 6.70052 -7.8994) (layer F.SilkS) (width 0.381))
+    (fp_line (start 5.69976 -7.00024) (end 5.69976 -7.8994) (layer F.SilkS) (width 0.381))
+    (fp_line (start 6.70052 -7.8994) (end 6.70052 -7.00024) (layer F.SilkS) (width 0.381))
+    (fp_line (start 4.8006 -7.8994) (end 4.8006 -7.00024) (layer F.SilkS) (width 0.381))
+    (fp_line (start 3.70078 -7.8994) (end 3.70078 -7.00024) (layer F.SilkS) (width 0.381))
+    (fp_line (start 2.60096 -7.8994) (end 2.60096 -7.00024) (layer F.SilkS) (width 0.381))
+    (fp_line (start 1.50114 -7.8994) (end 1.50114 -7.00024) (layer F.SilkS) (width 0.381))
+    (fp_line (start 0.39878 -7.8994) (end 0.39878 -7.00024) (layer F.SilkS) (width 0.381))
+    (fp_line (start -0.70104 -7.8994) (end -0.70104 -7.0993) (layer F.SilkS) (width 0.381))
+    (fp_line (start -1.80086 -7.8994) (end -1.80086 -7.00024) (layer F.SilkS) (width 0.381))
+    (fp_line (start -2.90068 -7.00024) (end -2.90068 -7.8994) (layer F.SilkS) (width 0.381))
+    (fp_line (start 7.2009 8.001) (end 7.2009 -7.00024) (layer F.SilkS) (width 0.381))
+    (fp_line (start 7.2009 -7.00024) (end -7.2009 -7.00024) (layer F.SilkS) (width 0.381))
+    (fp_line (start -7.2009 -7.00024) (end -7.2009 8.001) (layer F.SilkS) (width 0.381))
+    (pad 1 smd rect (at 4.8006 -7.38378 90) (size 0.8001 1.67894)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 200 N-00000191)
+      (clearance 0.20066)
+    )
+    (pad 2 smd rect (at 3.70078 -7.38378 90) (size 0.8001 1.67894)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 205 N-00000196)
+      (clearance 0.20066)
+    )
+    (pad 3 smd rect (at 2.60096 -7.38378 90) (size 0.8001 1.67894)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 204 N-00000195)
+      (clearance 0.20066)
+    )
+    (pad 4 smd rect (at 1.50114 -7.38378 90) (size 0.8001 1.67894)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+      (clearance 0.20066)
+    )
+    (pad 5 smd rect (at 0.39878 -7.38378 90) (size 0.8001 1.67894)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 202 N-00000193)
+      (clearance 0.20066)
+    )
+    (pad 6 smd rect (at -0.70104 -7.38378 90) (size 0.8001 1.67894)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+      (clearance 0.20066)
+    )
+    (pad 7 smd rect (at -1.80086 -7.38378 90) (size 0.8001 1.67894)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 201 N-00000192)
+      (clearance 0.20066)
+    )
+    (pad 8 smd rect (at -2.90068 -7.38378 90) (size 0.8001 1.67894)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 203 N-00000194)
+      (clearance 0.20066)
+    )
+    (pad sh1 smd rect (at 6.85546 -5.82422 90) (size 1.29032 1.30048)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+      (clearance 0.20066)
+    )
+    (pad "" smd rect (at 6.74878 7.37616 90) (size 1.50114 1.69926)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad "" smd rect (at -6.44906 -7.47522 90) (size 1.69926 1.50114)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad sh2 smd rect (at 6.85546 1.5748 90) (size 1.29032 1.39954)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad "" smd rect (at -6.74878 7.37616 90) (size 1.50114 1.69926)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad "" smd rect (at 6.2103 -7.47522 90) (size 1.6002 1.50114)
+      (layers TOP-L1 F.Paste F.Mask)
+      (clearance 0.20066)
+    )
+    (model microsd_socket.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module conn_usb_B_micro_smd (layer TOP-L1) (tedit 50432F3D) (tstamp 51545FD2)
+    (at 195.1055 78.3436 180)
+    (descr "USB B micro SMD connector, Molex P/N 47346-0001")
+    (path /51526492)
+    (fp_text reference P2 (at 0 2.60096 180) (layer F.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.09906)))
+    )
+    (fp_text value CONN_5 (at 0 -4.0005 180) (layer F.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.09906)))
+    )
+    (fp_line (start -4.20116 1.99898) (end 4.20116 1.99898) (layer F.SilkS) (width 0.20066))
+    (fp_line (start -4.20116 2.10058) (end 4.20116 2.10058) (layer F.SilkS) (width 0.20066))
+    (fp_line (start 4.20116 2.10058) (end 4.20116 1.89992) (layer F.SilkS) (width 0.20066))
+    (fp_line (start 4.20116 1.89992) (end -4.20116 1.89992) (layer F.SilkS) (width 0.20066))
+    (fp_line (start -4.20116 1.89992) (end -4.20116 2.10058) (layer F.SilkS) (width 0.20066))
+    (fp_line (start -3.8989 2.10058) (end -3.8989 -2.90068) (layer F.SilkS) (width 0.20066))
+    (fp_line (start -3.8989 -2.90068) (end 3.8989 -2.90068) (layer F.SilkS) (width 0.20066))
+    (fp_line (start 3.8989 -2.90068) (end 3.8989 2.10058) (layer F.SilkS) (width 0.20066))
+    (pad "" smd rect (at -0.8382 0 180) (size 1.17348 1.89738)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad "" smd rect (at 0.8382 0 180) (size 1.17348 1.89738)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad "" smd rect (at 2.91338 0 180) (size 2.3749 1.89738)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad "" smd rect (at -2.91338 0 180) (size 2.3749 1.89738)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad "" smd rect (at 2.46126 -2.2987 180) (size 1.4732 2.10058)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad "" smd rect (at -2.46126 -2.2987 180) (size 1.4732 2.10058)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 1 smd rect (at -1.30048 -2.65938 180) (size 0.44958 1.37922)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 165 5V_IN)
+    )
+    (pad 2 smd rect (at -0.65024 -2.65938 180) (size 0.44958 1.37922)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 3 smd rect (at 0 -2.65938 180) (size 0.44958 1.37922)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 4 smd rect (at 0.65024 -2.65938 180) (size 0.44958 1.37922)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 5 smd rect (at 1.30048 -2.65938 180) (size 0.44958 1.37922)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (model usb_B_micro_smd.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SO14E (layer TOP-L1) (tedit 518A1445) (tstamp 5157C06C)
+    (at 156.9875 140.7375)
+    (descr "module CMS SOJ 14 pins etroit")
+    (tags "CMS SOJ")
+    (path /51593628)
+    (attr smd)
+    (fp_text reference U10 (at -3.6 3.375) (layer F.SilkS)
+      (effects (font (size 1.016 1.143) (thickness 0.127)))
+    )
+    (fp_text value 74LS08 (at -0.075 0.05) (layer F.SilkS)
+      (effects (font (size 1.016 1.016) (thickness 0.127)))
+    )
+    (fp_line (start -4.826 -1.778) (end 4.826 -1.778) (layer F.SilkS) (width 0.2032))
+    (fp_line (start 4.826 -1.778) (end 4.826 2.032) (layer F.SilkS) (width 0.2032))
+    (fp_line (start 4.826 2.032) (end -4.826 2.032) (layer F.SilkS) (width 0.2032))
+    (fp_line (start -4.826 2.032) (end -4.826 -1.778) (layer F.SilkS) (width 0.2032))
+    (fp_line (start -4.826 -0.508) (end -4.064 -0.508) (layer F.SilkS) (width 0.2032))
+    (fp_line (start -4.064 -0.508) (end -4.064 0.508) (layer F.SilkS) (width 0.2032))
+    (fp_line (start -4.064 0.508) (end -4.826 0.508) (layer F.SilkS) (width 0.2032))
+    (pad 1 smd rect (at -3.81 2.794) (size 0.508 1.143)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 165 5V_IN)
+    )
+    (pad 2 smd rect (at -2.54 2.794) (size 0.508 1.143)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 86 /LVSYN)
+    )
+    (pad 3 smd rect (at -1.27 2.794) (size 0.508 1.143)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 206 N-00000197)
+    )
+    (pad 4 smd rect (at 0 2.794) (size 0.508 1.143)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 83 /LHSYN)
+    )
+    (pad 5 smd rect (at 1.27 2.794) (size 0.508 1.143)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 165 5V_IN)
+    )
+    (pad 6 smd rect (at 2.54 2.794) (size 0.508 1.143)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 210 N-00000202)
+    )
+    (pad 7 smd rect (at 3.81 2.794) (size 0.508 1.143)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 8 smd rect (at 3.81 -2.54) (size 0.508 1.143)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 9 smd rect (at 2.54 -2.54) (size 0.508 1.143)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 10 smd rect (at 1.27 -2.54) (size 0.508 1.143)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 11 smd rect (at 0 -2.54) (size 0.508 1.143)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 12 smd rect (at -1.27 -2.54) (size 0.508 1.143)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 13 smd rect (at -2.54 -2.54) (size 0.508 1.143)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 14 smd rect (at -3.81 -2.54) (size 0.508 1.143)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 165 5V_IN)
+    )
+    (model smd/cms_so14.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.5 0.3 0.5))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module DB_15F-VGA (layer TOP-L1) (tedit 515A8448) (tstamp 51834A32)
+    (at 167.5875 153.6875)
+    (descr "D-SUB 15 pin VGA socket, Tyco P/N 440467-1")
+    (path /5157D108)
+    (fp_text reference J2 (at 0 4.699) (layer F.SilkS)
+      (effects (font (size 0.0004 0.0004) (thickness 0.3048)))
+    )
+    (fp_text value DB15 (at 5.0165 4.826) (layer F.SilkS)
+      (effects (font (size 0.0004 0.0004) (thickness 0.3048)))
+    )
+    (fp_line (start -10.033 1.27) (end -10.033 7.112) (layer F.SilkS) (width 0.381))
+    (fp_line (start -10.922 -2.413) (end -10.16 -1.016) (layer F.SilkS) (width 0.381))
+    (fp_line (start -14.097 -2.413) (end -14.986 -1.016) (layer F.SilkS) (width 0.381))
+    (fp_line (start -9.017 -7.112) (end -9.017 -0.635) (layer F.SilkS) (width 0.381))
+    (fp_line (start -15.494 1.27) (end -15.494 -7.112) (layer F.SilkS) (width 0.381))
+    (fp_line (start 15.494 -7.112) (end 15.494 1.27) (layer F.SilkS) (width 0.381))
+    (fp_line (start 15.494 -7.112) (end -15.494 -7.112) (layer F.SilkS) (width 0.381))
+    (fp_line (start 9.017 -0.635) (end 9.017 -7.112) (layer F.SilkS) (width 0.381))
+    (fp_line (start 14.097 -2.413) (end 14.859 -1.016) (layer F.SilkS) (width 0.381))
+    (fp_line (start 10.922 -2.413) (end 10.287 -1.016) (layer F.SilkS) (width 0.381))
+    (fp_line (start 10.922 -2.413) (end 14.097 -2.413) (layer F.SilkS) (width 0.381))
+    (fp_line (start -14.097 -2.413) (end -10.922 -2.413) (layer F.SilkS) (width 0.381))
+    (fp_line (start 14.859 -1.016) (end 10.287 -1.016) (layer F.SilkS) (width 0.381))
+    (fp_line (start 10.287 -1.016) (end 10.287 -0.762) (layer F.SilkS) (width 0.381))
+    (fp_line (start 10.287 -0.762) (end 14.859 -0.762) (layer F.SilkS) (width 0.381))
+    (fp_line (start 14.859 -0.762) (end 14.859 -1.016) (layer F.SilkS) (width 0.381))
+    (fp_line (start -10.16 -1.016) (end -14.986 -1.016) (layer F.SilkS) (width 0.381))
+    (fp_line (start -14.986 -1.016) (end -14.986 -0.762) (layer F.SilkS) (width 0.381))
+    (fp_line (start -14.986 -0.762) (end -10.16 -0.762) (layer F.SilkS) (width 0.381))
+    (fp_line (start -10.16 -0.762) (end -10.16 -1.016) (layer F.SilkS) (width 0.381))
+    (fp_line (start 11.43 -0.635) (end 11.43 -3.175) (layer F.SilkS) (width 0.381))
+    (fp_line (start 11.43 -3.175) (end 13.716 -3.175) (layer F.SilkS) (width 0.381))
+    (fp_line (start 13.716 -3.175) (end 13.716 -0.635) (layer F.SilkS) (width 0.381))
+    (fp_line (start -13.716 -0.635) (end -13.716 -3.175) (layer F.SilkS) (width 0.381))
+    (fp_line (start -13.716 -3.175) (end -11.43 -3.175) (layer F.SilkS) (width 0.381))
+    (fp_line (start -11.43 -3.175) (end -11.43 -0.635) (layer F.SilkS) (width 0.381))
+    (fp_line (start -15.494 -0.635) (end 15.494 -0.635) (layer F.SilkS) (width 0.381))
+    (fp_line (start -11.049 1.27) (end -11.049 7.112) (layer F.SilkS) (width 0.381))
+    (fp_line (start -14.097 1.27) (end -14.097 7.112) (layer F.SilkS) (width 0.381))
+    (fp_line (start 14.097 1.27) (end 14.097 7.112) (layer F.SilkS) (width 0.381))
+    (fp_line (start 11.049 1.27) (end 11.049 7.112) (layer F.SilkS) (width 0.381))
+    (fp_line (start 10.033 1.27) (end 10.033 7.112) (layer F.SilkS) (width 0.381))
+    (fp_line (start 10.033 7.112) (end 15.113 7.112) (layer F.SilkS) (width 0.381))
+    (fp_line (start 15.113 7.112) (end 15.113 1.397) (layer F.SilkS) (width 0.381))
+    (fp_line (start -15.113 1.27) (end -15.113 7.112) (layer F.SilkS) (width 0.381))
+    (fp_line (start -15.113 7.112) (end -10.033 7.112) (layer F.SilkS) (width 0.381))
+    (fp_line (start -8.128 1.27) (end -8.128 7.112) (layer F.SilkS) (width 0.381))
+    (fp_line (start -8.128 7.112) (end 8.128 7.112) (layer F.SilkS) (width 0.381))
+    (fp_line (start 8.128 7.112) (end 8.128 1.27) (layer F.SilkS) (width 0.381))
+    (fp_line (start -15.494 1.27) (end 15.494 1.27) (layer F.SilkS) (width 0.381))
+    (pad "" thru_hole circle (at 12.49426 -2.47142) (size 5.00126 5.00126) (drill 3.2004)
+      (layers *.Cu)
+    )
+    (pad 3 thru_hole circle (at -0.2667 -4.4704) (size 1.19888 1.19888) (drill 0.65024)
+      (layers *.Cu)
+      (net 147 /VGA_B)
+    )
+    (pad 2 thru_hole circle (at 2.0193 -4.4704) (size 1.19888 1.19888) (drill 0.65024)
+      (layers *.Cu)
+      (net 148 /VGA_G)
+    )
+    (pad 1 thru_hole circle (at 4.31038 -4.4704) (size 1.19888 1.19888) (drill 0.65024)
+      (layers *.Cu)
+      (net 149 /VGA_R)
+    )
+    (pad 4 thru_hole circle (at -2.56032 -4.4704) (size 1.19888 1.19888) (drill 0.65024)
+      (layers *.Cu)
+    )
+    (pad 5 thru_hole circle (at -4.84886 -4.4704) (size 1.19888 1.19888) (drill 0.65024)
+      (layers *.Cu)
+      (net 187 GND)
+    )
+    (pad 9 thru_hole circle (at -1.41478 -2.9718) (size 1.19888 1.19888) (drill 0.65024)
+      (layers *.Cu)
+    )
+    (pad 8 thru_hole circle (at 0.87376 -2.9718) (size 1.19888 1.19888) (drill 0.65024)
+      (layers *.Cu)
+      (net 187 GND)
+    )
+    (pad 7 thru_hole circle (at 3.16484 -2.9718) (size 1.19888 1.19888) (drill 0.65024)
+      (layers *.Cu)
+      (net 187 GND)
+    )
+    (pad 6 thru_hole circle (at 5.45592 -2.9718) (size 1.19888 1.19888) (drill 0.65024)
+      (layers *.Cu)
+      (net 187 GND)
+    )
+    (pad "" thru_hole circle (at -12.49426 -2.47142) (size 5.00126 5.00126) (drill 3.2004)
+      (layers *.Cu)
+    )
+    (pad 10 thru_hole circle (at -3.70586 -2.9718) (size 1.19888 1.19888) (drill 0.65024)
+      (layers *.Cu)
+      (net 187 GND)
+    )
+    (pad 11 thru_hole circle (at 4.31038 -1.47066) (size 1.19888 1.19888) (drill 0.65024)
+      (layers *.Cu)
+      (net 187 GND)
+    )
+    (pad 12 thru_hole circle (at 2.0193 -1.47066) (size 1.19888 1.19888) (drill 0.65024)
+      (layers *.Cu)
+    )
+    (pad 13 thru_hole circle (at -0.26924 -1.4732) (size 1.19888 1.19888) (drill 0.65024)
+      (layers *.Cu)
+      (net 210 N-00000202)
+    )
+    (pad 14 thru_hole circle (at -2.56032 -1.47066) (size 1.19888 1.19888) (drill 0.65024)
+      (layers *.Cu)
+      (net 206 N-00000197)
+    )
+    (pad 15 thru_hole circle (at -4.84886 -1.47066) (size 1.19888 1.19888) (drill 0.65024)
+      (layers *.Cu)
+    )
+    (model db_15-vga.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module pin_array_4x2 (layer TOP-L1) (tedit 3FAB90E6) (tstamp 5158FFFD)
+    (at 190.0625 85.8125)
+    (descr "Double rangee de contacts 2 x 4 pins")
+    (tags CONN)
+    (path /51590E78)
+    (fp_text reference P3 (at 0 -3.81) (layer F.SilkS)
+      (effects (font (size 1.016 1.016) (thickness 0.2032)))
+    )
+    (fp_text value CONN_8 (at 0 3.81) (layer F.SilkS) hide
+      (effects (font (size 1.016 1.016) (thickness 0.2032)))
+    )
+    (fp_line (start -5.08 -2.54) (end 5.08 -2.54) (layer F.SilkS) (width 0.3048))
+    (fp_line (start 5.08 -2.54) (end 5.08 2.54) (layer F.SilkS) (width 0.3048))
+    (fp_line (start 5.08 2.54) (end -5.08 2.54) (layer F.SilkS) (width 0.3048))
+    (fp_line (start -5.08 2.54) (end -5.08 -2.54) (layer F.SilkS) (width 0.3048))
+    (pad 1 thru_hole rect (at -3.81 1.27) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 135 /U0Rx)
+    )
+    (pad 2 thru_hole circle (at -3.81 -1.27) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 136 /U0Tx)
+    )
+    (pad 3 thru_hole circle (at -1.27 1.27) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 54 /J_DI0)
+    )
+    (pad 4 thru_hole circle (at -1.27 -1.27) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 55 /J_DO0)
+    )
+    (pad 5 thru_hole circle (at 1.27 1.27) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 53 /J_CK0)
+    )
+    (pad 6 thru_hole circle (at 1.27 -1.27) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 56 /J_MS0)
+    )
+    (pad 7 thru_hole circle (at 3.81 1.27) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 187 GND)
+    )
+    (pad 8 thru_hole circle (at 3.81 -1.27) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 165 5V_IN)
+    )
+    (model pin_array_4x2.wrl
+      (at (xyz 0.05 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 518882CB) (tstamp 515A7BFA)
+    (at 163.9625 88.5125 270)
+    (path /5159654C/5159A0EF)
+    (attr smd)
+    (fp_text reference R39 (at -0.075 0.775 270) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 2k2 (at -0.025 -0.775 270) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 249 N-00000429)
+    )
+    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51888357) (tstamp 515A7C06)
+    (at 166.6375 100.9375 180)
+    (path /5159654C/5159A133)
+    (attr smd)
+    (fp_text reference R40 (at -1.3 0.3 180) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 5k1 (at -1.3 -0.175 180) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 246 N-00000425)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51888329) (tstamp 515A7C12)
+    (at 168.7375 96.2125 270)
+    (path /5159654C/5159A139)
+    (attr smd)
+    (fp_text reference R41 (at -0.075 -0.75 270) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 5k1 (at -0.05 -1.25 270) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 247 N-00000427)
+    )
+    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51888336) (tstamp 515A7C1E)
+    (at 166.6375 96.1125 180)
+    (path /5159654C/5159A227)
+    (attr smd)
+    (fp_text reference R35 (at -1.225 -0.025 180) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 5k1 (at 1.2 0.075 180) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 251 N-00000431)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51888330) (tstamp 515A7C2A)
+    (at 166.6375 95.1625 180)
+    (path /5159654C/5159A22D)
+    (attr smd)
+    (fp_text reference R36 (at -1.225 -0.025 180) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 5k1 (at 1.2 -0.025 180) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 252 N-00000432)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51888340) (tstamp 515A7C36)
+    (at 166.6375 98.0125 180)
+    (path /5159654C/5159A233)
+    (attr smd)
+    (fp_text reference R37 (at -1.225 -0.05 180) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 5k1 (at 1.175 0 180) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 253 N-00000433)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 5199FA13) (tstamp 515A7C42)
+    (at 166.6375 101.8625 180)
+    (path /5159654C/5159A239)
+    (attr smd)
+    (fp_text reference R38 (at -1.275 0.225 180) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 5k1 (at -1.225 -0.275 180) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 254 N-00000434)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 5188833C) (tstamp 515A7C4E)
+    (at 166.6375 97.0625 180)
+    (path /5159654C/5159ABE0)
+    (attr smd)
+    (fp_text reference R34 (at -1.2 -0.025 180) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 5k1 (at 1.15 -0.025 180) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 248 N-00000428)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module PIN_ARRAY_2X1 (layer TOP-L1) (tedit 5188A029) (tstamp 515A7C58)
+    (at 191.2375 155.6875 180)
+    (descr "Connecteurs 2 pins")
+    (tags "CONN DEV")
+    (path /515A7B4E)
+    (fp_text reference P4 (at 3.475 -0.025 180) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value UBOOT (at 0 -1.905 180) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start -2.54 1.27) (end -2.54 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -2.54 -1.27) (end 2.54 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 2.54 -1.27) (end 2.54 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 2.54 1.27) (end -2.54 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at -1.27 0 180) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 195 N-00000139)
+    )
+    (pad 2 thru_hole circle (at 1.27 0 180) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 187 GND)
+    )
+    (model pin_array_1x2.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 90))
+    )
+  )
+
+  (module lqfp48 (layer TOP-L1) (tedit 518A3D42) (tstamp 515A7E31)
+    (at 169.9875 89.6625 90)
+    (descr LQFP-48)
+    (path /5159654C/51597EA2)
+    (fp_text reference U11 (at 6.375 -4.025 180) (layer F.SilkS)
+      (effects (font (size 0.7493 0.7493) (thickness 0.14986)))
+    )
+    (fp_text value RTL8201CP (at 7.7 -2.85 180) (layer F.SilkS)
+      (effects (font (size 0.7493 0.7493) (thickness 0.14986)))
+    )
+    (fp_line (start -4.09956 3.8989) (end -3.8989 4.09956) (layer F.SilkS) (width 0.14986))
+    (fp_line (start -3.70078 4.09956) (end -4.09956 3.70078) (layer F.SilkS) (width 0.14986))
+    (fp_line (start -4.09956 3.50012) (end -3.50012 4.09956) (layer F.SilkS) (width 0.14986))
+    (fp_line (start -3.29946 4.09956) (end -4.09956 3.29946) (layer F.SilkS) (width 0.14986))
+    (fp_line (start -3.0988 4.09956) (end -4.09956 4.09956) (layer F.SilkS) (width 0.14986))
+    (fp_line (start -4.09956 4.09956) (end -4.09956 3.0988) (layer F.SilkS) (width 0.14986))
+    (fp_line (start -4.09956 3.0988) (end -3.0988 4.09956) (layer F.SilkS) (width 0.14986))
+    (fp_line (start 4.09956 3.0988) (end 4.09956 4.09956) (layer F.SilkS) (width 0.14986))
+    (fp_line (start 4.09956 4.09956) (end 3.0988 4.09956) (layer F.SilkS) (width 0.14986))
+    (fp_line (start 3.0988 -4.09956) (end 4.09956 -4.09956) (layer F.SilkS) (width 0.14986))
+    (fp_line (start 4.09956 -4.09956) (end 4.09956 -3.0988) (layer F.SilkS) (width 0.14986))
+    (fp_line (start -4.09956 -3.0988) (end -4.09956 -4.09956) (layer F.SilkS) (width 0.14986))
+    (fp_line (start -4.09956 -4.09956) (end -3.0988 -4.09956) (layer F.SilkS) (width 0.14986))
+    (fp_circle (center -2.413 2.413) (end -2.667 2.54) (layer F.SilkS) (width 0.127))
+    (fp_line (start 3.556 3.175) (end 3.175 3.556) (layer F.SilkS) (width 0.127))
+    (fp_line (start 3.175 3.556) (end -3.175 3.556) (layer F.SilkS) (width 0.127))
+    (fp_line (start -3.175 3.556) (end -3.556 3.175) (layer F.SilkS) (width 0.127))
+    (fp_line (start -3.556 3.175) (end -3.556 -3.175) (layer F.SilkS) (width 0.127))
+    (fp_line (start -3.556 -3.175) (end -3.175 -3.556) (layer F.SilkS) (width 0.127))
+    (fp_line (start -3.175 -3.556) (end 3.175 -3.556) (layer F.SilkS) (width 0.127))
+    (fp_line (start 3.175 -3.556) (end 3.556 -3.175) (layer F.SilkS) (width 0.127))
+    (fp_line (start 3.556 -3.175) (end 3.556 3.175) (layer F.SilkS) (width 0.127))
+    (pad 4 smd rect (at -1.24968 4.09956 90) (size 0.29972 1.30048)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 183 ETXD2)
+    )
+    (pad 5 smd rect (at -0.7493 4.09956 90) (size 0.29972 1.30048)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 182 ETXD1)
+    )
+    (pad 6 smd rect (at -0.24892 4.09956 90) (size 0.29972 1.30048)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 181 ETXD0)
+    )
+    (pad 7 smd rect (at 0.24892 4.09956 90) (size 0.29972 1.30048)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 180 ETXCK)
+    )
+    (pad 8 smd rect (at 0.7493 4.09956 90) (size 0.29972 1.30048)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 243 N-00000413)
+    )
+    (pad 1 smd rect (at -2.75082 4.09956 90) (size 0.29972 1.30048)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 169 ECOL)
+    )
+    (pad 2 smd rect (at -2.25044 4.09956 90) (size 0.29972 1.30048)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 185 ETXEN)
+    )
+    (pad 3 smd rect (at -1.75006 4.09956 90) (size 0.29972 1.30048)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 184 ETXD3)
+    )
+    (pad 13 smd rect (at 4.09956 2.75082 90) (size 1.30048 0.29972)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 240 N-00000410)
+    )
+    (pad 14 smd rect (at 4.09956 2.25044 90) (size 1.30048 0.29972)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (pad 15 smd rect (at 4.09956 1.75006 90) (size 1.30048 0.29972)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 156 /ethernet/LED4)
+    )
+    (pad 16 smd rect (at 4.09956 1.24968 90) (size 1.30048 0.29972)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 173 ERXCK)
+    )
+    (pad 17 smd rect (at 4.09956 0.7493 90) (size 1.30048 0.29972)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 18 smd rect (at 4.09956 0.24892 90) (size 1.30048 0.29972)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 177 ERXD3)
+    )
+    (pad 19 smd rect (at 4.09956 -0.24892 90) (size 1.30048 0.29972)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 176 ERXD2)
+    )
+    (pad 20 smd rect (at 4.09956 -0.7493 90) (size 1.30048 0.29972)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 175 ERXD1)
+    )
+    (pad 25 smd rect (at 2.75082 -4.09956 90) (size 0.29972 1.30048)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 171 EMDC)
+    )
+    (pad 26 smd rect (at 2.25044 -4.09956 90) (size 0.29972 1.30048)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 172 EMDIO)
+    )
+    (pad 27 smd rect (at 1.75006 -4.09956 90) (size 0.29972 1.30048)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad 28 smd rect (at 1.24968 -4.09956 90) (size 0.29972 1.30048)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 249 N-00000429)
+    )
+    (pad 29 smd rect (at 0.7493 -4.09956 90) (size 0.29972 1.30048)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 30 smd rect (at 0.24892 -4.09956 90) (size 0.29972 1.30048)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 159 /ethernet/RX-)
+    )
+    (pad 31 smd rect (at -0.24892 -4.09956 90) (size 0.29972 1.30048)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 158 /ethernet/RX+)
+    )
+    (pad 32 smd rect (at -0.7493 -4.09956 90) (size 0.29972 1.30048)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 157 /ethernet/PFWBOUT)
+    )
+    (pad 37 smd rect (at -4.09956 -2.75082 90) (size 1.30048 0.29972)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 252 N-00000432)
+    )
+    (pad 38 smd rect (at -4.09956 -2.25044 90) (size 1.30048 0.29972)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 251 N-00000431)
+    )
+    (pad 39 smd rect (at -4.09956 -1.75006 90) (size 1.30048 0.29972)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 248 N-00000428)
+    )
+    (pad 40 smd rect (at -4.09956 -1.24968 90) (size 1.30048 0.29972)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 247 N-00000427)
+    )
+    (pad 41 smd rect (at -4.09956 -0.7493 90) (size 1.30048 0.29972)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 253 N-00000433)
+    )
+    (pad 42 smd rect (at -4.09956 -0.24892 90) (size 1.30048 0.29972)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 186 ETXERR)
+    )
+    (pad 43 smd rect (at -4.09956 0.24892 90) (size 1.30048 0.29972)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 246 N-00000425)
+    )
+    (pad 44 smd rect (at -4.09956 0.7493 90) (size 1.30048 0.29972)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 254 N-00000434)
+    )
+    (pad 9 smd rect (at 1.24968 4.09956 90) (size 0.29972 1.30048)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 155 /ethernet/LED0)
+    )
+    (pad 10 smd rect (at 1.75006 4.09956 90) (size 0.29972 1.30048)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 241 N-00000411)
+    )
+    (pad 11 smd rect (at 2.25044 4.09956 90) (size 0.29972 1.30048)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 12 smd rect (at 2.75082 4.09956 90) (size 0.29972 1.30048)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 239 N-00000409)
+    )
+    (pad 21 smd rect (at 4.09956 -1.24968 90) (size 1.30048 0.29972)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 174 ERXD0)
+    )
+    (pad 22 smd rect (at 4.09956 -1.75006 90) (size 1.30048 0.29972)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 178 ERXDV)
+    )
+    (pad 23 smd rect (at 4.09956 -2.25044 90) (size 1.30048 0.29972)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 170 ECRS)
+    )
+    (pad 24 smd rect (at 4.09956 -2.75082 90) (size 1.30048 0.29972)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 179 ERXERR)
+    )
+    (pad 33 smd rect (at -1.24968 -4.09956 90) (size 0.29972 1.30048)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 161 /ethernet/TX-)
+    )
+    (pad 34 smd rect (at -1.75006 -4.09956 90) (size 0.29972 1.30048)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 160 /ethernet/TX+)
+    )
+    (pad 35 smd rect (at -2.25044 -4.09956 90) (size 0.29972 1.30048)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 36 smd rect (at -2.75082 -4.09956 90) (size 0.29972 1.30048)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 154 /ethernet/ETHAVDD)
+    )
+    (pad 45 smd rect (at -4.09956 1.24968 90) (size 1.30048 0.29972)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 46 smd rect (at -4.09956 1.75006 90) (size 1.30048 0.29972)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 250 N-00000430)
+    )
+    (pad 47 smd rect (at -4.09956 2.25044 90) (size 1.30048 0.29972)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 242 N-00000412)
+    )
+    (pad 48 smd rect (at -4.09956 2.75082 90) (size 1.30048 0.29972)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (model lqfp-48.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0805 (layer TOP-L1) (tedit 518882D1) (tstamp 515C0473)
+    (at 163.15 96.15)
+    (path /5159654C/515ADE9A)
+    (attr smd)
+    (fp_text reference C140 (at 0.1 -1.15) (layer F.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_text value 10u (at 0 -1.975) (layer F.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_circle (center -1.651 0.762) (end -1.651 0.635) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -0.508 0.762) (end -1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 0.762) (end -1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 -0.762) (end -0.508 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 0.508 -0.762) (end 1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 -0.762) (end 1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 0.762) (end 0.508 0.762) (layer F.SilkS) (width 0.09906))
+    (pad 1 smd rect (at -0.9525 0) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 2 smd rect (at 0.9525 0) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 154 /ethernet/ETHAVDD)
+    )
+    (model smd/chip_cms.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.1 0.1 0.1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0805 (layer TOP-L1) (tedit 51A55ED9) (tstamp 515C0480)
+    (at 164.0125 85.0625)
+    (path /5159654C/515AE226)
+    (attr smd)
+    (fp_text reference C138 (at -2.5625 0.2375) (layer F.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_text value 10u (at -2.3625 -0.5375) (layer F.SilkS)
+      (effects (font (size 0.50038 0.50038) (thickness 0.10922)))
+    )
+    (fp_circle (center -1.651 0.762) (end -1.651 0.635) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -0.508 0.762) (end -1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 0.762) (end -1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start -1.524 -0.762) (end -0.508 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 0.508 -0.762) (end 1.524 -0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 -0.762) (end 1.524 0.762) (layer F.SilkS) (width 0.09906))
+    (fp_line (start 1.524 0.762) (end 0.508 0.762) (layer F.SilkS) (width 0.09906))
+    (pad 1 smd rect (at -0.9525 0) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 2 smd rect (at 0.9525 0) (size 0.889 1.397)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 157 /ethernet/PFWBOUT)
+    )
+    (model smd/chip_cms.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.1 0.1 0.1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 519E0557) (tstamp 515C048C)
+    (at 176.45 95.075 90)
+    (path /5159654C/51599A05)
+    (attr smd)
+    (fp_text reference C136 (at 1.55 0.02 90) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 18pF (at -0.225 -1.275 90) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 242 N-00000412)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 519E055A) (tstamp 515C0498)
+    (at 171.5 96.5 180)
+    (path /5159654C/51599A5C)
+    (attr smd)
+    (fp_text reference C135 (at -1.62 -0.05 180) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 18pF (at -1.35 0.76 180) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 250 N-00000430)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51835691) (tstamp 515C04A4)
+    (at 167.6875 90.4125 180)
+    (path /5159654C/515AE245)
+    (attr smd)
+    (fp_text reference C139 (at 0 0 180) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value .22u (at 0.075 -0.075 180) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 157 /ethernet/PFWBOUT)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51888351) (tstamp 515C04B0)
+    (at 166.6375 98.9625)
+    (path /5159654C/515AE348)
+    (attr smd)
+    (fp_text reference C137 (at 1.4 0.025) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value .22u (at 2.525 -0.025) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 186 ETXERR)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 5188835D) (tstamp 515C04BC)
+    (at 166.6375 99.9375)
+    (path /5159654C/515AE399)
+    (attr smd)
+    (fp_text reference R42 (at 1.275 -0.25) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 5k1 (at 1.275 0.25) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 186 ETXERR)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 5188830D) (tstamp 515C04C8)
+    (at 173.4625 83.1625)
+    (path /5159654C/515AE4AA)
+    (attr smd)
+    (fp_text reference R43 (at -0.025 -0.675) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 5k1 (at -1.2 -0.025) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 156 /ethernet/LED4)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51888314) (tstamp 515C04D4)
+    (at 173.4375 84.1625)
+    (path /5159654C/515AE4B0)
+    (attr smd)
+    (fp_text reference R44 (at 0.175 0.775) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 5k1 (at -1.225 -0.15) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 240 N-00000410)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 5192FD44) (tstamp 515C04E0)
+    (at 175.2625 85.3125 90)
+    (path /5159654C/515AE4B6)
+    (attr smd)
+    (fp_text reference R45 (at -1.25 0.05 90) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 5k1 (at 1.25 0.3 90) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 239 N-00000409)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 5192FD42) (tstamp 515C04EC)
+    (at 176.2125 85.3125 90)
+    (path /5159654C/515AE4BC)
+    (attr smd)
+    (fp_text reference R46 (at -1.325 0 90) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 5k1 (at 1.25 0.225 90) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 241 N-00000411)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51A55ED2) (tstamp 515C04F8)
+    (at 162.1 81.7 180)
+    (path /5159654C/515AE4C2)
+    (attr smd)
+    (fp_text reference R47 (at -1.325 -0.225 180) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 5k1 (at -1.325 0.3 180) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 155 /ethernet/LED0)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51A55EC7) (tstamp 515C0504)
+    (at 162.1 80.5)
+    (path /5159654C/515AE7FB)
+    (attr smd)
+    (fp_text reference R49 (at 1.275 0.05) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 1k (at 1.275 -0.475) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 245 N-00000421)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51A55EDD) (tstamp 515C0510)
+    (at 162.075 82.75 180)
+    (path /5159654C/515AE801)
+    (attr smd)
+    (fp_text reference R48 (at -1.325 0.125 180) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 1k (at -1.225 -0.325 180) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 155 /ethernet/LED0)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 244 N-00000419)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 5188DBBF) (tstamp 515446A7)
+    (at 214.9875 93.0375 90)
+    (path /5154EB96)
+    (attr smd)
+    (fp_text reference C8 (at 1.14894 -0.22732 90) (layer F.SilkS)
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value .22u (at 1.24894 0.22268 90) (layer F.SilkS) hide
+      (effects (font (size 0.35 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 188 IPSOUT)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module C2V8 (layer TOP-L1) (tedit 46544AA3) (tstamp 51544582)
+    (at 204.2114 79.6009)
+    (descr "Condensateur polarise")
+    (tags CP)
+    (path /515489AE)
+    (fp_text reference C33 (at 0 2.54) (layer F.SilkS)
+      (effects (font (size 1.016 1.016) (thickness 0.2032)))
+    )
+    (fp_text value 220u (at 0 -2.54) (layer F.SilkS)
+      (effects (font (size 1.016 1.016) (thickness 0.2032)))
+    )
+    (fp_circle (center 0 0) (end -4.445 0) (layer F.SilkS) (width 0.3048))
+    (pad 1 thru_hole rect (at -2.54 0) (size 1.778 1.778) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 165 5V_IN)
+    )
+    (pad 2 thru_hole circle (at 2.54 0) (size 1.778 1.778) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 187 GND)
+    )
+    (model discret/c_vert_c2v10.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.7 0.7 0.5))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0603 (layer TOP-L1) (tedit 5188DCF8) (tstamp 5154463F)
+    (at 209.9875 95.9625 270)
+    (path /51552586)
+    (attr smd)
+    (fp_text reference C27 (at -0.125 1.025 270) (layer F.SilkS)
+      (effects (font (size 0.508 0.4572) (thickness 0.1143)))
+    )
+    (fp_text value 4.7u (at -0.1 1.825 270) (layer F.SilkS) hide
+      (effects (font (size 0.508 0.4572) (thickness 0.1143)))
+    )
+    (fp_line (start -1.143 -0.635) (end 1.143 -0.635) (layer F.SilkS) (width 0.127))
+    (fp_line (start 1.143 -0.635) (end 1.143 0.635) (layer F.SilkS) (width 0.127))
+    (fp_line (start 1.143 0.635) (end -1.143 0.635) (layer F.SilkS) (width 0.127))
+    (fp_line (start -1.143 0.635) (end -1.143 -0.635) (layer F.SilkS) (width 0.127))
+    (pad 1 smd rect (at -0.762 0 270) (size 0.635 1.143)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 2 smd rect (at 0.762 0 270) (size 0.635 1.143)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 190 LDO3)
+    )
+    (model smd\resistors\R0603.wrl
+      (at (xyz 0 0 0.001))
+      (scale (xyz 0.5 0.5 0.5))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0603 (layer BOT-L6) (tedit 5191E750) (tstamp 515F7978)
+    (at 162.5375 136.9125 90)
+    (path /51552419)
+    (attr smd)
+    (fp_text reference C20 (at -1.95 -0.225 90) (layer B.SilkS)
+      (effects (font (size 0.508 0.4572) (thickness 0.1143)) (justify mirror))
+    )
+    (fp_text value 4.7u (at -1.95 0.55 90) (layer B.SilkS) hide
+      (effects (font (size 0.508 0.4572) (thickness 0.1143)) (justify mirror))
+    )
+    (fp_line (start -1.143 0.635) (end 1.143 0.635) (layer B.SilkS) (width 0.127))
+    (fp_line (start 1.143 0.635) (end 1.143 -0.635) (layer B.SilkS) (width 0.127))
+    (fp_line (start 1.143 -0.635) (end -1.143 -0.635) (layer B.SilkS) (width 0.127))
+    (fp_line (start -1.143 -0.635) (end -1.143 0.635) (layer B.SilkS) (width 0.127))
+    (pad 1 smd rect (at -0.762 0 90) (size 0.635 1.143)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (pad 2 smd rect (at 0.762 0 90) (size 0.635 1.143)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (model smd\resistors\R0603.wrl
+      (at (xyz 0 0 0.001))
+      (scale (xyz 0.5 0.5 0.5))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module TFBGA441-new (layer TOP-L1) (tedit 510A4318) (tstamp 5172549E)
+    (at 194.7625 114.5875)
+    (descr "441 pin Thin Fine Pitch BGA")
+    (path /514D47F5)
+    (fp_text reference U3 (at 0 0) (layer F.SilkS)
+      (effects (font (size 0.0004 0.0004) (thickness 0.00012)))
+    )
+    (fp_text value A10-SOC (at 0 0) (layer F.SilkS)
+      (effects (font (size 0.0004 0.0004) (thickness 0.00012)))
+    )
+    (fp_line (start 9.6 9.6) (end 9.6 -9.4) (layer F.SilkS) (width 0.15))
+    (fp_line (start 9.6 -9.4) (end 9.4 -9.4) (layer F.SilkS) (width 0.15))
+    (fp_line (start -9.6 -9.4) (end -10 -9.8) (layer F.SilkS) (width 0.15))
+    (fp_line (start -9.6 -9.4) (end 9.4 -9.4) (layer F.SilkS) (width 0.15))
+    (fp_line (start 9.6 9.6) (end -9.6 9.6) (layer F.SilkS) (width 0.15))
+    (fp_line (start -9.6 9.6) (end -9.6 -9.4) (layer F.SilkS) (width 0.15))
+    (pad A1 smd circle (at -8.8 -8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad A2 smd circle (at -8 -8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad A3 smd circle (at -7.2 -8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad A4 smd circle (at -6.4 -8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 142 /U5TX)
+    )
+    (pad A5 smd circle (at -5.6 -8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad A6 smd circle (at -4.8 -8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 138 /U3TX)
+    )
+    (pad A7 smd circle (at -4 -8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 136 /U0Tx)
+    )
+    (pad A8 smd circle (at -3.2 -8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad A9 smd circle (at -2.4 -8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 55 /J_DO0)
+    )
+    (pad A10 smd circle (at -1.6 -8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 56 /J_MS0)
+    )
+    (pad A11 smd circle (at -0.8 -8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad A12 smd circle (at 0 -8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad A13 smd circle (at 0.8 -8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad A14 smd circle (at 1.6 -8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad A15 smd circle (at 2.4 -8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 133 /TWI0SCK)
+    )
+    (pad A16 smd circle (at 3.2 -8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad A17 smd circle (at 4 -8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad A18 smd circle (at 4.8 -8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad A19 smd circle (at 5.6 -8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad A20 smd circle (at 6.4 -8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad A21 smd circle (at 7.2 -8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad A22 smd circle (at 8 -8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad A23 smd circle (at 8.8 -8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad B1 smd circle (at -8.8 -8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad B2 smd circle (at -8 -8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad B3 smd circle (at -7.2 -8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad B4 smd circle (at -6.4 -8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 141 /U5RX)
+    )
+    (pad B5 smd circle (at -5.6 -8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 140 /U4TX)
+    )
+    (pad B6 smd circle (at -4.8 -8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 137 /U3RX)
+    )
+    (pad B7 smd circle (at -4 -8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 135 /U0Rx)
+    )
+    (pad B8 smd circle (at -3.2 -8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad B9 smd circle (at -2.4 -8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 54 /J_DI0)
+    )
+    (pad B10 smd circle (at -1.6 -8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 53 /J_CK0)
+    )
+    (pad B11 smd circle (at -0.8 -8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad B12 smd circle (at 0 -8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad B13 smd circle (at 0.8 -8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad B14 smd circle (at 1.6 -8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad B15 smd circle (at 2.4 -8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 134 /TWI0SDA)
+    )
+    (pad B16 smd circle (at 3.2 -8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad B17 smd circle (at 4 -8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad B18 smd circle (at 4.8 -8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad B19 smd circle (at 5.6 -8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad B20 smd circle (at 6.4 -8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad B21 smd circle (at 7.2 -8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad B22 smd circle (at 8 -8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 98 /PE7)
+    )
+    (pad B23 smd circle (at 8.8 -8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 97 /PE6)
+    )
+    (pad C1 smd circle (at -8.8 -7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad C2 smd circle (at -8 -7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad C3 smd circle (at -7.2 -7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad C4 smd circle (at -6.4 -7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad C5 smd circle (at -5.6 -7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 139 /U4RX)
+    )
+    (pad C6 smd circle (at -4.8 -7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad C7 smd circle (at -4 -7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad C8 smd circle (at -3.2 -7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad C9 smd circle (at -2.4 -7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad C10 smd circle (at -1.6 -7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad C11 smd circle (at -0.8 -7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad C12 smd circle (at 0 -7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad C13 smd circle (at 0.8 -7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 186 ETXERR)
+    )
+    (pad C14 smd circle (at 1.6 -7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 99 /RESET#)
+    )
+    (pad C15 smd circle (at 2.4 -7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad C16 smd circle (at 3.2 -7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad C17 smd circle (at 4 -7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad C18 smd circle (at 4.8 -7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad C19 smd circle (at 5.6 -7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad C20 smd circle (at 6.4 -7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad C21 smd circle (at 7.2 -7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad C22 smd circle (at 8 -7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 96 /PE5)
+    )
+    (pad C23 smd circle (at 8.8 -7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 95 /PE4)
+    )
+    (pad D1 smd circle (at -8.8 -6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad D2 smd circle (at -8 -6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad D3 smd circle (at -7.2 -6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad D4 smd circle (at -6.4 -6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad D5 smd circle (at -5.6 -6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 177 ERXD3)
+    )
+    (pad D6 smd circle (at -4.8 -6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 175 ERXD1)
+    )
+    (pad D7 smd circle (at -4 -6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 184 ETXD3)
+    )
+    (pad D8 smd circle (at -3.2 -6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 182 ETXD1)
+    )
+    (pad D9 smd circle (at -2.4 -6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 173 ERXCK)
+    )
+    (pad D10 smd circle (at -1.6 -6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 178 ERXDV)
+    )
+    (pad D11 smd circle (at -0.8 -6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 172 EMDIO)
+    )
+    (pad D12 smd circle (at 0 -6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 180 ETXCK)
+    )
+    (pad D13 smd circle (at 0.8 -6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 169 ECOL)
+    )
+    (pad D14 smd circle (at 1.6 -6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad D15 smd circle (at 2.4 -6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad D16 smd circle (at 3.2 -6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad D17 smd circle (at 4 -6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad D18 smd circle (at 4.8 -6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad D19 smd circle (at 5.6 -6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad D20 smd circle (at 6.4 -6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad D21 smd circle (at 7.2 -6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad D22 smd circle (at 8 -6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 94 /PE3)
+    )
+    (pad D23 smd circle (at 8.8 -6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 93 /PE2)
+    )
+    (pad E1 smd circle (at -8.8 -5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad E2 smd circle (at -8 -5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad E3 smd circle (at -7.2 -5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad E4 smd circle (at -6.4 -5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad E5 smd circle (at -5.6 -5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 176 ERXD2)
+    )
+    (pad E6 smd circle (at -4.8 -5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 174 ERXD0)
+    )
+    (pad E7 smd circle (at -4 -5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 183 ETXD2)
+    )
+    (pad E8 smd circle (at -3.2 -5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 181 ETXD0)
+    )
+    (pad E9 smd circle (at -2.4 -5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 179 ERXERR)
+    )
+    (pad E10 smd circle (at -1.6 -5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 171 EMDC)
+    )
+    (pad E11 smd circle (at -0.8 -5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 185 ETXEN)
+    )
+    (pad E12 smd circle (at 0 -5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 170 ECRS)
+    )
+    (pad E13 smd circle (at 0.8 -5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad E14 smd circle (at 1.6 -5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad E15 smd circle (at 2.4 -5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad E16 smd circle (at 3.2 -5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad E17 smd circle (at 4 -5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad E18 smd circle (at 4.8 -5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (pad E19 smd circle (at 5.6 -5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad E20 smd circle (at 6.4 -5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad E21 smd circle (at 7.2 -5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad E22 smd circle (at 8 -5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 92 /PE1)
+    )
+    (pad E23 smd circle (at 8.8 -5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 91 /PE0)
+    )
+    (pad F1 smd circle (at -8.8 -4.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 234 N-00000364)
+    )
+    (pad F2 smd circle (at -8 -4.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 211 N-00000203)
+    )
+    (pad F3 smd circle (at -7.2 -4.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad F4 smd circle (at -6.4 -4.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad F5 smd circle (at -5.6 -4.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 89 /NMI#)
+    )
+    (pad F19 smd circle (at 5.6 -4.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (pad F20 smd circle (at 6.4 -4.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad F21 smd circle (at 7.2 -4.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad F22 smd circle (at 8 -4.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad F23 smd circle (at 8.8 -4.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad G1 smd circle (at -8.8 -4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 121 /SDQM3)
+    )
+    (pad G2 smd circle (at -8 -4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 32 /DQ30)
+    )
+    (pad G3 smd circle (at -7.2 -4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad G4 smd circle (at -6.4 -4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad G5 smd circle (at -5.6 -4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad G19 smd circle (at 5.6 -4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad G20 smd circle (at 6.4 -4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad G21 smd circle (at 7.2 -4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad G22 smd circle (at 8 -4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad G23 smd circle (at 8.8 -4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad H1 smd circle (at -8.8 -3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 26 /DQ25)
+    )
+    (pad H2 smd circle (at -8 -3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 28 /DQ27)
+    )
+    (pad H3 smd circle (at -7.2 -3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 167 DRAM_REF)
+    )
+    (pad H4 smd circle (at -6.4 -3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 167 DRAM_REF)
+    )
+    (pad H5 smd circle (at -5.6 -3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad H8 smd circle (at -3.2 -3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (pad H9 smd circle (at -2.4 -3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (pad H10 smd circle (at -1.6 -3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (pad H11 smd circle (at -0.8 -3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 162 1.25V)
+    )
+    (pad H12 smd circle (at 0 -3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 162 1.25V)
+    )
+    (pad H13 smd circle (at 0.8 -3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 162 1.25V)
+    )
+    (pad H14 smd circle (at 1.6 -3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 162 1.25V)
+    )
+    (pad H15 smd circle (at 2.4 -3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (pad H16 smd circle (at 3.2 -3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad H19 smd circle (at 5.6 -3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (pad H20 smd circle (at 6.4 -3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad H21 smd circle (at 7.2 -3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad H22 smd circle (at 8 -3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad H23 smd circle (at 8.8 -3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad J1 smd circle (at -8.8 -2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 29 /DQ28)
+    )
+    (pad J2 smd circle (at -8 -2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 129 /SDQS3#)
+    )
+    (pad J3 smd circle (at -7.2 -2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad J4 smd circle (at -6.4 -2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad J5 smd circle (at -5.6 -2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad J8 smd circle (at -3.2 -2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (pad J9 smd circle (at -2.4 -2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (pad J10 smd circle (at -1.6 -2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (pad J11 smd circle (at -0.8 -2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad J12 smd circle (at 0 -2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 162 1.25V)
+    )
+    (pad J13 smd circle (at 0.8 -2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 162 1.25V)
+    )
+    (pad J14 smd circle (at 1.6 -2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (pad J15 smd circle (at 2.4 -2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 163 1.2V)
+    )
+    (pad J16 smd circle (at 3.2 -2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 163 1.2V)
+    )
+    (pad J19 smd circle (at 5.6 -2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (pad J20 smd circle (at 6.4 -2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad J21 smd circle (at 7.2 -2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad J22 smd circle (at 8 -2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad J23 smd circle (at 8.8 -2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad K1 smd circle (at -8.8 -1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 128 /SDQS3)
+    )
+    (pad K2 smd circle (at -8 -1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 33 /DQ31)
+    )
+    (pad K3 smd circle (at -7.2 -1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 1 /BA0)
+    )
+    (pad K4 smd circle (at -6.4 -1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 3 /BA2)
+    )
+    (pad K5 smd circle (at -5.6 -1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad K8 smd circle (at -3.2 -1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 189 LDO1)
+    )
+    (pad K9 smd circle (at -2.4 -1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 163 1.2V)
+    )
+    (pad K10 smd circle (at -1.6 -1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 163 1.2V)
+    )
+    (pad K11 smd circle (at -0.8 -1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad K12 smd circle (at 0 -1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad K13 smd circle (at 0.8 -1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad K14 smd circle (at 1.6 -1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad K15 smd circle (at 2.4 -1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 163 1.2V)
+    )
+    (pad K16 smd circle (at 3.2 -1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 163 1.2V)
+    )
+    (pad K19 smd circle (at 5.6 -1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 192 N-00000132)
+    )
+    (pad K20 smd circle (at 6.4 -1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 258 N-0000097)
+    )
+    (pad K21 smd circle (at 7.2 -1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad K22 smd circle (at 8 -1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad K23 smd circle (at 8.8 -1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad L1 smd circle (at -8.8 -0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 25 /DQ24)
+    )
+    (pad L2 smd circle (at -8 -0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 27 /DQ26)
+    )
+    (pad L3 smd circle (at -7.2 -0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 102 /SA10)
+    )
+    (pad L4 smd circle (at -6.4 -0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 2 /BA1)
+    )
+    (pad L5 smd circle (at -5.6 -0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad L8 smd circle (at -3.2 -0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 163 1.2V)
+    )
+    (pad L9 smd circle (at -2.4 -0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 163 1.2V)
+    )
+    (pad L10 smd circle (at -1.6 -0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad L11 smd circle (at -0.8 -0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad L12 smd circle (at 0 -0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad L13 smd circle (at 0.8 -0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad L14 smd circle (at 1.6 -0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad L15 smd circle (at 2.4 -0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (pad L16 smd circle (at 3.2 -0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (pad L19 smd circle (at 5.6 -0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 193 N-00000133)
+    )
+    (pad L20 smd circle (at 6.4 -0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 259 N-0000098)
+    )
+    (pad L21 smd circle (at 7.2 -0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad L22 smd circle (at 8 -0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad L23 smd circle (at 8.8 -0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad M1 smd circle (at -8.8 0) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 30 /DQ29)
+    )
+    (pad M2 smd circle (at -8 0) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 24 /DQ23)
+    )
+    (pad M3 smd circle (at -7.2 0) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 112 /SA7)
+    )
+    (pad M4 smd circle (at -6.4 0) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 108 /SA3)
+    )
+    (pad M5 smd circle (at -5.6 0) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad M8 smd circle (at -3.2 0) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 163 1.2V)
+    )
+    (pad M9 smd circle (at -2.4 0) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad M10 smd circle (at -1.6 0) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad M11 smd circle (at -0.8 0) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad M12 smd circle (at 0 0) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad M13 smd circle (at 0.8 0) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad M14 smd circle (at 1.6 0) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad M15 smd circle (at 2.4 0) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad M16 smd circle (at 3.2 0) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad M19 smd circle (at 5.6 0) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 194 N-00000134)
+    )
+    (pad M20 smd circle (at 6.4 0) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 225 N-0000030)
+    )
+    (pad M21 smd circle (at 7.2 0) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad M22 smd circle (at 8 0) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad M23 smd circle (at 8.8 0) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad N1 smd circle (at -8.8 0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 16 /DQ16)
+    )
+    (pad N2 smd circle (at -8 0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 18 /DQ18)
+    )
+    (pad N3 smd circle (at -7.2 0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 6 /CKE)
+    )
+    (pad N4 smd circle (at -6.4 0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 110 /SA5)
+    )
+    (pad N5 smd circle (at -5.6 0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad N8 smd circle (at -3.2 0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad N9 smd circle (at -2.4 0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 163 1.2V)
+    )
+    (pad N10 smd circle (at -1.6 0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad N11 smd circle (at -0.8 0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad N12 smd circle (at 0 0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad N13 smd circle (at 0.8 0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad N14 smd circle (at 1.6 0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad N15 smd circle (at 2.4 0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad N16 smd circle (at 3.2 0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad N19 smd circle (at 5.6 0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (pad N20 smd circle (at 6.4 0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 228 N-0000031)
+    )
+    (pad N21 smd circle (at 7.2 0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 229 N-0000032)
+    )
+    (pad N22 smd circle (at 8 0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 227 N-00000301)
+    )
+    (pad N23 smd circle (at 8.8 0.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 226 N-00000300)
+    )
+    (pad P1 smd circle (at -8.8 1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 22 /DQ21)
+    )
+    (pad P2 smd circle (at -8 1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 127 /SDQS2#)
+    )
+    (pad P3 smd circle (at -7.2 1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 104 /SA12)
+    )
+    (pad P4 smd circle (at -6.4 1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 114 /SA9)
+    )
+    (pad P5 smd circle (at -5.6 1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad P8 smd circle (at -3.2 1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad P9 smd circle (at -2.4 1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 163 1.2V)
+    )
+    (pad P10 smd circle (at -1.6 1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad P11 smd circle (at -0.8 1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad P12 smd circle (at 0 1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad P13 smd circle (at 0.8 1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad P14 smd circle (at 1.6 1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad P15 smd circle (at 2.4 1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad P16 smd circle (at 3.2 1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (pad P19 smd circle (at 5.6 1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad P20 smd circle (at 6.4 1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 143 /USB1M)
+    )
+    (pad P21 smd circle (at 7.2 1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 144 /USB1P)
+    )
+    (pad P22 smd circle (at 8 1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 42 /HHPD)
+    )
+    (pad P23 smd circle (at 8.8 1.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 41 /HCEC)
+    )
+    (pad R1 smd circle (at -8.8 2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 126 /SDQS2)
+    )
+    (pad R2 smd circle (at -8 2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 120 /SDQM2)
+    )
+    (pad R3 smd circle (at -7.2 2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 106 /SA14)
+    )
+    (pad R4 smd circle (at -6.4 2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 101 /SA1)
+    )
+    (pad R5 smd circle (at -5.6 2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad R8 smd circle (at -3.2 2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad R9 smd circle (at -2.4 2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 163 1.2V)
+    )
+    (pad R10 smd circle (at -1.6 2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 163 1.2V)
+    )
+    (pad R11 smd circle (at -0.8 2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad R12 smd circle (at 0 2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad R13 smd circle (at 0.8 2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad R14 smd circle (at 1.6 2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad R15 smd circle (at 2.4 2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad R16 smd circle (at 3.2 2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad R19 smd circle (at 5.6 2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad R20 smd circle (at 6.4 2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 145 /USB2M)
+    )
+    (pad R21 smd circle (at 7.2 2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 146 /USB2P)
+    )
+    (pad R22 smd circle (at 8 2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 44 /HSDA)
+    )
+    (pad R23 smd circle (at 8.8 2.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 43 /HSCL)
+    )
+    (pad T1 smd circle (at -8.8 3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 23 /DQ22)
+    )
+    (pad T2 smd circle (at -8 3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 17 /DQ17)
+    )
+    (pad T3 smd circle (at -7.2 3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 132 /SWE)
+    )
+    (pad T4 smd circle (at -6.4 3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 130 /SRAS)
+    )
+    (pad T5 smd circle (at -5.6 3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad T8 smd circle (at -3.2 3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 163 1.2V)
+    )
+    (pad T9 smd circle (at -2.4 3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad T10 smd circle (at -1.6 3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 257 N-0000088)
+    )
+    (pad T11 smd circle (at -0.8 3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad T12 smd circle (at 0 3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad T13 smd circle (at 0.8 3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (pad T14 smd circle (at 1.6 3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad T15 smd circle (at 2.4 3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad T16 smd circle (at 3.2 3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad T19 smd circle (at 5.6 3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 166 AVCC)
+    )
+    (pad T20 smd circle (at 6.4 3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad T21 smd circle (at 7.2 3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad T22 smd circle (at 8 3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 49 /HTX2N)
+    )
+    (pad T23 smd circle (at 8.8 3.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 50 /HTX2P)
+    )
+    (pad U1 smd circle (at -8.8 4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 19 /DQ19)
+    )
+    (pad U2 smd circle (at -8 4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 21 /DQ20)
+    )
+    (pad U3 smd circle (at -7.2 4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 115 /SCAS)
+    )
+    (pad U4 smd circle (at -6.4 4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 107 /SA2)
+    )
+    (pad U5 smd circle (at -5.6 4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad U19 smd circle (at 5.6 4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad U20 smd circle (at 6.4 4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad U21 smd circle (at 7.2 4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad U22 smd circle (at 8 4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 47 /HTX1N)
+    )
+    (pad U23 smd circle (at 8.8 4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 48 /HTX1P)
+    )
+    (pad V1 smd circle (at -8.8 4.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 116 /SCK)
+    )
+    (pad V2 smd circle (at -8 4.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 117 /SCK#)
+    )
+    (pad V3 smd circle (at -7.2 4.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 7 /CS#)
+    )
+    (pad V4 smd circle (at -6.4 4.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 111 /SA6)
+    )
+    (pad V5 smd circle (at -5.6 4.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad V19 smd circle (at 5.6 4.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad V20 smd circle (at 6.4 4.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 152 /VRA2)
+    )
+    (pad V21 smd circle (at 7.2 4.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad V22 smd circle (at 8 4.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 45 /HTX0N)
+    )
+    (pad V23 smd circle (at 8.8 4.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 46 /HTX0P)
+    )
+    (pad W1 smd circle (at -8.8 5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 119 /SDQM1)
+    )
+    (pad W2 smd circle (at -8 5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 14 /DQ14)
+    )
+    (pad W3 smd circle (at -7.2 5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 103 /SA11)
+    )
+    (pad W4 smd circle (at -6.4 5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 100 /SA0)
+    )
+    (pad W5 smd circle (at -5.6 5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad W6 smd circle (at -4.8 5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad W7 smd circle (at -4 5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad W8 smd circle (at -3.2 5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 195 N-00000139)
+    )
+    (pad W9 smd circle (at -2.4 5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad W10 smd circle (at -1.6 5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad W11 smd circle (at -0.8 5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad W12 smd circle (at 0 5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (pad W13 smd circle (at 0.8 5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (pad W14 smd circle (at 1.6 5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (pad W15 smd circle (at 2.4 5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (pad W16 smd circle (at 3.2 5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (pad W17 smd circle (at 4 5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad W18 smd circle (at 4.8 5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad W19 smd circle (at 5.6 5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad W20 smd circle (at 6.4 5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 151 /VRA1)
+    )
+    (pad W21 smd circle (at 7.2 5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 153 /VRP)
+    )
+    (pad W22 smd circle (at 8 5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 51 /HTXCN)
+    )
+    (pad W23 smd circle (at 8.8 5.6) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 52 /HTXCP)
+    )
+    (pad Y1 smd circle (at -8.8 6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 39 /DQ9)
+    )
+    (pad Y2 smd circle (at -8 6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 11 /DQ11)
+    )
+    (pad Y3 smd circle (at -7.2 6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 105 /SA13)
+    )
+    (pad Y4 smd circle (at -6.4 6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 109 /SA4)
+    )
+    (pad Y5 smd circle (at -5.6 6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 167 DRAM_REF)
+    )
+    (pad Y6 smd circle (at -4.8 6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad Y7 smd circle (at -4 6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad Y8 smd circle (at -3.2 6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad Y9 smd circle (at -2.4 6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 57 /LCLK)
+    )
+    (pad Y10 smd circle (at -1.6 6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 71 /LD20)
+    )
+    (pad Y11 smd circle (at -0.8 6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 68 /LD18)
+    )
+    (pad Y12 smd circle (at 0 6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 66 /LD16)
+    )
+    (pad Y13 smd circle (at 0.8 6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 64 /LD14)
+    )
+    (pad Y14 smd circle (at 1.6 6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 62 /LD12)
+    )
+    (pad Y15 smd circle (at 2.4 6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 60 /LD10)
+    )
+    (pad Y16 smd circle (at 3.2 6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (pad Y17 smd circle (at 4 6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad Y18 smd circle (at 4.8 6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad Y19 smd circle (at 5.6 6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad Y20 smd circle (at 6.4 6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad Y21 smd circle (at 7.2 6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad Y22 smd circle (at 8 6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad Y23 smd circle (at 8.8 6.4) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad AA1 smd circle (at -8.8 7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 12 /DQ12)
+    )
+    (pad AA2 smd circle (at -8 7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 125 /SDQS1#)
+    )
+    (pad AA3 smd circle (at -7.2 7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 113 /SA8)
+    )
+    (pad AA4 smd circle (at -6.4 7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad AA5 smd circle (at -5.6 7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 90 /ODT)
+    )
+    (pad AA6 smd circle (at -4.8 7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 131 /SRST)
+    )
+    (pad AA7 smd circle (at -4 7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 196 N-00000171)
+    )
+    (pad AA8 smd circle (at -3.2 7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 167 DRAM_REF)
+    )
+    (pad AA9 smd circle (at -2.4 7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 82 /LDE)
+    )
+    (pad AA10 smd circle (at -1.6 7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 72 /LD21)
+    )
+    (pad AA11 smd circle (at -0.8 7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 69 /LD19)
+    )
+    (pad AA12 smd circle (at 0 7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 67 /LD17)
+    )
+    (pad AA13 smd circle (at 0.8 7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 65 /LD15)
+    )
+    (pad AA14 smd circle (at 1.6 7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 63 /LD13)
+    )
+    (pad AA15 smd circle (at 2.4 7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 61 /LD11)
+    )
+    (pad AA16 smd circle (at 3.2 7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad AA17 smd circle (at 4 7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad AA18 smd circle (at 4.8 7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad AA19 smd circle (at 5.6 7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad AA20 smd circle (at 6.4 7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad AA21 smd circle (at 7.2 7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 150 /VMIC)
+    )
+    (pad AA22 smd circle (at 8 7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad AA23 smd circle (at 8.8 7.2) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad AB1 smd circle (at -8.8 8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 124 /SDQS1)
+    )
+    (pad AB2 smd circle (at -8 8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 38 /DQ8)
+    )
+    (pad AB3 smd circle (at -7.2 8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 13 /DQ13)
+    )
+    (pad AB4 smd circle (at -6.4 8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 8 /DQ0)
+    )
+    (pad AB5 smd circle (at -5.6 8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 35 /DQ5)
+    )
+    (pad AB6 smd circle (at -4.8 8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 122 /SDQS0)
+    )
+    (pad AB7 smd circle (at -4 8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 36 /DQ6)
+    )
+    (pad AB8 smd circle (at -3.2 8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 31 /DQ3)
+    )
+    (pad AB9 smd circle (at -2.4 8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 83 /LHSYN)
+    )
+    (pad AB10 smd circle (at -1.6 8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 73 /LD22)
+    )
+    (pad AB11 smd circle (at -0.8 8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 80 /LD8)
+    )
+    (pad AB12 smd circle (at 0 8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 78 /LD6)
+    )
+    (pad AB13 smd circle (at 0.8 8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 76 /LD4)
+    )
+    (pad AB14 smd circle (at 1.6 8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 70 /LD2)
+    )
+    (pad AB15 smd circle (at 2.4 8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 58 /LD0)
+    )
+    (pad AB16 smd circle (at 3.2 8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 147 /VGA_B)
+    )
+    (pad AB17 smd circle (at 4 8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad AB18 smd circle (at 4.8 8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad AB19 smd circle (at 5.6 8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad AB20 smd circle (at 6.4 8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 84 /LIN_L)
+    )
+    (pad AB21 smd circle (at 7.2 8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 85 /LIN_R)
+    )
+    (pad AB22 smd circle (at 8 8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad AB23 smd circle (at 8.8 8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad AC1 smd circle (at -8.8 8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 15 /DQ15)
+    )
+    (pad AC2 smd circle (at -8 8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 10 /DQ10)
+    )
+    (pad AC3 smd circle (at -7.2 8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 37 /DQ7)
+    )
+    (pad AC4 smd circle (at -6.4 8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 20 /DQ2)
+    )
+    (pad AC5 smd circle (at -5.6 8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 123 /SDQS0#)
+    )
+    (pad AC6 smd circle (at -4.8 8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 118 /SDQM0)
+    )
+    (pad AC7 smd circle (at -4 8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 9 /DQ1)
+    )
+    (pad AC8 smd circle (at -3.2 8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 34 /DQ4)
+    )
+    (pad AC9 smd circle (at -2.4 8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 86 /LVSYN)
+    )
+    (pad AC10 smd circle (at -1.6 8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 74 /LD23)
+    )
+    (pad AC11 smd circle (at -0.8 8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 81 /LD9)
+    )
+    (pad AC12 smd circle (at 0 8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 79 /LD7)
+    )
+    (pad AC13 smd circle (at 0.8 8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 77 /LD5)
+    )
+    (pad AC14 smd circle (at 1.6 8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 75 /LD3)
+    )
+    (pad AC15 smd circle (at 2.4 8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 59 /LD1)
+    )
+    (pad AC16 smd circle (at 3.2 8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 148 /VGA_G)
+    )
+    (pad AC17 smd circle (at 4 8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 149 /VGA_R)
+    )
+    (pad AC18 smd circle (at 4.8 8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad AC19 smd circle (at 5.6 8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (pad AC20 smd circle (at 6.4 8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 87 /MICIN1)
+    )
+    (pad AC21 smd circle (at 7.2 8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 88 /MICIN2)
+    )
+    (pad AC22 smd circle (at 8 8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad AC23 smd circle (at 8.8 8.8) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (model bga_allwinner_A10.wrl
+      (at (xyz 0 -0.01 0))
+      (scale (xyz 0.87 0.87 0.2))
+      (rotate (xyz 0 0 90))
+    )
+  )
+
+  (module FBGA96-new (layer TOP-L1) (tedit 51920F20) (tstamp 51725BF2)
+    (at 163.7625 107.5875 270)
+    (path /514FBC93)
+    (attr smd)
+    (fp_text reference U1 (at -3.5 -7.6 360) (layer F.SilkS)
+      (effects (font (size 0.508 0.508) (thickness 0.1016)))
+    )
+    (fp_text value 4GBDDR3 (at 0.2 -6.6 360) (layer F.SilkS) hide
+      (effects (font (size 0.508 0.508) (thickness 0.1016)))
+    )
+    (fp_line (start -3.5 -7) (end -4 -6.5) (layer F.SilkS) (width 0.15))
+    (fp_line (start -4 -7) (end 4 -7) (layer F.SilkS) (width 0.15))
+    (fp_line (start 4 -7) (end 4 7) (layer F.SilkS) (width 0.15))
+    (fp_line (start 4 7) (end -4 7) (layer F.SilkS) (width 0.15))
+    (fp_line (start -4 7) (end -4 -7) (layer F.SilkS) (width 0.15))
+    (pad A1 smd circle (at -3.2 -6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad A2 smd circle (at -2.4 -6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 30 /DQ29)
+    )
+    (pad A3 smd circle (at -1.6 -6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 33 /DQ31)
+    )
+    (pad A7 smd circle (at 1.6 -6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 29 /DQ28)
+    )
+    (pad A8 smd circle (at 2.4 -6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad A9 smd circle (at 3.2 -6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad B1 smd circle (at -3.2 -5.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad B2 smd circle (at -2.4 -5.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad B3 smd circle (at -1.6 -5.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad B7 smd circle (at 1.6 -5.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 129 /SDQS3#)
+    )
+    (pad B8 smd circle (at 2.4 -5.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 32 /DQ30)
+    )
+    (pad B9 smd circle (at 3.2 -5.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad C1 smd circle (at -3.2 -4.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad C2 smd circle (at -2.4 -4.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 28 /DQ27)
+    )
+    (pad C3 smd circle (at -1.6 -4.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 26 /DQ25)
+    )
+    (pad C7 smd circle (at 1.6 -4.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 128 /SDQS3)
+    )
+    (pad C8 smd circle (at 2.4 -4.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 27 /DQ26)
+    )
+    (pad C9 smd circle (at 3.2 -4.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad D1 smd circle (at -3.2 -3.6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad D2 smd circle (at -2.4 -3.6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad D3 smd circle (at -1.6 -3.6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 121 /SDQM3)
+    )
+    (pad D7 smd circle (at 1.6 -3.6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 25 /DQ24)
+    )
+    (pad D8 smd circle (at 2.4 -3.6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad D9 smd circle (at 3.2 -3.6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad E1 smd circle (at -3.2 -2.8 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad E2 smd circle (at -2.4 -2.8 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad E3 smd circle (at -1.6 -2.8 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 16 /DQ16)
+    )
+    (pad E7 smd circle (at 1.6 -2.8 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 120 /SDQM2)
+    )
+    (pad E8 smd circle (at 2.4 -2.8 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad E9 smd circle (at 3.2 -2.8 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad F1 smd circle (at -3.2 -2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad F2 smd circle (at -2.4 -2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 18 /DQ18)
+    )
+    (pad F3 smd circle (at -1.6 -2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 126 /SDQS2)
+    )
+    (pad F7 smd circle (at 1.6 -2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 17 /DQ17)
+    )
+    (pad F8 smd circle (at 2.4 -2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 19 /DQ19)
+    )
+    (pad F9 smd circle (at 3.2 -2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad G1 smd circle (at -3.2 -1.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad G2 smd circle (at -2.4 -1.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 23 /DQ22)
+    )
+    (pad G3 smd circle (at -1.6 -1.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 127 /SDQS2#)
+    )
+    (pad G7 smd circle (at 1.6 -1.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad G8 smd circle (at 2.4 -1.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad G9 smd circle (at 3.2 -1.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad H1 smd circle (at -3.2 -0.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 167 DRAM_REF)
+    )
+    (pad H2 smd circle (at -2.4 -0.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad H3 smd circle (at -1.6 -0.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 21 /DQ20)
+    )
+    (pad H7 smd circle (at 1.6 -0.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 24 /DQ23)
+    )
+    (pad H8 smd circle (at 2.4 -0.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 22 /DQ21)
+    )
+    (pad H9 smd circle (at 3.2 -0.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad J1 smd circle (at -3.2 0.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad J2 smd circle (at -2.4 0.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad J3 smd circle (at -1.6 0.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 130 /SRAS)
+    )
+    (pad J7 smd circle (at 1.6 0.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 4 /CK)
+    )
+    (pad J8 smd circle (at 2.4 0.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad J9 smd circle (at 3.2 0.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad K1 smd circle (at -3.2 1.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 90 /ODT)
+    )
+    (pad K2 smd circle (at -2.4 1.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad K3 smd circle (at -1.6 1.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 115 /SCAS)
+    )
+    (pad K7 smd circle (at 1.6 1.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 5 /CK#)
+    )
+    (pad K8 smd circle (at 2.4 1.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad K9 smd circle (at 3.2 1.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 6 /CKE)
+    )
+    (pad L1 smd circle (at -3.2 2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad L2 smd circle (at -2.4 2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 7 /CS#)
+    )
+    (pad L3 smd circle (at -1.6 2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 132 /SWE)
+    )
+    (pad L7 smd circle (at 1.6 2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 102 /SA10)
+    )
+    (pad L8 smd circle (at 2.4 2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 223 N-00000296)
+    )
+    (pad L9 smd circle (at 3.2 2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad M1 smd circle (at -3.2 2.8 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad M2 smd circle (at -2.4 2.8 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 1 /BA0)
+    )
+    (pad M3 smd circle (at -1.6 2.8 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 3 /BA2)
+    )
+    (pad M7 smd circle (at 1.6 2.8 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad M8 smd circle (at 2.4 2.8 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 167 DRAM_REF)
+    )
+    (pad M9 smd circle (at 3.2 2.8 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad N1 smd circle (at -3.2 3.6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad N2 smd circle (at -2.4 3.6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 108 /SA3)
+    )
+    (pad N3 smd circle (at -1.6 3.6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 100 /SA0)
+    )
+    (pad N7 smd circle (at 1.6 3.6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 104 /SA12)
+    )
+    (pad N8 smd circle (at 2.4 3.6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 2 /BA1)
+    )
+    (pad N9 smd circle (at 3.2 3.6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad P1 smd circle (at -3.2 4.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad P2 smd circle (at -2.4 4.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 110 /SA5)
+    )
+    (pad P3 smd circle (at -1.6 4.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 107 /SA2)
+    )
+    (pad P7 smd circle (at 1.6 4.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 101 /SA1)
+    )
+    (pad P8 smd circle (at 2.4 4.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 109 /SA4)
+    )
+    (pad P9 smd circle (at 3.2 4.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad R1 smd circle (at -3.2 5.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad R2 smd circle (at -2.4 5.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 112 /SA7)
+    )
+    (pad R3 smd circle (at -1.6 5.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 114 /SA9)
+    )
+    (pad R7 smd circle (at 1.6 5.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 103 /SA11)
+    )
+    (pad R8 smd circle (at 2.4 5.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 111 /SA6)
+    )
+    (pad R9 smd circle (at 3.2 5.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad T1 smd circle (at -3.2 6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad T2 smd circle (at -2.4 6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 131 /SRST)
+    )
+    (pad T3 smd circle (at -1.6 6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 105 /SA13)
+    )
+    (pad T7 smd circle (at 1.6 6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 106 /SA14)
+    )
+    (pad T8 smd circle (at 2.4 6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 113 /SA8)
+    )
+    (pad T9 smd circle (at 3.2 6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (model generic_black_bga.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 3.5 1.9 1))
+      (rotate (xyz 0 0 90))
+    )
+  )
+
+  (module FBGA96-new (layer TOP-L1) (tedit 51920FA0) (tstamp 51725D99)
+    (at 163.7625 129.5875 270)
+    (path /514D42E8)
+    (attr smd)
+    (fp_text reference U2 (at -3.6 -7.6 360) (layer F.SilkS)
+      (effects (font (size 0.508 0.508) (thickness 0.1016)))
+    )
+    (fp_text value 4GBDDR3 (at -0.5 -8.9 360) (layer F.SilkS) hide
+      (effects (font (size 0.508 0.508) (thickness 0.1016)))
+    )
+    (fp_line (start -3.5 -7) (end -4 -6.5) (layer F.SilkS) (width 0.15))
+    (fp_line (start -4 -7) (end 4 -7) (layer F.SilkS) (width 0.15))
+    (fp_line (start 4 -7) (end 4 7) (layer F.SilkS) (width 0.15))
+    (fp_line (start 4 7) (end -4 7) (layer F.SilkS) (width 0.15))
+    (fp_line (start -4 7) (end -4 -7) (layer F.SilkS) (width 0.15))
+    (pad A1 smd circle (at -3.2 -6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad A2 smd circle (at -2.4 -6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 13 /DQ13)
+    )
+    (pad A3 smd circle (at -1.6 -6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 15 /DQ15)
+    )
+    (pad A7 smd circle (at 1.6 -6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 12 /DQ12)
+    )
+    (pad A8 smd circle (at 2.4 -6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad A9 smd circle (at 3.2 -6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad B1 smd circle (at -3.2 -5.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad B2 smd circle (at -2.4 -5.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad B3 smd circle (at -1.6 -5.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad B7 smd circle (at 1.6 -5.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 125 /SDQS1#)
+    )
+    (pad B8 smd circle (at 2.4 -5.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 14 /DQ14)
+    )
+    (pad B9 smd circle (at 3.2 -5.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad C1 smd circle (at -3.2 -4.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad C2 smd circle (at -2.4 -4.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 11 /DQ11)
+    )
+    (pad C3 smd circle (at -1.6 -4.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 39 /DQ9)
+    )
+    (pad C7 smd circle (at 1.6 -4.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 124 /SDQS1)
+    )
+    (pad C8 smd circle (at 2.4 -4.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 10 /DQ10)
+    )
+    (pad C9 smd circle (at 3.2 -4.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad D1 smd circle (at -3.2 -3.6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad D2 smd circle (at -2.4 -3.6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad D3 smd circle (at -1.6 -3.6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 119 /SDQM1)
+    )
+    (pad D7 smd circle (at 1.6 -3.6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 38 /DQ8)
+    )
+    (pad D8 smd circle (at 2.4 -3.6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad D9 smd circle (at 3.2 -3.6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad E1 smd circle (at -3.2 -2.8 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad E2 smd circle (at -2.4 -2.8 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad E3 smd circle (at -1.6 -2.8 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 8 /DQ0)
+    )
+    (pad E7 smd circle (at 1.6 -2.8 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 118 /SDQM0)
+    )
+    (pad E8 smd circle (at 2.4 -2.8 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad E9 smd circle (at 3.2 -2.8 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad F1 smd circle (at -3.2 -2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad F2 smd circle (at -2.4 -2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 20 /DQ2)
+    )
+    (pad F3 smd circle (at -1.6 -2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 122 /SDQS0)
+    )
+    (pad F7 smd circle (at 1.6 -2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 9 /DQ1)
+    )
+    (pad F8 smd circle (at 2.4 -2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 31 /DQ3)
+    )
+    (pad F9 smd circle (at 3.2 -2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad G1 smd circle (at -3.2 -1.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad G2 smd circle (at -2.4 -1.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 36 /DQ6)
+    )
+    (pad G3 smd circle (at -1.6 -1.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 123 /SDQS0#)
+    )
+    (pad G7 smd circle (at 1.6 -1.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad G8 smd circle (at 2.4 -1.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad G9 smd circle (at 3.2 -1.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad H1 smd circle (at -3.2 -0.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 167 DRAM_REF)
+    )
+    (pad H2 smd circle (at -2.4 -0.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad H3 smd circle (at -1.6 -0.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 34 /DQ4)
+    )
+    (pad H7 smd circle (at 1.6 -0.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 37 /DQ7)
+    )
+    (pad H8 smd circle (at 2.4 -0.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 35 /DQ5)
+    )
+    (pad H9 smd circle (at 3.2 -0.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad J1 smd circle (at -3.2 0.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad J2 smd circle (at -2.4 0.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad J3 smd circle (at -1.6 0.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 130 /SRAS)
+    )
+    (pad J7 smd circle (at 1.6 0.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 4 /CK)
+    )
+    (pad J8 smd circle (at 2.4 0.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad J9 smd circle (at 3.2 0.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad K1 smd circle (at -3.2 1.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 90 /ODT)
+    )
+    (pad K2 smd circle (at -2.4 1.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad K3 smd circle (at -1.6 1.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 115 /SCAS)
+    )
+    (pad K7 smd circle (at 1.6 1.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 5 /CK#)
+    )
+    (pad K8 smd circle (at 2.4 1.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad K9 smd circle (at 3.2 1.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 6 /CKE)
+    )
+    (pad L1 smd circle (at -3.2 2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad L2 smd circle (at -2.4 2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 7 /CS#)
+    )
+    (pad L3 smd circle (at -1.6 2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 132 /SWE)
+    )
+    (pad L7 smd circle (at 1.6 2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 102 /SA10)
+    )
+    (pad L8 smd circle (at 2.4 2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 215 N-00000265)
+    )
+    (pad L9 smd circle (at 3.2 2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad M1 smd circle (at -3.2 2.8 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad M2 smd circle (at -2.4 2.8 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 1 /BA0)
+    )
+    (pad M3 smd circle (at -1.6 2.8 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 3 /BA2)
+    )
+    (pad M7 smd circle (at 1.6 2.8 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+    )
+    (pad M8 smd circle (at 2.4 2.8 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 167 DRAM_REF)
+    )
+    (pad M9 smd circle (at 3.2 2.8 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad N1 smd circle (at -3.2 3.6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad N2 smd circle (at -2.4 3.6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 108 /SA3)
+    )
+    (pad N3 smd circle (at -1.6 3.6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 100 /SA0)
+    )
+    (pad N7 smd circle (at 1.6 3.6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 104 /SA12)
+    )
+    (pad N8 smd circle (at 2.4 3.6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 2 /BA1)
+    )
+    (pad N9 smd circle (at 3.2 3.6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad P1 smd circle (at -3.2 4.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad P2 smd circle (at -2.4 4.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 110 /SA5)
+    )
+    (pad P3 smd circle (at -1.6 4.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 107 /SA2)
+    )
+    (pad P7 smd circle (at 1.6 4.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 101 /SA1)
+    )
+    (pad P8 smd circle (at 2.4 4.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 109 /SA4)
+    )
+    (pad P9 smd circle (at 3.2 4.4 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad R1 smd circle (at -3.2 5.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad R2 smd circle (at -2.4 5.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 112 /SA7)
+    )
+    (pad R3 smd circle (at -1.6 5.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 114 /SA9)
+    )
+    (pad R7 smd circle (at 1.6 5.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 103 /SA11)
+    )
+    (pad R8 smd circle (at 2.4 5.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 111 /SA6)
+    )
+    (pad R9 smd circle (at 3.2 5.2 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad T1 smd circle (at -3.2 6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad T2 smd circle (at -2.4 6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 131 /SRST)
+    )
+    (pad T3 smd circle (at -1.6 6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 105 /SA13)
+    )
+    (pad T7 smd circle (at 1.6 6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 106 /SA14)
+    )
+    (pad T8 smd circle (at 2.4 6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 113 /SA8)
+    )
+    (pad T9 smd circle (at 3.2 6 270) (size 0.35 0.35)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (model generic_black_bga.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 3.5 1.9 1))
+      (rotate (xyz 0 0 90))
+    )
+  )
+
+  (module pin_array_4x2 (layer TOP-L1) (tedit 51836645) (tstamp 51544E3E)
+    (at 180.6625 78.0875 180)
+    (descr "Double rangee de contacts 2 x 4 pins")
+    (tags CONN)
+    (path /51729C76)
+    (fp_text reference J6 (at -6.45 1.9 180) (layer F.SilkS)
+      (effects (font (size 1.016 1.016) (thickness 0.2032)))
+    )
+    (fp_text value CONN_8 (at 0 3.81 180) (layer F.SilkS) hide
+      (effects (font (size 1.016 1.016) (thickness 0.2032)))
+    )
+    (fp_line (start -5.08 -2.54) (end 5.08 -2.54) (layer F.SilkS) (width 0.3048))
+    (fp_line (start 5.08 -2.54) (end 5.08 2.54) (layer F.SilkS) (width 0.3048))
+    (fp_line (start 5.08 2.54) (end -5.08 2.54) (layer F.SilkS) (width 0.3048))
+    (fp_line (start -5.08 2.54) (end -5.08 -2.54) (layer F.SilkS) (width 0.3048))
+    (pad 1 thru_hole rect (at -3.81 1.27 180) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 138 /U3TX)
+    )
+    (pad 2 thru_hole circle (at -3.81 -1.27 180) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 137 /U3RX)
+    )
+    (pad 3 thru_hole circle (at -1.27 1.27 180) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 140 /U4TX)
+    )
+    (pad 4 thru_hole circle (at -1.27 -1.27 180) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 139 /U4RX)
+    )
+    (pad 5 thru_hole circle (at 1.27 1.27 180) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 142 /U5TX)
+    )
+    (pad 6 thru_hole circle (at 1.27 -1.27 180) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 141 /U5RX)
+    )
+    (pad 7 thru_hole circle (at 3.81 1.27 180) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 165 5V_IN)
+    )
+    (pad 8 thru_hole circle (at 3.81 -1.27 180) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 187 GND)
+    )
+    (model pin_array_4x2.wrl
+      (at (xyz 0.05 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 519E04DF) (tstamp 51544D47)
+    (at 181.8925 96.6275 180)
+    (path /51543761)
+    (attr smd)
+    (fp_text reference C1 (at -0.05 0.7 180) (layer F.SilkS)
+      (effects (font (size 0.35052 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 18pF (at -0.14 1.32 180) (layer F.SilkS) hide
+      (effects (font (size 0.35052 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 234 N-00000364)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module pin2mm-30 (layer TOP-L1) (tedit 5189C9DC) (tstamp 51544E71)
+    (at 181.4625 137.3875 180)
+    (path /5150C360)
+    (fp_text reference P1 (at -12 -4.05 180) (layer F.SilkS)
+      (effects (font (size 1 1) (thickness 0.15)))
+    )
+    (fp_text value "CONN30 - LCD" (at 1.1 -3.95 180) (layer F.SilkS)
+      (effects (font (size 1 1) (thickness 0.15)))
+    )
+    (fp_line (start -13 -3) (end 17 -3) (layer F.SilkS) (width 0.15))
+    (fp_line (start 17 -3) (end 17 1) (layer F.SilkS) (width 0.15))
+    (fp_line (start 17 1) (end -13 1) (layer F.SilkS) (width 0.15))
+    (fp_line (start -13 1) (end -13 -3) (layer F.SilkS) (width 0.15))
+    (pad 1 thru_hole circle (at -12 -2 180) (size 1 1) (drill 0.8)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 58 /LD0)
+    )
+    (pad 2 thru_hole circle (at -12 0 180) (size 1 1) (drill 0.8)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 59 /LD1)
+    )
+    (pad 3 thru_hole circle (at -10 -2 180) (size 1 1) (drill 0.8)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 70 /LD2)
+    )
+    (pad 4 thru_hole circle (at -10 0 180) (size 1 1) (drill 0.8)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 75 /LD3)
+    )
+    (pad 5 thru_hole circle (at -8 -2 180) (size 1 1) (drill 0.8)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 76 /LD4)
+    )
+    (pad 6 thru_hole circle (at -8 0 180) (size 1 1) (drill 0.8)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 77 /LD5)
+    )
+    (pad 7 thru_hole circle (at -6 -2 180) (size 1 1) (drill 0.8)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 78 /LD6)
+    )
+    (pad 8 thru_hole circle (at -6 0 180) (size 1 1) (drill 0.8)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 79 /LD7)
+    )
+    (pad 9 thru_hole circle (at -4 -2 180) (size 1 1) (drill 0.8)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 80 /LD8)
+    )
+    (pad 10 thru_hole circle (at -4 0 180) (size 1 1) (drill 0.8)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 81 /LD9)
+    )
+    (pad 11 thru_hole circle (at -2 -2 180) (size 1 1) (drill 0.8)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 60 /LD10)
+    )
+    (pad 12 thru_hole circle (at -2 0 180) (size 1 1) (drill 0.8)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 61 /LD11)
+    )
+    (pad 13 thru_hole circle (at 0 -2 180) (size 1 1) (drill 0.8)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 62 /LD12)
+    )
+    (pad 14 thru_hole circle (at 0 0 180) (size 1 1) (drill 0.8)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 63 /LD13)
+    )
+    (pad 15 thru_hole circle (at 2 -2 180) (size 1 1) (drill 0.8)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 64 /LD14)
+    )
+    (pad 16 thru_hole circle (at 2 0 180) (size 1 1) (drill 0.8)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 65 /LD15)
+    )
+    (pad 17 thru_hole circle (at 4 -2 180) (size 1 1) (drill 0.8)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 66 /LD16)
+    )
+    (pad 18 thru_hole circle (at 4 0 180) (size 1 1) (drill 0.8)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 67 /LD17)
+    )
+    (pad 19 thru_hole circle (at 6 -2 180) (size 1 1) (drill 0.8)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 68 /LD18)
+    )
+    (pad 20 thru_hole circle (at 6 0 180) (size 1 1) (drill 0.8)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 69 /LD19)
+    )
+    (pad 21 thru_hole circle (at 8 -2 180) (size 1 1) (drill 0.8)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 71 /LD20)
+    )
+    (pad 22 thru_hole circle (at 8 0 180) (size 1 1) (drill 0.8)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 72 /LD21)
+    )
+    (pad 23 thru_hole circle (at 10 -2 180) (size 1 1) (drill 0.8)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 73 /LD22)
+    )
+    (pad 24 thru_hole circle (at 10 0 180) (size 1 1) (drill 0.8)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 74 /LD23)
+    )
+    (pad 25 thru_hole circle (at 12 -2 180) (size 1 1) (drill 0.8)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 57 /LCLK)
+    )
+    (pad 26 thru_hole circle (at 12 0 180) (size 1 1) (drill 0.8)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 82 /LDE)
+    )
+    (pad 27 thru_hole circle (at 14 -2 180) (size 1 1) (drill 0.8)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 83 /LHSYN)
+    )
+    (pad 28 thru_hole circle (at 14 0 180) (size 1 1) (drill 0.8)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 86 /LVSYN)
+    )
+    (pad 29 thru_hole circle (at 16 -2 180) (size 1 1) (drill 0.8)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 165 5V_IN)
+    )
+    (pad 30 thru_hole circle (at 16 0 180) (size 1 1) (drill 0.8)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 187 GND)
+    )
+    (model pins_array_15x2.wrl
+      (at (xyz 0.07000000000000001 0.04 0))
+      (scale (xyz 0.79 0.79 0.6))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module conn_usb_A-vert (layer TOP-L1) (tedit 5189CA31) (tstamp 51887596)
+    (at 222.1875 135.1125 90)
+    (descr "USB A-type vertical receptacle, Tyco P/N 440260-2")
+    (path /5151A43E)
+    (fp_text reference J4 (at -3 -11.45 90) (layer F.SilkS)
+      (effects (font (size 0.0004 0.0004) (thickness 0.3048)))
+    )
+    (fp_text value USB1 (at 1.175 -13.25 90) (layer F.SilkS)
+      (effects (font (size 0.0004 0.0004) (thickness 0.3048)))
+    )
+    (fp_line (start 3.937 9.652) (end -3.937 9.652) (layer F.SilkS) (width 0.381))
+    (fp_line (start -3.937 9.398) (end -3.937 9.906) (layer F.SilkS) (width 0.381))
+    (fp_line (start -3.937 9.906) (end 3.937 9.906) (layer F.SilkS) (width 0.381))
+    (fp_line (start 3.937 9.906) (end 3.937 9.398) (layer F.SilkS) (width 0.381))
+    (fp_line (start 3.937 9.398) (end -3.937 9.398) (layer F.SilkS) (width 0.381))
+    (fp_line (start 2.921 -9.652) (end -2.921 -9.652) (layer F.SilkS) (width 0.381))
+    (fp_line (start -2.921 -9.652) (end -2.921 9.652) (layer F.SilkS) (width 0.381))
+    (fp_line (start 2.921 9.652) (end 2.921 -9.652) (layer F.SilkS) (width 0.381))
+    (pad 6 thru_hole circle (at -2.72034 -9.29894 90) (size 2.19964 2.19964) (drill 1.30048)
+      (layers *.Cu)
+      (net 187 GND)
+    )
+    (pad 5 thru_hole circle (at 2.72034 -9.29894 90) (size 2.19964 2.19964) (drill 1.30048)
+      (layers *.Cu)
+      (net 187 GND)
+    )
+    (pad 1 thru_hole circle (at 0 -3.03022 90) (size 1.50114 1.50114) (drill 0.899159)
+      (layers *.Cu)
+      (net 165 5V_IN)
+    )
+    (pad 2 thru_hole circle (at 0 -5.0292 90) (size 1.50114 1.50114) (drill 0.899159)
+      (layers *.Cu)
+      (net 228 N-0000031)
+    )
+    (pad 3 thru_hole circle (at 0 -7.03072 90) (size 1.50114 1.50114) (drill 0.899159)
+      (layers *.Cu)
+      (net 229 N-0000032)
+    )
+    (pad 4 thru_hole circle (at 0 -9.0297 90) (size 1.50114 1.50114) (drill 0.899159)
+      (layers *.Cu)
+      (net 187 GND)
+    )
+    (pad "" thru_hole circle (at 2.72034 -2.30124 90) (size 2.19964 2.19964) (drill 1.30048)
+      (layers *.Cu)
+    )
+    (pad "" thru_hole circle (at -2.72034 -2.30124 90) (size 2.19964 2.19964) (drill 1.30048)
+      (layers *.Cu)
+    )
+    (model walter/conn_pc/usb_A_vert.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+    (model usb_A_vert.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 51920FF9) (tstamp 515446F9)
+    (at 159.3125 145.3875 180)
+    (path /5151D4E3)
+    (attr smd)
+    (fp_text reference C25 (at -1.375 0.275 180) (layer F.SilkS)
+      (effects (font (size 0.35052 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value .22 (at -1.35 -0.25 180) (layer F.SilkS) hide
+      (effects (font (size 0.35052 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 165 5V_IN)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module PIN_ARRAY_1 (layer TOP-L1) (tedit 519DF749) (tstamp 5189ABD8)
+    (at 212.5625 84.8875)
+    (descr "1 pin")
+    (tags "CONN DEV")
+    (path /5189B2BF)
+    (fp_text reference P5 (at -2.1 -1.2) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value CONN_1 (at 3.725 0.175) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 168 DRAM_VCC)
+    )
+  )
+
+  (module PIN_ARRAY_1 (layer TOP-L1) (tedit 5189CA9C) (tstamp 5189ABE1)
+    (at 208.0625 91.7175)
+    (descr "1 pin")
+    (tags "CONN DEV")
+    (path /5189B2CC)
+    (fp_text reference P6 (at -0.01 2) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value CONN_1 (at -2.65 1.045) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 164 3.3V)
+    )
+  )
+
+  (module PIN_ARRAY_1 (layer TOP-L1) (tedit 5189CAA2) (tstamp 5189ABEA)
+    (at 225.4925 112.3775)
+    (descr "1 pin")
+    (tags "CONN DEV")
+    (path /5189B2D2)
+    (fp_text reference P7 (at 2.18 -0.26) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value CONN_1 (at 0 -1.905) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 163 1.2V)
+    )
+  )
+
+  (module PIN_ARRAY_1 (layer TOP-L1) (tedit 5189CAA6) (tstamp 5189ABF3)
+    (at 220.8625 111.8375)
+    (descr "1 pin")
+    (tags "CONN DEV")
+    (path /5189B2D8)
+    (fp_text reference P8 (at 2.03 -0.03) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value CONN_1 (at 0 -1.905) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 162 1.25V)
+    )
+  )
+
+  (module PIN_ARRAY_1 (layer TOP-L1) (tedit 5191FBBA) (tstamp 5189ABFC)
+    (at 212.6025 106.7975)
+    (descr "1 pin")
+    (tags "CONN DEV")
+    (path /5189B2DE)
+    (fp_text reference P9 (at 0.03 1.94) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value CONN_1 (at 0 -1.905) (layer F.SilkS) hide
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 188 IPSOUT)
+    )
+  )
+
+  (module pin_array_4x2 (layer TOP-L1) (tedit 5189CA94) (tstamp 5189AF4F)
+    (at 200.7225 99.2875)
+    (descr "Double rangee de contacts 2 x 4 pins")
+    (tags CONN)
+    (path /5189E5B2)
+    (fp_text reference P14 (at -6.16 0.325 90) (layer F.SilkS)
+      (effects (font (size 1.016 1.016) (thickness 0.2032)))
+    )
+    (fp_text value CONN_8 (at -0.01 -3.425) (layer F.SilkS)
+      (effects (font (size 1.016 1.016) (thickness 0.2032)))
+    )
+    (fp_line (start -5.08 -2.54) (end 5.08 -2.54) (layer F.SilkS) (width 0.3048))
+    (fp_line (start 5.08 -2.54) (end 5.08 2.54) (layer F.SilkS) (width 0.3048))
+    (fp_line (start 5.08 2.54) (end -5.08 2.54) (layer F.SilkS) (width 0.3048))
+    (fp_line (start -5.08 2.54) (end -5.08 -2.54) (layer F.SilkS) (width 0.3048))
+    (pad 1 thru_hole rect (at -3.81 1.27) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 91 /PE0)
+    )
+    (pad 2 thru_hole circle (at -3.81 -1.27) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 92 /PE1)
+    )
+    (pad 3 thru_hole circle (at -1.27 1.27) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 93 /PE2)
+    )
+    (pad 4 thru_hole circle (at -1.27 -1.27) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 94 /PE3)
+    )
+    (pad 5 thru_hole circle (at 1.27 1.27) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 95 /PE4)
+    )
+    (pad 6 thru_hole circle (at 1.27 -1.27) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 96 /PE5)
+    )
+    (pad 7 thru_hole circle (at 3.81 1.27) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 97 /PE6)
+    )
+    (pad 8 thru_hole circle (at 3.81 -1.27) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 98 /PE7)
+    )
+    (model pin_array_4x2.wrl
+      (at (xyz 0.05 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module PIN_ARRAY_1 (layer TOP-L1) (tedit 5189CAC7) (tstamp 5189AF58)
+    (at 227.6375 79.5125)
+    (descr "1 pin")
+    (tags "CONN DEV")
+    (path /5189B501)
+    (fp_text reference P12 (at 2.5 0.75) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value CONN_1 (at 2.55 -0.5) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 187 GND)
+    )
+  )
+
+  (module PIN_ARRAY_1 (layer TOP-L1) (tedit 5189CAC1) (tstamp 5189AF61)
+    (at 227.6375 82.8625)
+    (descr "1 pin")
+    (tags "CONN DEV")
+    (path /5189B880)
+    (fp_text reference P13 (at 2.5 0.775) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value CONN_1 (at 2.875 -0.45) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 165 5V_IN)
+    )
+  )
+
+  (module PIN_ARRAY_1 (layer TOP-L1) (tedit 5189CB2D) (tstamp 5189AF6A)
+    (at 206.3925 106.2975)
+    (descr "1 pin")
+    (tags "CONN DEV")
+    (path /5189BC00)
+    (fp_text reference P10 (at 1.875 -0.25) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value CONN_1 (at 1.125 -1.375) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 227 N-00000301)
+    )
+  )
+
+  (module PIN_ARRAY_1 (layer TOP-L1) (tedit 51920FFF) (tstamp 5189AF73)
+    (at 170.7125 142.4625)
+    (descr "1 pin")
+    (tags "CONN DEV")
+    (path /5189BF82)
+    (fp_text reference P11 (at -2.6 0.85) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_text value CONN_1 (at -3.675 -0.55) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.1524)))
+    )
+    (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start -1.27 1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.1524))
+    (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.1524))
+    (pad 1 thru_hole rect (at 0 0) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 167 DRAM_REF)
+    )
+  )
+
+  (module SM0402 (layer TOP-L1) (tedit 5192FF04) (tstamp 5189B238)
+    (at 221.2375 89.9125 90)
+    (path /5189E9C5)
+    (attr smd)
+    (fp_text reference R50 (at 0.175 0.725 90) (layer F.SilkS)
+      (effects (font (size 0.35052 0.3048) (thickness 0.07112)))
+    )
+    (fp_text value 4k7 (at 0.2 1.25 90) (layer F.SilkS) hide
+      (effects (font (size 0.35052 0.3048) (thickness 0.07112)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 99 /RESET#)
+    )
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 212 N-00000228)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module LED-3MM (layer TOP-L1) (tedit 5189C9A7) (tstamp 5189B251)
+    (at 221.1625 86.9375)
+    (descr "LED 3mm - Lead pitch 100mil (2,54mm)")
+    (tags "LED led 3mm 3MM 100mil 2,54mm")
+    (path /5189E9D2)
+    (fp_text reference D1 (at 2.775 -0.975) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.0889)))
+    )
+    (fp_text value LED (at -0.9 -2.675) (layer F.SilkS)
+      (effects (font (size 0.762 0.762) (thickness 0.0889)))
+    )
+    (fp_line (start 1.8288 1.27) (end 1.8288 -1.27) (layer F.SilkS) (width 0.254))
+    (fp_arc (start 0.254 0) (end -1.27 0) (angle 39.8) (layer F.SilkS) (width 0.1524))
+    (fp_arc (start 0.254 0) (end -0.88392 1.01092) (angle 41.6) (layer F.SilkS) (width 0.1524))
+    (fp_arc (start 0.254 0) (end 1.4097 -0.9906) (angle 40.6) (layer F.SilkS) (width 0.1524))
+    (fp_arc (start 0.254 0) (end 1.778 0) (angle 39.8) (layer F.SilkS) (width 0.1524))
+    (fp_arc (start 0.254 0) (end 0.254 -1.524) (angle 54.4) (layer F.SilkS) (width 0.1524))
+    (fp_arc (start 0.254 0) (end -0.9652 -0.9144) (angle 53.1) (layer F.SilkS) (width 0.1524))
+    (fp_arc (start 0.254 0) (end 1.45542 0.93472) (angle 52.1) (layer F.SilkS) (width 0.1524))
+    (fp_arc (start 0.254 0) (end 0.254 1.524) (angle 52.1) (layer F.SilkS) (width 0.1524))
+    (fp_arc (start 0.254 0) (end -0.381 0) (angle 90) (layer F.SilkS) (width 0.1524))
+    (fp_arc (start 0.254 0) (end -0.762 0) (angle 90) (layer F.SilkS) (width 0.1524))
+    (fp_arc (start 0.254 0) (end 0.889 0) (angle 90) (layer F.SilkS) (width 0.1524))
+    (fp_arc (start 0.254 0) (end 1.27 0) (angle 90) (layer F.SilkS) (width 0.1524))
+    (fp_arc (start 0.254 0) (end 0.254 -2.032) (angle 50.1) (layer F.SilkS) (width 0.254))
+    (fp_arc (start 0.254 0) (end -1.5367 -0.95504) (angle 61.9) (layer F.SilkS) (width 0.254))
+    (fp_arc (start 0.254 0) (end 1.8034 1.31064) (angle 49.7) (layer F.SilkS) (width 0.254))
+    (fp_arc (start 0.254 0) (end 0.254 2.032) (angle 60.2) (layer F.SilkS) (width 0.254))
+    (fp_arc (start 0.254 0) (end -1.778 0) (angle 28.3) (layer F.SilkS) (width 0.254))
+    (fp_arc (start 0.254 0) (end -1.47574 1.06426) (angle 31.6) (layer F.SilkS) (width 0.254))
+    (pad 1 thru_hole circle (at -1.27 0) (size 1.6764 1.6764) (drill 0.812799)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 212 N-00000228)
+    )
+    (pad 2 thru_hole circle (at 1.27 0) (size 1.6764 1.6764) (drill 0.812799)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 187 GND)
+    )
+    (model discret/leds/led3_vertical_verde.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SIL-2 (layer TOP-L1) (tedit 51920B20) (tstamp 51920386)
+    (at 226.8125 87.2375)
+    (descr "Connecteurs 2 pins")
+    (tags "CONN DEV")
+    (path /5152F670)
+    (fp_text reference SW1 (at 2.4 2.875) (layer F.SilkS)
+      (effects (font (size 1.72974 1.08712) (thickness 0.3048)))
+    )
+    (fp_text value SW_PUSH (at 0 -2.54) (layer F.SilkS) hide
+      (effects (font (size 1.524 1.016) (thickness 0.254)))
+    )
+    (fp_line (start -2.54 1.27) (end -2.54 -1.27) (layer F.SilkS) (width 0.3048))
+    (fp_line (start -2.54 -1.27) (end 2.54 -1.27) (layer F.SilkS) (width 0.3048))
+    (fp_line (start 2.54 -1.27) (end 2.54 1.27) (layer F.SilkS) (width 0.3048))
+    (fp_line (start 2.54 1.27) (end -2.54 1.27) (layer F.SilkS) (width 0.3048))
+    (pad 1 thru_hole rect (at -1.27 0) (size 1.397 1.397) (drill 0.812799)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 187 GND)
+    )
+    (pad 2 thru_hole circle (at 1.27 0) (size 1.397 1.397) (drill 0.812799)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 209 N-00000201)
+    )
+    (model pin_array_1x2.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 90))
+    )
+  )
+
+  (module SM0603_Capa (layer TOP-L1) (tedit 5051B1EC) (tstamp 5154458E)
+    (at 177.8875 86.4375 270)
+    (path /5159654C/515AE1D6)
+    (attr smd)
+    (fp_text reference L5 (at 0 0 360) (layer F.SilkS)
+      (effects (font (size 0.508 0.4572) (thickness 0.1143)))
+    )
+    (fp_text value "bead /SM0603" (at -1.651 0 360) (layer F.SilkS)
+      (effects (font (size 0.508 0.4572) (thickness 0.1143)))
+    )
+    (fp_line (start 0.50038 0.65024) (end 1.19888 0.65024) (layer F.SilkS) (width 0.11938))
+    (fp_line (start -0.50038 0.65024) (end -1.19888 0.65024) (layer F.SilkS) (width 0.11938))
+    (fp_line (start 0.50038 -0.65024) (end 1.19888 -0.65024) (layer F.SilkS) (width 0.11938))
+    (fp_line (start -1.19888 -0.65024) (end -0.50038 -0.65024) (layer F.SilkS) (width 0.11938))
+    (fp_line (start 1.19888 -0.635) (end 1.19888 0.635) (layer F.SilkS) (width 0.11938))
+    (fp_line (start -1.19888 0.635) (end -1.19888 -0.635) (layer F.SilkS) (width 0.11938))
+    (pad 1 smd rect (at -0.762 0 270) (size 0.635 1.143)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 157 /ethernet/PFWBOUT)
+    )
+    (pad 2 smd rect (at 0.762 0 270) (size 0.635 1.143)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 243 N-00000413)
+    )
+    (model smd\capacitors\C0603.wrl
+      (at (xyz 0 0 0.001))
+      (scale (xyz 0.5 0.5 0.5))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0603_Capa (layer TOP-L1) (tedit 5199F984) (tstamp 5154459A)
+    (at 163.65 99.175 90)
+    (path /5159654C/515ADE75)
+    (attr smd)
+    (fp_text reference L1 (at 0 1.275 180) (layer F.SilkS)
+      (effects (font (size 0.508 0.4572) (thickness 0.1143)))
+    )
+    (fp_text value "Bead / SM0603" (at -0.575 -1.4 90) (layer F.SilkS) hide
+      (effects (font (size 0.508 0.4572) (thickness 0.1143)))
+    )
+    (fp_line (start 0.50038 0.65024) (end 1.19888 0.65024) (layer F.SilkS) (width 0.11938))
+    (fp_line (start -0.50038 0.65024) (end -1.19888 0.65024) (layer F.SilkS) (width 0.11938))
+    (fp_line (start 0.50038 -0.65024) (end 1.19888 -0.65024) (layer F.SilkS) (width 0.11938))
+    (fp_line (start -1.19888 -0.65024) (end -0.50038 -0.65024) (layer F.SilkS) (width 0.11938))
+    (fp_line (start 1.19888 -0.635) (end 1.19888 0.635) (layer F.SilkS) (width 0.11938))
+    (fp_line (start -1.19888 0.635) (end -1.19888 -0.635) (layer F.SilkS) (width 0.11938))
+    (pad 1 smd rect (at -0.762 0 90) (size 0.635 1.143)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (pad 2 smd rect (at 0.762 0 90) (size 0.635 1.143)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 154 /ethernet/ETHAVDD)
+    )
+    (model smd\capacitors\C0603.wrl
+      (at (xyz 0 0 0.001))
+      (scale (xyz 0.5 0.5 0.5))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM1812E (layer TOP-L1) (tedit 519DF747) (tstamp 515445A6)
+    (at 208.2125 87.3125 270)
+    (path /51513975)
+    (attr smd)
+    (fp_text reference L4 (at -3.725 -0.225 360) (layer F.SilkS)
+      (effects (font (size 0.889 0.889) (thickness 0.127)))
+    )
+    (fp_text value 4.7uH/2A (at 0.025 -1 360) (layer F.SilkS) hide
+      (effects (font (size 0.889 0.889) (thickness 0.127)))
+    )
+    (fp_circle (center -3.429 1.778) (end -3.048 1.778) (layer F.SilkS) (width 0.127))
+    (fp_line (start -0.889 -2.032) (end -3.048 -2.032) (layer F.SilkS) (width 0.127))
+    (fp_line (start -3.048 -2.032) (end -3.048 2.032) (layer F.SilkS) (width 0.127))
+    (fp_line (start -3.048 2.032) (end -0.889 2.032) (layer F.SilkS) (width 0.127))
+    (fp_line (start 0.889 -2.159) (end 3.048 -2.159) (layer F.SilkS) (width 0.127))
+    (fp_line (start 3.048 -2.159) (end 3.048 2.032) (layer F.SilkS) (width 0.127))
+    (fp_line (start 3.048 2.032) (end 0.889 2.032) (layer F.SilkS) (width 0.127))
+    (pad 1 smd rect (at -1.905 0 270) (size 1.778 3.556)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 224 N-00000297)
+    )
+    (pad 2 smd rect (at 1.905 0 270) (size 1.778 3.556)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 164 3.3V)
+    )
+    (model smd/chip_cms.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.2 0.25 0.25))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM1812E (layer TOP-L1) (tedit 4171109D) (tstamp 515445B2)
+    (at 217.5375 107.6125)
+    (path /514EC5DA)
+    (attr smd)
+    (fp_text reference L3 (at 0 0 90) (layer F.SilkS)
+      (effects (font (size 0.889 0.889) (thickness 0.127)))
+    )
+    (fp_text value 4.7uH at 1.5A (at 0 0 90) (layer F.SilkS) hide
+      (effects (font (size 0.889 0.889) (thickness 0.127)))
+    )
+    (fp_circle (center -3.429 1.778) (end -3.048 1.778) (layer F.SilkS) (width 0.127))
+    (fp_line (start -0.889 -2.032) (end -3.048 -2.032) (layer F.SilkS) (width 0.127))
+    (fp_line (start -3.048 -2.032) (end -3.048 2.032) (layer F.SilkS) (width 0.127))
+    (fp_line (start -3.048 2.032) (end -0.889 2.032) (layer F.SilkS) (width 0.127))
+    (fp_line (start 0.889 -2.159) (end 3.048 -2.159) (layer F.SilkS) (width 0.127))
+    (fp_line (start 3.048 -2.159) (end 3.048 2.032) (layer F.SilkS) (width 0.127))
+    (fp_line (start 3.048 2.032) (end 0.889 2.032) (layer F.SilkS) (width 0.127))
+    (pad 1 smd rect (at -1.905 0) (size 1.778 3.556)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 255 N-0000053)
+    )
+    (pad 2 smd rect (at 1.905 0) (size 1.778 3.556)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 162 1.25V)
+    )
+    (model smd/chip_cms.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.2 0.25 0.25))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM1812E (layer TOP-L1) (tedit 519DF677) (tstamp 515445BE)
+    (at 226.5375 99.2875 90)
+    (path /514ECA56)
+    (attr smd)
+    (fp_text reference L6 (at 1.975 3 180) (layer F.SilkS)
+      (effects (font (size 0.889 0.889) (thickness 0.127)))
+    )
+    (fp_text value 4.7uH at 1.5A (at -0.225 3.425 90) (layer F.SilkS) hide
+      (effects (font (size 0.8 0.8) (thickness 0.1)))
+    )
+    (fp_circle (center -3.429 1.778) (end -3.048 1.778) (layer F.SilkS) (width 0.127))
+    (fp_line (start -0.889 -2.032) (end -3.048 -2.032) (layer F.SilkS) (width 0.127))
+    (fp_line (start -3.048 -2.032) (end -3.048 2.032) (layer F.SilkS) (width 0.127))
+    (fp_line (start -3.048 2.032) (end -0.889 2.032) (layer F.SilkS) (width 0.127))
+    (fp_line (start 0.889 -2.159) (end 3.048 -2.159) (layer F.SilkS) (width 0.127))
+    (fp_line (start 3.048 -2.159) (end 3.048 2.032) (layer F.SilkS) (width 0.127))
+    (fp_line (start 3.048 2.032) (end 0.889 2.032) (layer F.SilkS) (width 0.127))
+    (pad 1 smd rect (at -1.905 0 90) (size 1.778 3.556)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 256 N-0000054)
+    )
+    (pad 2 smd rect (at 1.905 0 90) (size 1.778 3.556)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 163 1.2V)
+    )
+    (model smd/chip_cms.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.2 0.25 0.25))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM1812E (layer TOP-L1) (tedit 519DF737) (tstamp 515445CA)
+    (at 218.1375 80.2375 270)
+    (path /5150FD3C)
+    (attr smd)
+    (fp_text reference L2 (at -3.9 -0.625 360) (layer F.SilkS)
+      (effects (font (size 0.889 0.889) (thickness 0.127)))
+    )
+    (fp_text value 4.7uH/3A (at -3.8 -4.625 360) (layer F.SilkS) hide
+      (effects (font (size 0.889 0.889) (thickness 0.127)))
+    )
+    (fp_circle (center -3.429 1.778) (end -3.048 1.778) (layer F.SilkS) (width 0.127))
+    (fp_line (start -0.889 -2.032) (end -3.048 -2.032) (layer F.SilkS) (width 0.127))
+    (fp_line (start -3.048 -2.032) (end -3.048 2.032) (layer F.SilkS) (width 0.127))
+    (fp_line (start -3.048 2.032) (end -0.889 2.032) (layer F.SilkS) (width 0.127))
+    (fp_line (start 0.889 -2.159) (end 3.048 -2.159) (layer F.SilkS) (width 0.127))
+    (fp_line (start 3.048 -2.159) (end 3.048 2.032) (layer F.SilkS) (width 0.127))
+    (fp_line (start 3.048 2.032) (end 0.889 2.032) (layer F.SilkS) (width 0.127))
+    (pad 1 smd rect (at -1.905 0 270) (size 1.778 3.556)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 222 N-00000295)
+    )
+    (pad 2 smd rect (at 1.905 0 270) (size 1.778 3.556)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (model smd/chip_cms.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.2 0.25 0.25))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module crystal-32k-4.5x1.9mm (layer TOP-L1) (tedit 519E04C4) (tstamp 519238C4)
+    (at 183.8825 98.7075 180)
+    (path /5154375B)
+    (attr smd)
+    (fp_text reference X1 (at 3.43 -0.32 180) (layer F.SilkS)
+      (effects (font (size 0.508 0.4572) (thickness 0.1143)))
+    )
+    (fp_text value 32k (at -0.3 -1.94 180) (layer F.SilkS) hide
+      (effects (font (size 0.508 0.4572) (thickness 0.1143)))
+    )
+    (fp_line (start -2.65 -1.4) (end 2.7 -1.4) (layer F.SilkS) (width 0.15))
+    (fp_line (start 2.7 -1.4) (end 2.7 1.4) (layer F.SilkS) (width 0.15))
+    (fp_line (start 2.7 1.4) (end -2.65 1.4) (layer F.SilkS) (width 0.15))
+    (fp_line (start -2.65 1.4) (end -2.65 -1.4) (layer F.SilkS) (width 0.15))
+    (pad 1 smd rect (at -1.7 0 180) (size 1.1 1.9)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 211 N-00000203)
+    )
+    (pad 2 smd rect (at 1.7 0.05 180) (size 1.1 1.9)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 234 N-00000364)
+    )
+    (model smd\resistors\R0603.wrl
+      (at (xyz 0 0 0.001))
+      (scale (xyz 0.5 0.5 0.5))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module Crystal_SMD_7x5mm (layer TOP-L1) (tedit 519E0235) (tstamp 519238F5)
+    (at 209.1525 112.5375)
+    (descr "Crystal, Quarz, SMD, 0603, 4 Pads,")
+    (tags "Crystal, Quarz, SMD, 0603, 4 Pads,")
+    (path /51523481)
+    (attr smd)
+    (fp_text reference X2 (at 0.075 -0.075 90) (layer F.SilkS)
+      (effects (font (size 0.0004 0.0004) (thickness 0.3048)))
+    )
+    (fp_text value 24M (at -0.1 -3) (layer F.SilkS) hide
+      (effects (font (size 1 1) (thickness 0.2)))
+    )
+    (fp_line (start -4.6 -2.45) (end 4.65 -2.45) (layer F.SilkS) (width 0.15))
+    (fp_line (start 4.65 -2.45) (end 4.65 2.35) (layer F.SilkS) (width 0.15))
+    (fp_line (start 4.65 2.35) (end -4.6 2.35) (layer F.SilkS) (width 0.15))
+    (fp_line (start -4.6 2.35) (end -4.6 -2.45) (layer F.SilkS) (width 0.15))
+    (pad 1 smd rect (at -3.15 1.25) (size 2.2 1.4)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 227 N-00000301)
+    )
+    (pad 2 smd rect (at 3.15 1.25) (size 2.2 1.4)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 226 N-00000300)
+    )
+    (pad 2 smd rect (at 3.15 -1.25) (size 2.2 1.4)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 226 N-00000300)
+    )
+    (pad 1 smd rect (at -3.15 -1.25) (size 2.2 1.4)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 227 N-00000301)
+    )
+  )
+
+  (module SM0402 (layer BOT-L6) (tedit 519E0853) (tstamp 519EDD13)
+    (at 190.2025 110.5475)
+    (path /515197D1/515199A4)
+    (attr smd)
+    (fp_text reference C23 (at -0.26 -0.64) (layer B.SilkS)
+      (effects (font (size 0.35052 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_text value .22u (at 0.15 -1.15) (layer B.SilkS) hide
+      (effects (font (size 0.35052 0.3048) (thickness 0.07112)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.07112))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.07112))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 168 DRAM_VCC)
+    )
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944)
+      (layers BOT-L6 B.Paste B.Mask)
+      (net 187 GND)
+    )
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module USB_Double-from-FCI (layer TOP-L1) (tedit 519E1574) (tstamp 51544367)
+    (at 217.4625 148.5125)
+    (path /5151A44D)
+    (fp_text reference J3 (at -2.425 2.225 90) (layer F.SilkS)
+      (effects (font (size 0.0004 0.0004) (thickness 0.3048)))
+    )
+    (fp_text value DUSB (at -3.6 -4.875 90) (layer F.SilkS)
+      (effects (font (size 0.0004 0.0004) (thickness 0.3048)))
+    )
+    (fp_line (start -1.143 -7.493) (end 12.954 -7.493) (layer F.SilkS) (width 0.127))
+    (fp_line (start 12.954 7.493) (end -1.143 7.493) (layer F.SilkS) (width 0.127))
+    (fp_line (start -1.143 -7.493) (end -1.143 7.493) (layer F.SilkS) (width 0.127))
+    (fp_line (start 12.954 -7.493) (end 12.954 7.493) (layer F.SilkS) (width 0.127))
+    (pad 7 thru_hole circle (at 0 -3.556) (size 1.50114 1.50114) (drill 1.00076)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 187 GND)
+    )
+    (pad 5 thru_hole circle (at 0 -1.025) (size 1.50114 1.50114) (drill 1.00076)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 144 /USB1P)
+    )
+    (pad 3 thru_hole circle (at 0.025 1.025) (size 1.50114 1.50114) (drill 1.00076)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 143 /USB1M)
+    )
+    (pad 1 thru_hole circle (at 0 3.556) (size 1.50114 1.50114) (drill 1.00076)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 165 5V_IN)
+    )
+    (pad sh3 thru_hole circle (at -0.675 -6.6) (size 2.99974 2.99974) (drill 2.301238)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 187 GND)
+    )
+    (pad sh1 thru_hole circle (at -0.625 6.675) (size 2.99974 2.99974) (drill 2.301238)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 187 GND)
+    )
+    (pad sh4 thru_hole circle (at 5 -6.6) (size 2.99974 2.99974) (drill 2.301238)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 187 GND)
+    )
+    (pad sh2 thru_hole circle (at 5.05 6.55) (size 2.99974 2.99974) (drill 2.301238)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 187 GND)
+    )
+    (pad 8 thru_hole circle (at 2.6 -3.55) (size 1.50114 1.50114) (drill 1.00076)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 187 GND)
+    )
+    (pad 4 thru_hole circle (at 2.55 1) (size 1.50114 1.50114) (drill 1.00076)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 145 /USB2M)
+    )
+    (pad 6 thru_hole circle (at 2.6 -1.05) (size 1.50114 1.50114) (drill 1.00076)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 146 /USB2P)
+    )
+    (pad 2 thru_hole circle (at 2.55 3.6) (size 1.50114 1.50114) (drill 1.00076)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 165 5V_IN)
+    )
+    (model usb_Ax2.wrl
+      (at (xyz 0.28 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 -90))
+    )
+  )
+
+  (module HDMI (layer TOP-L1) (tedit 519F38FE) (tstamp 519F3D0B)
+    (at 203.9525 153.1175)
+    (descr "Cms SOJ 20 pins large")
+    (tags "CMS SOJ")
+    (path /514F1A03)
+    (attr smd)
+    (fp_text reference J7 (at 5.95 4.75) (layer F.SilkS)
+      (effects (font (size 0.0004 0.0004) (thickness 0.127)))
+    )
+    (fp_text value HDMI (at 0 4.9) (layer F.SilkS)
+      (effects (font (size 1 1) (thickness 0.1)))
+    )
+    (fp_line (start -3.95 5.65) (end -3.95 -1.9) (layer F.SilkS) (width 0.2))
+    (fp_line (start -3.95 -1.75) (end 4.25 -1.75) (layer F.SilkS) (width 0.2))
+    (fp_line (start 4.25 -1.9) (end 4.25 5.65) (layer F.SilkS) (width 0.2))
+    (fp_line (start 4.25 5.65) (end -3.95 5.65) (layer F.SilkS) (width 0.2))
+    (pad 9 smd rect (at 0.2 -0.68) (size 0.23 0.85)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 236 N-0000038)
+    )
+    (pad 7 smd rect (at 0.6 -0.675) (size 0.23 0.85)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 235 N-0000037)
+    )
+    (pad 5 smd rect (at 1 -0.675) (size 0.23 0.85)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 3 smd rect (at 1.4 -0.675) (size 0.23 0.85)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 232 N-0000035)
+    )
+    (pad 1 smd rect (at 1.8 -0.675) (size 0.23 0.85)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 231 N-0000034)
+    )
+    (pad 11 smd rect (at -0.2 -0.675) (size 0.23 0.85)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 15 smd rect (at -1 -0.675) (size 0.23 0.85)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 214 N-00000264)
+    )
+    (pad 13 smd rect (at -0.6 -0.675) (size 0.23 0.85)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 197 N-00000185)
+    )
+    (pad 17 smd rect (at -1.4 -0.675) (size 0.23 0.85)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 19 smd rect (at -1.8 -0.675) (size 0.23 0.85)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 198 N-00000189)
+    )
+    (pad 2 smd rect (at 1.595 0.675) (size 0.23 0.85)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 4 smd rect (at 1.195 0.675) (size 0.23 0.85)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 233 N-0000036)
+    )
+    (pad 6 smd rect (at 0.795 0.675) (size 0.23 0.85)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 230 N-0000033)
+    )
+    (pad 8 smd rect (at 0.395 0.675) (size 0.23 0.85)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 10 smd rect (at -0.005 0.675) (size 0.23 0.85)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 237 N-0000039)
+    )
+    (pad 12 smd rect (at -0.405 0.675) (size 0.23 0.85)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 238 N-0000040)
+    )
+    (pad 14 smd rect (at -0.805 0.675) (size 0.23 0.85)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 16 smd rect (at -1.205 0.675) (size 0.23 0.85)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 199 N-00000190)
+    )
+    (pad 18 smd rect (at -1.605 0.675) (size 0.23 0.85)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 165 5V_IN)
+    )
+    (pad sh1 thru_hole oval (at -3.1 0.75) (size 1.5 2.55) (drill oval 0.65 1.699999)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 187 GND)
+    )
+    (pad sh2 thru_hole oval (at 3.1 0.75) (size 1.5 2.55) (drill oval 0.65 1.699999)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 187 GND)
+    )
+    (pad sh3 thru_hole oval (at -3.05 4.1) (size 1.5 2.55) (drill oval 0.65 1.699999)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 187 GND)
+    )
+    (pad sh4 thru_hole oval (at 3.1 4.1) (size 1.5 2.55) (drill oval 0.65 1.699999)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 187 GND)
+    )
+  )
+
+  (module RJ45-HANRUN (layer TOP-L1) (tedit 51A71B36) (tstamp 51A55E0B)
+    (at 152.175 86.525)
+    (tags RJ45)
+    (path /5159654C/51A4CD0A)
+    (fp_text reference J8 (at 8.725 -11.05) (layer F.SilkS)
+      (effects (font (size 0.4 0.4) (thickness 0.05)))
+    )
+    (fp_text value RJ45-HANRUN (at 0.375 -10.075) (layer F.SilkS)
+      (effects (font (size 1.00076 1.00076) (thickness 0.2032)))
+    )
+    (fp_line (start -7.787 -11.226) (end -7.787 0.712) (layer F.SilkS) (width 0.3048))
+    (fp_line (start -7.787 3.887) (end -7.787 13.793) (layer F.SilkS) (width 0.3048))
+    (fp_line (start 8.215 -11.226) (end 8.215 0.712) (layer F.SilkS) (width 0.3048))
+    (fp_line (start 8.215 3.887) (end 8.215 13.793) (layer F.SilkS) (width 0.3048))
+    (fp_line (start -7.787 13.793) (end -6.771 13.793) (layer F.SilkS) (width 0.3048))
+    (fp_line (start 1.611 13.793) (end -1.691 13.793) (layer F.SilkS) (width 0.3048))
+    (fp_line (start 8.215 13.793) (end 6.945 13.793) (layer F.SilkS) (width 0.3048))
+    (fp_line (start 8.215 -11.27172) (end -7.787 -11.27172) (layer F.SilkS) (width 0.3048))
+    (pad Hole thru_hole circle (at -5.72 0 180) (size 3.85064 3.85064) (drill 3.302)
+      (layers *.Cu *.SilkS *.Mask)
+    )
+    (pad Hole thru_hole circle (at 5.71 0 180) (size 3.85064 3.85064) (drill 3.302)
+      (layers *.Cu *.SilkS *.Mask)
+    )
+    (pad 1 thru_hole circle (at 4.45 6.35 180) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 160 /ethernet/TX+)
+    )
+    (pad 2 thru_hole circle (at 3.18 8.89 180) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 161 /ethernet/TX-)
+    )
+    (pad 3 thru_hole circle (at 1.91 6.35 180) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 158 /ethernet/RX+)
+    )
+    (pad 4 thru_hole circle (at 0.64 8.89 180) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 157 /ethernet/PFWBOUT)
+    )
+    (pad 5 thru_hole circle (at -0.63 6.35 180) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 157 /ethernet/PFWBOUT)
+    )
+    (pad 6 thru_hole circle (at -1.9 8.89 180) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 159 /ethernet/RX-)
+    )
+    (pad 7 thru_hole circle (at -3.17 6.35 180) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+    )
+    (pad 13 thru_hole circle (at -7.78 3.05 180) (size 2.4 2.4) (drill 1.699999)
+      (layers *.Cu *.SilkS *.Mask)
+      (net 187 GND)
+    )
+    (pad 13 thru_hole circle (at 7.75 3.05 180) (size 2.4 2.4) (drill 1.659999)
+      (layers *.Cu *.SilkS *.Mask)
+      (net 187 GND)
+    )
+    (pad 10 thru_hole circle (at 4.08 -4.9 180) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 244 N-00000419)
+    )
+    (pad 11 thru_hole circle (at -4.08 -4.9 180) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 245 N-00000421)
+    )
+    (pad 9 thru_hole circle (at 6.63 -4.9 180) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 164 3.3V)
+    )
+    (pad 12 thru_hole circle (at -6.62 -4.9 180) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 156 /ethernet/LED4)
+    )
+    (pad 8 thru_hole rect (at -4.39 8.89 180) (size 1.524 1.524) (drill 1.016)
+      (layers *.Cu *.Mask F.SilkS)
+      (net 187 GND)
+    )
+    (model rj45-led-rb1-125bag1a_hr.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 1 1 1))
+      (rotate (xyz 0 0 180))
+    )
+  )
+
+  (module Crystal-TXC-SMD3.2x2.5 (layer TOP-L1) (tedit 51A6B254) (tstamp 516391F0)
+    (at 174.625 97.775 180)
+    (descr "Crystal, Quarz, SMD, 0603, 4 Pads,")
+    (tags "Crystal, Quarz, SMD, 0603, 4 Pads,")
+    (path /5159654C/51A6DE19)
+    (attr smd)
+    (fp_text reference X3 (at 0.075 -0.075 270) (layer F.SilkS)
+      (effects (font (size 1.524 1.524) (thickness 0.3048)))
+    )
+    (fp_text value 25M (at -0.1 -3 180) (layer F.SilkS) hide
+      (effects (font (size 1 1) (thickness 0.2)))
+    )
+    (fp_line (start -2.13 -1.76) (end 2.08 -1.76) (layer F.SilkS) (width 0.2))
+    (fp_line (start 2.08 -1.76) (end 2.07 1.68) (layer F.SilkS) (width 0.2))
+    (fp_line (start 2.07 1.68) (end -2.11 1.68) (layer F.SilkS) (width 0.2))
+    (fp_line (start -2.11 1.68) (end -2.11 -1.78) (layer F.SilkS) (width 0.2))
+    (pad 4 smd rect (at -1.1 -0.85 180) (size 1.4 1.2)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 2 smd rect (at 1.1 0.85 180) (size 1.4 1.2)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 187 GND)
+    )
+    (pad 3 smd rect (at 1.1 -0.85 180) (size 1.4 1.2)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 250 N-00000430)
+    )
+    (pad 1 smd rect (at -1.1 0.85 180) (size 1.4 1.2)
+      (layers TOP-L1 F.Paste F.Mask)
+      (net 242 N-00000412)
+    )
+  )
+
+  (gr_line (start 141.3875 150.8125) (end 141.3875 157.0625) (angle 90) (layer Edge.Cuts) (width 0.15))
+  (gr_line (start 141.3875 76.8125) (end 141.3875 83.0625) (angle 90) (layer Edge.Cuts) (width 0.15))
+  (gr_arc (start 143.3375 157.0125) (end 143.3875 158.9625) (angle 90) (layer Edge.Cuts) (width 0.15))
+  (gr_line (start 119.8375 150.8125) (end 141.3875 150.8125) (angle 90) (layer Edge.Cuts) (width 0.15))
+  (gr_arc (start 143.3875 76.8625) (end 141.3875 76.8125) (angle 90) (layer Edge.Cuts) (width 0.15))
+  (gr_line (start 119.8375 83.0625) (end 141.3875 83.0625) (angle 90) (layer Edge.Cuts) (width 0.15))
+  (gr_arc (start 117.8397 150.7655) (end 117.8143 148.7589) (angle 90) (layer Edge.Cuts) (width 0.37846) (tstamp 511243CB))
+  (gr_arc (start 117.8397 150.7655) (end 115.8077 150.7655) (angle 90) (layer Edge.Cuts) (width 0.37846) (tstamp 511243CA))
+  (gr_line (start 115.8077 150.7655) (end 97.7991 150.7655) (angle 90) (layer Edge.Cuts) (width 0.37846) (tstamp 511243C9))
+  (gr_arc (start 117.8143 83.1507) (end 119.8209 83.1507) (angle 90) (layer Edge.Cuts) (width 0.37846) (tstamp 511243C8))
+  (gr_arc (start 117.8143 83.1507) (end 117.8143 85.1573) (angle 90) (layer Edge.Cuts) (width 0.37846) (tstamp 511243C7))
+  (gr_arc (start 101.5075 98.4923) (end 101.4821 97.9843) (angle 90) (layer Edge.Cuts) (width 0.37846) (tstamp 511243C6))
+  (gr_arc (start 101.5075 99.3813) (end 102.0155 99.4067) (angle 90) (layer Edge.Cuts) (width 0.37846) (tstamp 511243C5))
+  (gr_line (start 97.7991 97.9843) (end 97.7991 83.1507) (angle 90) (layer Edge.Cuts) (width 0.37846) (tstamp 511243C4))
+  (gr_line (start 102.0155 98.4542) (end 102.0155 99.394) (angle 90) (layer Edge.Cuts) (width 0.37846) (tstamp 511243C3))
+  (gr_line (start 97.7991 99.8893) (end 97.7991 150.7655) (angle 90) (layer Edge.Cuts) (width 0.37846) (tstamp 511243C2))
+  (gr_line (start 97.7991 97.9843) (end 101.4694 97.9843) (angle 90) (layer Edge.Cuts) (width 0.37846) (tstamp 511243C1))
+  (gr_line (start 97.7991 99.8893) (end 101.4821 99.8893) (angle 90) (layer Edge.Cuts) (width 0.37846) (tstamp 511243C0))
+  (gr_line (start 119.8463 150.7401) (end 119.8463 150.7147) (angle 90) (layer Edge.Cuts) (width 0.37846) (tstamp 511243BF))
+  (gr_line (start 97.7991 83.1507) (end 115.8077 83.1507) (angle 90) (layer Edge.Cuts) (width 0.37846) (tstamp 511243BE))
+  (gr_line (start 112.5311 83.0237) (end 109.9911 83.0237) (angle 90) (layer Cmts.User) (width 0.2) (tstamp 511243B8))
+  (gr_text "Designed in Kicad" (at 146.2875 142.5125 90) (layer F.SilkS)
+    (effects (font (size 1 1) (thickness 0.1)))
+  )
+  (gr_text "expEYES-SBC\n30-MAY-2013\n" (at 189.4375 150.1625) (layer F.SilkS)
+    (effects (font (size 1 1) (thickness 0.2)))
+  )
+  (gr_text VREF (at 162.9875 107.3875) (layer B.SilkS)
+    (effects (font (size 0.5 0.5) (thickness 0.1)) (justify mirror))
+  )
+  (dimension 84.025134 (width 0.25) (layer Edge.Cuts)
+    (gr_text "84.025 mm" (at 235.462847 116.919109 270.1022833) (layer Edge.Cuts)
+      (effects (font (size 1 1) (thickness 0.25)))
+    )
+    (feature1 (pts (xy 232.2375 158.9375) (xy 236.537845 158.929824)))
+    (feature2 (pts (xy 232.0875 74.9125) (xy 236.387845 74.904824)))
+    (crossbar (pts (xy 234.387849 74.908394) (xy 234.537849 158.933394)))
+    (arrow1a (pts (xy 234.537849 158.933394) (xy 233.949419 157.807939)))
+    (arrow1b (pts (xy 234.537849 158.933394) (xy 235.122257 157.805846)))
+    (arrow2a (pts (xy 234.387849 74.908394) (xy 233.803441 76.035942)))
+    (arrow2b (pts (xy 234.387849 74.908394) (xy 234.976279 76.033849)))
+  )
+  (dimension 88.450004 (width 0.25) (layer Edge.Cuts)
+    (gr_text "88.450 mm" (at 187.611539 69.674972 0.0161944) (layer Edge.Cuts)
+      (effects (font (size 1 1) (thickness 0.25)))
+    )
+    (feature1 (pts (xy 231.8375 73.0625) (xy 231.836257 68.662473)))
+    (feature2 (pts (xy 143.3875 73.0875) (xy 143.386257 68.687473)))
+    (crossbar (pts (xy 143.386822 70.687472) (xy 231.836822 70.662472)))
+    (arrow1a (pts (xy 231.836822 70.662472) (xy 230.710485 71.249211)))
+    (arrow1b (pts (xy 231.836822 70.662472) (xy 230.710153 70.07637)))
+    (arrow2a (pts (xy 143.386822 70.687472) (xy 144.513491 71.273574)))
+    (arrow2b (pts (xy 143.386822 70.687472) (xy 144.513159 70.100733)))
+  )
+  (gr_line (start 143.3875 74.8625) (end 231.8125 74.8625) (angle 90) (layer Edge.Cuts) (width 0.15))
+  (gr_line (start 231.8125 158.9625) (end 143.3875 158.9625) (angle 90) (layer Edge.Cuts) (width 0.15))
+  (gr_line (start 231.8125 74.7875) (end 231.8125 158.9625) (angle 90) (layer Edge.Cuts) (width 0.15))
+
+  (segment (start 148.6875 105.9625) (end 148.7875 105.8625) (width 0.1524) (layer Sig1-L3) (net 1))
+  (segment (start 148.7875 104.8125) (end 148.8625 104.7375) (width 0.1524) (layer Sig1-L3) (net 1) (tstamp 5191BFEC))
+  (segment (start 148.7875 105.8625) (end 148.7875 104.8125) (width 0.1524) (layer Sig1-L3) (net 1) (tstamp 5191BFEB))
+  (segment (start 181.0875 113.3875) (end 182.016102 113.3875) (width 0.1524) (layer Sig1-L3) (net 1))
+  (segment (start 182.1875 113.558898) (end 182.5125 113.558898) (width 0.1524) (layer Sig1-L3) (net 1) (tstamp 5191BE0F))
+  (segment (start 182.016102 113.3875) (end 182.1875 113.558898) (width 0.1524) (layer Sig1-L3) (net 1) (tstamp 5191BE08))
+  (segment (start 180.9625 113.3875) (end 181.0875 113.3875) (width 0.1524) (layer Sig1-L3) (net 1))
+  (segment (start 183.4125 113.3875) (end 183.7375 113.3875) (width 0.1524) (layer Sig1-L3) (net 1) (tstamp 5191BDD6))
+  (segment (start 183.241102 113.558898) (end 183.4125 113.3875) (width 0.1524) (layer Sig1-L3) (net 1) (tstamp 5191BDD2))
+  (segment (start 182.5125 113.558898) (end 183.241102 113.558898) (width 0.1524) (layer Sig1-L3) (net 1) (tstamp 5191BE12))
+  (segment (start 156.0625 124.662598) (end 156.562598 124.662598) (width 0.1524) (layer TOP-L1) (net 1))
+  (segment (start 160.0875 125.1625) (end 160.3375 125.4125) (width 0.1524) (layer TOP-L1) (net 1) (tstamp 51821B31))
+  (segment (start 157.0625 125.1625) (end 160.0875 125.1625) (width 0.1524) (layer TOP-L1) (net 1) (tstamp 51821B30))
+  (segment (start 156.562598 124.662598) (end 157.0625 125.1625) (width 0.1524) (layer TOP-L1) (net 1) (tstamp 51821B2F))
+  (segment (start 157.5125 113.3875) (end 159.4375 113.3875) (width 0.1524) (layer TOP-L1) (net 1))
+  (segment (start 159.4375 113.3875) (end 159.6875 113.3875) (width 0.1524) (layer Sig1-L3) (net 1) (tstamp 517FF055))
+  (via (at 159.4375 113.3875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 1))
+  (segment (start 148.1625 111.8875) (end 147.7875 111.8875) (width 0.1524) (layer TOP-L1) (net 1))
+  (segment (start 149.9125 113.1625) (end 149.8125 113.2625) (width 0.1524) (layer TOP-L1) (net 1) (tstamp 517F2EF4))
+  (segment (start 149.9125 112.7125) (end 149.9125 113.1625) (width 0.1524) (layer TOP-L1) (net 1) (tstamp 517F2EF3))
+  (segment (start 149.6625 112.4625) (end 149.9125 112.7125) (width 0.1524) (layer TOP-L1) (net 1) (tstamp 517F2EF1))
+  (segment (start 147.7625 112.4625) (end 149.6625 112.4625) (width 0.1524) (layer TOP-L1) (net 1) (tstamp 517F2EF0))
+  (segment (start 147.5875 112.2875) (end 147.7625 112.4625) (width 0.1524) (layer TOP-L1) (net 1) (tstamp 517F2EEF))
+  (segment (start 147.5875 112.0875) (end 147.5875 112.2875) (width 0.1524) (layer TOP-L1) (net 1) (tstamp 517F2EEE))
+  (segment (start 147.7875 111.8875) (end 147.5875 112.0875) (width 0.1524) (layer TOP-L1) (net 1) (tstamp 517F2EED))
+  (segment (start 151.4875 113.3875) (end 151.1375 113.3875) (width 0.1524) (layer TOP-L1) (net 1))
+  (segment (start 149.6875 113.3875) (end 149.4375 113.3875) (width 0.1524) (layer TOP-L1) (net 1) (tstamp 517F2EB0))
+  (segment (start 149.8125 113.2625) (end 149.6875 113.3875) (width 0.1524) (layer TOP-L1) (net 1) (tstamp 517F2EF8))
+  (segment (start 150.1125 111.8875) (end 148.1625 111.8875) (width 0.1524) (layer TOP-L1) (net 1) (tstamp 517F2EA3))
+  (segment (start 150.7625 112.5375) (end 150.1125 111.8875) (width 0.1524) (layer TOP-L1) (net 1) (tstamp 517F2EA2))
+  (segment (start 150.7625 113.0125) (end 150.7625 112.5375) (width 0.1524) (layer TOP-L1) (net 1) (tstamp 517F2EA1))
+  (segment (start 151.1375 113.3875) (end 150.7625 113.0125) (width 0.1524) (layer TOP-L1) (net 1) (tstamp 517F2EA0))
+  (segment (start 149.3625 124.7625) (end 149.1125 125.0125) (width 0.1524) (layer TOP-L1) (net 1))
+  (segment (start 148.3625 124.5875) (end 148.3625 123.4875) (width 0.1524) (layer Sig1-L3) (net 1) (tstamp 517B8611))
+  (segment (start 148.7875 125.0125) (end 148.3625 124.5875) (width 0.1524) (layer Sig1-L3) (net 1) (tstamp 517B8610))
+  (segment (start 148.8625 125.0125) (end 148.7875 125.0125) (width 0.1524) (layer Sig1-L3) (net 1) (tstamp 517B860F))
+  (via (at 148.8625 125.0125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 1))
+  (segment (start 149.1125 125.0125) (end 148.8625 125.0125) (width 0.1524) (layer TOP-L1) (net 1) (tstamp 517B85E0))
+  (segment (start 148.7875 113.3875) (end 148.3875 112.9875) (width 0.1524) (layer Sig1-L3) (net 1))
+  (segment (start 160.5625 104.7875) (end 160.5625 103.5875) (width 0.1524) (layer TOP-L1) (net 1) (tstamp 517B2BD8))
+  (segment (start 160.5625 104.7875) (end 160.9625 105.1875) (width 0.1524) (layer TOP-L1) (net 1))
+  (via (at 148.8625 104.7375) (size 0.4572) (layers TOP-L1 BOT-L6) (net 1))
+  (segment (start 148.8625 103.3875) (end 148.8625 104.7375) (width 0.1524) (layer TOP-L1) (net 1) (tstamp 517B2BE9))
+  (segment (start 149.6625 102.5875) (end 148.8625 103.3875) (width 0.1524) (layer TOP-L1) (net 1) (tstamp 517B2BE2))
+  (segment (start 159.5625 102.5875) (end 149.6625 102.5875) (width 0.1524) (layer TOP-L1) (net 1) (tstamp 517B2BDF))
+  (segment (start 160.5625 103.5875) (end 159.5625 102.5875) (width 0.1524) (layer TOP-L1) (net 1) (tstamp 517B2BDB))
+  (segment (start 148.3875 106.2625) (end 148.6875 105.9625) (width 0.1524) (layer Sig1-L3) (net 1) (tstamp 517B8597))
+  (segment (start 148.3875 112.9875) (end 148.3875 106.2625) (width 0.1524) (layer Sig1-L3) (net 1) (tstamp 517B858A))
+  (segment (start 187.5625 112.9875) (end 187.1625 113.3875) (width 0.1524) (layer TOP-L1) (net 1))
+  (via (at 187.1625 113.3875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 1))
+  (segment (start 187.1625 113.3875) (end 183.7375 113.3875) (width 0.1524) (layer Sig1-L3) (net 1))
+  (segment (start 180.9625 113.3875) (end 159.6875 113.3875) (width 0.1524) (layer Sig1-L3) (net 1) (tstamp 5191BDCC))
+  (segment (start 160.5625 126.7875) (end 160.5625 125.6375) (width 0.1524) (layer TOP-L1) (net 1) (tstamp 517B32D8))
+  (segment (start 160.5625 126.7875) (end 160.9625 127.1875) (width 0.1524) (layer TOP-L1) (net 1))
+  (segment (start 160.5625 125.6375) (end 160.3375 125.4125) (width 0.1524) (layer TOP-L1) (net 1) (tstamp 517B32DC))
+  (segment (start 156.0625 124.662598) (end 149.7875 124.662598) (width 0.1524) (layer TOP-L1) (net 1) (tstamp 51821B2D))
+  (segment (start 148.3625 123.4875) (end 148.3625 114.3375) (width 0.1524) (layer Sig1-L3) (net 1) (tstamp 517B8616))
+  (segment (start 148.3625 114.3375) (end 148.6375 114.0625) (width 0.1524) (layer Sig1-L3) (net 1) (tstamp 517B851F))
+  (segment (start 148.6375 114.0625) (end 148.6375 113.5375) (width 0.1524) (layer Sig1-L3) (net 1) (tstamp 517B852F))
+  (segment (start 148.6375 113.5375) (end 148.7875 113.3875) (width 0.1524) (layer Sig1-L3) (net 1) (tstamp 517B8530))
+  (via (at 148.7875 113.3875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 1))
+  (segment (start 149.462402 124.662598) (end 149.3625 124.7625) (width 0.1524) (layer TOP-L1) (net 1) (tstamp 517B8509))
+  (segment (start 149.7875 124.662598) (end 149.462402 124.662598) (width 0.1524) (layer TOP-L1) (net 1))
+  (segment (start 157.5125 113.3875) (end 151.4875 113.3875) (width 0.1524) (layer TOP-L1) (net 1) (tstamp 517FF051))
+  (segment (start 149.4375 113.3875) (end 148.7875 113.3875) (width 0.1524) (layer TOP-L1) (net 1) (tstamp 517F2EB4))
+  (segment (start 151.4125 133.0625) (end 150.6375 133.0625) (width 0.1524) (layer Sig1-L3) (net 2))
+  (segment (start 150.4375 134.0125) (end 150.6625 134.0125) (width 0.1524) (layer Sig1-L3) (net 2) (tstamp 517FEEE0))
+  (segment (start 150.2625 133.8375) (end 150.4375 134.0125) (width 0.1524) (layer Sig1-L3) (net 2) (tstamp 517FEEDF))
+  (segment (start 150.2625 133.4375) (end 150.2625 133.8375) (width 0.1524) (layer Sig1-L3) (net 2) (tstamp 517FEEDE))
+  (segment (start 150.6375 133.0625) (end 150.2625 133.4375) (width 0.1524) (layer Sig1-L3) (net 2) (tstamp 517FEEDD))
+  (segment (start 153.9625 130.1125) (end 153.9625 131.4375) (width 0.1524) (layer Sig1-L3) (net 2))
+  (segment (start 154.5125 130.9625) (end 154.5125 130.963374) (width 0.1524) (layer TOP-L1) (net 2) (tstamp 517FEED6))
+  (segment (start 152.5625 132.9125) (end 154.5125 130.9625) (width 0.1524) (layer TOP-L1) (net 2) (tstamp 517FEED4))
+  (segment (start 152.1625 132.9125) (end 152.5625 132.9125) (width 0.1524) (layer TOP-L1) (net 2) (tstamp 517FEED3))
+  (segment (start 151.4125 133.6625) (end 152.1625 132.9125) (width 0.1524) (layer TOP-L1) (net 2) (tstamp 517FEED2))
+  (via (at 151.4125 133.6625) (size 0.4572) (layers TOP-L1 BOT-L6) (net 2))
+  (segment (start 151.0625 134.0125) (end 151.4125 133.6625) (width 0.1524) (layer Sig1-L3) (net 2) (tstamp 517FEED0))
+  (segment (start 150.6625 134.0125) (end 151.0625 134.0125) (width 0.1524) (layer Sig1-L3) (net 2) (tstamp 517FEEE3))
+  (segment (start 152.3375 133.0625) (end 151.4125 133.0625) (width 0.1524) (layer Sig1-L3) (net 2) (tstamp 517FEEC6))
+  (segment (start 153.9625 131.4375) (end 152.3375 133.0625) (width 0.1524) (layer Sig1-L3) (net 2) (tstamp 517FEEC4))
+  (segment (start 153.2875 115.4875) (end 152.7875 114.9875) (width 0.1524) (layer Sig2-L4) (net 2))
+  (segment (start 152.7875 114.9875) (end 152.7875 114.8375) (width 0.1524) (layer Sig2-L4) (net 2) (tstamp 517F70F7))
+  (segment (start 154.1125 114.8375) (end 154.0375 114.9125) (width 0.1524) (layer Sig2-L4) (net 2))
+  (segment (start 153.6125 115.8125) (end 153.2875 115.4875) (width 0.1524) (layer Sig2-L4) (net 2) (tstamp 517F70EB))
+  (segment (start 153.8625 115.8125) (end 153.6125 115.8125) (width 0.1524) (layer Sig2-L4) (net 2) (tstamp 517F70EA))
+  (segment (start 154.0375 115.6375) (end 153.8625 115.8125) (width 0.1524) (layer Sig2-L4) (net 2) (tstamp 517F70E8))
+  (segment (start 154.0375 114.9125) (end 154.0375 115.6375) (width 0.1524) (layer Sig2-L4) (net 2) (tstamp 517F70E7))
+  (segment (start 151.8375 114.0625) (end 151.3625 114.0625) (width 0.1524) (layer Sig2-L4) (net 2))
+  (segment (start 149.8625 114.4625) (end 149.8625 114.1125) (width 0.1524) (layer Sig2-L4) (net 2) (tstamp 517F70CC))
+  (segment (start 150.2625 114.8625) (end 149.8625 114.4625) (width 0.1524) (layer Sig2-L4) (net 2) (tstamp 517F70CA))
+  (segment (start 150.5625 114.8625) (end 150.2625 114.8625) (width 0.1524) (layer Sig2-L4) (net 2) (tstamp 517F70C3))
+  (segment (start 151.3625 114.0625) (end 150.5625 114.8625) (width 0.1524) (layer Sig2-L4) (net 2) (tstamp 517F70C2))
+  (segment (start 147.2625 110.9875) (end 147.2625 110.7625) (width 0.1524) (layer Sig2-L4) (net 2))
+  (segment (start 147.9625 109.5875) (end 148.0375 109.5125) (width 0.1524) (layer Sig2-L4) (net 2) (tstamp 517F70AD))
+  (segment (start 147.9625 109.9375) (end 147.9625 109.5875) (width 0.1524) (layer Sig2-L4) (net 2) (tstamp 517F70AB))
+  (segment (start 148.1125 110.0875) (end 147.9625 109.9375) (width 0.1524) (layer Sig2-L4) (net 2) (tstamp 517F70AA))
+  (segment (start 150.3375 110.0875) (end 148.1125 110.0875) (width 0.1524) (layer Sig2-L4) (net 2) (tstamp 517F70A5))
+  (segment (start 150.4625 110.2125) (end 150.3375 110.0875) (width 0.1524) (layer Sig2-L4) (net 2) (tstamp 517F70A2))
+  (segment (start 150.4625 110.5125) (end 150.4625 110.2125) (width 0.1524) (layer Sig2-L4) (net 2) (tstamp 517F70A1))
+  (segment (start 150.1875 110.7875) (end 150.4625 110.5125) (width 0.1524) (layer Sig2-L4) (net 2) (tstamp 517F70A0))
+  (segment (start 150.0375 110.7875) (end 150.1875 110.7875) (width 0.1524) (layer Sig2-L4) (net 2) (tstamp 517F709F))
+  (segment (start 149.8375 110.5875) (end 150.0375 110.7875) (width 0.1524) (layer Sig2-L4) (net 2) (tstamp 517F709C))
+  (segment (start 148.1125 110.5875) (end 149.8375 110.5875) (width 0.1524) (layer Sig2-L4) (net 2) (tstamp 517F709B))
+  (segment (start 148.0625 110.5375) (end 148.1125 110.5875) (width 0.1524) (layer Sig2-L4) (net 2) (tstamp 517F709A))
+  (segment (start 147.4875 110.5375) (end 148.0625 110.5375) (width 0.1524) (layer Sig2-L4) (net 2) (tstamp 517F7099))
+  (segment (start 147.2625 110.7625) (end 147.4875 110.5375) (width 0.1524) (layer Sig2-L4) (net 2) (tstamp 517F7098))
+  (segment (start 154.4625 114.5875) (end 154.3625 114.5875) (width 0.1524) (layer Sig2-L4) (net 2))
+  (segment (start 155.012598 107.662402) (end 155.7375 107.662402) (width 0.1524) (layer TOP-L1) (net 2) (tstamp 517F707A))
+  (segment (start 153.5125 109.1625) (end 155.012598 107.662402) (width 0.1524) (layer TOP-L1) (net 2) (tstamp 517F7079))
+  (via (at 153.5125 109.1625) (size 0.4572) (layers TOP-L1 BOT-L6) (net 2))
+  (segment (start 153.2375 109.4375) (end 153.5125 109.1625) (width 0.1524) (layer Sig2-L4) (net 2) (tstamp 517F7065))
+  (segment (start 148.1125 109.4375) (end 153.2375 109.4375) (width 0.1524) (layer Sig2-L4) (net 2) (tstamp 517F7062))
+  (segment (start 148.0375 109.5125) (end 148.1125 109.4375) (width 0.1524) (layer Sig2-L4) (net 2) (tstamp 517F70B1))
+  (segment (start 147.2625 111.0875) (end 147.2625 110.9875) (width 0.1524) (layer Sig2-L4) (net 2) (tstamp 517F705E))
+  (segment (start 147.4375 111.2625) (end 147.2625 111.0875) (width 0.1524) (layer Sig2-L4) (net 2) (tstamp 517F705D))
+  (segment (start 148.1125 111.2625) (end 147.4375 111.2625) (width 0.1524) (layer Sig2-L4) (net 2) (tstamp 517F705C))
+  (segment (start 148.4375 110.9375) (end 148.1125 111.2625) (width 0.1524) (layer Sig2-L4) (net 2) (tstamp 517F705B))
+  (segment (start 149.6875 110.9375) (end 148.4375 110.9375) (width 0.1524) (layer Sig2-L4) (net 2) (tstamp 517F705A))
+  (segment (start 150.1125 111.3625) (end 149.6875 110.9375) (width 0.1524) (layer Sig2-L4) (net 2) (tstamp 517F7058))
+  (segment (start 150.1125 112.2125) (end 150.1125 111.3625) (width 0.1524) (layer Sig2-L4) (net 2) (tstamp 517F7057))
+  (segment (start 149.8625 112.4625) (end 150.1125 112.2125) (width 0.1524) (layer Sig2-L4) (net 2) (tstamp 517F7054))
+  (segment (start 149.8625 114.1125) (end 149.8625 112.4625) (width 0.1524) (layer Sig2-L4) (net 2) (tstamp 517F70CF))
+  (segment (start 152.2125 114.0625) (end 151.8375 114.0625) (width 0.1524) (layer Sig2-L4) (net 2) (tstamp 517F704D))
+  (segment (start 152.7875 114.6375) (end 152.2125 114.0625) (width 0.1524) (layer Sig2-L4) (net 2) (tstamp 517F704C))
+  (segment (start 152.7875 114.8375) (end 152.7875 114.6375) (width 0.1524) (layer Sig2-L4) (net 2) (tstamp 517F70FE))
+  (segment (start 154.3625 114.5875) (end 154.1125 114.8375) (width 0.1524) (layer Sig2-L4) (net 2) (tstamp 517F7046))
+  (segment (start 154.4625 114.5875) (end 154.0875 114.9625) (width 0.1524) (layer Sig1-L3) (net 2))
+  (segment (start 159.7625 131.5875) (end 159.7625 130.6125) (width 0.1524) (layer TOP-L1) (net 2) (tstamp 517B22CF))
+  (segment (start 159.7625 130.6125) (end 158.8625 129.7125) (width 0.1524) (layer TOP-L1) (net 2) (tstamp 517B22D3))
+  (segment (start 158.8625 129.7125) (end 155.763374 129.7125) (width 0.1524) (layer TOP-L1) (net 2) (tstamp 517B22D8))
+  (segment (start 155.763374 129.7125) (end 154.5125 130.963374) (width 0.1524) (layer TOP-L1) (net 2) (tstamp 517B22DA))
+  (segment (start 159.7625 131.5875) (end 160.1625 131.9875) (width 0.1524) (layer TOP-L1) (net 2))
+  (segment (start 153.9625 117.2125) (end 153.9625 130.1125) (width 0.1524) (layer Sig1-L3) (net 2) (tstamp 517BB088))
+  (segment (start 154.3625 116.8125) (end 153.9625 117.2125) (width 0.1524) (layer Sig1-L3) (net 2) (tstamp 517BB085))
+  (segment (start 154.3625 116.3375) (end 154.3625 116.8125) (width 0.1524) (layer Sig1-L3) (net 2) (tstamp 517BB082))
+  (segment (start 154.0875 116.0625) (end 154.3625 116.3375) (width 0.1524) (layer Sig1-L3) (net 2) (tstamp 517BB07E))
+  (segment (start 154.0875 114.9625) (end 154.0875 116.0625) (width 0.1524) (layer Sig1-L3) (net 2) (tstamp 517BB07C))
+  (segment (start 188.3625 113.7875) (end 187.9625 114.1875) (width 0.1524) (layer TOP-L1) (net 2))
+  (segment (start 159.7625 109.5875) (end 160.1625 109.9875) (width 0.1524) (layer TOP-L1) (net 2))
+  (segment (start 159.7625 109.5875) (end 159.7625 108.4375) (width 0.1524) (layer TOP-L1) (net 2) (tstamp 517B2554))
+  (segment (start 159.7625 108.4375) (end 158.987402 107.662402) (width 0.1524) (layer TOP-L1) (net 2) (tstamp 517B2556))
+  (segment (start 158.987402 107.662402) (end 155.7375 107.662402) (width 0.1524) (layer TOP-L1) (net 2) (tstamp 517B255A))
+  (via (at 154.4625 114.5875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 2))
+  (segment (start 159.4375 114.5875) (end 154.4625 114.5875) (width 0.1524) (layer TOP-L1) (net 2) (tstamp 517BB06C))
+  (via (at 159.4375 114.5875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 2))
+  (segment (start 187.5625 114.5875) (end 159.4375 114.5875) (width 0.1524) (layer Sig1-L3) (net 2) (tstamp 517BB05D))
+  (segment (start 187.9625 114.1875) (end 187.5625 114.5875) (width 0.1524) (layer Sig1-L3) (net 2) (tstamp 517BB05C))
+  (via (at 187.9625 114.1875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 2))
+  (segment (start 187.9625 113.3875) (end 187.5625 113.7875) (width 0.1524) (layer Sig1-L3) (net 3))
+  (via (at 187.9625 113.3875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 3))
+  (segment (start 188.3625 112.9875) (end 187.9625 113.3875) (width 0.1524) (layer TOP-L1) (net 3))
+  (segment (start 181.7875 113.7875) (end 158.7375 113.7875) (width 0.1524) (layer Sig1-L3) (net 3) (tstamp 5192162F))
+  (segment (start 181.8875 113.8875) (end 181.7875 113.7875) (width 0.1524) (layer Sig1-L3) (net 3) (tstamp 5192162E))
+  (segment (start 183.7125 113.8875) (end 181.8875 113.8875) (width 0.1524) (layer Sig1-L3) (net 3) (tstamp 5192162D))
+  (segment (start 183.8125 113.7875) (end 183.7125 113.8875) (width 0.1524) (layer Sig1-L3) (net 3) (tstamp 5192162C))
+  (segment (start 187.5625 113.7875) (end 183.8125 113.7875) (width 0.1524) (layer Sig1-L3) (net 3) (tstamp 5192162B))
+  (segment (start 148.2375 105.5625) (end 148.3875 105.4125) (width 0.1524) (layer Sig1-L3) (net 3))
+  (segment (start 148.3875 103.8625) (end 148.4625 103.7875) (width 0.1524) (layer Sig1-L3) (net 3) (tstamp 5191BFE2))
+  (segment (start 148.3875 105.4125) (end 148.3875 103.8625) (width 0.1524) (layer Sig1-L3) (net 3) (tstamp 5191BFDD))
+  (segment (start 148.6625 104.2375) (end 148.9625 103.9375) (width 0.1524) (layer Sig2-L4) (net 3))
+  (via (at 148.4625 103.7875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 3))
+  (segment (start 160.5625 106.3875) (end 159.712598 107.237402) (width 0.1524) (layer Sig2-L4) (net 3) (tstamp 517B2C02))
+  (segment (start 159.712598 107.237402) (end 150.7125 107.237402) (width 0.1524) (layer Sig2-L4) (net 3) (tstamp 517B2C03))
+  (segment (start 160.5625 106.3875) (end 160.9625 105.9875) (width 0.1524) (layer TOP-L1) (net 3))
+  (via (at 160.5625 106.3875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 3))
+  (segment (start 148.2125 103.1625) (end 148.4625 103.4125) (width 0.1524) (layer Sig2-L4) (net 3) (tstamp 517F2CF6))
+  (segment (start 148.4625 103.4125) (end 148.4625 103.7875) (width 0.1524) (layer Sig2-L4) (net 3) (tstamp 517F2CBC))
+  (segment (start 148.7625 101.6875) (end 148.6125 101.5375) (width 0.1524) (layer Sig2-L4) (net 3) (tstamp 5191BFD3))
+  (segment (start 148.6125 101.5375) (end 147.8125 101.5375) (width 0.1524) (layer Sig2-L4) (net 3) (tstamp 517F2CEE))
+  (segment (start 147.8125 101.5375) (end 147.4125 101.9375) (width 0.1524) (layer Sig2-L4) (net 3) (tstamp 517F2CEF))
+  (segment (start 147.4125 101.9375) (end 147.4125 102.7125) (width 0.1524) (layer Sig2-L4) (net 3) (tstamp 517F2CF0))
+  (segment (start 147.4125 102.7125) (end 147.7375 103.0375) (width 0.1524) (layer Sig2-L4) (net 3) (tstamp 517F2CF1))
+  (segment (start 147.7375 103.0375) (end 148.0875 103.0375) (width 0.1524) (layer Sig2-L4) (net 3) (tstamp 517F2CF2))
+  (segment (start 148.0875 103.0375) (end 148.2125 103.1625) (width 0.1524) (layer Sig2-L4) (net 3) (tstamp 517F2CF3))
+  (segment (start 148.6875 105.5625) (end 150.3625 107.2375) (width 0.1524) (layer Sig2-L4) (net 3) (tstamp 5191BFAD))
+  (segment (start 150.3625 107.2375) (end 150.7125 107.2375) (width 0.1524) (layer Sig2-L4) (net 3) (tstamp 5191B9D2))
+  (segment (start 150.7125 107.2375) (end 150.7125 107.237402) (width 0.1524) (layer Sig2-L4) (net 3) (tstamp 5191B9D5))
+  (segment (start 148.3625 105.2375) (end 148.3625 104.8625) (width 0.1524) (layer Sig2-L4) (net 3) (tstamp 5191BFAF))
+  (segment (start 148.6875 105.5625) (end 148.3625 105.2375) (width 0.1524) (layer Sig2-L4) (net 3))
+  (segment (start 148.3625 104.5375) (end 148.6625 104.2375) (width 0.1524) (layer Sig2-L4) (net 3) (tstamp 5191BFBC))
+  (segment (start 148.3625 104.8625) (end 148.3625 104.5375) (width 0.1524) (layer Sig2-L4) (net 3))
+  (segment (start 148.9625 103.9375) (end 148.9625 101.8875) (width 0.1524) (layer Sig2-L4) (net 3) (tstamp 5191BFCB))
+  (segment (start 148.9625 101.8875) (end 148.7625 101.6875) (width 0.1524) (layer Sig2-L4) (net 3) (tstamp 5191BFCC))
+  (segment (start 156.9875 113.7875) (end 158.7375 113.7875) (width 0.1524) (layer TOP-L1) (net 3))
+  (via (at 158.7375 113.7875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 3))
+  (segment (start 148.6625 126.9125) (end 148.6625 126.6375) (width 0.1524) (layer Sig2-L4) (net 3))
+  (segment (start 148.1375 125.5625) (end 147.8375 125.2625) (width 0.1524) (layer Sig2-L4) (net 3) (tstamp 517F2F52))
+  (segment (start 148.1375 126.1125) (end 148.1375 125.5625) (width 0.1524) (layer Sig2-L4) (net 3) (tstamp 517F2F51))
+  (segment (start 148.6625 126.6375) (end 148.1375 126.1125) (width 0.1524) (layer Sig2-L4) (net 3) (tstamp 517F2F4D))
+  (segment (start 146.8625 124.8875) (end 145.9125 124.8875) (width 0.1524) (layer Sig2-L4) (net 3))
+  (segment (start 145.2625 124.2375) (end 145.2625 123.5125) (width 0.1524) (layer Sig2-L4) (net 3) (tstamp 517F2DD3))
+  (segment (start 145.9125 124.8875) (end 145.2625 124.2375) (width 0.1524) (layer Sig2-L4) (net 3) (tstamp 517F2DD0))
+  (segment (start 145.2625 122.2125) (end 146.7875 120.6875) (width 0.1524) (layer Sig2-L4) (net 3) (tstamp 517F2DB3))
+  (segment (start 145.2625 123.5125) (end 145.2625 122.2125) (width 0.1524) (layer Sig2-L4) (net 3) (tstamp 517F2DD7))
+  (segment (start 160.9625 127.9875) (end 160.3875 128.5625) (width 0.1524) (layer TOP-L1) (net 3))
+  (segment (start 147.7125 113.7875) (end 148.2125 113.7875) (width 0.1524) (layer Sig2-L4) (net 3) (tstamp 517F2DA0))
+  (segment (start 147.5125 113.9875) (end 147.7125 113.7875) (width 0.1524) (layer Sig2-L4) (net 3) (tstamp 517F2D9E))
+  (segment (start 147.5125 118.8375) (end 147.5125 113.9875) (width 0.1524) (layer Sig2-L4) (net 3) (tstamp 517F2D90))
+  (segment (start 146.9875 119.3625) (end 147.5125 118.8375) (width 0.1524) (layer Sig2-L4) (net 3) (tstamp 517F2D8C))
+  (segment (start 146.9875 120.4875) (end 146.9875 119.3625) (width 0.1524) (layer Sig2-L4) (net 3) (tstamp 517F2D8A))
+  (segment (start 146.7875 120.6875) (end 146.9875 120.4875) (width 0.1524) (layer Sig2-L4) (net 3) (tstamp 517F2DB9))
+  (segment (start 147.4625 124.8875) (end 146.8625 124.8875) (width 0.1524) (layer Sig2-L4) (net 3) (tstamp 517F2D73))
+  (segment (start 147.8375 125.2625) (end 147.4625 124.8875) (width 0.1524) (layer Sig2-L4) (net 3) (tstamp 517F2F56))
+  (segment (start 148.6625 127.3625) (end 148.6625 126.9125) (width 0.1524) (layer Sig2-L4) (net 3) (tstamp 517F2D6E))
+  (segment (start 150.437304 129.137304) (end 148.6625 127.3625) (width 0.1524) (layer Sig2-L4) (net 3) (tstamp 517F2D68))
+  (segment (start 159.812696 129.137304) (end 150.437304 129.137304) (width 0.1524) (layer Sig2-L4) (net 3) (tstamp 517F2D67))
+  (segment (start 160.3875 128.5625) (end 159.812696 129.137304) (width 0.1524) (layer Sig2-L4) (net 3) (tstamp 517F2D66))
+  (via (at 160.3875 128.5625) (size 0.4572) (layers TOP-L1 BOT-L6) (net 3))
+  (segment (start 148.2125 113.7875) (end 147.9125 113.4875) (width 0.1524) (layer Sig1-L3) (net 3))
+  (segment (start 147.9125 105.8875) (end 148.2375 105.5625) (width 0.1524) (layer Sig1-L3) (net 3) (tstamp 517B8034))
+  (segment (start 147.9125 113.4875) (end 147.9125 105.8875) (width 0.1524) (layer Sig1-L3) (net 3) (tstamp 517B8031))
+  (segment (start 156.9875 113.7875) (end 148.2125 113.7875) (width 0.1524) (layer TOP-L1) (net 3) (tstamp 517FF05F))
+  (via (at 148.2125 113.7875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 3))
+  (segment (start 161.6625 123.7125) (end 161.2375 123.7125) (width 0.1524) (layer Sig2-L4) (net 4))
+  (segment (start 162.5375 110.0125) (end 162.161626 110.0125) (width 0.1524) (layer Sig2-L4) (net 4) (tstamp 5182F3EB))
+  (segment (start 163.0375 109.1875) (end 163.3625 109.1875) (width 0.1524) (layer TOP-L1) (net 4))
+  (via (at 162.9625 109.5875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 4))
+  (segment (start 163.0375 109.1875) (end 162.9625 109.2625) (width 0.1524) (layer TOP-L1) (net 4) (tstamp 5182F463))
+  (segment (start 162.9625 109.2625) (end 162.9625 109.5875) (width 0.1524) (layer TOP-L1) (net 4) (tstamp 5182F466))
+  (segment (start 160.4125 122.8875) (end 160.9625 123.4375) (width 0.1524) (layer Sig2-L4) (net 4) (tstamp 5182F3FD))
+  (segment (start 160.4125 115.5875) (end 160.4125 122.8875) (width 0.1524) (layer Sig2-L4) (net 4) (tstamp 5182F3FA))
+  (segment (start 161.812402 114.187598) (end 160.4125 115.5875) (width 0.1524) (layer Sig2-L4) (net 4) (tstamp 5182F3F4))
+  (segment (start 161.812402 110.361724) (end 161.812402 114.187598) (width 0.1524) (layer Sig2-L4) (net 4) (tstamp 5182F3F2))
+  (segment (start 162.161626 110.0125) (end 161.812402 110.361724) (width 0.1524) (layer Sig2-L4) (net 4) (tstamp 5182F3F1))
+  (segment (start 162.9625 109.5875) (end 162.5375 110.0125) (width 0.1524) (layer Sig2-L4) (net 4) (tstamp 5182F3EA))
+  (segment (start 160.9625 123.4375) (end 161.2375 123.7125) (width 0.1524) (layer Sig2-L4) (net 4))
+  (segment (start 161.6625 123.7125) (end 161.6875 123.7375) (width 0.1524) (layer Sig2-L4) (net 4) (tstamp 5191B05C))
+  (segment (start 162.2875 124.062598) (end 162.162598 124.062598) (width 0.1524) (layer Sig2-L4) (net 4))
+  (segment (start 161.8375 123.7375) (end 161.6875 123.7375) (width 0.1524) (layer Sig2-L4) (net 4) (tstamp 5191B04D))
+  (segment (start 161.6875 123.7375) (end 161.6625 123.7375) (width 0.1524) (layer Sig2-L4) (net 4) (tstamp 5191B063))
+  (segment (start 162.162598 124.062598) (end 161.8375 123.7375) (width 0.1524) (layer Sig2-L4) (net 4) (tstamp 5191B04B))
+  (via (at 161.6625 123.7375) (size 0.4572) (layers TOP-L1 BOT-L6) (net 4))
+  (segment (start 161.6625 123.7375) (end 161.4375 123.7375) (width 0.1524) (layer TOP-L1) (net 4) (tstamp 5191B013))
+  (segment (start 161.4375 123.7375) (end 161.2125 123.9625) (width 0.1524) (layer TOP-L1) (net 4) (tstamp 5191B014))
+  (segment (start 161.6625 123.7125) (end 161.6625 123.7375) (width 0.1524) (layer Sig2-L4) (net 4) (tstamp 5191B023))
+  (segment (start 162.3125 124.062598) (end 162.2875 124.062598) (width 0.1524) (layer Sig2-L4) (net 4))
+  (segment (start 162.2875 124.062598) (end 162.2125 124.0625) (width 0.1524) (layer Sig2-L4) (net 4) (tstamp 5191B049))
+  (segment (start 161.5125 123.8125) (end 161.3625 123.8125) (width 0.1524) (layer TOP-L1) (net 4))
+  (segment (start 161.3625 123.8125) (end 161.2125 123.9625) (width 0.1524) (layer TOP-L1) (net 4) (tstamp 5182FF9D))
+  (segment (start 163.3375 131.1625) (end 163.3625 131.1875) (width 0.1524) (layer TOP-L1) (net 4) (tstamp 5183006D))
+  (segment (start 161.2125 123.9625) (end 160.8375 124.3375) (width 0.1524) (layer TOP-L1) (net 4) (tstamp 5191B01A))
+  (via (at 160.8375 124.3375) (size 0.4572) (layers TOP-L1 BOT-L6) (net 4))
+  (segment (start 160.8375 124.3375) (end 160.8375 124.7625) (width 0.1524) (layer Sig2-L4) (net 4) (tstamp 5182FFA9))
+  (segment (start 160.8375 124.7625) (end 160.8375 124.9125) (width 0.1524) (layer Sig2-L4) (net 4) (tstamp 5182FFAA))
+  (segment (start 160.8375 124.9125) (end 161.7125 125.7875) (width 0.1524) (layer Sig2-L4) (net 4) (tstamp 5182FFAC))
+  (segment (start 161.7125 125.7875) (end 162.4125 125.7875) (width 0.1524) (layer Sig2-L4) (net 4) (tstamp 5182FFB2))
+  (segment (start 162.4125 125.7875) (end 162.862598 126.237598) (width 0.1524) (layer Sig2-L4) (net 4) (tstamp 5182FFB7))
+  (segment (start 162.862598 126.237598) (end 162.862598 127.786724) (width 0.1524) (layer Sig2-L4) (net 4) (tstamp 5182FFB8))
+  (segment (start 162.862598 127.786724) (end 163.613276 128.537402) (width 0.1524) (layer Sig2-L4) (net 4) (tstamp 5182FFBA))
+  (segment (start 163.613276 128.537402) (end 167.162402 128.537402) (width 0.1524) (layer Sig2-L4) (net 4) (tstamp 5182FFBE))
+  (segment (start 167.162402 128.537402) (end 167.587598 128.962598) (width 0.1524) (layer Sig2-L4) (net 4) (tstamp 5182FFBF))
+  (segment (start 167.587598 128.962598) (end 167.587598 129.488276) (width 0.1524) (layer Sig2-L4) (net 4) (tstamp 5182FFC3))
+  (segment (start 167.587598 129.488276) (end 167.138276 129.937598) (width 0.1524) (layer Sig2-L4) (net 4) (tstamp 5182FFC4))
+  (segment (start 167.138276 129.937598) (end 165.113276 129.937598) (width 0.1524) (layer Sig2-L4) (net 4) (tstamp 5182FFC5))
+  (segment (start 165.113276 129.937598) (end 164.263374 130.7875) (width 0.1524) (layer Sig2-L4) (net 4) (tstamp 5182FFC6))
+  (segment (start 164.263374 130.7875) (end 163.8375 130.7875) (width 0.1524) (layer Sig2-L4) (net 4) (tstamp 5182FFCA))
+  (segment (start 163.8375 130.7875) (end 163.6125 130.5625) (width 0.1524) (layer Sig2-L4) (net 4) (tstamp 51830041))
+  (via (at 163.6125 130.5625) (size 0.4572) (layers TOP-L1 BOT-L6) (net 4))
+  (segment (start 163.6125 130.5625) (end 163.4125 130.5625) (width 0.1524) (layer TOP-L1) (net 4) (tstamp 51830047))
+  (segment (start 163.4125 130.5625) (end 163.3375 130.6375) (width 0.1524) (layer TOP-L1) (net 4) (tstamp 51830048))
+  (segment (start 163.3375 130.6375) (end 163.3375 131.1625) (width 0.1524) (layer TOP-L1) (net 4) (tstamp 5183004A))
+  (segment (start 175.9625 113.4275) (end 175.9625 112.8575) (width 0.1524) (layer TOP-L1) (net 4) (tstamp 51824D78))
+  (segment (start 176.5625 114.2675) (end 176.5625 114.66292) (width 0.1524) (layer TOP-L1) (net 4))
+  (segment (start 175.962402 113.6275) (end 175.9625 113.6275) (width 0.1524) (layer TOP-L1) (net 4) (tstamp 51825161))
+  (segment (start 175.962402 113.957402) (end 175.962402 113.6275) (width 0.1524) (layer TOP-L1) (net 4) (tstamp 5182515E))
+  (segment (start 176.1025 114.0975) (end 175.962402 113.957402) (width 0.1524) (layer TOP-L1) (net 4) (tstamp 5182515C))
+  (segment (start 176.3925 114.0975) (end 176.1025 114.0975) (width 0.1524) (layer TOP-L1) (net 4) (tstamp 5182515A))
+  (segment (start 176.5625 114.2675) (end 176.3925 114.0975) (width 0.1524) (layer TOP-L1) (net 4) (tstamp 51825157))
+  (segment (start 176.0925 111.8925) (end 176.0925 111.7375) (width 0.1524) (layer Sig2-L4) (net 4))
+  (segment (start 175.006626 110.9375) (end 174.7725 110.9375) (width 0.1524) (layer Sig2-L4) (net 4) (tstamp 51824E1C))
+  (segment (start 175.626626 111.5575) (end 175.006626 110.9375) (width 0.1524) (layer Sig2-L4) (net 4) (tstamp 51824E18))
+  (segment (start 175.9125 111.5575) (end 175.626626 111.5575) (width 0.1524) (layer Sig2-L4) (net 4) (tstamp 51824E14))
+  (segment (start 176.0925 111.7375) (end 175.9125 111.5575) (width 0.1524) (layer Sig2-L4) (net 4) (tstamp 51824E0F))
+  (segment (start 175.9625 112.8575) (end 175.9625 112.4775) (width 0.1524) (layer TOP-L1) (net 4))
+  (segment (start 176.0825 111.9175) (end 176.0875 111.9125) (width 0.1524) (layer TOP-L1) (net 4) (tstamp 51824DD6))
+  (segment (start 176.1725 112.0075) (end 176.0825 111.9175) (width 0.1524) (layer TOP-L1) (net 4) (tstamp 51824DC7))
+  (segment (start 176.1725 112.2675) (end 176.1725 112.0075) (width 0.1524) (layer TOP-L1) (net 4) (tstamp 51824DC3))
+  (segment (start 175.9625 112.4775) (end 176.1725 112.2675) (width 0.1524) (layer TOP-L1) (net 4) (tstamp 51824DC1))
+  (segment (start 175.9625 113.4275) (end 175.9625 113.6275) (width 0.1524) (layer TOP-L1) (net 4))
+  (segment (start 171.0875 114.9375) (end 169.3875 114.9375) (width 0.1524) (layer Sig2-L4) (net 4))
+  (segment (start 169.4875 119.1625) (end 170.5375 119.1625) (width 0.1524) (layer Sig2-L4) (net 4) (tstamp 51824C78))
+  (segment (start 168.7375 118.4125) (end 169.4875 119.1625) (width 0.1524) (layer Sig2-L4) (net 4) (tstamp 51824C76))
+  (segment (start 168.7375 115.5875) (end 168.7375 118.4125) (width 0.1524) (layer Sig2-L4) (net 4) (tstamp 51824C74))
+  (segment (start 169.3875 114.9375) (end 168.7375 115.5875) (width 0.1524) (layer Sig2-L4) (net 4) (tstamp 51824C72))
+  (segment (start 172.7875 113.6125) (end 172.7875 113.9625) (width 0.1524) (layer Sig2-L4) (net 4))
+  (segment (start 175.2125 122.3625) (end 174.2375 122.3625) (width 0.1524) (layer Sig2-L4) (net 4) (tstamp 51824C3F))
+  (segment (start 176.1875 121.3875) (end 175.2125 122.3625) (width 0.1524) (layer Sig2-L4) (net 4) (tstamp 51824C3D))
+  (segment (start 176.1875 120.0125) (end 176.1875 121.3875) (width 0.1524) (layer Sig2-L4) (net 4) (tstamp 51824C3B))
+  (segment (start 175.3375 119.1625) (end 176.1875 120.0125) (width 0.1524) (layer Sig2-L4) (net 4) (tstamp 51824C39))
+  (segment (start 170.5375 119.1625) (end 175.3375 119.1625) (width 0.1524) (layer Sig2-L4) (net 4) (tstamp 51824C7C))
+  (segment (start 171.8125 114.9375) (end 171.0875 114.9375) (width 0.1524) (layer Sig2-L4) (net 4) (tstamp 51824C2C))
+  (segment (start 172.7875 113.9625) (end 171.8125 114.9375) (width 0.1524) (layer Sig2-L4) (net 4) (tstamp 51824C2B))
+  (segment (start 162.2375 124.062598) (end 162.3125 124.062598) (width 0.1524) (layer Sig2-L4) (net 4))
+  (segment (start 162.7125 124.062598) (end 162.2375 124.062598) (width 0.1524) (layer Sig2-L4) (net 4))
+  (segment (start 163.737402 124.062598) (end 162.7125 124.062598) (width 0.1524) (layer Sig2-L4) (net 4) (tstamp 5182382B))
+  (segment (start 176.0875 111.8875) (end 176.0925 111.8925) (width 0.1524) (layer Sig2-L4) (net 4) (tstamp 51823942))
+  (segment (start 176.0875 111.9125) (end 176.0875 111.8875) (width 0.1524) (layer Sig2-L4) (net 4) (tstamp 51823941))
+  (via (at 176.0875 111.9125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 4))
+  (segment (start 164.2875 123.5125) (end 163.737402 124.062598) (width 0.1524) (layer Sig2-L4) (net 4))
+  (segment (start 164.6875 123.1125) (end 164.2875 123.5125) (width 0.1524) (layer Sig2-L4) (net 4))
+  (segment (start 174.7725 110.9375) (end 173.5625 110.9375) (width 0.1524) (layer Sig2-L4) (net 4) (tstamp 51824E20))
+  (segment (start 173.5625 110.9375) (end 172.7875 111.7125) (width 0.1524) (layer Sig2-L4) (net 4) (tstamp 51822ED7))
+  (segment (start 172.7875 111.7125) (end 172.7875 113.6125) (width 0.1524) (layer Sig2-L4) (net 4) (tstamp 51822EDA))
+  (segment (start 174.2375 122.3625) (end 165.4375 122.3625) (width 0.1524) (layer Sig2-L4) (net 4) (tstamp 51824C43))
+  (segment (start 165.4375 122.3625) (end 164.6875 123.1125) (width 0.1524) (layer Sig2-L4) (net 4) (tstamp 51822F19))
+  (segment (start 175.6625 112.8475) (end 175.6625 112.2675) (width 0.1524) (layer TOP-L1) (net 5))
+  (segment (start 175.4325 111.7875) (end 175.2125 111.5675) (width 0.1524) (layer Sig2-L4) (net 5) (tstamp 51908ABA))
+  (segment (start 175.4325 112.0375) (end 175.4325 111.7875) (width 0.1524) (layer Sig2-L4) (net 5) (tstamp 51908AB9))
+  (segment (start 175.4725 112.0775) (end 175.4325 112.0375) (width 0.1524) (layer Sig2-L4) (net 5) (tstamp 51908AB8))
+  (via (at 175.4725 112.0775) (size 0.4572) (layers TOP-L1 BOT-L6) (net 5))
+  (segment (start 175.6625 112.2675) (end 175.4725 112.0775) (width 0.1524) (layer TOP-L1) (net 5) (tstamp 51908AB6))
+  (via (at 161.5625 124.3625) (size 0.4572) (layers TOP-L1 BOT-L6) (net 5))
+  (segment (start 161.5625 124.3625) (end 161.5625 124.3875) (width 0.1524) (layer Sig2-L4) (net 5))
+  (segment (start 161.3375 124.6125) (end 161.5625 124.3875) (width 0.1524) (layer Sig2-L4) (net 5) (tstamp 5182F753))
+  (segment (start 161.3375 124.9875) (end 161.3875 125.0375) (width 0.1524) (layer Sig2-L4) (net 5) (tstamp 5182F755))
+  (segment (start 161.3375 124.6125) (end 161.3375 124.9875) (width 0.1524) (layer Sig2-L4) (net 5))
+  (segment (start 167.6125 129.8875) (end 167.8875 129.6125) (width 0.1524) (layer Sig2-L4) (net 5))
+  (segment (start 167.8875 128.8375) (end 167.4625 128.4125) (width 0.1524) (layer Sig2-L4) (net 5) (tstamp 5182FC98))
+  (segment (start 167.8875 128.9125) (end 167.8875 128.8375) (width 0.1524) (layer Sig2-L4) (net 5) (tstamp 5182FC97))
+  (segment (start 167.8875 129.6125) (end 167.8875 128.9125) (width 0.1524) (layer Sig2-L4) (net 5) (tstamp 5182FC96))
+  (segment (start 166.0375 130.2375) (end 167.2625 130.2375) (width 0.1524) (layer Sig2-L4) (net 5))
+  (segment (start 167.2875 128.2375) (end 166.9125 128.2375) (width 0.1524) (layer Sig2-L4) (net 5) (tstamp 5182FC83))
+  (segment (start 167.4625 128.4125) (end 167.2875 128.2375) (width 0.1524) (layer Sig2-L4) (net 5) (tstamp 5182FC9E))
+  (segment (start 167.2625 130.2375) (end 167.6125 129.8875) (width 0.1524) (layer Sig2-L4) (net 5) (tstamp 5182FC7E))
+  (segment (start 164.0125 128.2375) (end 163.7375 128.2375) (width 0.1524) (layer Sig2-L4) (net 5))
+  (segment (start 163.1625 127.6625) (end 163.1625 127.4375) (width 0.1524) (layer Sig2-L4) (net 5) (tstamp 5182FC4B))
+  (segment (start 163.7375 128.2375) (end 163.1625 127.6625) (width 0.1524) (layer Sig2-L4) (net 5) (tstamp 5182FC4A))
+  (segment (start 166.9125 128.2375) (end 166.8875 128.2375) (width 0.1524) (layer Sig2-L4) (net 5) (tstamp 5182FC86))
+  (segment (start 163.1625 127.1375) (end 163.1625 126.0875) (width 0.1524) (layer Sig2-L4) (net 5))
+  (segment (start 161.762063 125.412937) (end 161.762063 125.412063) (width 0.1524) (layer Sig2-L4) (net 5) (tstamp 5182FAB1))
+  (segment (start 161.836626 125.4875) (end 161.762063 125.412937) (width 0.1524) (layer Sig2-L4) (net 5) (tstamp 5182FAAF))
+  (segment (start 162.5625 125.4875) (end 161.836626 125.4875) (width 0.1524) (layer Sig2-L4) (net 5) (tstamp 5182FAAB))
+  (segment (start 163.1625 126.0875) (end 162.5625 125.4875) (width 0.1524) (layer Sig2-L4) (net 5) (tstamp 5182FAA4))
+  (segment (start 161.762063 125.412063) (end 161.3875 125.0375) (width 0.1524) (layer Sig2-L4) (net 5) (tstamp 5182FAB2))
+  (segment (start 162.5625 131.1875) (end 162.9625 131.5875) (width 0.1524) (layer TOP-L1) (net 5))
+  (via (at 162.9625 131.5875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 5))
+  (segment (start 162.9625 131.5875) (end 163.8875 131.5875) (width 0.1524) (layer Sig2-L4) (net 5) (tstamp 5182F66F))
+  (segment (start 163.8875 131.5875) (end 165.2375 130.2375) (width 0.1524) (layer Sig2-L4) (net 5) (tstamp 5182F670))
+  (segment (start 165.2375 130.2375) (end 166.0375 130.2375) (width 0.1524) (layer Sig2-L4) (net 5) (tstamp 5182F674))
+  (segment (start 166.8875 128.2375) (end 164.0125 128.2375) (width 0.1524) (layer Sig2-L4) (net 5) (tstamp 5182FC31))
+  (segment (start 163.1625 127.4375) (end 163.1625 127.1375) (width 0.1524) (layer Sig2-L4) (net 5) (tstamp 5182FC4E))
+  (segment (start 161.5625 124.3625) (end 161.4625 124.3625) (width 0.1524) (layer Sig2-L4) (net 5))
+  (segment (start 174.6725 111.237402) (end 173.686724 111.237402) (width 0.1524) (layer Sig2-L4) (net 5) (tstamp 51824E00))
+  (segment (start 173.686724 111.237402) (end 173.087402 111.836724) (width 0.1524) (layer Sig2-L4) (net 5) (tstamp 51824B1F))
+  (segment (start 173.087402 111.836724) (end 173.087402 113.3875) (width 0.1524) (layer Sig2-L4) (net 5) (tstamp 51824B20))
+  (segment (start 174.6375 122.662402) (end 165.561724 122.662402) (width 0.1524) (layer Sig2-L4) (net 5) (tstamp 51824C5A))
+  (segment (start 165.561724 122.662402) (end 163.861626 124.3625) (width 0.1524) (layer Sig2-L4) (net 5) (tstamp 51824B2F))
+  (segment (start 163.861626 124.3625) (end 161.5625 124.3625) (width 0.1524) (layer Sig2-L4) (net 5) (tstamp 51824B30))
+  (segment (start 175.6625 114.66292) (end 175.6625 112.8475) (width 0.1524) (layer TOP-L1) (net 5))
+  (segment (start 173.087402 114.086724) (end 171.936724 115.237402) (width 0.1524) (layer Sig2-L4) (net 5) (tstamp 51824C47))
+  (segment (start 171.936724 115.237402) (end 171.1125 115.237402) (width 0.1524) (layer Sig2-L4) (net 5) (tstamp 51824C48))
+  (segment (start 170.7875 118.862598) (end 175.462598 118.862598) (width 0.1524) (layer Sig2-L4) (net 5) (tstamp 51824C87))
+  (segment (start 175.462598 118.862598) (end 176.487402 119.887402) (width 0.1524) (layer Sig2-L4) (net 5) (tstamp 51824C50))
+  (segment (start 176.487402 119.887402) (end 176.487402 121.511724) (width 0.1524) (layer Sig2-L4) (net 5) (tstamp 51824C51))
+  (segment (start 176.487402 121.511724) (end 175.336626 122.6625) (width 0.1524) (layer Sig2-L4) (net 5) (tstamp 51824C52))
+  (segment (start 175.336626 122.6625) (end 174.6375 122.6625) (width 0.1524) (layer Sig2-L4) (net 5) (tstamp 51824C56))
+  (segment (start 174.6375 122.6625) (end 174.6375 122.662402) (width 0.1524) (layer Sig2-L4) (net 5) (tstamp 51824C59))
+  (segment (start 173.087402 113.3875) (end 173.087402 114.086724) (width 0.1524) (layer Sig2-L4) (net 5))
+  (segment (start 169.512598 115.237402) (end 169.037402 115.712598) (width 0.1524) (layer Sig2-L4) (net 5) (tstamp 51824C80))
+  (segment (start 169.037402 115.712598) (end 169.037402 118.288276) (width 0.1524) (layer Sig2-L4) (net 5) (tstamp 51824C81))
+  (segment (start 169.037402 118.288276) (end 169.611724 118.862598) (width 0.1524) (layer Sig2-L4) (net 5) (tstamp 51824C82))
+  (segment (start 169.611724 118.862598) (end 170.7875 118.862598) (width 0.1524) (layer Sig2-L4) (net 5) (tstamp 51824C83))
+  (segment (start 171.1125 115.237402) (end 169.512598 115.237402) (width 0.1524) (layer Sig2-L4) (net 5))
+  (segment (start 175.2125 111.5675) (end 174.882402 111.237402) (width 0.1524) (layer Sig2-L4) (net 5) (tstamp 51908ABD))
+  (segment (start 174.882402 111.237402) (end 174.6725 111.237402) (width 0.1524) (layer Sig2-L4) (net 5) (tstamp 51824DFD))
+  (segment (start 162.1625 109.5875) (end 162.5625 109.1875) (width 0.1524) (layer TOP-L1) (net 5) (tstamp 5182F3DC))
+  (via (at 162.1625 109.5875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 5))
+  (segment (start 161.5125 110.2375) (end 162.1625 109.5875) (width 0.1524) (layer Sig2-L4) (net 5) (tstamp 5182F3D1))
+  (segment (start 161.5125 114.0625) (end 161.5125 110.2375) (width 0.1524) (layer Sig2-L4) (net 5) (tstamp 5182F3CB))
+  (segment (start 160.1125 115.4625) (end 161.5125 114.0625) (width 0.1524) (layer Sig2-L4) (net 5) (tstamp 5182F3C7))
+  (segment (start 160.1125 123.0125) (end 160.1125 115.4625) (width 0.1524) (layer Sig2-L4) (net 5) (tstamp 5182F3BB))
+  (segment (start 161.4625 124.3625) (end 160.1125 123.0125) (width 0.1524) (layer Sig2-L4) (net 5) (tstamp 5182F3B7))
+  (segment (start 148.8625 131.9875) (end 148.7375 131.9875) (width 0.1524) (layer Sig2-L4) (net 6))
+  (segment (start 148.9375 133.6125) (end 149.2625 133.6125) (width 0.1524) (layer Sig2-L4) (net 6) (tstamp 5185C547))
+  (segment (start 148.3625 133.0375) (end 148.9375 133.6125) (width 0.1524) (layer Sig2-L4) (net 6) (tstamp 5185C546))
+  (segment (start 148.3625 132.3625) (end 148.3625 133.0375) (width 0.1524) (layer Sig2-L4) (net 6) (tstamp 5185C545))
+  (segment (start 148.7375 131.9875) (end 148.3625 132.3625) (width 0.1524) (layer Sig2-L4) (net 6) (tstamp 5185C544))
+  (segment (start 149.8125 131.9875) (end 148.8625 131.9875) (width 0.1524) (layer Sig2-L4) (net 6))
+  (segment (start 162.1625 133.1875) (end 162.5625 132.7875) (width 0.1524) (layer TOP-L1) (net 6) (tstamp 5185C53E))
+  (via (at 162.1625 133.1875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 6))
+  (segment (start 161.9625 133.1875) (end 162.1625 133.1875) (width 0.1524) (layer Sig2-L4) (net 6) (tstamp 5185C53C))
+  (segment (start 161.5625 132.7875) (end 161.9625 133.1875) (width 0.1524) (layer Sig2-L4) (net 6) (tstamp 5185C53B))
+  (segment (start 157.5125 132.7875) (end 161.5625 132.7875) (width 0.1524) (layer Sig2-L4) (net 6) (tstamp 5185C53A))
+  (segment (start 157.3625 132.9375) (end 157.5125 132.7875) (width 0.1524) (layer Sig2-L4) (net 6) (tstamp 5185C539))
+  (segment (start 150.3875 132.9375) (end 157.3625 132.9375) (width 0.1524) (layer Sig2-L4) (net 6) (tstamp 5185C537))
+  (segment (start 149.7125 133.6125) (end 150.3875 132.9375) (width 0.1524) (layer Sig2-L4) (net 6) (tstamp 5185C536))
+  (segment (start 149.2625 133.6125) (end 149.7125 133.6125) (width 0.1524) (layer Sig2-L4) (net 6) (tstamp 5185C54A))
+  (segment (start 152.7625 129.6375) (end 152.7625 130.1375) (width 0.1524) (layer Sig1-L3) (net 6))
+  (via (at 149.8125 131.9875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 6))
+  (segment (start 149.9375 131.8625) (end 149.8125 131.9875) (width 0.1524) (layer Sig1-L3) (net 6) (tstamp 517FEE46))
+  (segment (start 151.0375 131.8625) (end 149.9375 131.8625) (width 0.1524) (layer Sig1-L3) (net 6) (tstamp 517FEE44))
+  (segment (start 152.7625 130.1375) (end 151.0375 131.8625) (width 0.1524) (layer Sig1-L3) (net 6) (tstamp 517FEE43))
+  (segment (start 152.7625 116.1375) (end 152.7625 129.6375) (width 0.1524) (layer Sig1-L3) (net 6) (tstamp 517BAEE1))
+  (segment (start 149.4875 110.3375) (end 148.9375 110.3375) (width 0.1524) (layer TOP-L1) (net 6))
+  (segment (start 147.9875 109.3375) (end 149.2875 109.3375) (width 0.1524) (layer TOP-L1) (net 6) (tstamp 517F6C66))
+  (segment (start 147.8125 109.5125) (end 147.9875 109.3375) (width 0.1524) (layer TOP-L1) (net 6) (tstamp 517F6C65))
+  (segment (start 147.8125 109.9125) (end 147.8125 109.5125) (width 0.1524) (layer TOP-L1) (net 6) (tstamp 517F6C64))
+  (segment (start 148.0375 110.1375) (end 147.8125 109.9125) (width 0.1524) (layer TOP-L1) (net 6) (tstamp 517F6C63))
+  (segment (start 148.7375 110.1375) (end 148.0375 110.1375) (width 0.1524) (layer TOP-L1) (net 6) (tstamp 517F6C62))
+  (segment (start 148.9375 110.3375) (end 148.7375 110.1375) (width 0.1524) (layer TOP-L1) (net 6) (tstamp 517F6C61))
+  (segment (start 152.2875 111.0625) (end 152.1625 110.9375) (width 0.1524) (layer TOP-L1) (net 6))
+  (segment (start 151.6375 109.6125) (end 152.8375 110.8125) (width 0.1524) (layer TOP-L1) (net 6) (tstamp 517F6C44))
+  (segment (start 150.0375 109.6125) (end 151.6375 109.6125) (width 0.1524) (layer TOP-L1) (net 6) (tstamp 517F6C39))
+  (segment (start 149.7625 109.3375) (end 150.0375 109.6125) (width 0.1524) (layer TOP-L1) (net 6) (tstamp 517F6C38))
+  (segment (start 149.2875 109.3375) (end 149.7625 109.3375) (width 0.1524) (layer TOP-L1) (net 6) (tstamp 517F6C6A))
+  (segment (start 149.9125 110.3375) (end 149.4875 110.3375) (width 0.1524) (layer TOP-L1) (net 6) (tstamp 517F6C31))
+  (segment (start 150.1375 110.1125) (end 149.9125 110.3375) (width 0.1524) (layer TOP-L1) (net 6) (tstamp 517F6C2E))
+  (segment (start 150.5875 110.1125) (end 150.1375 110.1125) (width 0.1524) (layer TOP-L1) (net 6) (tstamp 517F6C2B))
+  (segment (start 150.7625 110.2875) (end 150.5875 110.1125) (width 0.1524) (layer TOP-L1) (net 6) (tstamp 517F6C29))
+  (segment (start 150.7625 110.6375) (end 150.7625 110.2875) (width 0.1524) (layer TOP-L1) (net 6) (tstamp 517F6C28))
+  (segment (start 150.4375 110.9625) (end 150.7625 110.6375) (width 0.1524) (layer TOP-L1) (net 6) (tstamp 517F6C27))
+  (segment (start 148.9125 110.9625) (end 150.4375 110.9625) (width 0.1524) (layer TOP-L1) (net 6) (tstamp 517F6C26))
+  (segment (start 148.4625 110.5125) (end 148.9125 110.9625) (width 0.1524) (layer TOP-L1) (net 6) (tstamp 517F6C25))
+  (segment (start 147.8625 110.5125) (end 148.4625 110.5125) (width 0.1524) (layer TOP-L1) (net 6) (tstamp 517F6C22))
+  (segment (start 147.6125 110.7625) (end 147.8625 110.5125) (width 0.1524) (layer TOP-L1) (net 6) (tstamp 517F6C21))
+  (segment (start 147.6125 111.2375) (end 147.6125 110.7625) (width 0.1524) (layer TOP-L1) (net 6) (tstamp 517F6C20))
+  (segment (start 147.8125 111.4375) (end 147.6125 111.2375) (width 0.1524) (layer TOP-L1) (net 6) (tstamp 517F6C1E))
+  (segment (start 151.0125 111.4375) (end 147.8125 111.4375) (width 0.1524) (layer TOP-L1) (net 6) (tstamp 517F6C1C))
+  (segment (start 151.5125 110.9375) (end 151.0125 111.4375) (width 0.1524) (layer TOP-L1) (net 6) (tstamp 517F6C17))
+  (segment (start 152.1625 110.9375) (end 151.5125 110.9375) (width 0.1524) (layer TOP-L1) (net 6) (tstamp 517F6C16))
+  (segment (start 153.7625 111.9375) (end 153.3375 111.9375) (width 0.1524) (layer TOP-L1) (net 6))
+  (segment (start 162.5625 110.8375) (end 161.4625 111.9375) (width 0.1524) (layer TOP-L1) (net 6) (tstamp 517B2826))
+  (segment (start 161.4625 111.9375) (end 153.7625 111.9375) (width 0.1524) (layer TOP-L1) (net 6) (tstamp 517B2829))
+  (segment (start 162.5625 110.8375) (end 162.5625 110.7875) (width 0.1524) (layer TOP-L1) (net 6))
+  (segment (start 153.3375 111.9375) (end 153.1625 111.7625) (width 0.1524) (layer TOP-L1) (net 6) (tstamp 517F69CD))
+  (segment (start 153.1625 111.7625) (end 153.1625 111.1375) (width 0.1524) (layer TOP-L1) (net 6) (tstamp 517F69CE))
+  (segment (start 153.1625 111.1375) (end 152.8375 110.8125) (width 0.1524) (layer TOP-L1) (net 6) (tstamp 517F69D0))
+  (segment (start 152.7625 115.5875) (end 152.9625 115.7875) (width 0.1524) (layer Sig1-L3) (net 6) (tstamp 517F6A1B))
+  (segment (start 152.7625 111.5375) (end 152.7625 115.5875) (width 0.1524) (layer Sig1-L3) (net 6) (tstamp 517F6A12))
+  (segment (start 152.4625 111.2375) (end 152.7625 111.5375) (width 0.1524) (layer Sig1-L3) (net 6) (tstamp 517F6A11))
+  (via (at 152.4625 111.2375) (size 0.4572) (layers TOP-L1 BOT-L6) (net 6))
+  (segment (start 152.2875 111.0625) (end 152.4625 111.2375) (width 0.1524) (layer TOP-L1) (net 6) (tstamp 517F6C14))
+  (segment (start 152.7625 116.1375) (end 152.7625 115.9875) (width 0.1524) (layer Sig1-L3) (net 6))
+  (via (at 152.9625 115.7875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 6))
+  (via (at 158.0625 115.7875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 6))
+  (segment (start 187.1625 115.7875) (end 187.5625 115.3875) (width 0.1524) (layer TOP-L1) (net 6))
+  (segment (start 186.1625 115.7875) (end 158.0625 115.7875) (width 0.1524) (layer Sig1-L3) (net 6) (tstamp 517A0926))
+  (segment (start 187.1625 115.7875) (end 186.1625 115.7875) (width 0.1524) (layer Sig1-L3) (net 6) (tstamp 517A0925))
+  (via (at 187.1625 115.7875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 6))
+  (segment (start 152.9625 115.7875) (end 158.0625 115.7875) (width 0.1524) (layer TOP-L1) (net 6))
+  (segment (start 152.7625 115.9875) (end 152.9625 115.7875) (width 0.1524) (layer Sig1-L3) (net 6) (tstamp 517BAEE3))
+  (segment (start 152.7625 116.1625) (end 152.7625 116.1375) (width 0.1524) (layer Sig1-L3) (net 6))
+  (segment (start 147.4125 105.5875) (end 147.4125 104.8125) (width 0.1524) (layer Sig1-L3) (net 7))
+  (segment (start 149.0875 102.2875) (end 150.0125 102.2875) (width 0.1524) (layer TOP-L1) (net 7) (tstamp 5191BFA2))
+  (segment (start 147.8625 103.5125) (end 149.0875 102.2875) (width 0.1524) (layer TOP-L1) (net 7) (tstamp 5191BF9E))
+  (segment (start 147.8625 104.3625) (end 147.8625 103.5125) (width 0.1524) (layer TOP-L1) (net 7) (tstamp 5191BF9D))
+  (segment (start 147.6375 104.5875) (end 147.8625 104.3625) (width 0.1524) (layer TOP-L1) (net 7) (tstamp 5191BF9C))
+  (via (at 147.6375 104.5875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 7))
+  (segment (start 147.4125 104.8125) (end 147.6375 104.5875) (width 0.1524) (layer Sig1-L3) (net 7) (tstamp 5191BF91))
+  (segment (start 147.6375 127.6625) (end 148.1375 127.1625) (width 0.1524) (layer TOP-L1) (net 7))
+  (segment (start 148.1375 125.5375) (end 147.7875 125.1875) (width 0.1524) (layer Sig1-L3) (net 7) (tstamp 5191B60B))
+  (segment (start 148.1375 126.9875) (end 148.1375 125.5375) (width 0.1524) (layer Sig1-L3) (net 7) (tstamp 5191B60A))
+  (via (at 148.1375 126.9875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 7))
+  (segment (start 148.1375 127.1625) (end 148.1375 126.9875) (width 0.1524) (layer TOP-L1) (net 7) (tstamp 5191B601))
+  (segment (start 159.9875 124.7875) (end 160.4875 124.7875) (width 0.1524) (layer TOP-L1) (net 7))
+  (segment (start 161.3625 125.6625) (end 161.3625 125.9125) (width 0.1524) (layer TOP-L1) (net 7) (tstamp 51821B5C))
+  (segment (start 160.4875 124.7875) (end 161.3625 125.6625) (width 0.1524) (layer TOP-L1) (net 7) (tstamp 51821B5B))
+  (segment (start 155.9625 124.3625) (end 156.7875 124.3625) (width 0.1524) (layer TOP-L1) (net 7))
+  (segment (start 157.2125 124.7875) (end 159.9875 124.7875) (width 0.1524) (layer TOP-L1) (net 7) (tstamp 51821B40))
+  (segment (start 156.7875 124.3625) (end 157.2125 124.7875) (width 0.1524) (layer TOP-L1) (net 7) (tstamp 51821B3F))
+  (segment (start 149.4375 124.3625) (end 148.8125 124.3625) (width 0.1524) (layer TOP-L1) (net 7))
+  (segment (start 147.4125 127.8875) (end 147.6375 127.6625) (width 0.1524) (layer TOP-L1) (net 7) (tstamp 517F2C36))
+  (segment (start 147.4125 128.2625) (end 147.4125 127.8875) (width 0.1524) (layer TOP-L1) (net 7) (tstamp 517F2C35))
+  (segment (start 147.7875 128.6375) (end 147.4125 128.2625) (width 0.1524) (layer TOP-L1) (net 7) (tstamp 517F2C34))
+  (segment (start 148.4375 128.6375) (end 147.7875 128.6375) (width 0.1524) (layer TOP-L1) (net 7) (tstamp 517F2C32))
+  (segment (start 149.0875 127.9875) (end 148.4375 128.6375) (width 0.1524) (layer TOP-L1) (net 7) (tstamp 517F2C30))
+  (segment (start 149.0875 126.7125) (end 149.0875 127.9875) (width 0.1524) (layer TOP-L1) (net 7) (tstamp 517F2C2E))
+  (segment (start 148.7875 126.4125) (end 149.0875 126.7125) (width 0.1524) (layer TOP-L1) (net 7) (tstamp 517F2C2D))
+  (segment (start 148.4375 126.4125) (end 148.7875 126.4125) (width 0.1524) (layer TOP-L1) (net 7) (tstamp 517F2C2B))
+  (segment (start 148.0625 126.0375) (end 148.4375 126.4125) (width 0.1524) (layer TOP-L1) (net 7) (tstamp 517F2C2A))
+  (segment (start 148.0625 125.1125) (end 148.0625 126.0375) (width 0.1524) (layer TOP-L1) (net 7) (tstamp 517F2C25))
+  (segment (start 148.8125 124.3625) (end 148.0625 125.1125) (width 0.1524) (layer TOP-L1) (net 7) (tstamp 517F2C23))
+  (segment (start 147.6125 124.4375) (end 147.6125 121.4375) (width 0.1524) (layer Sig1-L3) (net 7) (tstamp 517B85CF))
+  (segment (start 147.6125 121.4375) (end 146.9875 120.8125) (width 0.1524) (layer Sig1-L3) (net 7) (tstamp 517B7E3F))
+  (segment (start 146.9875 120.8125) (end 146.9875 120.3375) (width 0.1524) (layer Sig1-L3) (net 7) (tstamp 517B7E5C))
+  (segment (start 146.9875 120.3375) (end 147.5375 119.7875) (width 0.1524) (layer Sig1-L3) (net 7) (tstamp 517B7E5D))
+  (segment (start 147.7875 125.1875) (end 147.6125 125.0125) (width 0.1524) (layer Sig1-L3) (net 7) (tstamp 5191B616))
+  (segment (start 147.6125 125.0125) (end 147.6125 124.4375) (width 0.1524) (layer Sig1-L3) (net 7) (tstamp 517B85CC))
+  (segment (start 147.5375 119.7875) (end 147.2625 119.5125) (width 0.1524) (layer Sig1-L3) (net 7))
+  (segment (start 161.3625 104.7875) (end 161.3625 103.6375) (width 0.1524) (layer TOP-L1) (net 7) (tstamp 517B2C19))
+  (segment (start 161.3625 104.7875) (end 161.7625 105.1875) (width 0.1524) (layer TOP-L1) (net 7))
+  (segment (start 160.0125 102.2875) (end 150.0125 102.2875) (width 0.1524) (layer TOP-L1) (net 7) (tstamp 517B2C1E))
+  (segment (start 161.3625 103.6375) (end 160.0125 102.2875) (width 0.1524) (layer TOP-L1) (net 7) (tstamp 517B2C1B))
+  (segment (start 147.4125 106.0375) (end 147.4125 105.5875) (width 0.1524) (layer Sig1-L3) (net 7) (tstamp 517B7E93))
+  (segment (start 147.4125 117.9125) (end 147.4125 106.0375) (width 0.1524) (layer Sig1-L3) (net 7) (tstamp 517B7E91))
+  (segment (start 147.4125 118.5375) (end 147.4125 117.9125) (width 0.1524) (layer Sig1-L3) (net 7) (tstamp 517B7E8B))
+  (segment (start 147.2625 118.6875) (end 147.4125 118.5375) (width 0.1524) (layer Sig1-L3) (net 7) (tstamp 517B7E88))
+  (segment (start 147.2625 119.5125) (end 147.2625 118.6875) (width 0.1524) (layer Sig1-L3) (net 7) (tstamp 517B7E86))
+  (segment (start 161.3625 126.7875) (end 161.3625 125.9125) (width 0.1524) (layer TOP-L1) (net 7) (tstamp 517B3319))
+  (segment (start 161.7625 127.1875) (end 161.3625 126.7875) (width 0.1524) (layer TOP-L1) (net 7))
+  (segment (start 155.9625 124.3625) (end 149.4375 124.3625) (width 0.1524) (layer TOP-L1) (net 7) (tstamp 51821B3D))
+  (via (at 187.1625 119.7875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 7))
+  (segment (start 187.1625 119.7875) (end 186.2625 119.7875) (width 0.1524) (layer Sig1-L3) (net 7) (tstamp 517B36F7))
+  (segment (start 186.2625 119.7875) (end 158.0625 119.7875) (width 0.1524) (layer Sig1-L3) (net 7) (tstamp 517B36F8))
+  (segment (start 187.1625 119.7875) (end 187.5625 119.3875) (width 0.1524) (layer TOP-L1) (net 7))
+  (via (at 158.0625 119.7875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 7))
+  (segment (start 147.5375 119.7875) (end 158.0625 119.7875) (width 0.1524) (layer TOP-L1) (net 7) (tstamp 517B7E6A))
+  (via (at 147.5375 119.7875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 7))
+  (segment (start 183.5125 125.1375) (end 182.8375 125.1375) (width 0.1524) (layer Sig1-L3) (net 8))
+  (segment (start 182.2875 125.6875) (end 182.2875 126.2875) (width 0.1524) (layer Sig1-L3) (net 8) (tstamp 5181DEE2))
+  (segment (start 182.8375 125.1375) (end 182.2875 125.6875) (width 0.1524) (layer Sig1-L3) (net 8) (tstamp 5181DEE1))
+  (segment (start 182.6125 127.0375) (end 182.6125 127.1375) (width 0.1524) (layer Sig1-L3) (net 8) (tstamp 5181DECC))
+  (segment (start 182.2875 126.7125) (end 182.6125 127.0375) (width 0.1524) (layer Sig1-L3) (net 8) (tstamp 5181DECA))
+  (segment (start 182.2875 126.2875) (end 182.2875 126.7125) (width 0.1524) (layer Sig1-L3) (net 8) (tstamp 5181DEE5))
+  (segment (start 187.4625 124.6875) (end 185.8625 126.2875) (width 0.1524) (layer Sig1-L3) (net 8))
+  (segment (start 184.8625 126.2875) (end 184.3875 125.8125) (width 0.1524) (layer Sig1-L3) (net 8) (tstamp 5181DEB7))
+  (segment (start 185.8625 126.2875) (end 184.8625 126.2875) (width 0.1524) (layer Sig1-L3) (net 8) (tstamp 5181DE9F))
+  (segment (start 183.7125 125.1375) (end 183.5125 125.1375) (width 0.1524) (layer Sig1-L3) (net 8) (tstamp 5181DE8B))
+  (segment (start 184.3875 125.8125) (end 183.7125 125.1375) (width 0.1524) (layer Sig1-L3) (net 8) (tstamp 5181DEBC))
+  (segment (start 180.2375 127.2375) (end 179.1125 127.2375) (width 0.1524) (layer TOP-L1) (net 8))
+  (segment (start 176.8375 126.4375) (end 175.1125 128.1625) (width 0.1524) (layer TOP-L1) (net 8) (tstamp 5181DE72))
+  (segment (start 178.3125 126.4375) (end 176.8375 126.4375) (width 0.1524) (layer TOP-L1) (net 8) (tstamp 5181DE70))
+  (segment (start 179.1125 127.2375) (end 178.3125 126.4375) (width 0.1524) (layer TOP-L1) (net 8) (tstamp 5181DE6E))
+  (segment (start 187.9625 123.8875) (end 187.9625 124.1875) (width 0.1524) (layer Sig1-L3) (net 8))
+  (segment (start 181.4625 127.2375) (end 180.2375 127.2375) (width 0.1524) (layer TOP-L1) (net 8) (tstamp 5181DE52))
+  (segment (start 181.4875 127.2625) (end 181.4625 127.2375) (width 0.1524) (layer TOP-L1) (net 8) (tstamp 5181DE51))
+  (via (at 181.4875 127.2625) (size 0.4572) (layers TOP-L1 BOT-L6) (net 8))
+  (segment (start 181.9375 127.7125) (end 181.4875 127.2625) (width 0.1524) (layer Sig1-L3) (net 8) (tstamp 5181DE4C))
+  (segment (start 181.9375 128.3375) (end 181.9375 127.7125) (width 0.1524) (layer Sig1-L3) (net 8) (tstamp 5181DE4A))
+  (segment (start 181.5375 128.7375) (end 181.9375 128.3375) (width 0.1524) (layer Sig1-L3) (net 8) (tstamp 5181DE48))
+  (segment (start 181.5375 130.3625) (end 181.5375 128.7375) (width 0.1524) (layer Sig1-L3) (net 8) (tstamp 5181DE45))
+  (segment (start 181.7625 130.5875) (end 181.5375 130.3625) (width 0.1524) (layer Sig1-L3) (net 8) (tstamp 5181DE44))
+  (segment (start 182.2125 130.5875) (end 181.7625 130.5875) (width 0.1524) (layer Sig1-L3) (net 8) (tstamp 5181DE43))
+  (segment (start 182.6125 130.1875) (end 182.2125 130.5875) (width 0.1524) (layer Sig1-L3) (net 8) (tstamp 5181DE40))
+  (segment (start 182.6125 127.1375) (end 182.6125 130.1875) (width 0.1524) (layer Sig1-L3) (net 8) (tstamp 5181DED2))
+  (segment (start 187.9625 124.1875) (end 187.4625 124.6875) (width 0.1524) (layer Sig1-L3) (net 8) (tstamp 5181DE2C))
+  (segment (start 166.5625 127.9875) (end 166.5625 128.3125) (width 0.1524) (layer TOP-L1) (net 8))
+  (segment (start 174.7125 128.5625) (end 175.1125 128.1625) (width 0.1524) (layer TOP-L1) (net 8) (tstamp 517C9176))
+  (segment (start 166.8125 128.5625) (end 174.7125 128.5625) (width 0.1524) (layer TOP-L1) (net 8) (tstamp 517C9174))
+  (segment (start 166.5625 128.3125) (end 166.8125 128.5625) (width 0.1524) (layer TOP-L1) (net 8) (tstamp 517C9171))
+  (segment (start 187.9625 122.9875) (end 188.3625 122.5875) (width 0.1524) (layer TOP-L1) (net 8) (tstamp 517C9204))
+  (via (at 187.9625 123.8875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 8))
+  (segment (start 187.9625 123.8875) (end 187.9625 122.9875) (width 0.1524) (layer TOP-L1) (net 8) (tstamp 517C9203))
+  (segment (start 168.9225 130.1625) (end 168.0875 130.1625) (width 0.1524) (layer TOP-L1) (net 9))
+  (segment (start 167.6425 130.2775) (end 167.6425 130.2825) (width 0.1524) (layer Sig1-L3) (net 9) (tstamp 5191CA95))
+  (segment (start 167.9725 130.2775) (end 167.6425 130.2775) (width 0.1524) (layer Sig1-L3) (net 9) (tstamp 5191CA93))
+  (via (at 167.9725 130.2775) (size 0.4572) (layers TOP-L1 BOT-L6) (net 9))
+  (segment (start 168.0875 130.1625) (end 167.9725 130.2775) (width 0.1524) (layer TOP-L1) (net 9) (tstamp 5191CA8F))
+  (segment (start 190.7625 123.9125) (end 190.7625 124.2875) (width 0.1524) (layer TOP-L1) (net 9))
+  (segment (start 191.5875 125.1125) (end 191.5875 125.5375) (width 0.1524) (layer TOP-L1) (net 9) (tstamp 518379E5))
+  (segment (start 190.7625 124.2875) (end 191.5875 125.1125) (width 0.1524) (layer TOP-L1) (net 9) (tstamp 518379E4))
+  (segment (start 183.0125 131.3625) (end 182.7875 131.1375) (width 0.1524) (layer TOP-L1) (net 9))
+  (segment (start 180.6375 131.1375) (end 180.4125 131.3625) (width 0.1524) (layer TOP-L1) (net 9) (tstamp 5182F2DF))
+  (segment (start 182.7875 131.1375) (end 180.6375 131.1375) (width 0.1524) (layer TOP-L1) (net 9) (tstamp 5182F2DE))
+  (segment (start 184.2875 131.8375) (end 183.4875 131.8375) (width 0.1524) (layer TOP-L1) (net 9))
+  (segment (start 183.4875 131.8375) (end 183.0125 131.3625) (width 0.1524) (layer TOP-L1) (net 9) (tstamp 5182F2B6))
+  (segment (start 191.5875 128.7875) (end 191.5875 125.5375) (width 0.1524) (layer TOP-L1) (net 9) (tstamp 5182F2A5))
+  (segment (start 188.5375 131.8375) (end 191.5875 128.7875) (width 0.1524) (layer TOP-L1) (net 9) (tstamp 5182F2A3))
+  (segment (start 184.2875 131.8375) (end 188.5375 131.8375) (width 0.1524) (layer TOP-L1) (net 9) (tstamp 5182F2B4))
+  (segment (start 179.9375 131.8375) (end 179.7625 131.8375) (width 0.1524) (layer TOP-L1) (net 9) (tstamp 5182F2BC))
+  (segment (start 180.4125 131.3625) (end 179.9375 131.8375) (width 0.1524) (layer TOP-L1) (net 9) (tstamp 5182F2E4))
+  (segment (start 176.9375 129.9125) (end 177.0625 129.9125) (width 0.1524) (layer TOP-L1) (net 9))
+  (segment (start 178.9875 131.8375) (end 179.7625 131.8375) (width 0.1524) (layer TOP-L1) (net 9) (tstamp 5182F29D))
+  (segment (start 177.0625 129.9125) (end 178.9875 131.8375) (width 0.1524) (layer TOP-L1) (net 9) (tstamp 5182F29C))
+  (segment (start 167.6425 130.2825) (end 166.2275 130.2825) (width 0.1524) (layer Sig1-L3) (net 9) (tstamp 5191CA96))
+  (segment (start 165.7625 130.7475) (end 165.7625 131.1875) (width 0.1524) (layer TOP-L1) (net 9) (tstamp 5182F25F))
+  (segment (start 165.8225 130.6875) (end 165.7625 130.7475) (width 0.1524) (layer TOP-L1) (net 9) (tstamp 5182F25E))
+  (via (at 165.8225 130.6875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 9))
+  (segment (start 166.2275 130.2825) (end 165.8225 130.6875) (width 0.1524) (layer Sig1-L3) (net 9) (tstamp 5182F250))
+  (segment (start 168.9225 130.1625) (end 175.9625 130.1625) (width 0.1524) (layer TOP-L1) (net 9) (tstamp 5191CA8D))
+  (segment (start 176.7375 129.9125) (end 176.5625 129.9125) (width 0.1524) (layer TOP-L1) (net 9))
+  (segment (start 176.9375 129.9125) (end 176.7375 129.9125) (width 0.1524) (layer TOP-L1) (net 9) (tstamp 5182F29A))
+  (segment (start 176.5625 129.9125) (end 176.3125 130.1625) (width 0.1524) (layer TOP-L1) (net 9) (tstamp 5181E805))
+  (segment (start 176.3125 130.1625) (end 175.9625 130.1625) (width 0.1524) (layer TOP-L1) (net 9) (tstamp 5181E807))
+  (segment (start 190.7625 123.3875) (end 190.7625 123.9125) (width 0.1524) (layer TOP-L1) (net 9))
+  (segment (start 172.3625 127.5125) (end 172.3625 127.6125) (width 0.1524) (layer Sig1-L3) (net 10))
+  (segment (start 172.3625 128.6625) (end 172.3625 128.8125) (width 0.1524) (layer Sig1-L3) (net 10) (tstamp 517C79DB))
+  (segment (start 172.0375 128.3375) (end 172.3625 128.6625) (width 0.1524) (layer Sig1-L3) (net 10) (tstamp 517C79DA))
+  (segment (start 172.0375 127.9375) (end 172.0375 128.3375) (width 0.1524) (layer Sig1-L3) (net 10) (tstamp 517C79D9))
+  (segment (start 172.3625 127.6125) (end 172.0375 127.9375) (width 0.1524) (layer Sig1-L3) (net 10) (tstamp 517C79D8))
+  (segment (start 172.3625 128.8125) (end 172.3625 128.9625) (width 0.1524) (layer Sig1-L3) (net 10) (tstamp 517C79DF))
+  (segment (start 172.3625 128.9625) (end 172.3625 129.0875) (width 0.1524) (layer Sig1-L3) (net 10))
+  (segment (start 172.3625 129.8375) (end 172.3625 129.9125) (width 0.1524) (layer Sig1-L3) (net 10) (tstamp 517C73AB))
+  (segment (start 172.1375 129.6125) (end 172.3625 129.8375) (width 0.1524) (layer Sig1-L3) (net 10) (tstamp 517C73AA))
+  (segment (start 172.1375 129.3125) (end 172.1375 129.6125) (width 0.1524) (layer Sig1-L3) (net 10) (tstamp 517C73A9))
+  (segment (start 172.3625 129.0875) (end 172.1375 129.3125) (width 0.1524) (layer Sig1-L3) (net 10) (tstamp 517C73A8))
+  (segment (start 172.3625 125.4625) (end 172.3625 125.7125) (width 0.1524) (layer Sig1-L3) (net 10))
+  (segment (start 172.3625 127.1625) (end 172.3625 127.2625) (width 0.1524) (layer Sig1-L3) (net 10) (tstamp 517C7387))
+  (segment (start 172.0625 126.8625) (end 172.3625 127.1625) (width 0.1524) (layer Sig1-L3) (net 10) (tstamp 517C7384))
+  (segment (start 171.5125 126.8625) (end 172.0625 126.8625) (width 0.1524) (layer Sig1-L3) (net 10) (tstamp 517C7382))
+  (segment (start 171.3125 126.6625) (end 171.5125 126.8625) (width 0.1524) (layer Sig1-L3) (net 10) (tstamp 517C7381))
+  (segment (start 171.3125 126.3875) (end 171.3125 126.6625) (width 0.1524) (layer Sig1-L3) (net 10) (tstamp 517C7380))
+  (segment (start 171.4875 126.2125) (end 171.3125 126.3875) (width 0.1524) (layer Sig1-L3) (net 10) (tstamp 517C737F))
+  (segment (start 171.8625 126.2125) (end 171.4875 126.2125) (width 0.1524) (layer Sig1-L3) (net 10) (tstamp 517C737D))
+  (segment (start 172.3625 125.7125) (end 171.8625 126.2125) (width 0.1524) (layer Sig1-L3) (net 10) (tstamp 517C737B))
+  (segment (start 171.3875 124.0375) (end 171.3875 124.2375) (width 0.1524) (layer Sig1-L3) (net 10))
+  (segment (start 172.3625 131.3875) (end 171.8375 131.9125) (width 0.1524) (layer Sig1-L3) (net 10) (tstamp 517C7361))
+  (segment (start 172.3625 125.2125) (end 172.3625 125.4625) (width 0.1524) (layer Sig1-L3) (net 10) (tstamp 517C735B))
+  (segment (start 172.3625 127.2625) (end 172.3625 127.5125) (width 0.1524) (layer Sig1-L3) (net 10) (tstamp 517C738A))
+  (segment (start 172.3625 129.9125) (end 172.3625 131.3875) (width 0.1524) (layer Sig1-L3) (net 10) (tstamp 517C73AE))
+  (segment (start 171.3875 124.2375) (end 172.3625 125.2125) (width 0.1524) (layer Sig1-L3) (net 10) (tstamp 517C735A))
+  (segment (start 186.7625 123.3875) (end 186.1875 123.9625) (width 0.1524) (layer TOP-L1) (net 10))
+  (segment (start 168.5625 132.3875) (end 168.1625 131.9875) (width 0.1524) (layer TOP-L1) (net 10) (tstamp 517C72B4))
+  (via (at 168.5625 132.3875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 10))
+  (segment (start 171.3625 132.3875) (end 168.5625 132.3875) (width 0.1524) (layer Sig1-L3) (net 10) (tstamp 517C72A3))
+  (segment (start 171.8375 131.9125) (end 171.3625 132.3875) (width 0.1524) (layer Sig1-L3) (net 10) (tstamp 517C7366))
+  (segment (start 171.3875 123.7375) (end 171.3875 124.0375) (width 0.1524) (layer Sig1-L3) (net 10) (tstamp 517C726F))
+  (via (at 171.3875 123.7375) (size 0.4572) (layers TOP-L1 BOT-L6) (net 10))
+  (segment (start 171.3875 122.9625) (end 171.3875 123.7375) (width 0.1524) (layer TOP-L1) (net 10) (tstamp 517C7264))
+  (segment (start 171.1375 122.7125) (end 171.3875 122.9625) (width 0.1524) (layer TOP-L1) (net 10) (tstamp 517C7262))
+  (segment (start 170.9625 122.7125) (end 171.1375 122.7125) (width 0.1524) (layer TOP-L1) (net 10) (tstamp 517C725E))
+  (segment (start 170.7375 122.4875) (end 170.9625 122.7125) (width 0.1524) (layer TOP-L1) (net 10) (tstamp 517C725D))
+  (segment (start 170.7375 122.3375) (end 170.7375 122.4875) (width 0.1524) (layer TOP-L1) (net 10) (tstamp 517C725C))
+  (segment (start 170.9375 122.1375) (end 170.7375 122.3375) (width 0.1524) (layer TOP-L1) (net 10) (tstamp 517C725B))
+  (segment (start 171.3625 122.1375) (end 170.9375 122.1375) (width 0.1524) (layer TOP-L1) (net 10) (tstamp 517C7259))
+  (segment (start 171.9375 122.7125) (end 171.3625 122.1375) (width 0.1524) (layer TOP-L1) (net 10) (tstamp 517C7257))
+  (segment (start 171.9375 124.0375) (end 171.9375 122.7125) (width 0.1524) (layer TOP-L1) (net 10) (tstamp 517C7254))
+  (segment (start 172.662402 124.762402) (end 171.9375 124.0375) (width 0.1524) (layer TOP-L1) (net 10) (tstamp 517C7245))
+  (segment (start 177.287598 124.762402) (end 172.662402 124.762402) (width 0.1524) (layer TOP-L1) (net 10) (tstamp 517C7244))
+  (segment (start 177.6625 124.3875) (end 177.287598 124.762402) (width 0.1524) (layer TOP-L1) (net 10) (tstamp 517C7242))
+  (segment (start 178.9625 124.3875) (end 177.6625 124.3875) (width 0.1524) (layer TOP-L1) (net 10) (tstamp 517C723B))
+  (segment (start 179.3875 123.9625) (end 178.9625 124.3875) (width 0.1524) (layer TOP-L1) (net 10) (tstamp 517C721B))
+  (segment (start 186.1875 123.9625) (end 179.3875 123.9625) (width 0.1524) (layer TOP-L1) (net 10) (tstamp 517C7218))
+  (segment (start 167.2125 121.1875) (end 167.1125 121.1875) (width 0.1524) (layer TOP-L1) (net 11))
+  (segment (start 166.7625 121.5375) (end 166.7625 121.6375) (width 0.1524) (layer TOP-L1) (net 11) (tstamp 517C77D5))
+  (segment (start 167.1125 121.1875) (end 166.7625 121.5375) (width 0.1524) (layer TOP-L1) (net 11) (tstamp 517C77D4))
+  (segment (start 167.3375 121.1875) (end 167.2125 121.1875) (width 0.1524) (layer TOP-L1) (net 11))
+  (segment (start 167.1125 122.4375) (end 167.3125 122.4375) (width 0.1524) (layer TOP-L1) (net 11) (tstamp 517C77CC))
+  (segment (start 166.7625 122.0875) (end 167.1125 122.4375) (width 0.1524) (layer TOP-L1) (net 11) (tstamp 517C77CB))
+  (segment (start 166.7625 121.6375) (end 166.7625 122.0875) (width 0.1524) (layer TOP-L1) (net 11) (tstamp 517C77D8))
+  (segment (start 172.6125 122.5375) (end 172.6125 121.9875) (width 0.1524) (layer TOP-L1) (net 11))
+  (segment (start 170.0125 123.8375) (end 169.8375 123.8375) (width 0.1524) (layer TOP-L1) (net 11) (tstamp 517C77A8))
+  (segment (start 170.2625 123.5875) (end 170.0125 123.8375) (width 0.1524) (layer TOP-L1) (net 11) (tstamp 517C77A4))
+  (segment (start 170.2625 123.0875) (end 170.2625 123.5875) (width 0.1524) (layer TOP-L1) (net 11) (tstamp 517C77A2))
+  (segment (start 169.6125 122.4375) (end 170.2625 123.0875) (width 0.1524) (layer TOP-L1) (net 11) (tstamp 517C779D))
+  (segment (start 167.3125 122.4375) (end 169.6125 122.4375) (width 0.1524) (layer TOP-L1) (net 11) (tstamp 517C77CF))
+  (segment (start 171.8125 121.1875) (end 167.3375 121.1875) (width 0.1524) (layer TOP-L1) (net 11) (tstamp 517C7790))
+  (segment (start 172.6125 121.9875) (end 171.8125 121.1875) (width 0.1524) (layer TOP-L1) (net 11) (tstamp 517C778F))
+  (segment (start 186.7625 120.9875) (end 186.3625 121.3875) (width 0.1524) (layer TOP-L1) (net 11))
+  (segment (start 168.1375 124.9125) (end 168.0125 125.0375) (width 0.1524) (layer Sig1-L3) (net 11) (tstamp 517BB7AD))
+  (segment (start 168.1375 123.6375) (end 168.1375 124.9125) (width 0.1524) (layer Sig1-L3) (net 11) (tstamp 517BB7A8))
+  (segment (start 168.3375 123.4375) (end 168.1375 123.6375) (width 0.1524) (layer Sig1-L3) (net 11) (tstamp 517BB7A7))
+  (via (at 168.3375 123.4375) (size 0.4572) (layers TOP-L1 BOT-L6) (net 11))
+  (segment (start 168.4125 123.3625) (end 168.3375 123.4375) (width 0.1524) (layer TOP-L1) (net 11) (tstamp 517BB79D))
+  (segment (start 169.1375 123.3625) (end 168.4125 123.3625) (width 0.1524) (layer TOP-L1) (net 11) (tstamp 517BB79B))
+  (segment (start 169.6125 123.8375) (end 169.1375 123.3625) (width 0.1524) (layer TOP-L1) (net 11) (tstamp 517BB796))
+  (segment (start 169.8375 123.8375) (end 169.6125 123.8375) (width 0.1524) (layer TOP-L1) (net 11) (tstamp 517C77AB))
+  (segment (start 172.6125 123.6375) (end 172.6125 122.5375) (width 0.1524) (layer TOP-L1) (net 11) (tstamp 517BB785))
+  (segment (start 173.1125 124.1375) (end 172.6125 123.6375) (width 0.1524) (layer TOP-L1) (net 11) (tstamp 517BB784))
+  (segment (start 174.0875 124.1375) (end 173.1125 124.1375) (width 0.1524) (layer TOP-L1) (net 11) (tstamp 517BB77D))
+  (segment (start 176.8375 121.3875) (end 174.0875 124.1375) (width 0.1524) (layer TOP-L1) (net 11) (tstamp 517BB77C))
+  (segment (start 176.8875 121.3875) (end 176.8375 121.3875) (width 0.1524) (layer TOP-L1) (net 11) (tstamp 517BB773))
+  (segment (start 186.3625 121.3875) (end 176.8875 121.3875) (width 0.1524) (layer TOP-L1) (net 11) (tstamp 517BB771))
+  (segment (start 168.0125 125.0375) (end 167.9625 125.0875) (width 0.1524) (layer Sig1-L3) (net 11) (tstamp 517BB7B0))
+  (segment (start 167.7625 125.2875) (end 167.9625 125.0875) (width 0.1524) (layer Sig1-L3) (net 11) (tstamp 517665A6))
+  (segment (start 168.1625 127.1875) (end 167.7625 126.7875) (width 0.1524) (layer TOP-L1) (net 11))
+  (via (at 167.7625 126.7875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 11))
+  (segment (start 167.7625 126.7875) (end 167.7625 125.2875) (width 0.1524) (layer Sig1-L3) (net 11) (tstamp 517665A5))
+  (segment (start 174.4875 127.2875) (end 174.4875 127.4875) (width 0.1524) (layer Sig1-L3) (net 12))
+  (segment (start 175.2875 128.2875) (end 175.5625 128.2875) (width 0.1524) (layer Sig1-L3) (net 12) (tstamp 517C798C))
+  (segment (start 174.4875 127.4875) (end 175.2875 128.2875) (width 0.1524) (layer Sig1-L3) (net 12) (tstamp 517C798B))
+  (segment (start 174.4875 127.1875) (end 174.4875 127.2875) (width 0.1524) (layer Sig1-L3) (net 12))
+  (segment (start 175.5625 128.2875) (end 175.9875 128.2875) (width 0.1524) (layer Sig1-L3) (net 12) (tstamp 517C798F))
+  (segment (start 178.9625 128.4625) (end 179.0625 128.5625) (width 0.1524) (layer Sig1-L3) (net 12))
+  (segment (start 178.7875 129.4625) (end 178.6125 129.4625) (width 0.1524) (layer Sig1-L3) (net 12) (tstamp 517C7962))
+  (segment (start 179.0625 129.1875) (end 178.7875 129.4625) (width 0.1524) (layer Sig1-L3) (net 12) (tstamp 517C7960))
+  (segment (start 179.0625 128.5625) (end 179.0625 129.1875) (width 0.1524) (layer Sig1-L3) (net 12) (tstamp 517C795E))
+  (segment (start 178.5875 128.2875) (end 178.7875 128.2875) (width 0.1524) (layer Sig1-L3) (net 12))
+  (segment (start 178.7875 128.2875) (end 178.9625 128.4625) (width 0.1524) (layer Sig1-L3) (net 12) (tstamp 517C7954))
+  (segment (start 175.2125 124.9375) (end 174.4875 125.6625) (width 0.1524) (layer Sig1-L3) (net 12) (tstamp 517C7907))
+  (segment (start 174.4875 125.6625) (end 174.4875 125.8625) (width 0.1524) (layer Sig1-L3) (net 12) (tstamp 517C7908))
+  (segment (start 175.4375 124.9375) (end 175.2125 124.9375) (width 0.1524) (layer Sig1-L3) (net 12))
+  (segment (start 175.7375 124.7375) (end 175.7375 124.7875) (width 0.1524) (layer Sig1-L3) (net 12))
+  (segment (start 175.7375 124.5375) (end 175.7375 124.7375) (width 0.1524) (layer Sig1-L3) (net 12) (tstamp 517C7869))
+  (segment (start 175.5625 124.2625) (end 175.7375 124.4375) (width 0.1524) (layer Sig1-L3) (net 12) (tstamp 517C7862))
+  (segment (start 175.7375 124.4375) (end 175.7375 124.5375) (width 0.1524) (layer Sig1-L3) (net 12) (tstamp 517C7866))
+  (segment (start 175.7375 124.7875) (end 175.5875 124.9375) (width 0.1524) (layer Sig1-L3) (net 12) (tstamp 517C7874))
+  (segment (start 175.5875 124.9375) (end 175.4375 124.9375) (width 0.1524) (layer Sig1-L3) (net 12) (tstamp 517C7875))
+  (segment (start 177.7875 128.2875) (end 178.5875 128.2875) (width 0.1524) (layer Sig1-L3) (net 12))
+  (segment (start 174.9375 129.4625) (end 174.7875 129.6125) (width 0.1524) (layer Sig1-L3) (net 12) (tstamp 517C78F3))
+  (segment (start 178.6125 129.4625) (end 174.9375 129.4625) (width 0.1524) (layer Sig1-L3) (net 12) (tstamp 517C7967))
+  (segment (start 174.4875 125.8625) (end 174.4875 126.0625) (width 0.1524) (layer Sig1-L3) (net 12) (tstamp 517C790B))
+  (segment (start 174.4875 129.9125) (end 174.4875 130.0875) (width 0.1524) (layer Sig1-L3) (net 12) (tstamp 517C78D1))
+  (segment (start 174.7875 129.6125) (end 174.4875 129.9125) (width 0.1524) (layer Sig1-L3) (net 12) (tstamp 517C78F9))
+  (segment (start 175.9875 128.2875) (end 177.7875 128.2875) (width 0.1524) (layer Sig1-L3) (net 12))
+  (segment (start 174.4875 126.0625) (end 174.4875 126.5125) (width 0.1524) (layer Sig1-L3) (net 12) (tstamp 517C78E6))
+  (segment (start 175.7375 123.4375) (end 174.2625 123.4375) (width 0.1524) (layer Sig1-L3) (net 12))
+  (segment (start 174.2625 124.2625) (end 175.5625 124.2625) (width 0.1524) (layer Sig1-L3) (net 12) (tstamp 517C7861))
+  (segment (start 173.9875 123.9875) (end 174.2625 124.2625) (width 0.1524) (layer Sig1-L3) (net 12) (tstamp 517C7860))
+  (segment (start 173.9875 123.7125) (end 173.9875 123.9875) (width 0.1524) (layer Sig1-L3) (net 12) (tstamp 517C785C))
+  (segment (start 174.2625 123.4375) (end 173.9875 123.7125) (width 0.1524) (layer Sig1-L3) (net 12) (tstamp 517C785B))
+  (segment (start 175.7375 123.4125) (end 175.7375 123.4375) (width 0.1524) (layer Sig1-L3) (net 12))
+  (segment (start 185.862402 121.887598) (end 177.263276 121.887598) (width 0.1524) (layer TOP-L1) (net 12) (tstamp 517BB94A))
+  (segment (start 177.263276 121.887598) (end 177.262402 121.887598) (width 0.1524) (layer TOP-L1) (net 12) (tstamp 517BB94D))
+  (segment (start 177.262402 121.887598) (end 175.7375 123.4125) (width 0.1524) (layer TOP-L1) (net 12) (tstamp 517BB955))
+  (via (at 175.7375 123.4125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 12))
+  (segment (start 185.862402 121.887598) (end 185.9625 121.7875) (width 0.1524) (layer TOP-L1) (net 12))
+  (segment (start 174.4875 130.6625) (end 174.2125 130.9375) (width 0.1524) (layer Sig1-L3) (net 12) (tstamp 517C7849))
+  (segment (start 174.4875 126.5125) (end 174.4875 127.1875) (width 0.1524) (layer Sig1-L3) (net 12) (tstamp 517C789B))
+  (segment (start 174.4875 130.0875) (end 174.4875 130.6625) (width 0.1524) (layer Sig1-L3) (net 12) (tstamp 517C78D4))
+  (segment (start 169.7625 131.1875) (end 173.9625 131.1875) (width 0.1524) (layer TOP-L1) (net 12))
+  (via (at 173.9625 131.1875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 12))
+  (segment (start 173.9625 131.1875) (end 174.2125 130.9375) (width 0.1524) (layer Sig1-L3) (net 12) (tstamp 517BBAD6))
+  (segment (start 173.9875 126.6625) (end 174.8625 126.6625) (width 0.1524) (layer TOP-L1) (net 13))
+  (segment (start 174.8125 127.6875) (end 174.0375 127.6875) (width 0.1524) (layer TOP-L1) (net 13) (tstamp 517C7BEB))
+  (segment (start 175.0625 127.4375) (end 174.8125 127.6875) (width 0.1524) (layer TOP-L1) (net 13) (tstamp 517C7BEA))
+  (segment (start 175.0625 126.8625) (end 175.0625 127.4375) (width 0.1524) (layer TOP-L1) (net 13) (tstamp 517C7BE9))
+  (segment (start 174.8625 126.6625) (end 175.0625 126.8625) (width 0.1524) (layer TOP-L1) (net 13) (tstamp 517C7BE8))
+  (segment (start 187.5625 122.5875) (end 187.1625 122.9875) (width 0.1524) (layer TOP-L1) (net 13))
+  (segment (start 187.1625 122.9875) (end 187.1625 123.6375) (width 0.1524) (layer TOP-L1) (net 13) (tstamp 517C7B1F))
+  (segment (start 187.1625 123.6375) (end 186.4125 124.3875) (width 0.1524) (layer TOP-L1) (net 13) (tstamp 517C7B24))
+  (segment (start 186.4125 124.3875) (end 179.7625 124.3875) (width 0.1524) (layer TOP-L1) (net 13) (tstamp 517C7B51))
+  (via (at 179.7625 124.3875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 13))
+  (segment (start 179.7625 124.3875) (end 179.1375 125.0125) (width 0.1524) (layer Sig1-L3) (net 13) (tstamp 517C7B64))
+  (segment (start 179.1375 125.0125) (end 179.1375 125.6125) (width 0.1524) (layer Sig1-L3) (net 13) (tstamp 517C7B65))
+  (segment (start 179.1375 125.6125) (end 179.4625 125.9375) (width 0.1524) (layer Sig1-L3) (net 13) (tstamp 517C7B6B))
+  (via (at 179.4625 125.9375) (size 0.4572) (layers TOP-L1 BOT-L6) (net 13))
+  (segment (start 179.4625 125.9375) (end 182.0125 125.9375) (width 0.1524) (layer TOP-L1) (net 13) (tstamp 517C7B6E))
+  (segment (start 182.0125 125.9375) (end 182.2125 126.1375) (width 0.1524) (layer TOP-L1) (net 13) (tstamp 517C7B6F))
+  (segment (start 182.2125 126.1375) (end 182.2125 126.4625) (width 0.1524) (layer TOP-L1) (net 13) (tstamp 517C7B73))
+  (segment (start 182.2125 126.4625) (end 181.9625 126.7125) (width 0.1524) (layer TOP-L1) (net 13) (tstamp 517C7B96))
+  (segment (start 181.9625 126.7125) (end 179.3125 126.7125) (width 0.1524) (layer TOP-L1) (net 13) (tstamp 517C7B97))
+  (segment (start 179.3125 126.7125) (end 178.4125 125.8125) (width 0.1524) (layer TOP-L1) (net 13) (tstamp 517C7B99))
+  (segment (start 178.4125 125.8125) (end 172.0375 125.8125) (width 0.1524) (layer TOP-L1) (net 13) (tstamp 517C7B9E))
+  (segment (start 172.0375 125.8125) (end 171.7875 126.0625) (width 0.1524) (layer TOP-L1) (net 13) (tstamp 517C7BA1))
+  (segment (start 171.7875 126.0625) (end 171.7875 126.3875) (width 0.1524) (layer TOP-L1) (net 13) (tstamp 517C7BA4))
+  (segment (start 171.7875 126.3875) (end 172.0625 126.6625) (width 0.1524) (layer TOP-L1) (net 13) (tstamp 517C7BA5))
+  (segment (start 172.0625 126.6625) (end 173.9875 126.6625) (width 0.1524) (layer TOP-L1) (net 13) (tstamp 517C7BA6))
+  (segment (start 174.0375 127.6875) (end 171.9375 127.6875) (width 0.1524) (layer TOP-L1) (net 13) (tstamp 517C7BEE))
+  (segment (start 171.9375 127.6875) (end 171.4375 127.1875) (width 0.1524) (layer TOP-L1) (net 13) (tstamp 517C7BB7))
+  (segment (start 171.4375 127.1875) (end 169.7625 127.1875) (width 0.1524) (layer TOP-L1) (net 13) (tstamp 517C7BBB))
+  (segment (start 172.7525 130.2975) (end 172.7525 130.5975) (width 0.1524) (layer Sig1-L3) (net 14))
+  (segment (start 173.1525 131.7075) (end 172.9825 131.8775) (width 0.1524) (layer Sig1-L3) (net 14) (tstamp 517C767F))
+  (segment (start 173.1525 130.9975) (end 173.1525 131.7075) (width 0.1524) (layer Sig1-L3) (net 14) (tstamp 517C767D))
+  (segment (start 172.7525 130.5975) (end 173.1525 130.9975) (width 0.1524) (layer Sig1-L3) (net 14) (tstamp 517C7679))
+  (segment (start 172.3725 132.3875) (end 172.2375 132.3875) (width 0.1524) (layer Sig1-L3) (net 14) (tstamp 517C7645))
+  (segment (start 172.4725 132.3875) (end 172.3725 132.3875) (width 0.1524) (layer Sig1-L3) (net 14) (tstamp 517C7642))
+  (segment (start 172.9825 131.8775) (end 172.4725 132.3875) (width 0.1524) (layer Sig1-L3) (net 14) (tstamp 517C7682))
+  (segment (start 173.4625 129.4975) (end 173.4625 129.6075) (width 0.1524) (layer Sig1-L3) (net 14))
+  (segment (start 172.7525 130.1375) (end 172.7525 130.2975) (width 0.1524) (layer Sig1-L3) (net 14) (tstamp 517C7625))
+  (segment (start 172.9025 129.9875) (end 172.7525 130.1375) (width 0.1524) (layer Sig1-L3) (net 14) (tstamp 517C7624))
+  (segment (start 173.0825 129.9875) (end 172.9025 129.9875) (width 0.1524) (layer Sig1-L3) (net 14) (tstamp 517C7622))
+  (segment (start 173.4625 129.6075) (end 173.0825 129.9875) (width 0.1524) (layer Sig1-L3) (net 14) (tstamp 517C7621))
+  (segment (start 172.5875 126.1625) (end 172.4625 126.1625) (width 0.1524) (layer Sig1-L3) (net 14))
+  (segment (start 172.2875 126.3375) (end 172.2875 126.3625) (width 0.1524) (layer Sig1-L3) (net 14) (tstamp 517C75F7))
+  (segment (start 172.4625 126.1625) (end 172.2875 126.3375) (width 0.1524) (layer Sig1-L3) (net 14) (tstamp 517C75F6))
+  (segment (start 172.2875 126.3625) (end 172.2875 126.4625) (width 0.1524) (layer Sig1-L3) (net 14) (tstamp 517C75FA))
+  (segment (start 172.2875 126.4625) (end 172.4625 126.6375) (width 0.1524) (layer Sig1-L3) (net 14) (tstamp 517C7534))
+  (segment (start 172.7125 125.3375) (end 172.7125 125.4375) (width 0.1524) (layer Sig1-L3) (net 14))
+  (segment (start 173.2125 126.1625) (end 172.5875 126.1625) (width 0.1524) (layer Sig1-L3) (net 14) (tstamp 517C75D1))
+  (segment (start 173.3625 126.0125) (end 173.2125 126.1625) (width 0.1524) (layer Sig1-L3) (net 14) (tstamp 517C75D0))
+  (segment (start 173.3625 125.8875) (end 173.3625 126.0125) (width 0.1524) (layer Sig1-L3) (net 14) (tstamp 517C75CF))
+  (segment (start 173.1625 125.6875) (end 173.3625 125.8875) (width 0.1524) (layer Sig1-L3) (net 14) (tstamp 517C75CE))
+  (segment (start 172.9625 125.6875) (end 173.1625 125.6875) (width 0.1524) (layer Sig1-L3) (net 14) (tstamp 517C75CD))
+  (segment (start 172.7125 125.4375) (end 172.9625 125.6875) (width 0.1524) (layer Sig1-L3) (net 14) (tstamp 517C75CC))
+  (segment (start 172.8625 127.7625) (end 172.9625 127.8625) (width 0.1524) (layer Sig1-L3) (net 14))
+  (segment (start 173.4625 129.2625) (end 173.4625 129.3875) (width 0.1524) (layer Sig1-L3) (net 14) (tstamp 517C7589))
+  (segment (start 173.2375 129.0375) (end 173.4625 129.2625) (width 0.1524) (layer Sig1-L3) (net 14) (tstamp 517C7588))
+  (segment (start 173.0125 129.0375) (end 173.2375 129.0375) (width 0.1524) (layer Sig1-L3) (net 14) (tstamp 517C7586))
+  (segment (start 172.7125 128.7375) (end 173.0125 129.0375) (width 0.1524) (layer Sig1-L3) (net 14) (tstamp 517C7585))
+  (segment (start 172.7125 128.5375) (end 172.7125 128.7375) (width 0.1524) (layer Sig1-L3) (net 14) (tstamp 517C7584))
+  (segment (start 172.9125 128.3375) (end 172.7125 128.5375) (width 0.1524) (layer Sig1-L3) (net 14) (tstamp 517C7583))
+  (segment (start 173.2875 128.3375) (end 172.9125 128.3375) (width 0.1524) (layer Sig1-L3) (net 14) (tstamp 517C7582))
+  (segment (start 173.4625 128.1625) (end 173.2875 128.3375) (width 0.1524) (layer Sig1-L3) (net 14) (tstamp 517C7581))
+  (segment (start 173.4625 127.9875) (end 173.4625 128.1625) (width 0.1524) (layer Sig1-L3) (net 14) (tstamp 517C757E))
+  (segment (start 173.3375 127.8625) (end 173.4625 127.9875) (width 0.1524) (layer Sig1-L3) (net 14) (tstamp 517C757B))
+  (segment (start 173.2375 127.8625) (end 173.3375 127.8625) (width 0.1524) (layer Sig1-L3) (net 14) (tstamp 517C757A))
+  (segment (start 172.9625 127.8625) (end 173.2375 127.8625) (width 0.1524) (layer Sig1-L3) (net 14) (tstamp 517C7578))
+  (segment (start 172.5625 126.6375) (end 173.0875 126.6375) (width 0.1524) (layer Sig1-L3) (net 14))
+  (segment (start 172.4625 126.6375) (end 172.5625 126.6375) (width 0.1524) (layer Sig1-L3) (net 14) (tstamp 517C7537))
+  (segment (start 172.7375 127.6375) (end 172.8625 127.7625) (width 0.1524) (layer Sig1-L3) (net 14) (tstamp 517C755F))
+  (segment (start 172.7375 127.3875) (end 172.7375 127.6375) (width 0.1524) (layer Sig1-L3) (net 14) (tstamp 517C755E))
+  (segment (start 172.8875 127.2375) (end 172.7375 127.3875) (width 0.1524) (layer Sig1-L3) (net 14) (tstamp 517C755D))
+  (segment (start 173.0375 127.2375) (end 172.8875 127.2375) (width 0.1524) (layer Sig1-L3) (net 14) (tstamp 517C755C))
+  (segment (start 173.2375 127.0375) (end 173.0375 127.2375) (width 0.1524) (layer Sig1-L3) (net 14) (tstamp 517C755B))
+  (segment (start 173.2375 126.7875) (end 173.2375 127.0375) (width 0.1524) (layer Sig1-L3) (net 14) (tstamp 517C7557))
+  (segment (start 173.0875 126.6375) (end 173.2375 126.7875) (width 0.1524) (layer Sig1-L3) (net 14) (tstamp 517C7554))
+  (segment (start 173.4625 124.6375) (end 173.4625 124.6875) (width 0.1524) (layer Sig1-L3) (net 14))
+  (segment (start 172.7125 125.1625) (end 172.7125 125.3375) (width 0.1524) (layer Sig1-L3) (net 14) (tstamp 517C752F))
+  (segment (start 172.9125 124.9625) (end 172.7125 125.1625) (width 0.1524) (layer Sig1-L3) (net 14) (tstamp 517C752E))
+  (segment (start 173.1875 124.9625) (end 172.9125 124.9625) (width 0.1524) (layer Sig1-L3) (net 14) (tstamp 517C752D))
+  (segment (start 173.4625 124.6875) (end 173.1875 124.9625) (width 0.1524) (layer Sig1-L3) (net 14) (tstamp 517C752C))
+  (segment (start 173.5125 123.3875) (end 172.2375 123.3875) (width 0.1524) (layer Sig1-L3) (net 14))
+  (segment (start 173.4625 124.4875) (end 173.4625 124.6375) (width 0.1524) (layer Sig1-L3) (net 14) (tstamp 517C751D))
+  (segment (start 173.2125 124.2375) (end 173.4625 124.4875) (width 0.1524) (layer Sig1-L3) (net 14) (tstamp 517C7517))
+  (segment (start 172.1875 124.2375) (end 173.2125 124.2375) (width 0.1524) (layer Sig1-L3) (net 14) (tstamp 517C7514))
+  (segment (start 171.9375 123.9875) (end 172.1875 124.2375) (width 0.1524) (layer Sig1-L3) (net 14) (tstamp 517C7513))
+  (segment (start 171.9375 123.6875) (end 171.9375 123.9875) (width 0.1524) (layer Sig1-L3) (net 14) (tstamp 517C7511))
+  (segment (start 172.2375 123.3875) (end 171.9375 123.6875) (width 0.1524) (layer Sig1-L3) (net 14) (tstamp 517C74FF))
+  (segment (start 172.2375 132.3875) (end 171.7125 132.3875) (width 0.1524) (layer TOP-L1) (net 14) (tstamp 517C74C3))
+  (segment (start 169.3625 132.3875) (end 171.7125 132.3875) (width 0.1524) (layer TOP-L1) (net 14) (tstamp 517BB687))
+  (segment (start 168.9625 131.9875) (end 169.3625 132.3875) (width 0.1524) (layer TOP-L1) (net 14))
+  (via (at 172.2375 132.3875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 14))
+  (segment (start 173.4625 129.3875) (end 173.4625 129.4975) (width 0.1524) (layer Sig1-L3) (net 14) (tstamp 517C758D))
+  (segment (start 186.7375 120.1875) (end 186.3375 120.5875) (width 0.1524) (layer TOP-L1) (net 14) (tstamp 517BB604))
+  (segment (start 186.3375 120.5875) (end 176.3125 120.5875) (width 0.1524) (layer TOP-L1) (net 14) (tstamp 517BB607))
+  (segment (start 176.3125 120.5875) (end 173.5125 123.3875) (width 0.1524) (layer TOP-L1) (net 14) (tstamp 517BB608))
+  (via (at 173.5125 123.3875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 14))
+  (segment (start 186.7625 120.1875) (end 186.7375 120.1875) (width 0.1524) (layer TOP-L1) (net 14))
+  (segment (start 170.8625 124.3375) (end 170.8625 123.9625) (width 0.1524) (layer Sig1-L3) (net 15))
+  (segment (start 170.6375 122.9375) (end 170.5125 122.8125) (width 0.1524) (layer TOP-L1) (net 15) (tstamp 5191B5AD))
+  (segment (start 170.6375 123.7375) (end 170.6375 122.9375) (width 0.1524) (layer TOP-L1) (net 15) (tstamp 5191B5AC))
+  (segment (start 170.6875 123.7875) (end 170.6375 123.7375) (width 0.1524) (layer TOP-L1) (net 15) (tstamp 5191B5AB))
+  (via (at 170.6875 123.7875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 15))
+  (segment (start 170.8625 123.9625) (end 170.6875 123.7875) (width 0.1524) (layer Sig1-L3) (net 15) (tstamp 5191B5A7))
+  (segment (start 170.8625 124.3375) (end 170.8625 126.6125) (width 0.1524) (layer Sig1-L3) (net 15) (tstamp 5191B5A5))
+  (segment (start 170.4875 122.0625) (end 170.3875 122.1625) (width 0.1524) (layer TOP-L1) (net 15))
+  (segment (start 170.3875 122.6875) (end 170.4875 122.7875) (width 0.1524) (layer TOP-L1) (net 15) (tstamp 517C71FF))
+  (segment (start 170.3875 122.1625) (end 170.3875 122.6875) (width 0.1524) (layer TOP-L1) (net 15) (tstamp 517C71FE))
+  (segment (start 170.8625 121.8125) (end 170.7375 121.8125) (width 0.1524) (layer TOP-L1) (net 15))
+  (segment (start 170.7375 121.8125) (end 170.4875 122.0625) (width 0.1524) (layer TOP-L1) (net 15) (tstamp 517C71E6))
+  (segment (start 179.3625 123.3875) (end 178.7375 124.0125) (width 0.1524) (layer TOP-L1) (net 15) (tstamp 517C70E1))
+  (segment (start 178.7375 124.0125) (end 177.4875 124.0125) (width 0.1524) (layer TOP-L1) (net 15) (tstamp 517C70EB))
+  (segment (start 177.4875 124.0125) (end 177.0375 124.4625) (width 0.1524) (layer TOP-L1) (net 15) (tstamp 517C70EE))
+  (segment (start 177.0375 124.4625) (end 172.9125 124.4625) (width 0.1524) (layer TOP-L1) (net 15) (tstamp 517C70EF))
+  (segment (start 172.9125 124.4625) (end 172.2375 123.7875) (width 0.1524) (layer TOP-L1) (net 15) (tstamp 517C70F2))
+  (segment (start 172.2375 123.7875) (end 172.2375 122.5125) (width 0.1524) (layer TOP-L1) (net 15) (tstamp 517C70FA))
+  (segment (start 172.2375 122.5125) (end 171.5375 121.8125) (width 0.1524) (layer TOP-L1) (net 15) (tstamp 517C70FE))
+  (segment (start 171.5375 121.8125) (end 170.8625 121.8125) (width 0.1524) (layer TOP-L1) (net 15) (tstamp 517C7100))
+  (segment (start 170.4875 122.7875) (end 170.5125 122.8125) (width 0.1524) (layer TOP-L1) (net 15) (tstamp 517C7203))
+  (segment (start 179.3625 123.3875) (end 185.9625 123.3875) (width 0.1524) (layer TOP-L1) (net 15))
+  (segment (start 169.7625 127.9875) (end 170.2875 127.9875) (width 0.1524) (layer TOP-L1) (net 15))
+  (segment (start 170.8625 127.4625) (end 170.8625 126.6125) (width 0.1524) (layer Sig1-L3) (net 15) (tstamp 517C71C4))
+  (segment (start 171.1125 127.7125) (end 170.8625 127.4625) (width 0.1524) (layer Sig1-L3) (net 15) (tstamp 517C71C3))
+  (segment (start 171.1125 129.0125) (end 171.1125 127.7125) (width 0.1524) (layer Sig1-L3) (net 15) (tstamp 517C71C0))
+  (segment (start 171.6375 129.5375) (end 171.1125 129.0125) (width 0.1524) (layer Sig1-L3) (net 15) (tstamp 517C71BD))
+  (segment (start 171.6375 130.6875) (end 171.6375 129.5375) (width 0.1524) (layer Sig1-L3) (net 15) (tstamp 517C71BC))
+  (segment (start 171.3375 130.9875) (end 171.6375 130.6875) (width 0.1524) (layer Sig1-L3) (net 15) (tstamp 517C71BB))
+  (segment (start 171.0625 130.9875) (end 171.3375 130.9875) (width 0.1524) (layer Sig1-L3) (net 15) (tstamp 517C71BA))
+  (segment (start 170.7625 130.6875) (end 171.0625 130.9875) (width 0.1524) (layer Sig1-L3) (net 15) (tstamp 517C71B9))
+  (segment (start 170.7625 129.7625) (end 170.7625 130.6875) (width 0.1524) (layer Sig1-L3) (net 15) (tstamp 517C71B7))
+  (segment (start 170.4625 129.4625) (end 170.7625 129.7625) (width 0.1524) (layer Sig1-L3) (net 15) (tstamp 517C7192))
+  (segment (start 168.7875 129.4625) (end 170.4625 129.4625) (width 0.1524) (layer Sig1-L3) (net 15) (tstamp 517C7191))
+  (segment (start 168.5375 129.2125) (end 168.7875 129.4625) (width 0.1524) (layer Sig1-L3) (net 15) (tstamp 517C718E))
+  (segment (start 168.5375 128.9625) (end 168.5375 129.2125) (width 0.1524) (layer Sig1-L3) (net 15) (tstamp 517C718D))
+  (segment (start 168.7875 128.7125) (end 168.5375 128.9625) (width 0.1524) (layer Sig1-L3) (net 15) (tstamp 517C718C))
+  (segment (start 170.2125 128.7125) (end 168.7875 128.7125) (width 0.1524) (layer Sig1-L3) (net 15) (tstamp 517C7187))
+  (segment (start 170.4875 128.4375) (end 170.2125 128.7125) (width 0.1524) (layer Sig1-L3) (net 15) (tstamp 517C7186))
+  (segment (start 170.4875 128.1875) (end 170.4875 128.4375) (width 0.1524) (layer Sig1-L3) (net 15) (tstamp 517C7182))
+  (segment (start 170.2875 127.9875) (end 170.4875 128.1875) (width 0.1524) (layer Sig1-L3) (net 15) (tstamp 517C7181))
+  (via (at 170.2875 127.9875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 15))
+  (segment (start 182.9625 106.1875) (end 182.9625 106.0625) (width 0.1524) (layer Sig1-L3) (net 16))
+  (segment (start 182.5125 105.6125) (end 182.3625 105.6125) (width 0.1524) (layer Sig1-L3) (net 16) (tstamp 517E2469))
+  (segment (start 182.9625 106.0625) (end 182.5125 105.6125) (width 0.1524) (layer Sig1-L3) (net 16) (tstamp 517E2468))
+  (segment (start 182.7875 106.7375) (end 182.7875 106.4875) (width 0.1524) (layer Sig1-L3) (net 16) (tstamp 517E2442))
+  (segment (start 182.7875 106.4875) (end 182.9625 106.3125) (width 0.1524) (layer Sig1-L3) (net 16) (tstamp 517E2443))
+  (segment (start 182.9625 106.3125) (end 182.9625 106.1875) (width 0.1524) (layer Sig1-L3) (net 16) (tstamp 517E2444))
+  (segment (start 182.9625 106.9125) (end 182.7875 106.7375) (width 0.1524) (layer Sig1-L3) (net 16))
+  (segment (start 182.9625 108.6625) (end 182.9625 108.4625) (width 0.1524) (layer Sig1-L3) (net 16))
+  (segment (start 182.9625 107.3875) (end 182.9625 107.2125) (width 0.1524) (layer Sig1-L3) (net 16) (tstamp 517E2432))
+  (segment (start 182.8625 107.4875) (end 182.9625 107.3875) (width 0.1524) (layer Sig1-L3) (net 16) (tstamp 517E2430))
+  (segment (start 182.1125 107.4875) (end 182.8625 107.4875) (width 0.1524) (layer Sig1-L3) (net 16) (tstamp 517E242F))
+  (segment (start 181.9125 107.6875) (end 182.1125 107.4875) (width 0.1524) (layer Sig1-L3) (net 16) (tstamp 517E242E))
+  (segment (start 181.9125 107.9625) (end 181.9125 107.6875) (width 0.1524) (layer Sig1-L3) (net 16) (tstamp 517E242D))
+  (segment (start 182.1375 108.1875) (end 181.9125 107.9625) (width 0.1524) (layer Sig1-L3) (net 16) (tstamp 517E242C))
+  (segment (start 182.6875 108.1875) (end 182.1375 108.1875) (width 0.1524) (layer Sig1-L3) (net 16) (tstamp 517E242B))
+  (segment (start 182.9625 108.4625) (end 182.6875 108.1875) (width 0.1524) (layer Sig1-L3) (net 16) (tstamp 517E242A))
+  (segment (start 182.2625 109.2375) (end 182.4375 109.0625) (width 0.1524) (layer Sig1-L3) (net 16))
+  (segment (start 180.2375 103.9875) (end 179.8125 103.9875) (width 0.1524) (layer Sig1-L3) (net 16) (tstamp 517E241D))
+  (segment (start 181.8625 105.6125) (end 180.2375 103.9875) (width 0.1524) (layer Sig1-L3) (net 16) (tstamp 517E2419))
+  (segment (start 182.3625 105.6125) (end 181.8625 105.6125) (width 0.1524) (layer Sig1-L3) (net 16) (tstamp 517E246D))
+  (segment (start 182.9625 108.8625) (end 182.9625 108.6625) (width 0.1524) (layer Sig1-L3) (net 16) (tstamp 517E2410))
+  (segment (start 182.9625 107.2125) (end 182.9625 106.9125) (width 0.1524) (layer Sig1-L3) (net 16) (tstamp 517E2435))
+  (segment (start 182.7625 109.0625) (end 182.9625 108.8625) (width 0.1524) (layer Sig1-L3) (net 16) (tstamp 517E240F))
+  (segment (start 182.4375 109.0625) (end 182.7625 109.0625) (width 0.1524) (layer Sig1-L3) (net 16) (tstamp 517E2407))
+  (segment (start 185.9625 115.3875) (end 183.9125 115.3875) (width 0.1524) (layer TOP-L1) (net 16))
+  (segment (start 167.7375 107.1625) (end 166.5625 105.9875) (width 0.1524) (layer TOP-L1) (net 16) (tstamp 517E23AE))
+  (segment (start 175.2875 107.1625) (end 167.7375 107.1625) (width 0.1524) (layer TOP-L1) (net 16) (tstamp 517E23AD))
+  (segment (start 175.7125 106.7375) (end 175.2875 107.1625) (width 0.1524) (layer TOP-L1) (net 16) (tstamp 517E23AC))
+  (via (at 175.7125 106.7375) (size 0.4572) (layers TOP-L1 BOT-L6) (net 16))
+  (segment (start 176.3625 106.0875) (end 175.7125 106.7375) (width 0.1524) (layer Sig1-L3) (net 16) (tstamp 517E23A3))
+  (segment (start 176.3625 104.7375) (end 176.3625 106.0875) (width 0.1524) (layer Sig1-L3) (net 16) (tstamp 517E23A1))
+  (segment (start 177.1125 103.9875) (end 176.3625 104.7375) (width 0.1524) (layer Sig1-L3) (net 16) (tstamp 517E239F))
+  (segment (start 179.8125 103.9875) (end 177.1125 103.9875) (width 0.1524) (layer Sig1-L3) (net 16) (tstamp 517E2420))
+  (segment (start 181.2375 110.2625) (end 182.2625 109.2375) (width 0.1524) (layer Sig1-L3) (net 16) (tstamp 517E238F))
+  (segment (start 181.2375 112.1625) (end 181.2375 110.2625) (width 0.1524) (layer Sig1-L3) (net 16) (tstamp 517E238E))
+  (segment (start 181.6125 112.5375) (end 181.2375 112.1625) (width 0.1524) (layer Sig1-L3) (net 16) (tstamp 517E238D))
+  (via (at 181.6125 112.5375) (size 0.4572) (layers TOP-L1 BOT-L6) (net 16))
+  (segment (start 181.6125 113.0875) (end 181.6125 112.5375) (width 0.1524) (layer TOP-L1) (net 16) (tstamp 517E2382))
+  (segment (start 183.9125 115.3875) (end 181.6125 113.0875) (width 0.1524) (layer TOP-L1) (net 16) (tstamp 517E237E))
+  (segment (start 179.1625 106.7625) (end 179.1625 106.4125) (width 0.1524) (layer Sig1-L3) (net 17))
+  (segment (start 177.9375 106.3875) (end 177.9375 106.6375) (width 0.1524) (layer Sig1-L3) (net 17) (tstamp 5185CD73))
+  (segment (start 178.1125 106.2125) (end 177.9375 106.3875) (width 0.1524) (layer Sig1-L3) (net 17) (tstamp 5185CD71))
+  (segment (start 178.9625 106.2125) (end 178.1125 106.2125) (width 0.1524) (layer Sig1-L3) (net 17) (tstamp 5185CD6F))
+  (segment (start 179.1625 106.4125) (end 178.9625 106.2125) (width 0.1524) (layer Sig1-L3) (net 17) (tstamp 5185CD6E))
+  (segment (start 179.1625 106.8625) (end 179.1625 106.7625) (width 0.1524) (layer Sig1-L3) (net 17))
+  (segment (start 177.9375 106.6375) (end 177.9375 106.7125) (width 0.1524) (layer Sig1-L3) (net 17) (tstamp 5185CD76))
+  (segment (start 179.1625 106.9375) (end 179.1625 106.8625) (width 0.1524) (layer Sig1-L3) (net 17))
+  (segment (start 177.9375 106.7125) (end 177.9375 106.9125) (width 0.1524) (layer Sig1-L3) (net 17) (tstamp 5185CD68))
+  (segment (start 171.7625 110.7875) (end 168.9375 110.7875) (width 0.1524) (layer Sig1-L3) (net 17))
+  (segment (start 166.1625 109.5875) (end 165.7625 109.1875) (width 0.1524) (layer TOP-L1) (net 17) (tstamp 517DE0B2))
+  (via (at 166.1625 109.5875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 17))
+  (segment (start 174.4125 110.7875) (end 177.9375 107.2625) (width 0.1524) (layer Sig1-L3) (net 17) (tstamp 5182232C))
+  (segment (start 177.9375 107.2625) (end 177.9375 106.9625) (width 0.1524) (layer Sig1-L3) (net 17) (tstamp 5182233B))
+  (segment (start 171.7625 110.7875) (end 174.4125 110.7875) (width 0.1524) (layer Sig1-L3) (net 17) (tstamp 5185CD23))
+  (segment (start 177.9375 106.9625) (end 177.9375 106.9125) (width 0.1524) (layer Sig1-L3) (net 17))
+  (segment (start 168.9375 110.7875) (end 168.1375 109.9875) (width 0.1524) (layer Sig1-L3) (net 17) (tstamp 5185CD25))
+  (segment (start 168.1375 109.9875) (end 166.5625 109.9875) (width 0.1524) (layer Sig1-L3) (net 17) (tstamp 5185CD29))
+  (segment (start 166.5625 109.9875) (end 166.1625 109.5875) (width 0.1524) (layer Sig1-L3) (net 17) (tstamp 5185CD2D))
+  (segment (start 179.1625 106.9375) (end 179.1625 106.8875) (width 0.1524) (layer Sig1-L3) (net 17) (tstamp 5185CD54))
+  (segment (start 179.1625 106.8875) (end 179.1625 107.1125) (width 0.1524) (layer Sig1-L3) (net 17) (tstamp 518223A9))
+  (segment (start 179.1625 107.9625) (end 179.1625 107.1125) (width 0.1524) (layer Sig1-L3) (net 17))
+  (segment (start 186.7625 117.7875) (end 186.3625 118.1875) (width 0.1524) (layer TOP-L1) (net 17))
+  (segment (start 179.1625 109.4625) (end 179.1625 108.0375) (width 0.1524) (layer Sig1-L3) (net 17) (tstamp 517DE061))
+  (segment (start 178.8125 109.8125) (end 179.1625 109.4625) (width 0.1524) (layer Sig1-L3) (net 17) (tstamp 517DE060))
+  (via (at 178.8125 109.8125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 17))
+  (segment (start 178.3125 110.3125) (end 178.8125 109.8125) (width 0.1524) (layer TOP-L1) (net 17) (tstamp 517DE05D))
+  (segment (start 178.3125 114.1125) (end 178.3125 110.3125) (width 0.1524) (layer TOP-L1) (net 17) (tstamp 517DE053))
+  (segment (start 186.3625 118.1875) (end 183.0875 118.1875) (width 0.1524) (layer TOP-L1) (net 17) (tstamp 517DE049))
+  (segment (start 179.1625 108.0375) (end 179.1625 107.9625) (width 0.1524) (layer Sig1-L3) (net 17))
+  (segment (start 182.1125 117.9125) (end 178.3125 114.1125) (width 0.1524) (layer TOP-L1) (net 17) (tstamp 517DE1E1))
+  (segment (start 182.3875 118.1875) (end 182.1125 117.9125) (width 0.1524) (layer TOP-L1) (net 17) (tstamp 517DE1DE))
+  (segment (start 183.0875 118.1875) (end 182.3875 118.1875) (width 0.1524) (layer TOP-L1) (net 17))
+  (segment (start 182.1125 104.8875) (end 182.1125 104.4125) (width 0.1524) (layer TOP-L1) (net 18))
+  (segment (start 181.1875 103.4875) (end 180.7125 103.4875) (width 0.1524) (layer TOP-L1) (net 18) (tstamp 517F1808))
+  (segment (start 182.1125 104.4125) (end 181.1875 103.4875) (width 0.1524) (layer TOP-L1) (net 18) (tstamp 517F1807))
+  (segment (start 181.5125 113.5625) (end 181.1875 113.2375) (width 0.1524) (layer TOP-L1) (net 18))
+  (segment (start 181.1875 111.7125) (end 181.7625 111.1375) (width 0.1524) (layer TOP-L1) (net 18) (tstamp 517DBD0B))
+  (segment (start 181.1875 113.2375) (end 181.1875 111.7125) (width 0.1524) (layer TOP-L1) (net 18) (tstamp 517DBD09))
+  (segment (start 166.5875 102.7375) (end 166.1625 103.1625) (width 0.1524) (layer Sig1-L3) (net 18))
+  (segment (start 166.1625 103.1625) (end 166.1625 103.2875) (width 0.1524) (layer Sig1-L3) (net 18) (tstamp 517DBCC5))
+  (segment (start 167.0625 102.7375) (end 166.7875 102.7375) (width 0.1524) (layer TOP-L1) (net 18))
+  (segment (start 166.7875 102.7375) (end 166.5875 102.7375) (width 0.1524) (layer Sig1-L3) (net 18) (tstamp 517DBCA3))
+  (via (at 166.7875 102.7375) (size 0.4572) (layers TOP-L1 BOT-L6) (net 18))
+  (segment (start 181.7625 111.1375) (end 182.1125 110.7875) (width 0.1524) (layer TOP-L1) (net 18) (tstamp 517DBD11))
+  (segment (start 182.1125 110.7875) (end 182.1125 104.8875) (width 0.1524) (layer TOP-L1) (net 18) (tstamp 517DBC86))
+  (segment (start 186.7625 115.3875) (end 186.3625 115.7875) (width 0.1524) (layer TOP-L1) (net 18))
+  (segment (start 166.1625 104.7875) (end 165.7625 105.1875) (width 0.1524) (layer TOP-L1) (net 18) (tstamp 517DBC42))
+  (via (at 166.1625 104.7875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 18))
+  (segment (start 166.1625 103.2875) (end 166.1625 104.7875) (width 0.1524) (layer Sig1-L3) (net 18) (tstamp 517DBCC8))
+  (segment (start 173.8625 102.7375) (end 167.0625 102.7375) (width 0.1524) (layer TOP-L1) (net 18) (tstamp 517DBBFF))
+  (segment (start 174.6125 103.4875) (end 173.8625 102.7375) (width 0.1524) (layer TOP-L1) (net 18) (tstamp 517DBBF7))
+  (segment (start 180.7125 103.4875) (end 174.6125 103.4875) (width 0.1524) (layer TOP-L1) (net 18) (tstamp 517DBC5D))
+  (segment (start 183.7375 115.7875) (end 181.5125 113.5625) (width 0.1524) (layer TOP-L1) (net 18) (tstamp 517DBBD5))
+  (segment (start 186.3625 115.7875) (end 183.7375 115.7875) (width 0.1524) (layer TOP-L1) (net 18) (tstamp 517DBBD2))
+  (segment (start 178.2875 107.9875) (end 178.2875 108.3875) (width 0.1524) (layer Sig1-L3) (net 19))
+  (segment (start 177.0875 109.5875) (end 176.6875 109.5875) (width 0.1524) (layer Sig1-L3) (net 19) (tstamp 518660CA))
+  (segment (start 178.2875 108.3875) (end 177.0875 109.5875) (width 0.1524) (layer Sig1-L3) (net 19) (tstamp 518660C9))
+  (segment (start 176.6875 109.5875) (end 176.1625 109.5875) (width 0.1524) (layer Sig1-L3) (net 19) (tstamp 518660CE))
+  (segment (start 178.2875 107.2125) (end 178.2875 107.9875) (width 0.1524) (layer Sig1-L3) (net 19))
+  (segment (start 176.1625 109.5875) (end 176.0375 109.7125) (width 0.1524) (layer Sig1-L3) (net 19) (tstamp 518660A8))
+  (segment (start 167.1875 111.8125) (end 166.4875 111.8125) (width 0.1524) (layer Sig1-L3) (net 19))
+  (segment (start 166.1625 110.3875) (end 165.7625 109.9875) (width 0.1524) (layer TOP-L1) (net 19) (tstamp 51866086))
+  (via (at 166.1625 110.3875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 19))
+  (segment (start 166.1625 111.4875) (end 166.1625 110.3875) (width 0.1524) (layer Sig1-L3) (net 19) (tstamp 5186607E))
+  (segment (start 166.4875 111.8125) (end 166.1625 111.4875) (width 0.1524) (layer Sig1-L3) (net 19) (tstamp 5186607D))
+  (segment (start 178.5375 106.8375) (end 178.4625 106.8375) (width 0.1524) (layer Sig1-L3) (net 19))
+  (segment (start 178.2875 107.0125) (end 178.2875 107.0625) (width 0.1524) (layer Sig1-L3) (net 19) (tstamp 5182240F))
+  (segment (start 178.4625 106.8375) (end 178.2875 107.0125) (width 0.1524) (layer Sig1-L3) (net 19) (tstamp 5182240E))
+  (segment (start 178.8125 107.0125) (end 178.6375 106.8375) (width 0.1524) (layer Sig1-L3) (net 19) (tstamp 518223E6))
+  (segment (start 178.6375 106.8375) (end 178.5375 106.8375) (width 0.1524) (layer Sig1-L3) (net 19) (tstamp 518223E7))
+  (segment (start 178.2875 107.0625) (end 178.2875 107.2125) (width 0.1524) (layer Sig1-L3) (net 19) (tstamp 51822412))
+  (segment (start 178.8125 108.3875) (end 178.8125 107.0125) (width 0.1524) (layer Sig1-L3) (net 19))
+  (segment (start 170.1875 111.8125) (end 169.7625 111.8125) (width 0.1524) (layer Sig1-L3) (net 19) (tstamp 518223F4))
+  (segment (start 170.8375 111.1625) (end 170.1875 111.8125) (width 0.1524) (layer Sig1-L3) (net 19) (tstamp 518223F2))
+  (segment (start 174.5875 111.1625) (end 170.8375 111.1625) (width 0.1524) (layer Sig1-L3) (net 19) (tstamp 518223EC))
+  (segment (start 176.0375 109.7125) (end 174.5875 111.1625) (width 0.1524) (layer Sig1-L3) (net 19) (tstamp 518660AB))
+  (segment (start 169.7625 111.8125) (end 167.1875 111.8125) (width 0.1524) (layer Sig1-L3) (net 19) (tstamp 518223F8))
+  (segment (start 177.8625 110.3875) (end 177.8625 110.1125) (width 0.1524) (layer Sig1-L3) (net 19))
+  (segment (start 178.8125 109.1625) (end 178.8125 108.3875) (width 0.1524) (layer Sig1-L3) (net 19) (tstamp 517DE2FA))
+  (segment (start 177.8625 110.1125) (end 178.8125 109.1625) (width 0.1524) (layer Sig1-L3) (net 19) (tstamp 517DE2F9))
+  (segment (start 185.9625 118.5875) (end 182.0625 118.5875) (width 0.1524) (layer TOP-L1) (net 19))
+  (segment (start 177.8625 110.8125) (end 177.8625 110.3875) (width 0.1524) (layer Sig1-L3) (net 19) (tstamp 517DE2C7))
+  (via (at 177.8625 110.8125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 19))
+  (segment (start 177.8625 114.3875) (end 177.8625 110.8125) (width 0.1524) (layer TOP-L1) (net 19) (tstamp 517DE2BE))
+  (segment (start 182.0625 118.5875) (end 177.8625 114.3875) (width 0.1524) (layer TOP-L1) (net 19) (tstamp 517DE2BB))
+  (segment (start 162.3125 123.7275) (end 162.3125 123.9075) (width 0.1524) (layer Sig1-L3) (net 20))
+  (segment (start 162.5425 124.1375) (end 162.7725 124.1375) (width 0.1524) (layer Sig1-L3) (net 20) (tstamp 517CF7D1))
+  (segment (start 162.3125 123.9075) (end 162.5425 124.1375) (width 0.1524) (layer Sig1-L3) (net 20) (tstamp 517CF7D0))
+  (segment (start 162.7725 124.1375) (end 162.8825 124.1375) (width 0.1524) (layer Sig1-L3) (net 20) (tstamp 517CF7D4))
+  (segment (start 162.9925 123.3775) (end 162.4525 123.3775) (width 0.1524) (layer Sig1-L3) (net 20))
+  (segment (start 162.3125 123.5175) (end 162.3125 123.7275) (width 0.1524) (layer Sig1-L3) (net 20) (tstamp 517CF7AD))
+  (segment (start 162.4525 123.3775) (end 162.3125 123.5175) (width 0.1524) (layer Sig1-L3) (net 20) (tstamp 517CF7AC))
+  (segment (start 163.2225 123.3775) (end 162.9925 123.3775) (width 0.1524) (layer Sig1-L3) (net 20))
+  (segment (start 162.8825 124.1375) (end 163.1925 124.1375) (width 0.1524) (layer Sig1-L3) (net 20) (tstamp 517CF7B4))
+  (segment (start 165.9825 123.3775) (end 163.2225 123.3775) (width 0.1524) (layer Sig1-L3) (net 20))
+  (segment (start 165.3625 124.7875) (end 165.3625 125.2175) (width 0.1524) (layer Sig1-L3) (net 20) (tstamp 517CF788))
+  (segment (start 164.7125 124.1375) (end 165.3625 124.7875) (width 0.1524) (layer Sig1-L3) (net 20) (tstamp 517CF784))
+  (segment (start 163.1925 124.1375) (end 164.7125 124.1375) (width 0.1524) (layer Sig1-L3) (net 20) (tstamp 517CF7A1))
+  (segment (start 188.3625 123.3875) (end 188.3625 124.2775) (width 0.1524) (layer TOP-L1) (net 20))
+  (segment (start 165.3625 126.7875) (end 165.7625 127.1875) (width 0.1524) (layer TOP-L1) (net 20) (tstamp 517CF768))
+  (via (at 165.3625 126.7875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 20))
+  (segment (start 165.3625 125.2175) (end 165.3625 126.7875) (width 0.1524) (layer Sig1-L3) (net 20) (tstamp 517CF78B))
+  (segment (start 166.4325 123.3775) (end 165.9825 123.3775) (width 0.1524) (layer Sig1-L3) (net 20) (tstamp 517CF755))
+  (segment (start 166.5225 123.4675) (end 166.4325 123.3775) (width 0.1524) (layer Sig1-L3) (net 20) (tstamp 517CF754))
+  (via (at 166.5225 123.4675) (size 0.4572) (layers TOP-L1 BOT-L6) (net 20))
+  (segment (start 167.3725 123.4675) (end 166.5225 123.4675) (width 0.1524) (layer TOP-L1) (net 20) (tstamp 517CF741))
+  (segment (start 168.1325 124.2275) (end 167.3725 123.4675) (width 0.1524) (layer TOP-L1) (net 20) (tstamp 517CF73C))
+  (segment (start 171.4425 124.2275) (end 168.1325 124.2275) (width 0.1524) (layer TOP-L1) (net 20) (tstamp 517CF73B))
+  (segment (start 172.3225 125.1075) (end 171.4425 124.2275) (width 0.1524) (layer TOP-L1) (net 20) (tstamp 517CF736))
+  (segment (start 177.4525 125.1075) (end 172.3225 125.1075) (width 0.1524) (layer TOP-L1) (net 20) (tstamp 517CF735))
+  (segment (start 177.7325 124.8275) (end 177.4525 125.1075) (width 0.1524) (layer TOP-L1) (net 20) (tstamp 517CF732))
+  (segment (start 186.0325 124.8275) (end 177.7325 124.8275) (width 0.1524) (layer TOP-L1) (net 20) (tstamp 517CF725))
+  (segment (start 186.4525 125.2475) (end 186.0325 124.8275) (width 0.1524) (layer TOP-L1) (net 20) (tstamp 517CF723))
+  (segment (start 187.7725 125.2475) (end 186.4525 125.2475) (width 0.1524) (layer TOP-L1) (net 20) (tstamp 517CF722))
+  (segment (start 188.1625 124.8575) (end 187.7725 125.2475) (width 0.1524) (layer TOP-L1) (net 20) (tstamp 517CF721))
+  (segment (start 188.1625 124.4775) (end 188.1625 124.8575) (width 0.1524) (layer TOP-L1) (net 20) (tstamp 517CF720))
+  (segment (start 188.3625 124.2775) (end 188.1625 124.4775) (width 0.1524) (layer TOP-L1) (net 20) (tstamp 517CF71B))
+  (segment (start 166.7125 112.2125) (end 166.2875 112.2125) (width 0.1524) (layer Sig1-L3) (net 21))
+  (segment (start 165.7625 111.6875) (end 165.7625 111.3875) (width 0.1524) (layer Sig1-L3) (net 21) (tstamp 5186618A))
+  (segment (start 166.2875 112.2125) (end 165.7625 111.6875) (width 0.1524) (layer Sig1-L3) (net 21) (tstamp 51866189))
+  (segment (start 165.0625 107.6125) (end 164.4625 107.6125) (width 0.1524) (layer Sig1-L3) (net 21))
+  (segment (start 164.1625 107.3125) (end 164.1625 107.0625) (width 0.1524) (layer Sig1-L3) (net 21) (tstamp 5186617A))
+  (segment (start 164.4625 107.6125) (end 164.1625 107.3125) (width 0.1524) (layer Sig1-L3) (net 21) (tstamp 51866179))
+  (segment (start 165.7625 108.6625) (end 165.7625 107.8625) (width 0.1524) (layer Sig1-L3) (net 21))
+  (segment (start 165.5125 107.6125) (end 165.0625 107.6125) (width 0.1524) (layer Sig1-L3) (net 21) (tstamp 51866164))
+  (segment (start 165.7625 107.8625) (end 165.5125 107.6125) (width 0.1524) (layer Sig1-L3) (net 21) (tstamp 51866163))
+  (segment (start 167.2375 112.2125) (end 166.7125 112.2125) (width 0.1524) (layer Sig1-L3) (net 21))
+  (segment (start 165.7625 111.3875) (end 165.7625 108.6625) (width 0.1524) (layer Sig1-L3) (net 21) (tstamp 5186618D))
+  (segment (start 177.1625 110.4375) (end 177.0625 110.3375) (width 0.1524) (layer Sig1-L3) (net 21))
+  (segment (start 175.9375 110.3375) (end 175.7875 110.4875) (width 0.1524) (layer Sig1-L3) (net 21) (tstamp 51822479))
+  (segment (start 177.0625 110.3375) (end 175.9375 110.3375) (width 0.1524) (layer Sig1-L3) (net 21) (tstamp 51822478))
+  (segment (start 177.3625 111.2625) (end 177.3625 110.6375) (width 0.1524) (layer Sig1-L3) (net 21))
+  (segment (start 175.7875 110.4875) (end 175.1875 111.0875) (width 0.1524) (layer Sig1-L3) (net 21) (tstamp 5182247C))
+  (segment (start 177.3625 110.6375) (end 177.1625 110.4375) (width 0.1524) (layer Sig1-L3) (net 21) (tstamp 51822467))
+  (segment (start 175.1875 111.0875) (end 174.7875 111.4875) (width 0.1524) (layer Sig1-L3) (net 21) (tstamp 5182246F))
+  (segment (start 170.6125 112.2125) (end 170.3625 112.2125) (width 0.1524) (layer Sig1-L3) (net 21) (tstamp 51822439))
+  (segment (start 171.3375 111.4875) (end 170.6125 112.2125) (width 0.1524) (layer Sig1-L3) (net 21) (tstamp 51822435))
+  (segment (start 174.7875 111.4875) (end 171.3375 111.4875) (width 0.1524) (layer Sig1-L3) (net 21) (tstamp 51822432))
+  (segment (start 164.1625 105.9875) (end 164.1625 106.7125) (width 0.1524) (layer TOP-L1) (net 21))
+  (segment (start 164.1625 106.7125) (end 164.1625 107.0625) (width 0.1524) (layer Sig1-L3) (net 21) (tstamp 517DE486))
+  (via (at 164.1625 106.7125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 21))
+  (segment (start 167.2375 112.2125) (end 170.3625 112.2125) (width 0.1524) (layer Sig1-L3) (net 21) (tstamp 518660EE))
+  (segment (start 186.3625 118.9875) (end 186.7625 118.5875) (width 0.1524) (layer TOP-L1) (net 21) (tstamp 517DE4CE))
+  (segment (start 181.8375 118.9875) (end 186.3625 118.9875) (width 0.1524) (layer TOP-L1) (net 21) (tstamp 517DE4C1))
+  (segment (start 177.3625 114.5125) (end 181.8375 118.9875) (width 0.1524) (layer TOP-L1) (net 21) (tstamp 517DE4BA))
+  (segment (start 177.3625 111.2625) (end 177.3625 114.5125) (width 0.1524) (layer TOP-L1) (net 21) (tstamp 517DE4B9))
+  (via (at 177.3625 111.2625) (size 0.4572) (layers TOP-L1 BOT-L6) (net 21))
+  (segment (start 180.8125 111.7625) (end 180.8125 112.2625) (width 0.1524) (layer Sig1-L3) (net 22))
+  (segment (start 180.3875 112.6875) (end 180.0625 112.6875) (width 0.1524) (layer Sig1-L3) (net 22) (tstamp 517DBEB9))
+  (segment (start 180.8125 112.2625) (end 180.3875 112.6875) (width 0.1524) (layer Sig1-L3) (net 22) (tstamp 517DBEB8))
+  (segment (start 180.0625 112.6875) (end 164.9125 112.6875) (width 0.1524) (layer Sig1-L3) (net 22) (tstamp 517DBEBC))
+  (segment (start 181.6625 109.1375) (end 181.6625 108.6625) (width 0.1524) (layer Sig1-L3) (net 22))
+  (segment (start 180.8125 108.7125) (end 180.8125 108.9375) (width 0.1524) (layer Sig1-L3) (net 22) (tstamp 517DBE84))
+  (segment (start 181.0125 108.5125) (end 180.8125 108.7125) (width 0.1524) (layer Sig1-L3) (net 22) (tstamp 517DBE82))
+  (segment (start 181.5125 108.5125) (end 181.0125 108.5125) (width 0.1524) (layer Sig1-L3) (net 22) (tstamp 517DBE81))
+  (segment (start 181.6625 108.6625) (end 181.5125 108.5125) (width 0.1524) (layer Sig1-L3) (net 22) (tstamp 517DBE7F))
+  (segment (start 180.8125 108.9375) (end 180.8125 108.9875) (width 0.1524) (layer Sig1-L3) (net 22) (tstamp 517DBE87))
+  (segment (start 183.6125 116.1875) (end 180.8375 113.4125) (width 0.1524) (layer TOP-L1) (net 22) (tstamp 517DBD80))
+  (segment (start 180.8375 113.4125) (end 180.8375 110.1875) (width 0.1524) (layer TOP-L1) (net 22) (tstamp 517DBD83))
+  (segment (start 180.8125 109.1875) (end 180.8125 111.7625) (width 0.1524) (layer Sig1-L3) (net 22) (tstamp 517DBDF1))
+  (segment (start 164.9125 112.6875) (end 164.5625 112.3375) (width 0.1524) (layer Sig1-L3) (net 22) (tstamp 517DBDAD))
+  (via (at 164.5625 112.3375) (size 0.4572) (layers TOP-L1 BOT-L6) (net 22))
+  (segment (start 164.5625 112.3375) (end 164.5625 110.3625) (width 0.1524) (layer TOP-L1) (net 22) (tstamp 517DBDC8))
+  (segment (start 164.5625 110.3625) (end 164.1875 109.9875) (width 0.1524) (layer TOP-L1) (net 22) (tstamp 517DBDC9))
+  (segment (start 164.1875 109.9875) (end 164.1625 109.9875) (width 0.1524) (layer TOP-L1) (net 22) (tstamp 517DBDCB))
+  (segment (start 185.9625 116.1875) (end 183.6125 116.1875) (width 0.1524) (layer TOP-L1) (net 22))
+  (segment (start 181.6625 109.3625) (end 181.6625 109.1375) (width 0.1524) (layer TOP-L1) (net 22) (tstamp 517DBDDF))
+  (via (at 181.6625 109.1375) (size 0.4572) (layers TOP-L1 BOT-L6) (net 22))
+  (segment (start 180.8125 108.9875) (end 180.8125 109.1875) (width 0.1524) (layer Sig1-L3) (net 22) (tstamp 517DBE77))
+  (segment (start 180.8375 110.1875) (end 181.3875 109.6375) (width 0.1524) (layer TOP-L1) (net 22) (tstamp 517DBD85))
+  (segment (start 181.3875 109.6375) (end 181.6625 109.3625) (width 0.1524) (layer TOP-L1) (net 22))
+  (segment (start 179.2375 110.0625) (end 179.4375 109.8625) (width 0.1524) (layer TOP-L1) (net 23))
+  (segment (start 179.4375 104.8625) (end 179.0625 104.4875) (width 0.1524) (layer TOP-L1) (net 23) (tstamp 517DE033))
+  (segment (start 179.4375 109.8625) (end 179.4375 104.8625) (width 0.1524) (layer TOP-L1) (net 23) (tstamp 517DE032))
+  (segment (start 179.1375 110.1625) (end 179.2375 110.0625) (width 0.1524) (layer TOP-L1) (net 23))
+  (segment (start 178.3875 103.8125) (end 177.9375 103.8125) (width 0.1524) (layer TOP-L1) (net 23) (tstamp 517DE022))
+  (segment (start 179.0625 104.4875) (end 178.3875 103.8125) (width 0.1524) (layer TOP-L1) (net 23) (tstamp 517DE03A))
+  (segment (start 185.9625 117.7875) (end 182.5625 117.7875) (width 0.1524) (layer TOP-L1) (net 23))
+  (segment (start 165.3625 104.7875) (end 164.9625 105.1875) (width 0.1524) (layer TOP-L1) (net 23) (tstamp 517DE00B))
+  (via (at 165.3625 104.7875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 23))
+  (segment (start 165.3625 103.3875) (end 165.3625 104.7875) (width 0.1524) (layer Sig1-L3) (net 23) (tstamp 517DE004))
+  (via (at 165.3625 103.3875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 23))
+  (segment (start 165.5375 103.2125) (end 165.3625 103.3875) (width 0.1524) (layer TOP-L1) (net 23) (tstamp 517DDFFE))
+  (segment (start 173.7875 103.2125) (end 165.5375 103.2125) (width 0.1524) (layer TOP-L1) (net 23) (tstamp 517DDFFA))
+  (segment (start 174.3875 103.8125) (end 173.7875 103.2125) (width 0.1524) (layer TOP-L1) (net 23) (tstamp 517DDFF7))
+  (segment (start 177.9375 103.8125) (end 174.3875 103.8125) (width 0.1524) (layer TOP-L1) (net 23) (tstamp 517DE025))
+  (segment (start 178.7375 110.5625) (end 179.1375 110.1625) (width 0.1524) (layer TOP-L1) (net 23) (tstamp 517DDFBF))
+  (segment (start 178.7375 113.9625) (end 178.7375 110.5625) (width 0.1524) (layer TOP-L1) (net 23) (tstamp 517DDFB0))
+  (segment (start 182.5625 117.7875) (end 178.7375 113.9625) (width 0.1524) (layer TOP-L1) (net 23) (tstamp 517DDFAD))
+  (segment (start 183.0125 113.3125) (end 182.8625 113.1625) (width 0.1524) (layer TOP-L1) (net 24))
+  (segment (start 182.5125 113.0125) (end 181.7375 113.0125) (width 0.1524) (layer Sig1-L3) (net 24) (tstamp 5191BDF6))
+  (segment (start 182.6625 113.1625) (end 182.5125 113.0125) (width 0.1524) (layer Sig1-L3) (net 24) (tstamp 5191BDF5))
+  (via (at 182.6625 113.1625) (size 0.4572) (layers TOP-L1 BOT-L6) (net 24))
+  (segment (start 182.8625 113.1625) (end 182.6625 113.1625) (width 0.1524) (layer TOP-L1) (net 24) (tstamp 5191BDE2))
+  (segment (start 159.9625 112.3625) (end 160.1625 112.1625) (width 0.1524) (layer Sig1-L3) (net 24) (tstamp 51866AD8))
+  (segment (start 159.9625 112.7625) (end 159.9625 112.3625) (width 0.1524) (layer Sig1-L3) (net 24) (tstamp 51866AD7))
+  (segment (start 160.1125 112.9125) (end 159.9625 112.7625) (width 0.1524) (layer Sig1-L3) (net 24))
+  (segment (start 160.1625 112.1625) (end 160.2375 112.0875) (width 0.1524) (layer Sig1-L3) (net 24) (tstamp 51866ADB))
+  (segment (start 162.1375 112.0875) (end 162.6875 112.0875) (width 0.1524) (layer Sig1-L3) (net 24))
+  (segment (start 164.5625 109.5875) (end 164.1625 109.1875) (width 0.1524) (layer TOP-L1) (net 24) (tstamp 51866AD0))
+  (via (at 164.5625 109.5875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 24))
+  (segment (start 164.5625 110.2125) (end 164.5625 109.5875) (width 0.1524) (layer Sig1-L3) (net 24) (tstamp 51866ACD))
+  (segment (start 162.6875 112.0875) (end 164.5625 110.2125) (width 0.1524) (layer Sig1-L3) (net 24) (tstamp 51866ACC))
+  (segment (start 160.6625 113.0125) (end 160.2125 113.0125) (width 0.1524) (layer Sig1-L3) (net 24))
+  (segment (start 160.2375 112.0875) (end 160.7125 112.0875) (width 0.1524) (layer Sig1-L3) (net 24) (tstamp 517DB9BA))
+  (segment (start 160.2125 113.0125) (end 160.1125 112.9125) (width 0.1524) (layer Sig1-L3) (net 24) (tstamp 517DB9B7))
+  (segment (start 162.2375 113.0125) (end 160.6625 113.0125) (width 0.1524) (layer Sig1-L3) (net 24))
+  (segment (start 160.7125 112.0875) (end 162.1375 112.0875) (width 0.1524) (layer Sig1-L3) (net 24) (tstamp 517DB9BD))
+  (segment (start 186.7625 114.5875) (end 186.3625 114.9875) (width 0.1524) (layer TOP-L1) (net 24))
+  (segment (start 181.7375 113.0125) (end 162.2375 113.0125) (width 0.1524) (layer Sig1-L3) (net 24) (tstamp 5191BE01))
+  (segment (start 184.6875 114.9875) (end 183.0125 113.3125) (width 0.1524) (layer TOP-L1) (net 24) (tstamp 517DB873))
+  (segment (start 186.3625 114.9875) (end 184.6875 114.9875) (width 0.1524) (layer TOP-L1) (net 24) (tstamp 517DB870))
+  (segment (start 171.3875 109.8625) (end 170.8125 109.8625) (width 0.1524) (layer Sig1-L3) (net 25))
+  (segment (start 169.5875 108.6375) (end 168.1625 108.6375) (width 0.1524) (layer Sig1-L3) (net 25) (tstamp 518763E2))
+  (segment (start 170.8125 109.8625) (end 169.5875 108.6375) (width 0.1524) (layer Sig1-L3) (net 25) (tstamp 518763E1))
+  (segment (start 171.9625 109.8625) (end 171.3875 109.8625) (width 0.1524) (layer Sig1-L3) (net 25))
+  (segment (start 167.7625 108.6375) (end 167.3625 109.0375) (width 0.1524) (layer TOP-L1) (net 25) (tstamp 517DB625))
+  (via (at 167.7625 108.6375) (size 0.4572) (layers TOP-L1 BOT-L6) (net 25))
+  (segment (start 168.1625 108.6375) (end 167.7625 108.6375) (width 0.1524) (layer Sig1-L3) (net 25) (tstamp 518763E8))
+  (segment (start 167.3625 109.0375) (end 167.3625 109.1875) (width 0.1524) (layer TOP-L1) (net 25) (tstamp 517DB626))
+  (segment (start 185.0375 113.7875) (end 182.8125 111.5625) (width 0.1524) (layer TOP-L1) (net 25) (tstamp 517DB492))
+  (segment (start 182.8125 111.5625) (end 182.6375 111.5625) (width 0.1524) (layer TOP-L1) (net 25) (tstamp 517DB49D))
+  (via (at 182.6375 111.5625) (size 0.4572) (layers TOP-L1 BOT-L6) (net 25))
+  (segment (start 182.8375 110.3625) (end 183.1125 110.3625) (width 0.1524) (layer Sig1-L3) (net 25) (tstamp 517DB4B7))
+  (segment (start 182.3125 104.2375) (end 180.8375 102.7625) (width 0.1524) (layer Sig1-L3) (net 25) (tstamp 517DB67C))
+  (segment (start 180.8375 102.7625) (end 176.7375 102.7625) (width 0.1524) (layer Sig1-L3) (net 25) (tstamp 517DB4C6))
+  (segment (start 176.7375 102.7625) (end 174.9375 104.5625) (width 0.1524) (layer Sig1-L3) (net 25) (tstamp 517DB4C8))
+  (segment (start 174.9375 104.5625) (end 174.9375 105.5125) (width 0.1524) (layer Sig1-L3) (net 25) (tstamp 517DB4CA))
+  (segment (start 174.9375 105.5125) (end 174.2125 106.2375) (width 0.1524) (layer Sig1-L3) (net 25) (tstamp 517DB4CC))
+  (segment (start 174.2125 106.2375) (end 174.2125 108.6875) (width 0.1524) (layer Sig1-L3) (net 25) (tstamp 517DB4CE))
+  (segment (start 174.2125 108.6875) (end 173.6625 109.2375) (width 0.1524) (layer Sig1-L3) (net 25) (tstamp 517DB4D0))
+  (segment (start 185.9625 113.7875) (end 185.0375 113.7875) (width 0.1524) (layer TOP-L1) (net 25))
+  (segment (start 173.0375 109.8625) (end 171.9625 109.8625) (width 0.1524) (layer Sig1-L3) (net 25) (tstamp 517DB631))
+  (segment (start 173.6625 109.2375) (end 173.0375 109.8625) (width 0.1524) (layer Sig1-L3) (net 25))
+  (segment (start 183.9625 109.5125) (end 183.9625 106.1625) (width 0.1524) (layer Sig1-L3) (net 25) (tstamp 517DB6A6))
+  (segment (start 182.2875 104.2125) (end 182.3125 104.2375) (width 0.1524) (layer Sig1-L3) (net 25) (tstamp 517DB68E))
+  (segment (start 183.9625 105.2625) (end 183.1125 104.4125) (width 0.1524) (layer Sig1-L3) (net 25) (tstamp 517DB685))
+  (segment (start 183.1125 104.4125) (end 182.4875 104.4125) (width 0.1524) (layer Sig1-L3) (net 25) (tstamp 517DB689))
+  (segment (start 182.4875 104.4125) (end 182.2875 104.2125) (width 0.1524) (layer Sig1-L3) (net 25) (tstamp 517DB68B))
+  (segment (start 183.9625 106.1625) (end 183.9625 105.2625) (width 0.1524) (layer Sig1-L3) (net 25))
+  (segment (start 183.1875 110.3625) (end 183.9625 109.5875) (width 0.1524) (layer Sig1-L3) (net 25) (tstamp 517DB6A2))
+  (segment (start 183.9625 109.5875) (end 183.9625 109.5125) (width 0.1524) (layer Sig1-L3) (net 25) (tstamp 517DB6A3))
+  (segment (start 183.1125 110.3625) (end 183.1875 110.3625) (width 0.1524) (layer Sig1-L3) (net 25))
+  (segment (start 182.3625 111.2875) (end 182.3625 110.8375) (width 0.1524) (layer Sig1-L3) (net 25) (tstamp 517DB4AE))
+  (segment (start 182.6375 111.5625) (end 182.3625 111.2875) (width 0.1524) (layer Sig1-L3) (net 25) (tstamp 517DB4AD))
+  (segment (start 182.3625 110.8375) (end 182.8375 110.3625) (width 0.1524) (layer Sig1-L3) (net 25) (tstamp 517DB4B5))
+  (segment (start 183.1875 101.9875) (end 183.5125 101.9875) (width 0.1524) (layer TOP-L1) (net 26))
+  (segment (start 184.0375 102.5125) (end 184.0375 102.7875) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 517D0422))
+  (segment (start 183.5125 101.9875) (end 184.0375 102.5125) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 517D0421))
+  (segment (start 178.6375 102.4375) (end 178.6375 102.4625) (width 0.1524) (layer TOP-L1) (net 26))
+  (segment (start 178.6375 102.4625) (end 178.7625 102.5875) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 517D03EF))
+  (segment (start 178.7625 102.5875) (end 179.0875 102.5875) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 517D03F0))
+  (segment (start 179.0875 102.5875) (end 179.1875 102.4875) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 517D03F1))
+  (segment (start 179.1875 102.4875) (end 179.1875 102.3625) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 517D03F2))
+  (segment (start 179.5375 101.9875) (end 179.6125 101.9875) (width 0.1524) (layer TOP-L1) (net 26))
+  (segment (start 180.5125 101.9875) (end 180.6375 101.9875) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 517D03E2))
+  (segment (start 180.4125 102.0875) (end 180.5125 101.9875) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 517D03E0))
+  (segment (start 180.4125 102.4375) (end 180.4125 102.0875) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 517D03DF))
+  (segment (start 180.2125 102.6375) (end 180.4125 102.4375) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 517D03DD))
+  (segment (start 179.9375 102.6375) (end 180.2125 102.6375) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 517D03DC))
+  (segment (start 179.7875 102.4875) (end 179.9375 102.6375) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 517D03DB))
+  (segment (start 179.7875 102.1625) (end 179.7875 102.4875) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 517D03DA))
+  (segment (start 179.6125 101.9875) (end 179.7875 102.1625) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 517D03D9))
+  (segment (start 178.3875 101.9875) (end 178.4625 101.9875) (width 0.1524) (layer TOP-L1) (net 26))
+  (segment (start 179.3125 101.9875) (end 179.5375 101.9875) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 517D03D0))
+  (segment (start 179.1875 102.1125) (end 179.3125 101.9875) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 517D03CF))
+  (segment (start 179.1875 102.3625) (end 179.1875 102.1125) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 517D03F5))
+  (segment (start 178.6375 102.1625) (end 178.6375 102.4375) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 517D03C8))
+  (segment (start 178.4625 101.9875) (end 178.6375 102.1625) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 517D03C7))
+  (segment (start 176.8375 102.2375) (end 176.8375 102.1625) (width 0.1524) (layer TOP-L1) (net 26))
+  (segment (start 178.2125 101.9875) (end 178.3875 101.9875) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 517D03B4))
+  (segment (start 178.0625 102.1375) (end 178.2125 101.9875) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 517D03B3))
+  (segment (start 178.0625 102.5875) (end 178.0625 102.1375) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 517D03B2))
+  (segment (start 177.8875 102.7625) (end 178.0625 102.5875) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 517D03B0))
+  (segment (start 177.5375 102.7625) (end 177.8875 102.7625) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 517D03AE))
+  (segment (start 177.4125 102.6375) (end 177.5375 102.7625) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 517D03AD))
+  (segment (start 177.4125 102.1875) (end 177.4125 102.6375) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 517D03AC))
+  (segment (start 177.2125 101.9875) (end 177.4125 102.1875) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 517D03AB))
+  (segment (start 177.0125 101.9875) (end 177.2125 101.9875) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 517D03AA))
+  (segment (start 176.8375 102.1625) (end 177.0125 101.9875) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 517D03A9))
+  (segment (start 174.9875 101.9875) (end 176.0125 101.9875) (width 0.1524) (layer TOP-L1) (net 26))
+  (segment (start 176.8375 102.5625) (end 176.8375 102.2375) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 517D039E))
+  (segment (start 176.6375 102.7625) (end 176.8375 102.5625) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 517D039C))
+  (segment (start 176.3625 102.7625) (end 176.6375 102.7625) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 517D039B))
+  (segment (start 176.2375 102.6375) (end 176.3625 102.7625) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 517D039A))
+  (segment (start 176.2375 102.2125) (end 176.2375 102.6375) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 517D0399))
+  (segment (start 176.0125 101.9875) (end 176.2375 102.2125) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 517D0398))
+  (segment (start 168.1625 105.9875) (end 168.1625 106.1125) (width 0.1524) (layer TOP-L1) (net 26))
+  (segment (start 185.1625 111.3875) (end 185.9625 111.3875) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 517D0379))
+  (segment (start 184.0375 110.2625) (end 185.1625 111.3875) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 517D0376))
+  (segment (start 184.0375 102.7875) (end 184.0375 110.2625) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 517D0425))
+  (segment (start 174.0625 101.9875) (end 174.9875 101.9875) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 517D036B))
+  (segment (start 180.6375 101.9875) (end 183.1875 101.9875) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 517D03E5))
+  (segment (start 173.8375 102.2125) (end 174.0625 101.9875) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 517D036A))
+  (segment (start 172.7125 102.2125) (end 173.8375 102.2125) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 517D0367))
+  (segment (start 172.4125 101.9125) (end 172.7125 102.2125) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 517D0366))
+  (segment (start 172.1375 101.9125) (end 172.4125 101.9125) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 517D0365))
+  (segment (start 171.8375 102.2125) (end 172.1375 101.9125) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 517D0364))
+  (via (at 171.8375 102.2125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 26))
+  (segment (start 171.8375 106.0125) (end 171.8375 102.2125) (width 0.1524) (layer Sig1-L3) (net 26) (tstamp 517D0358))
+  (segment (start 171.6375 106.2125) (end 171.8375 106.0125) (width 0.1524) (layer Sig1-L3) (net 26) (tstamp 517D0357))
+  (via (at 171.6375 106.2125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 26))
+  (segment (start 171.3125 106.5375) (end 171.6375 106.2125) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 517D0351))
+  (segment (start 168.5875 106.5375) (end 171.3125 106.5375) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 517D0350))
+  (segment (start 168.1625 106.1125) (end 168.5875 106.5375) (width 0.1524) (layer TOP-L1) (net 26) (tstamp 517D034D))
+  (segment (start 182.6125 112.0125) (end 182.4375 112.0125) (width 0.1524) (layer TOP-L1) (net 27))
+  (segment (start 182.4375 112.0125) (end 182.2125 111.7875) (width 0.1524) (layer TOP-L1) (net 27) (tstamp 5191BE4E))
+  (segment (start 181.9625 111.5375) (end 181.9625 111.1875) (width 0.1524) (layer Sig1-L3) (net 27) (tstamp 5191BE42))
+  (via (at 181.9625 111.5375) (size 0.4572) (layers TOP-L1 BOT-L6) (net 27))
+  (segment (start 182.2125 111.7875) (end 181.9625 111.5375) (width 0.1524) (layer TOP-L1) (net 27) (tstamp 5191BE51))
+  (segment (start 174.8625 108.3875) (end 175.0125 108.2375) (width 0.1524) (layer Sig1-L3) (net 27))
+  (segment (start 174.7875 107.5625) (end 174.7875 107.3625) (width 0.1524) (layer Sig1-L3) (net 27) (tstamp 517F17A0))
+  (segment (start 175.0125 107.7875) (end 174.7875 107.5625) (width 0.1524) (layer Sig1-L3) (net 27) (tstamp 517F179A))
+  (segment (start 175.0125 108.2375) (end 175.0125 107.7875) (width 0.1524) (layer Sig1-L3) (net 27) (tstamp 517F1799))
+  (segment (start 174.7875 108.5875) (end 174.7875 108.4625) (width 0.1524) (layer Sig1-L3) (net 27))
+  (segment (start 174.7875 108.4625) (end 174.8625 108.3875) (width 0.1524) (layer Sig1-L3) (net 27) (tstamp 517F1787))
+  (segment (start 168.1625 109.9875) (end 168.5625 110.3875) (width 0.1524) (layer TOP-L1) (net 27))
+  (segment (start 181.9625 110.6375) (end 181.9625 111.1875) (width 0.1524) (layer Sig1-L3) (net 27) (tstamp 517F1742))
+  (segment (start 182.6875 109.9125) (end 181.9625 110.6375) (width 0.1524) (layer Sig1-L3) (net 27) (tstamp 517F1741))
+  (segment (start 183.0375 109.9125) (end 182.6875 109.9125) (width 0.1524) (layer Sig1-L3) (net 27) (tstamp 517F1740))
+  (segment (start 183.6375 109.3125) (end 183.0375 109.9125) (width 0.1524) (layer Sig1-L3) (net 27) (tstamp 517F1736))
+  (segment (start 183.6375 105.4375) (end 183.6375 109.3125) (width 0.1524) (layer Sig1-L3) (net 27) (tstamp 517F1735))
+  (segment (start 183.0125 104.8125) (end 183.6375 105.4375) (width 0.1524) (layer Sig1-L3) (net 27) (tstamp 517F1731))
+  (segment (start 182.3125 104.8125) (end 183.0125 104.8125) (width 0.1524) (layer Sig1-L3) (net 27) (tstamp 517F1730))
+  (segment (start 180.6625 103.1625) (end 182.3125 104.8125) (width 0.1524) (layer Sig1-L3) (net 27) (tstamp 517F172E))
+  (segment (start 176.8625 103.1625) (end 180.6625 103.1625) (width 0.1524) (layer Sig1-L3) (net 27) (tstamp 517F1725))
+  (segment (start 175.3875 104.6375) (end 176.8625 103.1625) (width 0.1524) (layer Sig1-L3) (net 27) (tstamp 517F1722))
+  (segment (start 175.3875 105.8875) (end 175.3875 104.6375) (width 0.1524) (layer Sig1-L3) (net 27) (tstamp 517F171D))
+  (segment (start 174.7875 106.4875) (end 175.3875 105.8875) (width 0.1524) (layer Sig1-L3) (net 27) (tstamp 517F171C))
+  (segment (start 174.7875 108.9625) (end 174.7875 108.5875) (width 0.1524) (layer Sig1-L3) (net 27) (tstamp 517F171B))
+  (segment (start 174.7875 107.3625) (end 174.7875 106.4875) (width 0.1524) (layer Sig1-L3) (net 27) (tstamp 517F17A3))
+  (via (at 174.7875 108.9625) (size 0.4572) (layers TOP-L1 BOT-L6) (net 27))
+  (segment (start 173.3625 110.3875) (end 174.7875 108.9625) (width 0.1524) (layer TOP-L1) (net 27) (tstamp 517F170E))
+  (segment (start 168.5625 110.3875) (end 173.3625 110.3875) (width 0.1524) (layer TOP-L1) (net 27) (tstamp 517F1706))
+  (segment (start 186.3625 114.1875) (end 186.7625 113.7875) (width 0.1524) (layer TOP-L1) (net 27) (tstamp 517F1756))
+  (segment (start 184.9125 114.1875) (end 186.3625 114.1875) (width 0.1524) (layer TOP-L1) (net 27) (tstamp 517F1750))
+  (segment (start 182.7375 112.0125) (end 184.9125 114.1875) (width 0.1524) (layer TOP-L1) (net 27) (tstamp 517F174F))
+  (segment (start 182.6125 112.0125) (end 182.7375 112.0125) (width 0.1524) (layer TOP-L1) (net 27) (tstamp 5191BE4C))
+  (segment (start 169.6125 101.6625) (end 169.3625 101.4125) (width 0.1524) (layer Sig1-L3) (net 28))
+  (segment (start 167.9125 101.4125) (end 167.7125 101.6125) (width 0.1524) (layer Sig1-L3) (net 28) (tstamp 5191D93A))
+  (segment (start 169.3625 101.4125) (end 167.9125 101.4125) (width 0.1524) (layer Sig1-L3) (net 28) (tstamp 5191D939))
+  (segment (start 169.6875 101.7375) (end 169.6125 101.6625) (width 0.1524) (layer Sig1-L3) (net 28))
+  (segment (start 167.7125 101.6125) (end 167.6625 101.6625) (width 0.1524) (layer Sig1-L3) (net 28) (tstamp 5191D93D))
+  (segment (start 167.6625 101.6625) (end 167.4875 101.8375) (width 0.1524) (layer Sig1-L3) (net 28) (tstamp 5191D932))
+  (segment (start 167.4875 101.8375) (end 167.4875 102.2125) (width 0.1524) (layer Sig1-L3) (net 28) (tstamp 5191D911))
+  (segment (start 167.6375 102.6125) (end 168.4625 102.6125) (width 0.1524) (layer Sig1-L3) (net 28) (tstamp 5191D905))
+  (segment (start 167.4875 102.4625) (end 167.6375 102.6125) (width 0.1524) (layer Sig1-L3) (net 28) (tstamp 5191D902))
+  (segment (start 167.4875 102.2125) (end 167.4875 102.4625) (width 0.1524) (layer Sig1-L3) (net 28) (tstamp 5191D914))
+  (segment (start 171.4375 101.8625) (end 170.8875 101.8625) (width 0.1524) (layer TOP-L1) (net 28))
+  (segment (start 170.1875 102.2375) (end 169.6875 101.7375) (width 0.1524) (layer Sig1-L3) (net 28) (tstamp 5191D8E4))
+  (segment (start 170.5125 102.2375) (end 170.1875 102.2375) (width 0.1524) (layer Sig1-L3) (net 28) (tstamp 5191D8E2))
+  (segment (start 170.5375 102.2125) (end 170.5125 102.2375) (width 0.1524) (layer Sig1-L3) (net 28) (tstamp 5191D8E1))
+  (via (at 170.5375 102.2125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 28))
+  (segment (start 170.8875 101.8625) (end 170.5375 102.2125) (width 0.1524) (layer TOP-L1) (net 28) (tstamp 5191D8D4))
+  (segment (start 168.5625 104.7875) (end 168.5625 104.0625) (width 0.1524) (layer Sig1-L3) (net 28) (tstamp 517D0210))
+  (segment (start 169.2125 102.6125) (end 168.4875 102.6125) (width 0.1524) (layer Sig1-L3) (net 28) (tstamp 5180725E))
+  (segment (start 169.3375 102.6125) (end 169.2125 102.6125) (width 0.1524) (layer Sig1-L3) (net 28) (tstamp 5180725B))
+  (segment (start 169.4875 102.7625) (end 169.3375 102.6125) (width 0.1524) (layer Sig1-L3) (net 28) (tstamp 5180725A))
+  (segment (start 169.4875 102.8875) (end 169.4875 102.7625) (width 0.1524) (layer Sig1-L3) (net 28))
+  (segment (start 168.5625 103.8375) (end 168.5625 103.6875) (width 0.1524) (layer Sig1-L3) (net 28))
+  (segment (start 169.4875 103.0875) (end 169.4875 102.8875) (width 0.1524) (layer Sig1-L3) (net 28) (tstamp 5180723C))
+  (segment (start 169.2375 103.3375) (end 169.4875 103.0875) (width 0.1524) (layer Sig1-L3) (net 28) (tstamp 5180723B))
+  (segment (start 168.9125 103.3375) (end 169.2375 103.3375) (width 0.1524) (layer Sig1-L3) (net 28) (tstamp 5180723A))
+  (segment (start 168.5625 103.6875) (end 168.9125 103.3375) (width 0.1524) (layer Sig1-L3) (net 28) (tstamp 51807239))
+  (segment (start 168.5625 103.9375) (end 168.5625 103.8375) (width 0.1524) (layer Sig1-L3) (net 28))
+  (segment (start 168.4875 102.6125) (end 168.4625 102.6125) (width 0.1524) (layer Sig1-L3) (net 28) (tstamp 51807241))
+  (segment (start 168.5625 104.0625) (end 168.5625 103.9375) (width 0.1524) (layer Sig1-L3) (net 28))
+  (via (at 168.5625 104.7875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 28))
+  (segment (start 168.1625 105.1875) (end 168.5625 104.7875) (width 0.1524) (layer TOP-L1) (net 28))
+  (segment (start 171.4125 101.8625) (end 171.4375 101.8625) (width 0.1524) (layer TOP-L1) (net 28))
+  (segment (start 171.4125 101.8625) (end 171.6125 101.8625) (width 0.1524) (layer TOP-L1) (net 28) (tstamp 517F14C7))
+  (segment (start 173.1125 101.8375) (end 173.6125 101.8375) (width 0.1524) (layer TOP-L1) (net 28) (tstamp 517D02F4))
+  (segment (start 173.6125 101.8375) (end 173.7875 101.6625) (width 0.1524) (layer TOP-L1) (net 28) (tstamp 517D0230))
+  (segment (start 173.7875 101.6625) (end 184.0875 101.6625) (width 0.1524) (layer TOP-L1) (net 28) (tstamp 517D0231))
+  (segment (start 184.0875 101.6625) (end 184.4125 101.9875) (width 0.1524) (layer TOP-L1) (net 28) (tstamp 517D0236))
+  (segment (start 184.4125 101.9875) (end 184.4125 110.1375) (width 0.1524) (layer TOP-L1) (net 28) (tstamp 517D0239))
+  (segment (start 184.4125 110.1375) (end 185.2625 110.9875) (width 0.1524) (layer TOP-L1) (net 28) (tstamp 517D023D))
+  (segment (start 185.2625 110.9875) (end 186.3625 110.9875) (width 0.1524) (layer TOP-L1) (net 28) (tstamp 517D0244))
+  (segment (start 186.3625 110.9875) (end 186.7625 111.3875) (width 0.1524) (layer TOP-L1) (net 28) (tstamp 517D0246))
+  (segment (start 172.6125 101.5875) (end 172.8625 101.8375) (width 0.1524) (layer TOP-L1) (net 28) (tstamp 517E311C))
+  (segment (start 172.8625 101.8375) (end 173.1125 101.8375) (width 0.1524) (layer TOP-L1) (net 28) (tstamp 517D02F0))
+  (segment (start 172.6125 101.5875) (end 172.4625 101.5875) (width 0.1524) (layer TOP-L1) (net 28))
+  (segment (start 171.6125 101.8625) (end 171.8875 101.5875) (width 0.1524) (layer TOP-L1) (net 28) (tstamp 517E3149))
+  (segment (start 171.8875 101.5875) (end 172.4625 101.5875) (width 0.1524) (layer TOP-L1) (net 28) (tstamp 517E314C))
+  (segment (start 176.8125 103.0125) (end 176.8875 102.9375) (width 0.1524) (layer TOP-L1) (net 29))
+  (segment (start 177.2625 102.9375) (end 177.3375 103.0125) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 517DB5CA))
+  (segment (start 176.8875 102.9375) (end 177.2625 102.9375) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 517DB5C9))
+  (segment (start 174.2625 102.4625) (end 172.8375 103.8875) (width 0.1524) (layer Sig1-L3) (net 29))
+  (segment (start 172.8375 103.8875) (end 172.8375 103.9625) (width 0.1524) (layer Sig1-L3) (net 29) (tstamp 517DB5AF))
+  (segment (start 172.3875 108.7375) (end 172.2125 108.5625) (width 0.1524) (layer Sig1-L3) (net 29))
+  (segment (start 172.8375 107.4375) (end 172.8375 106.1375) (width 0.1524) (layer Sig1-L3) (net 29) (tstamp 517DB583))
+  (segment (start 172.2125 108.0625) (end 172.8375 107.4375) (width 0.1524) (layer Sig1-L3) (net 29) (tstamp 517DB580))
+  (segment (start 172.2125 108.5625) (end 172.2125 108.0625) (width 0.1524) (layer Sig1-L3) (net 29) (tstamp 517DB578))
+  (segment (start 172.6875 109.0625) (end 172.6875 109.0375) (width 0.1524) (layer Sig1-L3) (net 29))
+  (segment (start 172.6875 109.0375) (end 172.3875 108.7375) (width 0.1524) (layer Sig1-L3) (net 29) (tstamp 517DB566))
+  (segment (start 169.7625 109.1875) (end 169.7625 109.1125) (width 0.1524) (layer TOP-L1) (net 29))
+  (segment (start 172.6875 109.1875) (end 172.6875 109.0625) (width 0.1524) (layer Sig1-L3) (net 29) (tstamp 517DB538))
+  (segment (start 172.5375 109.3375) (end 172.6875 109.1875) (width 0.1524) (layer Sig1-L3) (net 29) (tstamp 517DB536))
+  (segment (start 172.3125 109.3375) (end 172.5375 109.3375) (width 0.1524) (layer Sig1-L3) (net 29) (tstamp 517DB535))
+  (via (at 172.3125 109.3375) (size 0.4572) (layers TOP-L1 BOT-L6) (net 29))
+  (segment (start 171.9125 108.9375) (end 172.3125 109.3375) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 517DB529))
+  (segment (start 169.9375 108.9375) (end 171.9125 108.9375) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 517DB528))
+  (segment (start 169.7625 109.1125) (end 169.9375 108.9375) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 517DB526))
+  (segment (start 178.1875 102.9875) (end 178.6625 102.9875) (width 0.1524) (layer TOP-L1) (net 29))
+  (segment (start 178.6625 102.9875) (end 178.7125 103.0375) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 517D0690))
+  (segment (start 180.2875 103.1125) (end 180.5125 103.1125) (width 0.1524) (layer TOP-L1) (net 29))
+  (segment (start 180.8375 102.7875) (end 180.8375 102.6625) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 517D0681))
+  (segment (start 180.5125 103.1125) (end 180.8375 102.7875) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 517D0680))
+  (segment (start 180.8375 102.6625) (end 180.8375 102.5125) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 517D0684))
+  (segment (start 180.1125 103.1125) (end 180.2875 103.1125) (width 0.1524) (layer TOP-L1) (net 29))
+  (segment (start 180.8375 102.5125) (end 180.9125 102.4375) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 517D0623))
+  (segment (start 181.2125 102.3125) (end 181.3125 102.3125) (width 0.1524) (layer TOP-L1) (net 29))
+  (segment (start 183.3375 102.3125) (end 182.2625 102.3125) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 517D0516))
+  (segment (start 183.6875 102.6625) (end 183.3375 102.3125) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 517D0511))
+  (segment (start 183.6875 110.4125) (end 183.6875 102.6625) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 517D050C))
+  (segment (start 185.4625 112.1875) (end 183.6875 110.4125) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 517D050A))
+  (segment (start 185.9625 112.1875) (end 185.4625 112.1875) (width 0.1524) (layer TOP-L1) (net 29))
+  (segment (start 181.3125 102.3125) (end 181.5125 102.5125) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 517D05EA))
+  (segment (start 181.5125 102.5125) (end 181.5125 102.7875) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 517D05EB))
+  (segment (start 181.5125 102.7875) (end 181.6625 102.9375) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 517D05EC))
+  (segment (start 181.6625 102.9375) (end 181.8375 102.9375) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 517D05ED))
+  (segment (start 181.8375 102.9375) (end 182.0125 102.7625) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 517D05EE))
+  (segment (start 182.0125 102.7625) (end 182.0125 102.4625) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 517D05EF))
+  (segment (start 182.0125 102.4625) (end 182.1625 102.3125) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 517D05F0))
+  (segment (start 182.1625 102.3125) (end 182.2625 102.3125) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 517D05F1))
+  (segment (start 181.0375 102.3125) (end 180.9125 102.4375) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 517D051A))
+  (segment (start 181.2125 102.3125) (end 181.0375 102.3125) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 517D05E8))
+  (segment (start 177.9875 103.1125) (end 178.0625 103.1125) (width 0.1524) (layer TOP-L1) (net 29))
+  (segment (start 179.9625 103.1125) (end 180.1125 103.1125) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 517D05CC))
+  (segment (start 179.637063 102.787063) (end 179.9625 103.1125) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 517D05CB))
+  (segment (start 179.337937 102.787063) (end 179.637063 102.787063) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 517D05C9))
+  (segment (start 179.0125 103.1125) (end 179.337937 102.787063) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 517D05C7))
+  (segment (start 178.7875 103.1125) (end 179.0125 103.1125) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 517D05C5))
+  (segment (start 178.7125 103.0375) (end 178.7875 103.1125) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 517D0695))
+  (segment (start 178.0625 103.1125) (end 178.1875 102.9875) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 517D05C2))
+  (segment (start 176.6125 103.1125) (end 176.7125 103.1125) (width 0.1524) (layer TOP-L1) (net 29))
+  (segment (start 177.4375 103.1125) (end 177.5875 103.1125) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 517D05BB))
+  (segment (start 177.3375 103.0125) (end 177.4375 103.1125) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 517DB5CD))
+  (segment (start 176.7125 103.1125) (end 176.8125 103.0125) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 517D05B8))
+  (segment (start 174.5625 102.3375) (end 174.7875 102.5625) (width 0.1524) (layer TOP-L1) (net 29))
+  (segment (start 176.0375 103.1125) (end 176.3125 103.1125) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 517D05A1))
+  (segment (start 175.8875 102.9625) (end 176.0375 103.1125) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 517D059F))
+  (segment (start 175.8875 102.4625) (end 175.8875 102.9625) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 517D059E))
+  (segment (start 175.7625 102.3375) (end 175.8875 102.4625) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 517D059D))
+  (segment (start 175.4875 102.3375) (end 175.7625 102.3375) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 517D059C))
+  (segment (start 175.3125 102.5125) (end 175.4875 102.3375) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 517D059A))
+  (segment (start 175.3125 102.9375) (end 175.3125 102.5125) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 517D0599))
+  (segment (start 175.1625 103.0875) (end 175.3125 102.9375) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 517D0598))
+  (segment (start 174.9375 103.0875) (end 175.1625 103.0875) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 517D0597))
+  (segment (start 174.7875 102.9375) (end 174.9375 103.0875) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 517D0596))
+  (segment (start 174.7875 102.5625) (end 174.7875 102.9375) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 517D0595))
+  (segment (start 177.9875 103.1125) (end 177.5875 103.1125) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 517D05C0))
+  (segment (start 176.6125 103.1125) (end 176.3125 103.1125) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 517D05B6))
+  (segment (start 172.8375 103.9625) (end 172.8375 106.1375) (width 0.1524) (layer Sig1-L3) (net 29) (tstamp 517DB5B9))
+  (segment (start 174.2875 102.4375) (end 174.2625 102.4625) (width 0.1524) (layer Sig1-L3) (net 29) (tstamp 517D0559))
+  (via (at 174.2875 102.4375) (size 0.4572) (layers TOP-L1 BOT-L6) (net 29))
+  (segment (start 174.3875 102.3375) (end 174.2875 102.4375) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 517D0530))
+  (segment (start 174.5625 102.3375) (end 174.3875 102.3375) (width 0.1524) (layer TOP-L1) (net 29) (tstamp 517D0593))
+  (segment (start 182.9625 112.7625) (end 182.6375 112.4375) (width 0.1524) (layer TOP-L1) (net 30))
+  (segment (start 182.2125 112.4375) (end 181.9625 112.1875) (width 0.1524) (layer Sig1-L3) (net 30) (tstamp 5191AEE0))
+  (segment (start 182.4875 112.4375) (end 182.2125 112.4375) (width 0.1524) (layer Sig1-L3) (net 30) (tstamp 5191AEDF))
+  (via (at 182.4875 112.4375) (size 0.4572) (layers TOP-L1 BOT-L6) (net 30))
+  (segment (start 182.6375 112.4375) (end 182.4875 112.4375) (width 0.1524) (layer TOP-L1) (net 30) (tstamp 5191AED6))
+  (segment (start 174.8625 105.0875) (end 174.7875 105.0125) (width 0.1524) (layer TOP-L1) (net 30) (tstamp 517E2DE5))
+  (segment (start 174.8625 105.6875) (end 174.8625 105.0875) (width 0.1524) (layer TOP-L1) (net 30) (tstamp 517E2DE4))
+  (segment (start 174.7125 105.8375) (end 174.8625 105.6875) (width 0.1524) (layer TOP-L1) (net 30))
+  (segment (start 174.7875 105.0125) (end 174.4875 104.7125) (width 0.1524) (layer TOP-L1) (net 30) (tstamp 517E2DE8))
+  (segment (start 173.9375 104.7125) (end 173.4625 105.1875) (width 0.1524) (layer TOP-L1) (net 30) (tstamp 517DB83E))
+  (segment (start 174.4875 104.7125) (end 173.9375 104.7125) (width 0.1524) (layer TOP-L1) (net 30) (tstamp 517DB83C))
+  (segment (start 175.8625 105.7625) (end 175.8625 105.9125) (width 0.1524) (layer TOP-L1) (net 30))
+  (segment (start 172.9375 106.1125) (end 174.0125 106.1125) (width 0.1524) (layer TOP-L1) (net 30) (tstamp 517DBA6C))
+  (segment (start 172.6625 106.3875) (end 172.9375 106.1125) (width 0.1524) (layer TOP-L1) (net 30) (tstamp 517DBA65))
+  (segment (start 172.6625 106.6375) (end 172.6625 106.3875) (width 0.1524) (layer TOP-L1) (net 30) (tstamp 517DBA64))
+  (segment (start 172.8625 106.8375) (end 172.6625 106.6375) (width 0.1524) (layer TOP-L1) (net 30) (tstamp 517DBA63))
+  (segment (start 174.9375 106.8375) (end 172.8625 106.8375) (width 0.1524) (layer TOP-L1) (net 30) (tstamp 517DBA60))
+  (segment (start 175.8625 105.9125) (end 174.9375 106.8375) (width 0.1524) (layer TOP-L1) (net 30) (tstamp 517DBA5E))
+  (segment (start 174.4375 106.1125) (end 174.7125 105.8375) (width 0.1524) (layer TOP-L1) (net 30) (tstamp 517DB85A))
+  (segment (start 174.0125 106.1125) (end 174.4375 106.1125) (width 0.1524) (layer TOP-L1) (net 30) (tstamp 517DBA70))
+  (segment (start 185.9625 114.5875) (end 184.7875 114.5875) (width 0.1524) (layer TOP-L1) (net 30))
+  (segment (start 173.4625 105.1875) (end 169.7625 105.1875) (width 0.1524) (layer TOP-L1) (net 30) (tstamp 517DB83F))
+  (segment (start 175.8625 105.3375) (end 175.8625 105.7625) (width 0.1524) (layer TOP-L1) (net 30) (tstamp 517DB814))
+  (via (at 175.8625 105.3375) (size 0.4572) (layers TOP-L1 BOT-L6) (net 30))
+  (segment (start 175.8625 104.6875) (end 175.8625 105.3375) (width 0.1524) (layer Sig1-L3) (net 30) (tstamp 517DB805))
+  (segment (start 176.9375 103.6125) (end 175.8625 104.6875) (width 0.1524) (layer Sig1-L3) (net 30) (tstamp 517DB800))
+  (segment (start 180.5125 103.6125) (end 176.9375 103.6125) (width 0.1524) (layer Sig1-L3) (net 30) (tstamp 517DB7F1))
+  (segment (start 182.1125 105.2125) (end 180.5125 103.6125) (width 0.1524) (layer Sig1-L3) (net 30) (tstamp 517DB7ED))
+  (segment (start 182.8125 105.2125) (end 182.1125 105.2125) (width 0.1524) (layer Sig1-L3) (net 30) (tstamp 517DB7EC))
+  (segment (start 183.2875 105.6875) (end 182.8125 105.2125) (width 0.1524) (layer Sig1-L3) (net 30) (tstamp 517DB7E8))
+  (segment (start 183.2875 109.1125) (end 183.2875 105.6875) (width 0.1524) (layer Sig1-L3) (net 30) (tstamp 517DB7E4))
+  (segment (start 182.9125 109.4875) (end 183.2875 109.1125) (width 0.1524) (layer Sig1-L3) (net 30) (tstamp 517DB7E3))
+  (segment (start 182.5125 109.4875) (end 182.9125 109.4875) (width 0.1524) (layer Sig1-L3) (net 30) (tstamp 517DB7E2))
+  (segment (start 181.5625 110.4375) (end 182.5125 109.4875) (width 0.1524) (layer Sig1-L3) (net 30) (tstamp 517DB7DD))
+  (segment (start 181.5625 111.7875) (end 181.5625 110.4375) (width 0.1524) (layer Sig1-L3) (net 30) (tstamp 517DB7D9))
+  (segment (start 181.9625 112.1875) (end 181.5625 111.7875) (width 0.1524) (layer Sig1-L3) (net 30) (tstamp 5191AEE3))
+  (segment (start 184.7875 114.5875) (end 182.9625 112.7625) (width 0.1524) (layer TOP-L1) (net 30) (tstamp 517DB7C3))
+  (segment (start 190.4125 125.4625) (end 190.4125 124.6625) (width 0.1524) (layer Sig1-L3) (net 31))
+  (segment (start 191.1625 123.9125) (end 191.1625 123.7875) (width 0.1524) (layer Sig1-L3) (net 31) (tstamp 51888E0C))
+  (segment (start 190.4125 124.6625) (end 191.1625 123.9125) (width 0.1524) (layer Sig1-L3) (net 31) (tstamp 51888E0A))
+  (segment (start 190.4125 125.4625) (end 190.4125 127.6625) (width 0.1524) (layer Sig1-L3) (net 31) (tstamp 51888E08))
+  (segment (start 191.1625 123.7875) (end 191.1625 122.9875) (width 0.1524) (layer Sig1-L3) (net 31) (tstamp 51888E0F))
+  (segment (start 190.4125 128.8125) (end 190.4125 129.1375) (width 0.1524) (layer Sig1-L3) (net 31))
+  (segment (start 186.0375 133.5125) (end 184.6875 133.5125) (width 0.1524) (layer Sig1-L3) (net 31) (tstamp 5181E702))
+  (segment (start 190.4125 129.1375) (end 186.0375 133.5125) (width 0.1524) (layer Sig1-L3) (net 31) (tstamp 5181E701))
+  (segment (start 190.4125 128.1875) (end 190.4125 128.8125) (width 0.1524) (layer Sig1-L3) (net 31))
+  (segment (start 190.4125 127.6625) (end 190.4125 128.1875) (width 0.1524) (layer Sig1-L3) (net 31))
+  (segment (start 171.6875 133.5125) (end 171.5875 133.6125) (width 0.1524) (layer TOP-L1) (net 31) (tstamp 5181E6DA))
+  (segment (start 175.3625 133.5125) (end 171.6875 133.5125) (width 0.1524) (layer TOP-L1) (net 31) (tstamp 5181E6D9))
+  (via (at 175.3625 133.5125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 31))
+  (segment (start 184.6875 133.5125) (end 175.3625 133.5125) (width 0.1524) (layer Sig1-L3) (net 31) (tstamp 5181E706))
+  (segment (start 171.4375 133.7625) (end 171.1875 133.7625) (width 0.1524) (layer TOP-L1) (net 31) (tstamp 5181E692))
+  (segment (start 171.5875 133.6125) (end 171.4375 133.7625) (width 0.1524) (layer TOP-L1) (net 31) (tstamp 5181E6DD))
+  (segment (start 165.7625 131.9875) (end 166.1625 132.3875) (width 0.1524) (layer TOP-L1) (net 31))
+  (segment (start 166.1625 132.3875) (end 166.1625 133.487598) (width 0.1524) (layer TOP-L1) (net 31) (tstamp 517CF1D0))
+  (segment (start 166.1625 133.487598) (end 166.437402 133.7625) (width 0.1524) (layer TOP-L1) (net 31) (tstamp 517CF1D6))
+  (segment (start 166.437402 133.7625) (end 171.1875 133.7625) (width 0.1524) (layer TOP-L1) (net 31) (tstamp 517CF1DB))
+  (segment (start 191.1625 122.9875) (end 191.5625 122.5875) (width 0.1524) (layer TOP-L1) (net 31) (tstamp 517CF234))
+  (via (at 191.1625 122.9875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 31))
+  (segment (start 170.2625 109.5875) (end 170.7375 109.5875) (width 0.1524) (layer TOP-L1) (net 32))
+  (segment (start 171.4875 108.8375) (end 171.4875 108.5625) (width 0.1524) (layer Sig1-L3) (net 32) (tstamp 517F12EC))
+  (segment (start 170.9375 109.3875) (end 171.4875 108.8375) (width 0.1524) (layer Sig1-L3) (net 32) (tstamp 517F12EB))
+  (via (at 170.9375 109.3875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 32))
+  (segment (start 170.7375 109.5875) (end 170.9375 109.3875) (width 0.1524) (layer TOP-L1) (net 32) (tstamp 517F12E4))
+  (segment (start 170.2625 109.5875) (end 169.3625 109.5875) (width 0.1524) (layer TOP-L1) (net 32) (tstamp 517F12E2))
+  (segment (start 172.3375 100.9625) (end 171.9125 100.9625) (width 0.1524) (layer TOP-L1) (net 32))
+  (segment (start 171.1625 101.7125) (end 171.1625 102.1625) (width 0.1524) (layer Sig1-L3) (net 32) (tstamp 517E315B))
+  (segment (start 171.4875 101.3875) (end 171.1625 101.7125) (width 0.1524) (layer Sig1-L3) (net 32) (tstamp 517E315A))
+  (via (at 171.4875 101.3875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 32))
+  (segment (start 171.9125 100.9625) (end 171.4875 101.3875) (width 0.1524) (layer TOP-L1) (net 32) (tstamp 517E3155))
+  (segment (start 171.1625 102.1625) (end 171.1625 107.6625) (width 0.1524) (layer Sig1-L3) (net 32) (tstamp 517E315E))
+  (segment (start 171.1625 107.6625) (end 171.4875 107.9875) (width 0.1524) (layer Sig1-L3) (net 32) (tstamp 517E309E))
+  (segment (start 171.4875 107.9875) (end 171.4875 108.5625) (width 0.1524) (layer Sig1-L3) (net 32) (tstamp 517E30A2))
+  (segment (start 186.7625 110.5875) (end 186.3625 110.1875) (width 0.1524) (layer TOP-L1) (net 32))
+  (segment (start 184.3875 100.9625) (end 172.3375 100.9625) (width 0.1524) (layer TOP-L1) (net 32) (tstamp 517E3072))
+  (segment (start 185.1375 101.7125) (end 184.3875 100.9625) (width 0.1524) (layer TOP-L1) (net 32) (tstamp 517E306B))
+  (segment (start 185.1375 109.7875) (end 185.1375 101.7125) (width 0.1524) (layer TOP-L1) (net 32) (tstamp 517E3068))
+  (segment (start 185.5375 110.1875) (end 185.1375 109.7875) (width 0.1524) (layer TOP-L1) (net 32) (tstamp 517E3057))
+  (segment (start 186.3625 110.1875) (end 185.5375 110.1875) (width 0.1524) (layer TOP-L1) (net 32) (tstamp 517E3054))
+  (segment (start 169.3625 109.5875) (end 168.9625 109.9875) (width 0.1524) (layer TOP-L1) (net 32) (tstamp 517E30AC))
+  (segment (start 182.6625 104.1375) (end 182.6625 103.9875) (width 0.1524) (layer TOP-L1) (net 33))
+  (segment (start 181.7875 103.1125) (end 181.7875 102.5875) (width 0.1524) (layer Sig1-L3) (net 33) (tstamp 5191AF35))
+  (segment (start 182.5125 103.8375) (end 181.7875 103.1125) (width 0.1524) (layer Sig1-L3) (net 33) (tstamp 5191AF34))
+  (via (at 182.5125 103.8375) (size 0.4572) (layers TOP-L1 BOT-L6) (net 33))
+  (segment (start 182.6625 103.9875) (end 182.5125 103.8375) (width 0.1524) (layer TOP-L1) (net 33) (tstamp 5191AF2B))
+  (segment (start 176.5375 101.5875) (end 176.5375 101.4125) (width 0.1524) (layer Sig1-L3) (net 33))
+  (segment (start 176.3875 101.2625) (end 176.2875 101.2625) (width 0.1524) (layer Sig1-L3) (net 33) (tstamp 517D0DE7))
+  (segment (start 176.5375 101.4125) (end 176.3875 101.2625) (width 0.1524) (layer Sig1-L3) (net 33) (tstamp 517D0DE6))
+  (segment (start 177.4125 101.5875) (end 177.4125 101.9125) (width 0.1524) (layer Sig1-L3) (net 33))
+  (segment (start 176.5375 101.9375) (end 176.5375 101.5875) (width 0.1524) (layer Sig1-L3) (net 33) (tstamp 517D0DDA))
+  (segment (start 176.7375 102.1375) (end 176.5375 101.9375) (width 0.1524) (layer Sig1-L3) (net 33) (tstamp 517D0DD9))
+  (segment (start 177.1875 102.1375) (end 176.7375 102.1375) (width 0.1524) (layer Sig1-L3) (net 33) (tstamp 517D0DD8))
+  (segment (start 177.4125 101.9125) (end 177.1875 102.1375) (width 0.1524) (layer Sig1-L3) (net 33) (tstamp 517D0DD7))
+  (segment (start 177.7375 101.1125) (end 177.6375 101.1125) (width 0.1524) (layer Sig1-L3) (net 33))
+  (segment (start 176.0375 101.2625) (end 175.9125 101.3875) (width 0.1524) (layer Sig1-L3) (net 33) (tstamp 517D0DC5))
+  (segment (start 176.2875 101.2625) (end 176.0375 101.2625) (width 0.1524) (layer Sig1-L3) (net 33) (tstamp 517D0DEA))
+  (segment (start 177.4125 101.3375) (end 177.4125 101.5875) (width 0.1524) (layer Sig1-L3) (net 33) (tstamp 517D0DBB))
+  (segment (start 177.6375 101.1125) (end 177.4125 101.3375) (width 0.1524) (layer Sig1-L3) (net 33) (tstamp 517D0DBA))
+  (segment (start 179.3125 101.1125) (end 179.1375 101.1125) (width 0.1524) (layer Sig1-L3) (net 33))
+  (segment (start 177.9125 101.1125) (end 177.7375 101.1125) (width 0.1524) (layer Sig1-L3) (net 33) (tstamp 517D0D79))
+  (segment (start 178.0875 101.2875) (end 177.9125 101.1125) (width 0.1524) (layer Sig1-L3) (net 33) (tstamp 517D0D78))
+  (segment (start 178.0875 101.8875) (end 178.0875 101.2875) (width 0.1524) (layer Sig1-L3) (net 33) (tstamp 517D0D77))
+  (segment (start 178.3875 102.1875) (end 178.0875 101.8875) (width 0.1524) (layer Sig1-L3) (net 33) (tstamp 517D0D76))
+  (segment (start 178.6875 102.1875) (end 178.3875 102.1875) (width 0.1524) (layer Sig1-L3) (net 33) (tstamp 517D0D75))
+  (segment (start 178.8875 101.9875) (end 178.6875 102.1875) (width 0.1524) (layer Sig1-L3) (net 33) (tstamp 517D0D74))
+  (segment (start 178.8875 101.3625) (end 178.8875 101.9875) (width 0.1524) (layer Sig1-L3) (net 33) (tstamp 517D0D73))
+  (segment (start 179.1375 101.1125) (end 178.8875 101.3625) (width 0.1524) (layer Sig1-L3) (net 33) (tstamp 517D0D72))
+  (segment (start 181.7875 102.2375) (end 181.7875 101.6375) (width 0.1524) (layer Sig1-L3) (net 33))
+  (segment (start 179.4875 101.1125) (end 179.3125 101.1125) (width 0.1524) (layer Sig1-L3) (net 33) (tstamp 517D0D67))
+  (segment (start 179.7625 101.3875) (end 179.4875 101.1125) (width 0.1524) (layer Sig1-L3) (net 33) (tstamp 517D0D65))
+  (segment (start 179.7625 101.8875) (end 179.7625 101.3875) (width 0.1524) (layer Sig1-L3) (net 33) (tstamp 517D0D64))
+  (segment (start 180.0125 102.1375) (end 179.7625 101.8875) (width 0.1524) (layer Sig1-L3) (net 33) (tstamp 517D0D63))
+  (segment (start 180.2625 102.1375) (end 180.0125 102.1375) (width 0.1524) (layer Sig1-L3) (net 33) (tstamp 517D0D61))
+  (segment (start 180.4625 101.9375) (end 180.2625 102.1375) (width 0.1524) (layer Sig1-L3) (net 33) (tstamp 517D0D5F))
+  (segment (start 180.4625 101.3625) (end 180.4625 101.9375) (width 0.1524) (layer Sig1-L3) (net 33) (tstamp 517D0D5D))
+  (segment (start 180.7125 101.1125) (end 180.4625 101.3625) (width 0.1524) (layer Sig1-L3) (net 33) (tstamp 517D0D5A))
+  (segment (start 181.2625 101.1125) (end 180.7125 101.1125) (width 0.1524) (layer Sig1-L3) (net 33) (tstamp 517D0D59))
+  (segment (start 181.7875 101.6375) (end 181.2625 101.1125) (width 0.1524) (layer Sig1-L3) (net 33) (tstamp 517D0D58))
+  (segment (start 186.7625 112.9875) (end 186.7125 112.9875) (width 0.1524) (layer TOP-L1) (net 33))
+  (segment (start 170.9625 105.9875) (end 169.7625 105.9875) (width 0.1524) (layer TOP-L1) (net 33) (tstamp 517D0D46))
+  (segment (start 171.2125 105.7375) (end 170.9625 105.9875) (width 0.1524) (layer TOP-L1) (net 33) (tstamp 517D0D44))
+  (segment (start 173.8875 105.7375) (end 171.2125 105.7375) (width 0.1524) (layer TOP-L1) (net 33) (tstamp 517D0D43))
+  (segment (start 174.3125 105.3125) (end 173.8875 105.7375) (width 0.1524) (layer TOP-L1) (net 33) (tstamp 517D0D42))
+  (via (at 174.3125 105.3125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 33))
+  (segment (start 174.3125 104.5625) (end 174.3125 105.3125) (width 0.1524) (layer Sig1-L3) (net 33) (tstamp 517D0D35))
+  (segment (start 175.6875 103.1875) (end 174.3125 104.5625) (width 0.1524) (layer Sig1-L3) (net 33) (tstamp 517D0D34))
+  (segment (start 175.6875 101.6125) (end 175.6875 103.1875) (width 0.1524) (layer Sig1-L3) (net 33) (tstamp 517D0D33))
+  (segment (start 175.9125 101.3875) (end 175.6875 101.6125) (width 0.1524) (layer Sig1-L3) (net 33) (tstamp 517D0DC8))
+  (segment (start 181.7875 102.5875) (end 181.7875 102.2375) (width 0.1524) (layer Sig1-L3) (net 33) (tstamp 5191AF38))
+  (segment (start 182.6625 110.9375) (end 182.6625 104.1375) (width 0.1524) (layer TOP-L1) (net 33) (tstamp 517D0D1A))
+  (segment (start 185.1125 113.3875) (end 182.6625 110.9375) (width 0.1524) (layer TOP-L1) (net 33) (tstamp 517D0D18))
+  (segment (start 186.3125 113.3875) (end 185.1125 113.3875) (width 0.1524) (layer TOP-L1) (net 33) (tstamp 517D0D17))
+  (segment (start 186.7125 112.9875) (end 186.3125 113.3875) (width 0.1524) (layer TOP-L1) (net 33) (tstamp 517D0D13))
+  (segment (start 190.6925 125.7125) (end 190.6925 125.1325) (width 0.1524) (layer TOP-L1) (net 34))
+  (segment (start 191.5625 124.1875) (end 191.5625 123.9375) (width 0.1524) (layer TOP-L1) (net 34) (tstamp 51888E1D))
+  (via (at 191.5625 124.1875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 34))
+  (segment (start 191.5625 124.2625) (end 191.5625 124.1875) (width 0.1524) (layer Sig1-L3) (net 34) (tstamp 51888E1B))
+  (segment (start 190.8625 124.9625) (end 191.5625 124.2625) (width 0.1524) (layer Sig1-L3) (net 34) (tstamp 51888E1A))
+  (via (at 190.8625 124.9625) (size 0.4572) (layers TOP-L1 BOT-L6) (net 34))
+  (segment (start 190.6925 125.1325) (end 190.8625 124.9625) (width 0.1524) (layer TOP-L1) (net 34) (tstamp 51888E17))
+  (segment (start 191.5625 123.8875) (end 191.5625 123.9375) (width 0.1524) (layer TOP-L1) (net 34))
+  (segment (start 191.5625 123.7275) (end 191.5625 123.8875) (width 0.1524) (layer TOP-L1) (net 34))
+  (segment (start 191.5625 123.7275) (end 191.5625 123.3875) (width 0.1524) (layer TOP-L1) (net 34) (tstamp 518378EC))
+  (segment (start 190.8725 126.6575) (end 191.0125 126.7975) (width 0.1524) (layer TOP-L1) (net 34))
+  (segment (start 191.0125 127.4775) (end 190.7625 127.7275) (width 0.1524) (layer TOP-L1) (net 34) (tstamp 5181E92F))
+  (segment (start 191.0125 126.7975) (end 191.0125 127.4775) (width 0.1524) (layer TOP-L1) (net 34) (tstamp 5181E927))
+  (segment (start 190.6925 126.2775) (end 190.6925 126.4775) (width 0.1524) (layer TOP-L1) (net 34))
+  (segment (start 190.6925 127.7975) (end 190.6925 127.8275) (width 0.1524) (layer TOP-L1) (net 34) (tstamp 5181E91A))
+  (segment (start 190.7625 127.7275) (end 190.6925 127.7975) (width 0.1524) (layer TOP-L1) (net 34) (tstamp 5181E935))
+  (segment (start 190.6925 126.4775) (end 190.8725 126.6575) (width 0.1524) (layer TOP-L1) (net 34) (tstamp 5181E912))
+  (segment (start 190.6925 126.1375) (end 190.6925 126.2775) (width 0.1524) (layer TOP-L1) (net 34))
+  (segment (start 183.6025 129.3275) (end 181.2325 129.3275) (width 0.1524) (layer TOP-L1) (net 34) (tstamp 5181E8E5))
+  (segment (start 185.0325 130.7575) (end 183.6025 129.3275) (width 0.1524) (layer TOP-L1) (net 34) (tstamp 5181E8E3))
+  (segment (start 188.7625 130.7575) (end 185.0325 130.7575) (width 0.1524) (layer TOP-L1) (net 34) (tstamp 5181E8DF))
+  (segment (start 190.6925 128.8275) (end 188.7625 130.7575) (width 0.1524) (layer TOP-L1) (net 34) (tstamp 5181E8CE))
+  (segment (start 190.6925 125.7125) (end 190.6925 126.1375) (width 0.1524) (layer TOP-L1) (net 34) (tstamp 51888E15))
+  (segment (start 190.6925 127.8275) (end 190.6925 128.8275) (width 0.1524) (layer TOP-L1) (net 34) (tstamp 5181E904))
+  (segment (start 181.2325 129.3275) (end 181.2225 129.3275) (width 0.1524) (layer TOP-L1) (net 34) (tstamp 5181E8ED))
+  (segment (start 164.1625 127.9875) (end 164.2525 127.9875) (width 0.1524) (layer TOP-L1) (net 34))
+  (segment (start 181.0925 129.3275) (end 181.2225 129.3275) (width 0.1524) (layer TOP-L1) (net 34) (tstamp 517CF37A))
+  (segment (start 180.7225 128.9575) (end 181.0925 129.3275) (width 0.1524) (layer TOP-L1) (net 34) (tstamp 517CF376))
+  (segment (start 175.9425 128.9575) (end 180.7225 128.9575) (width 0.1524) (layer TOP-L1) (net 34) (tstamp 517CF375))
+  (segment (start 175.4025 129.4975) (end 175.9425 128.9575) (width 0.1524) (layer TOP-L1) (net 34) (tstamp 517CF372))
+  (segment (start 165.7625 129.4975) (end 175.4025 129.4975) (width 0.1524) (layer TOP-L1) (net 34) (tstamp 517CF36F))
+  (segment (start 164.2525 127.9875) (end 165.7625 129.4975) (width 0.1524) (layer TOP-L1) (net 34) (tstamp 517CF36C))
+  (segment (start 188.7625 124.0375) (end 188.7625 124.7875) (width 0.1524) (layer TOP-L1) (net 35))
+  (segment (start 188.5375 125.0125) (end 188.3875 125.1625) (width 0.1524) (layer Sig1-L3) (net 35) (tstamp 5181EDDE))
+  (via (at 188.5375 125.0125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 35))
+  (segment (start 188.7625 124.7875) (end 188.5375 125.0125) (width 0.1524) (layer TOP-L1) (net 35) (tstamp 5181EDDC))
+  (segment (start 181.8125 131.7625) (end 180.8125 132.7625) (width 0.1524) (layer Sig1-L3) (net 35))
+  (segment (start 173.1625 134.0875) (end 172.9875 134.0875) (width 0.1524) (layer TOP-L1) (net 35) (tstamp 5181E6C8))
+  (via (at 173.1625 134.0875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 35))
+  (segment (start 173.9875 134.0875) (end 173.1625 134.0875) (width 0.1524) (layer Sig1-L3) (net 35) (tstamp 5181E6C5))
+  (segment (start 175.3125 132.7625) (end 173.9875 134.0875) (width 0.1524) (layer Sig1-L3) (net 35) (tstamp 5181E6C3))
+  (segment (start 180.8125 132.7625) (end 175.3125 132.7625) (width 0.1524) (layer Sig1-L3) (net 35) (tstamp 5181E6C1))
+  (segment (start 183.0625 129.9375) (end 183.0625 130.5125) (width 0.1524) (layer Sig1-L3) (net 35))
+  (segment (start 183.0625 130.5125) (end 181.8125 131.7625) (width 0.1524) (layer Sig1-L3) (net 35) (tstamp 5181DF58))
+  (segment (start 186.2125 126.5625) (end 186.0375 126.7375) (width 0.1524) (layer Sig1-L3) (net 35))
+  (segment (start 183.0625 126.6125) (end 183.0625 129.9375) (width 0.1524) (layer Sig1-L3) (net 35) (tstamp 5181DF44))
+  (segment (start 183.5375 126.1375) (end 183.0625 126.6125) (width 0.1524) (layer Sig1-L3) (net 35) (tstamp 5181DF43))
+  (segment (start 184.1375 126.1375) (end 183.5375 126.1375) (width 0.1524) (layer Sig1-L3) (net 35) (tstamp 5181DF41))
+  (segment (start 184.7375 126.7375) (end 184.1375 126.1375) (width 0.1524) (layer Sig1-L3) (net 35) (tstamp 5181DF39))
+  (segment (start 186.0375 126.7375) (end 184.7375 126.7375) (width 0.1524) (layer Sig1-L3) (net 35) (tstamp 5181DF38))
+  (segment (start 187.5125 125.2625) (end 186.2125 126.5625) (width 0.1524) (layer Sig1-L3) (net 35) (tstamp 5181DEF7))
+  (segment (start 188.2875 125.2625) (end 187.5125 125.2625) (width 0.1524) (layer Sig1-L3) (net 35) (tstamp 5181DEF6))
+  (segment (start 188.3875 125.1625) (end 188.2875 125.2625) (width 0.1524) (layer Sig1-L3) (net 35) (tstamp 5181EDE1))
+  (segment (start 164.1625 131.9875) (end 164.5625 132.3875) (width 0.1524) (layer TOP-L1) (net 35))
+  (segment (start 165.357402 134.0875) (end 172.9875 134.0875) (width 0.1524) (layer TOP-L1) (net 35) (tstamp 517C8AC0))
+  (segment (start 164.5625 133.292598) (end 165.357402 134.0875) (width 0.1524) (layer TOP-L1) (net 35) (tstamp 517C8ABE))
+  (segment (start 164.5625 132.3875) (end 164.5625 133.292598) (width 0.1524) (layer TOP-L1) (net 35) (tstamp 517C8AB9))
+  (segment (start 188.7625 122.9875) (end 189.1625 122.5875) (width 0.1524) (layer TOP-L1) (net 35) (tstamp 517C8B00))
+  (segment (start 188.7625 124.0375) (end 188.7625 122.9875) (width 0.1524) (layer TOP-L1) (net 35) (tstamp 5181EDDA))
+  (segment (start 183.4125 125.6125) (end 183.3125 125.7125) (width 0.1524) (layer TOP-L1) (net 36))
+  (segment (start 182.9625 125.7125) (end 182.8875 125.6375) (width 0.1524) (layer TOP-L1) (net 36) (tstamp 517CF8DC))
+  (segment (start 183.3125 125.7125) (end 182.9625 125.7125) (width 0.1524) (layer TOP-L1) (net 36) (tstamp 517CF8DB))
+  (segment (start 183.6375 125.3875) (end 183.4125 125.6125) (width 0.1524) (layer TOP-L1) (net 36) (tstamp 517CF8BB))
+  (segment (start 184.0375 125.3875) (end 183.6375 125.3875) (width 0.1524) (layer TOP-L1) (net 36) (tstamp 517CF8BA))
+  (segment (start 184.4875 125.7625) (end 184.4125 125.7625) (width 0.1524) (layer TOP-L1) (net 36))
+  (segment (start 184.4125 125.7625) (end 184.0375 125.3875) (width 0.1524) (layer TOP-L1) (net 36) (tstamp 517CF8B9))
+  (segment (start 186.7625 125.7625) (end 186.3875 125.7625) (width 0.1524) (layer TOP-L1) (net 36))
+  (segment (start 184.7375 125.7625) (end 184.5875 125.7625) (width 0.1524) (layer TOP-L1) (net 36) (tstamp 517CF8AF))
+  (segment (start 185.3125 125.1875) (end 184.7375 125.7625) (width 0.1524) (layer TOP-L1) (net 36) (tstamp 517CF8AD))
+  (segment (start 185.8125 125.1875) (end 185.3125 125.1875) (width 0.1524) (layer TOP-L1) (net 36) (tstamp 517CF8AC))
+  (segment (start 186.3875 125.7625) (end 185.8125 125.1875) (width 0.1524) (layer TOP-L1) (net 36) (tstamp 517CF8AB))
+  (segment (start 190.7625 122.5875) (end 190.3625 122.9875) (width 0.1524) (layer TOP-L1) (net 36))
+  (segment (start 188.9375 125.7625) (end 186.7625 125.7625) (width 0.1524) (layer TOP-L1) (net 36) (tstamp 517CF83A))
+  (segment (start 184.5875 125.7625) (end 184.4875 125.7625) (width 0.1524) (layer TOP-L1) (net 36) (tstamp 517CF8B2))
+  (segment (start 190.3625 124.3375) (end 188.9375 125.7625) (width 0.1524) (layer TOP-L1) (net 36) (tstamp 517CF833))
+  (segment (start 190.3625 122.9875) (end 190.3625 124.3375) (width 0.1524) (layer TOP-L1) (net 36) (tstamp 517CF831))
+  (segment (start 164.5625 126.7875) (end 164.9625 127.1875) (width 0.1524) (layer TOP-L1) (net 36) (tstamp 517CF884))
+  (via (at 164.5625 126.7875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 36))
+  (segment (start 164.5625 125.6875) (end 164.5625 126.7875) (width 0.1524) (layer Sig1-L3) (net 36) (tstamp 517CF87D))
+  (segment (start 164.0875 125.2125) (end 164.5625 125.6875) (width 0.1524) (layer Sig1-L3) (net 36) (tstamp 517CF87A))
+  (segment (start 163.3125 125.2125) (end 164.0875 125.2125) (width 0.1524) (layer Sig1-L3) (net 36) (tstamp 517CF879))
+  (segment (start 163.0625 124.9625) (end 163.3125 125.2125) (width 0.1524) (layer Sig1-L3) (net 36) (tstamp 517CF877))
+  (segment (start 163.0625 124.6875) (end 163.0625 124.9625) (width 0.1524) (layer Sig1-L3) (net 36) (tstamp 517CF876))
+  (segment (start 163.2375 124.5125) (end 163.0625 124.6875) (width 0.1524) (layer Sig1-L3) (net 36) (tstamp 517CF875))
+  (segment (start 164.3125 124.5125) (end 163.2375 124.5125) (width 0.1524) (layer Sig1-L3) (net 36) (tstamp 517CF86C))
+  (segment (start 164.4375 124.6375) (end 164.3125 124.5125) (width 0.1524) (layer Sig1-L3) (net 36) (tstamp 517CF86B))
+  (via (at 164.4375 124.6375) (size 0.4572) (layers TOP-L1 BOT-L6) (net 36))
+  (segment (start 171.0625 124.6375) (end 164.4375 124.6375) (width 0.1524) (layer TOP-L1) (net 36) (tstamp 517CF84B))
+  (segment (start 171.8875 125.4625) (end 171.0625 124.6375) (width 0.1524) (layer TOP-L1) (net 36) (tstamp 517CF845))
+  (segment (start 177.7625 125.4625) (end 171.8875 125.4625) (width 0.1524) (layer TOP-L1) (net 36) (tstamp 517CF844))
+  (segment (start 177.8875 125.3375) (end 177.7625 125.4625) (width 0.1524) (layer TOP-L1) (net 36) (tstamp 517CF840))
+  (segment (start 182.5875 125.3375) (end 177.8875 125.3375) (width 0.1524) (layer TOP-L1) (net 36) (tstamp 517CF83D))
+  (segment (start 182.8875 125.6375) (end 182.5875 125.3375) (width 0.1524) (layer TOP-L1) (net 36) (tstamp 517CF8DF))
+  (segment (start 183.0375 124.7125) (end 182.4375 124.7125) (width 0.1524) (layer Sig1-L3) (net 37))
+  (segment (start 180.9875 126.1625) (end 180.9875 126.5625) (width 0.1524) (layer Sig1-L3) (net 37) (tstamp 5199F6A3))
+  (segment (start 182.4375 124.7125) (end 180.9875 126.1625) (width 0.1524) (layer Sig1-L3) (net 37) (tstamp 5199F6A2))
+  (segment (start 180.4625 131.4875) (end 179.6375 132.3125) (width 0.1524) (layer Sig1-L3) (net 37))
+  (segment (start 180.9875 130.9625) (end 180.4625 131.4875) (width 0.1524) (layer Sig1-L3) (net 37) (tstamp 5181E668))
+  (segment (start 179.6375 132.3125) (end 174.1125 132.3125) (width 0.1524) (layer Sig1-L3) (net 37) (tstamp 5181E6AE))
+  (segment (start 180.9875 130.6375) (end 180.9875 130.9625) (width 0.1524) (layer Sig1-L3) (net 37))
+  (segment (start 180.9875 130.6625) (end 180.9875 130.6375) (width 0.1524) (layer Sig1-L3) (net 37))
+  (segment (start 165.7625 134.8125) (end 165.3625 134.8125) (width 0.1524) (layer Sig1-L3) (net 37))
+  (segment (start 163.7625 133.6875) (end 163.7625 133.4125) (width 0.1524) (layer TOP-L1) (net 37) (tstamp 5191CB5C))
+  (segment (start 164.2625 134.1875) (end 163.7625 133.6875) (width 0.1524) (layer TOP-L1) (net 37) (tstamp 5191CB5B))
+  (via (at 164.2625 134.1875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 37))
+  (segment (start 164.7375 134.1875) (end 164.2625 134.1875) (width 0.1524) (layer Sig1-L3) (net 37) (tstamp 5191CB57))
+  (segment (start 165.3625 134.8125) (end 164.7375 134.1875) (width 0.1524) (layer Sig1-L3) (net 37) (tstamp 5191CB43))
+  (segment (start 171.6125 134.8125) (end 171.4125 134.8125) (width 0.1524) (layer Sig1-L3) (net 37) (tstamp 5181E6B2))
+  (segment (start 174.1125 132.3125) (end 171.6125 134.8125) (width 0.1524) (layer Sig1-L3) (net 37) (tstamp 5181E6B0))
+  (segment (start 163.7625 133.4125) (end 163.7625 133.2375) (width 0.1524) (layer TOP-L1) (net 37) (tstamp 5191CB5F))
+  (segment (start 171.4125 134.8125) (end 165.7625 134.8125) (width 0.1524) (layer Sig1-L3) (net 37) (tstamp 5181E6B5))
+  (segment (start 180.9875 126.5625) (end 180.9875 126.4875) (width 0.1524) (layer Sig1-L3) (net 37) (tstamp 5199F6A6))
+  (segment (start 180.9875 126.4875) (end 180.9875 130.6625) (width 0.1524) (layer Sig1-L3) (net 37) (tstamp 5181DE0E))
+  (segment (start 187.5625 123.7125) (end 187.5625 123.8625) (width 0.1524) (layer TOP-L1) (net 37))
+  (segment (start 163.7625 131.5875) (end 163.7625 132.9875) (width 0.1524) (layer TOP-L1) (net 37) (tstamp 51764F40))
+  (segment (start 163.7625 132.9875) (end 163.7625 133.2375) (width 0.1524) (layer TOP-L1) (net 37))
+  (segment (start 164.1625 131.1875) (end 163.7625 131.5875) (width 0.1524) (layer TOP-L1) (net 37))
+  (segment (start 186.7125 124.7125) (end 183.0375 124.7125) (width 0.1524) (layer Sig1-L3) (net 37) (tstamp 5181DDC0))
+  (segment (start 187.1125 124.3125) (end 186.7125 124.7125) (width 0.1524) (layer Sig1-L3) (net 37) (tstamp 5181DDBF))
+  (via (at 187.1125 124.3125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 37))
+  (segment (start 187.5625 123.8625) (end 187.1125 124.3125) (width 0.1524) (layer TOP-L1) (net 37) (tstamp 5181DDBC))
+  (segment (start 187.5625 123.7125) (end 187.5625 123.3875) (width 0.1524) (layer TOP-L1) (net 37) (tstamp 5181DDBA))
+  (segment (start 180.3125 131.0375) (end 179.4875 131.8625) (width 0.1524) (layer Sig1-L3) (net 38))
+  (segment (start 171.3375 134.3625) (end 170.5125 134.3625) (width 0.1524) (layer Sig1-L3) (net 38) (tstamp 5181E6A5))
+  (segment (start 173.8375 131.8625) (end 171.3375 134.3625) (width 0.1524) (layer Sig1-L3) (net 38) (tstamp 5181E6A3))
+  (segment (start 179.4875 131.8625) (end 173.8375 131.8625) (width 0.1524) (layer Sig1-L3) (net 38) (tstamp 5181E69A))
+  (segment (start 179.4375 126.9625) (end 179.5625 126.9625) (width 0.1524) (layer Sig1-L3) (net 38))
+  (segment (start 180.5125 127.9125) (end 180.5125 128.1375) (width 0.1524) (layer Sig1-L3) (net 38) (tstamp 517C70B0))
+  (segment (start 179.5625 126.9625) (end 180.5125 127.9125) (width 0.1524) (layer Sig1-L3) (net 38) (tstamp 517C70AF))
+  (segment (start 179.1125 126.9625) (end 179.4375 126.9625) (width 0.1524) (layer Sig1-L3) (net 38))
+  (segment (start 180.5125 128.1375) (end 180.5125 128.2625) (width 0.1524) (layer Sig1-L3) (net 38) (tstamp 517C70B3))
+  (segment (start 178.3375 126.0125) (end 178.3375 126.7125) (width 0.1524) (layer Sig1-L3) (net 38))
+  (segment (start 178.5875 126.9625) (end 179.1125 126.9625) (width 0.1524) (layer Sig1-L3) (net 38) (tstamp 517C7095))
+  (segment (start 178.3375 126.7125) (end 178.5875 126.9625) (width 0.1524) (layer Sig1-L3) (net 38) (tstamp 517C7092))
+  (segment (start 178.3375 125.7125) (end 178.3375 126.0125) (width 0.1524) (layer Sig1-L3) (net 38))
+  (segment (start 179.1875 122.9875) (end 178.8375 122.9875) (width 0.1524) (layer TOP-L1) (net 38))
+  (segment (start 178.3375 123.4875) (end 178.3375 125.7125) (width 0.1524) (layer Sig1-L3) (net 38) (tstamp 517C7061))
+  (via (at 178.3375 123.4875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 38))
+  (segment (start 178.8375 122.9875) (end 178.3375 123.4875) (width 0.1524) (layer TOP-L1) (net 38) (tstamp 517C705D))
+  (segment (start 170.5125 134.3625) (end 168.0625 134.3625) (width 0.1524) (layer Sig1-L3) (net 38) (tstamp 5181E6A9))
+  (segment (start 186.3625 122.9875) (end 179.1875 122.9875) (width 0.1524) (layer TOP-L1) (net 38) (tstamp 517C6F8E))
+  (segment (start 180.5125 128.2625) (end 180.5125 130.8375) (width 0.1524) (layer Sig1-L3) (net 38) (tstamp 517C70AA))
+  (segment (start 180.5125 130.8375) (end 180.3125 131.0375) (width 0.1524) (layer Sig1-L3) (net 38) (tstamp 517C6FBA))
+  (segment (start 186.7625 122.5875) (end 186.3625 122.9875) (width 0.1524) (layer TOP-L1) (net 38))
+  (segment (start 167.7625 131.5875) (end 167.3625 131.1875) (width 0.1524) (layer TOP-L1) (net 38) (tstamp 517C7027))
+  (via (at 167.7625 131.5875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 38))
+  (segment (start 167.7625 134.0625) (end 167.7625 131.5875) (width 0.1524) (layer Sig1-L3) (net 38) (tstamp 517C701A))
+  (segment (start 168.0625 134.3625) (end 167.7625 134.0625) (width 0.1524) (layer Sig1-L3) (net 38) (tstamp 517C7011))
+  (segment (start 166.5375 122.9875) (end 166.4125 122.9875) (width 0.1524) (layer TOP-L1) (net 39))
+  (segment (start 165.6625 122.2375) (end 165.6625 121.9875) (width 0.1524) (layer TOP-L1) (net 39) (tstamp 517CF62D))
+  (segment (start 166.4125 122.9875) (end 165.6625 122.2375) (width 0.1524) (layer TOP-L1) (net 39) (tstamp 517CF62C))
+  (segment (start 165.6625 121.9875) (end 165.6625 121.0875) (width 0.1524) (layer TOP-L1) (net 39) (tstamp 517CF631))
+  (segment (start 165.6625 121.0875) (end 165.9125 120.8375) (width 0.1524) (layer TOP-L1) (net 39) (tstamp 517CF604))
+  (segment (start 166.1625 120.5875) (end 166.4375 120.5875) (width 0.1524) (layer TOP-L1) (net 39) (tstamp 517CF5F6))
+  (segment (start 165.9125 120.8375) (end 166.1625 120.5875) (width 0.1524) (layer TOP-L1) (net 39) (tstamp 517CF60A))
+  (segment (start 168.1625 127.9875) (end 168.5625 127.5875) (width 0.1524) (layer TOP-L1) (net 39))
+  (segment (start 176.6125 120.9875) (end 185.9625 120.9875) (width 0.1524) (layer TOP-L1) (net 39) (tstamp 517CF5CD))
+  (segment (start 173.7875 123.8125) (end 176.6125 120.9875) (width 0.1524) (layer TOP-L1) (net 39) (tstamp 517CF5CB))
+  (segment (start 173.2875 123.8125) (end 173.7875 123.8125) (width 0.1524) (layer TOP-L1) (net 39) (tstamp 517CF5CA))
+  (segment (start 172.9625 123.4875) (end 173.2875 123.8125) (width 0.1524) (layer TOP-L1) (net 39) (tstamp 517CF5C7))
+  (segment (start 172.9625 121.8375) (end 172.9625 123.4875) (width 0.1524) (layer TOP-L1) (net 39) (tstamp 517CF5C4))
+  (segment (start 171.7125 120.5875) (end 172.9625 121.8375) (width 0.1524) (layer TOP-L1) (net 39) (tstamp 517CF5A8))
+  (segment (start 166.4375 120.5875) (end 171.7125 120.5875) (width 0.1524) (layer TOP-L1) (net 39) (tstamp 517CF5F9))
+  (segment (start 169.3625 122.9875) (end 166.5375 122.9875) (width 0.1524) (layer TOP-L1) (net 39) (tstamp 517CF59A))
+  (segment (start 169.8125 123.4375) (end 169.3625 122.9875) (width 0.1524) (layer TOP-L1) (net 39) (tstamp 517CF599))
+  (via (at 169.8125 123.4375) (size 0.4572) (layers TOP-L1 BOT-L6) (net 39))
+  (segment (start 169.8125 124.9375) (end 169.8125 123.4375) (width 0.1524) (layer Sig1-L3) (net 39) (tstamp 517CF594))
+  (segment (start 169.3625 125.3875) (end 169.8125 124.9375) (width 0.1524) (layer Sig1-L3) (net 39) (tstamp 517CF584))
+  (segment (start 169.3625 126.7875) (end 169.3625 125.3875) (width 0.1524) (layer Sig1-L3) (net 39) (tstamp 517CF57F))
+  (segment (start 168.5625 127.5875) (end 169.3625 126.7875) (width 0.1524) (layer Sig1-L3) (net 39) (tstamp 517CF57E))
+  (via (at 168.5625 127.5875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 39))
+  (segment (start 221.6125 97.6875) (end 221.7875 97.6875) (width 0.1524) (layer Sig1-L3) (net 40))
+  (segment (start 222.6375 96.8375) (end 222.6375 96.5875) (width 0.1524) (layer Sig1-L3) (net 40) (tstamp 5190B1CA))
+  (segment (start 221.7875 97.6875) (end 222.6375 96.8375) (width 0.1524) (layer Sig1-L3) (net 40) (tstamp 5190B1BD))
+  (segment (start 221.1625 97.6875) (end 221.6125 97.6875) (width 0.1524) (layer Sig1-L3) (net 40))
+  (segment (start 222.6375 95.7125) (end 220.7375 93.8125) (width 0.1524) (layer Sig1-L3) (net 40) (tstamp 5188EE0F))
+  (segment (start 222.6375 96.5875) (end 222.6375 95.7125) (width 0.1524) (layer Sig1-L3) (net 40) (tstamp 5190B1CD))
+  (segment (start 204.6825 87.715) (end 205.535 87.715) (width 0.1524) (layer TOP-L1) (net 40))
+  (segment (start 216.3125 89.3875) (end 220.7375 93.8125) (width 0.1524) (layer Sig1-L3) (net 40) (tstamp 5188E43D))
+  (segment (start 207.2125 89.3875) (end 216.3125 89.3875) (width 0.1524) (layer Sig1-L3) (net 40) (tstamp 5188E432))
+  (segment (start 205.5375 87.7125) (end 207.2125 89.3875) (width 0.1524) (layer Sig1-L3) (net 40) (tstamp 5188E431))
+  (via (at 205.5375 87.7125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 40))
+  (segment (start 205.535 87.715) (end 205.5375 87.7125) (width 0.1524) (layer TOP-L1) (net 40) (tstamp 5188E429))
+  (segment (start 221.1625 97.6875) (end 221.1875 97.6625) (width 0.1524) (layer Sig1-L3) (net 40) (tstamp 5188EE0A))
+  (segment (start 221.177 97.673) (end 220.41406 97.673) (width 0.1524) (layer TOP-L1) (net 40))
+  (via (at 221.1875 97.6625) (size 0.4572) (layers TOP-L1 BOT-L6) (net 40))
+  (segment (start 221.177 97.673) (end 221.1875 97.6625) (width 0.1524) (layer TOP-L1) (net 40) (tstamp 5188D39D))
+  (segment (start 209.0875 130.4875) (end 209.0875 136.2375) (width 0.1524) (layer TOP-L1) (net 41))
+  (segment (start 201.3125 144.3375) (end 201.3119 144.3375) (width 0.1524) (layer TOP-L1) (net 41) (tstamp 51833019))
+  (segment (start 201.3125 144.1125) (end 201.3125 144.3375) (width 0.1524) (layer TOP-L1) (net 41) (tstamp 51833017))
+  (via (at 201.3125 144.1125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 41))
+  (segment (start 201.3125 144.0125) (end 201.3125 144.1125) (width 0.1524) (layer Sig1-L3) (net 41) (tstamp 51833015))
+  (segment (start 207.7375 137.5875) (end 201.3125 144.0125) (width 0.1524) (layer Sig1-L3) (net 41) (tstamp 51833014))
+  (via (at 207.7375 137.5875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 41))
+  (segment (start 209.0875 136.2375) (end 207.7375 137.5875) (width 0.1524) (layer TOP-L1) (net 41) (tstamp 5183300D))
+  (segment (start 203.5625 116.1875) (end 205.8625 116.1875) (width 0.1524) (layer TOP-L1) (net 41))
+  (segment (start 201.3119 144.3375) (end 201.3119 145.0299) (width 0.1524) (layer TOP-L1) (net 41) (tstamp 5183301A))
+  (segment (start 209.0875 119.4125) (end 209.0875 130.4875) (width 0.1524) (layer TOP-L1) (net 41) (tstamp 51832E4C))
+  (segment (start 205.8625 116.1875) (end 209.0875 119.4125) (width 0.1524) (layer TOP-L1) (net 41) (tstamp 51832E4A))
+  (segment (start 199.3375 142.8125) (end 199.3375 142.9125) (width 0.1524) (layer Sig1-L3) (net 42))
+  (segment (start 199.3125 143.7125) (end 199.3119 143.7125) (width 0.1524) (layer TOP-L1) (net 42) (tstamp 5191B6D9))
+  (segment (start 199.3125 142.9375) (end 199.3125 143.7125) (width 0.1524) (layer TOP-L1) (net 42) (tstamp 5191B6D7))
+  (segment (start 199.3375 142.9125) (end 199.3125 142.9375) (width 0.1524) (layer TOP-L1) (net 42) (tstamp 5191B6D6))
+  (via (at 199.3375 142.9125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 42))
+  (segment (start 208.6375 129.9875) (end 208.6375 135.9125) (width 0.1524) (layer TOP-L1) (net 42))
+  (segment (start 199.3375 142.6625) (end 199.3375 142.8125) (width 0.1524) (layer Sig1-L3) (net 42) (tstamp 51833027))
+  (segment (start 200.5125 141.4875) (end 199.3375 142.6625) (width 0.1524) (layer Sig1-L3) (net 42) (tstamp 51833025))
+  (segment (start 203.0625 141.4875) (end 200.5125 141.4875) (width 0.1524) (layer Sig1-L3) (net 42) (tstamp 51833023))
+  (segment (start 208.0125 136.5375) (end 203.0625 141.4875) (width 0.1524) (layer Sig1-L3) (net 42) (tstamp 51833022))
+  (via (at 208.0125 136.5375) (size 0.4572) (layers TOP-L1 BOT-L6) (net 42))
+  (segment (start 208.6375 135.9125) (end 208.0125 136.5375) (width 0.1524) (layer TOP-L1) (net 42) (tstamp 5183301F))
+  (segment (start 202.7625 116.1875) (end 203.1625 116.5875) (width 0.1524) (layer TOP-L1) (net 42))
+  (segment (start 199.3119 143.7125) (end 199.3119 145.0299) (width 0.1524) (layer TOP-L1) (net 42) (tstamp 5191B6DA))
+  (segment (start 208.6375 119.6375) (end 208.6375 129.9875) (width 0.1524) (layer TOP-L1) (net 42) (tstamp 51832D18))
+  (segment (start 205.5875 116.5875) (end 208.6375 119.6375) (width 0.1524) (layer TOP-L1) (net 42) (tstamp 51832D12))
+  (segment (start 203.1625 116.5875) (end 205.5875 116.5875) (width 0.1524) (layer TOP-L1) (net 42) (tstamp 51832D10))
+  (segment (start 200.8125 144.1375) (end 200.8125 143.3625) (width 0.1524) (layer TOP-L1) (net 43))
+  (segment (start 200.8125 143.3625) (end 200.8125 143.2125) (width 0.1524) (layer Sig2-L4) (net 43) (tstamp 5191B6EA))
+  (via (at 200.8125 143.3625) (size 0.4572) (layers TOP-L1 BOT-L6) (net 43))
+  (segment (start 200.8125 143.1375) (end 200.8125 143.2125) (width 0.1524) (layer Sig2-L4) (net 43))
+  (segment (start 205.4125 116.9875) (end 208.2875 119.8625) (width 0.1524) (layer TOP-L1) (net 43) (tstamp 51832CD6))
+  (segment (start 208.2875 119.8625) (end 208.2875 129.5375) (width 0.1524) (layer TOP-L1) (net 43) (tstamp 51832CE8))
+  (segment (start 203.5625 116.9875) (end 205.4125 116.9875) (width 0.1524) (layer TOP-L1) (net 43))
+  (segment (start 208.2875 135.3875) (end 207.8875 135.7875) (width 0.1524) (layer TOP-L1) (net 43) (tstamp 5183302E))
+  (via (at 207.8875 135.7875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 43))
+  (segment (start 207.8875 135.7875) (end 200.8125 142.8625) (width 0.1524) (layer Sig2-L4) (net 43) (tstamp 51833030))
+  (segment (start 200.8125 142.8625) (end 200.8125 143.1375) (width 0.1524) (layer Sig2-L4) (net 43) (tstamp 51833031))
+  (segment (start 208.2875 129.5375) (end 208.2875 135.3875) (width 0.1524) (layer TOP-L1) (net 43))
+  (segment (start 200.8125 144.4775) (end 200.8119 144.4775) (width 0.1524) (layer TOP-L1) (net 43) (tstamp 51908AF1))
+  (segment (start 200.8125 144.1375) (end 200.8125 144.4775) (width 0.1524) (layer TOP-L1) (net 43) (tstamp 5191B6E6))
+  (segment (start 200.8119 144.4775) (end 200.8119 145.0299) (width 0.1524) (layer TOP-L1) (net 43) (tstamp 51908AF2))
+  (segment (start 199.8119 144.2625) (end 199.8119 143.5631) (width 0.1524) (layer TOP-L1) (net 44))
+  (segment (start 199.8125 143.5625) (end 199.8125 143.1625) (width 0.1524) (layer Sig2-L4) (net 44) (tstamp 5191B6E0))
+  (via (at 199.8125 143.5625) (size 0.4572) (layers TOP-L1 BOT-L6) (net 44))
+  (segment (start 199.8119 143.5631) (end 199.8125 143.5625) (width 0.1524) (layer TOP-L1) (net 44) (tstamp 5191B6DE))
+  (segment (start 199.8119 144.3875) (end 199.8119 144.2625) (width 0.1524) (layer TOP-L1) (net 44))
+  (segment (start 207.7375 129.0875) (end 207.7375 134.8375) (width 0.1524) (layer TOP-L1) (net 44))
+  (segment (start 203.1625 117.3875) (end 205.2625 117.3875) (width 0.1524) (layer TOP-L1) (net 44) (tstamp 51832C70))
+  (segment (start 205.2625 117.3875) (end 207.7375 119.8625) (width 0.1524) (layer TOP-L1) (net 44) (tstamp 51832C75))
+  (segment (start 207.7375 119.8625) (end 207.7375 129.0875) (width 0.1524) (layer TOP-L1) (net 44) (tstamp 51832C7C))
+  (segment (start 202.7625 116.9875) (end 203.1625 117.3875) (width 0.1524) (layer TOP-L1) (net 44))
+  (via (at 207.7375 134.8375) (size 0.4572) (layers TOP-L1 BOT-L6) (net 44))
+  (segment (start 207.7375 134.8375) (end 199.8125 142.7625) (width 0.1524) (layer Sig2-L4) (net 44) (tstamp 5183303B))
+  (segment (start 199.8125 142.7625) (end 199.8125 143.1625) (width 0.1524) (layer Sig2-L4) (net 44) (tstamp 5183303C))
+  (segment (start 199.8119 144.3875) (end 199.8119 145.0299) (width 0.1524) (layer TOP-L1) (net 44) (tstamp 51908AF4))
+  (segment (start 203.9125 119.7875) (end 204.2625 119.7875) (width 0.1524) (layer TOP-L1) (net 45))
+  (segment (start 204.8725 144.3975) (end 204.8759 144.3975) (width 0.1524) (layer TOP-L1) (net 45) (tstamp 5185B6FE))
+  (segment (start 204.8725 142.7875) (end 204.8725 144.3975) (width 0.1524) (layer TOP-L1) (net 45) (tstamp 5185B6FA))
+  (segment (start 205.2325 142.4275) (end 204.8725 142.7875) (width 0.1524) (layer TOP-L1) (net 45) (tstamp 5185B6F4))
+  (segment (start 205.2325 120.7575) (end 205.2325 142.4275) (width 0.1524) (layer TOP-L1) (net 45) (tstamp 5185B6F0))
+  (segment (start 204.2625 119.7875) (end 205.2325 120.7575) (width 0.1524) (layer TOP-L1) (net 45) (tstamp 5185B6EF))
+  (segment (start 202.7625 119.3875) (end 203.1625 119.7875) (width 0.1524) (layer TOP-L1) (net 45))
+  (segment (start 204.8759 144.3975) (end 204.8759 144.9918) (width 0.1524) (layer TOP-L1) (net 45) (tstamp 5185B6FF))
+  (segment (start 203.1625 119.7875) (end 203.9125 119.7875) (width 0.1524) (layer TOP-L1) (net 45) (tstamp 51832BB0))
+  (segment (start 205.3759 144.3475) (end 205.3759 142.7341) (width 0.1524) (layer TOP-L1) (net 46))
+  (segment (start 204.286626 119.3875) (end 203.5625 119.3875) (width 0.1524) (layer TOP-L1) (net 46) (tstamp 5185B70A))
+  (segment (start 205.532402 120.633276) (end 204.286626 119.3875) (width 0.1524) (layer TOP-L1) (net 46) (tstamp 5185B705))
+  (segment (start 205.532402 142.577598) (end 205.532402 120.633276) (width 0.1524) (layer TOP-L1) (net 46) (tstamp 5185B704))
+  (segment (start 205.3759 142.7341) (end 205.532402 142.577598) (width 0.1524) (layer TOP-L1) (net 46) (tstamp 5185B703))
+  (segment (start 205.3759 144.5575) (end 205.3759 144.3475) (width 0.1524) (layer TOP-L1) (net 46))
+  (segment (start 205.3759 144.9918) (end 205.3759 144.5575) (width 0.1524) (layer TOP-L1) (net 46))
+  (segment (start 207.1875 144.3625) (end 207.1859 144.3625) (width 0.1524) (layer TOP-L1) (net 47) (tstamp 51832FE6))
+  (segment (start 207.1875 142.7875) (end 207.1875 144.3625) (width 0.1524) (layer TOP-L1) (net 47) (tstamp 51832FE3))
+  (segment (start 202.7625 118.5875) (end 203.1625 118.9875) (width 0.1524) (layer TOP-L1) (net 47))
+  (segment (start 207.1859 144.3625) (end 207.1859 144.9537) (width 0.1524) (layer TOP-L1) (net 47) (tstamp 51832FE7))
+  (segment (start 203.1625 118.9875) (end 204.688374 118.9875) (width 0.1524) (layer TOP-L1) (net 47) (tstamp 51832B42))
+  (segment (start 206.262598 141.862598) (end 207.1875 142.7875) (width 0.1524) (layer TOP-L1) (net 47) (tstamp 51832FE2))
+  (segment (start 204.688374 118.9875) (end 206.262598 120.561724) (width 0.1524) (layer TOP-L1) (net 47) (tstamp 51832B7A))
+  (segment (start 206.262598 131.5125) (end 206.262598 141.862598) (width 0.1524) (layer TOP-L1) (net 47))
+  (segment (start 206.262598 120.561724) (end 206.262598 131.5125) (width 0.1524) (layer TOP-L1) (net 47) (tstamp 51832B7B))
+  (segment (start 206.5625 131.2375) (end 206.5625 141.738374) (width 0.1524) (layer TOP-L1) (net 48))
+  (segment (start 207.6859 144.1109) (end 207.6859 144.9537) (width 0.1524) (layer TOP-L1) (net 48) (tstamp 51832FEF))
+  (segment (start 207.487402 143.912402) (end 207.6859 144.1109) (width 0.1524) (layer TOP-L1) (net 48) (tstamp 51832FEE))
+  (segment (start 207.487402 142.663276) (end 207.487402 143.912402) (width 0.1524) (layer TOP-L1) (net 48) (tstamp 51832FEC))
+  (segment (start 206.5625 141.738374) (end 207.487402 142.663276) (width 0.1524) (layer TOP-L1) (net 48) (tstamp 51832FEB))
+  (segment (start 204.7125 118.5875) (end 203.5625 118.5875) (width 0.1524) (layer TOP-L1) (net 48) (tstamp 51832B3E))
+  (segment (start 206.5625 120.4375) (end 204.7125 118.5875) (width 0.1524) (layer TOP-L1) (net 48) (tstamp 51832B38))
+  (segment (start 206.5625 131.2375) (end 206.5625 120.4375) (width 0.1524) (layer TOP-L1) (net 48) (tstamp 51832FE9))
+  (segment (start 206.987598 129.5875) (end 206.987598 140.787598) (width 0.1524) (layer TOP-L1) (net 49))
+  (segment (start 208.6875 144.2875) (end 208.6859 144.2875) (width 0.1524) (layer TOP-L1) (net 49) (tstamp 51832FF9))
+  (segment (start 208.6875 142.4875) (end 208.6875 144.2875) (width 0.1524) (layer TOP-L1) (net 49) (tstamp 51832FF6))
+  (segment (start 206.987598 140.787598) (end 208.6875 142.4875) (width 0.1524) (layer TOP-L1) (net 49) (tstamp 51832FF4))
+  (segment (start 202.7625 117.7875) (end 203.1625 118.1875) (width 0.1524) (layer TOP-L1) (net 49))
+  (segment (start 208.6859 144.2875) (end 208.6859 144.9537) (width 0.1524) (layer TOP-L1) (net 49) (tstamp 51832FFA))
+  (segment (start 206.987598 120.211724) (end 206.987598 129.5875) (width 0.1524) (layer TOP-L1) (net 49) (tstamp 51832B05))
+  (segment (start 204.963374 118.1875) (end 206.987598 120.211724) (width 0.1524) (layer TOP-L1) (net 49) (tstamp 51832B02))
+  (segment (start 203.1625 118.1875) (end 204.963374 118.1875) (width 0.1524) (layer TOP-L1) (net 49) (tstamp 51832AFA))
+  (segment (start 207.2875 131.1875) (end 207.2875 140.6625) (width 0.1524) (layer TOP-L1) (net 50))
+  (segment (start 209.1875 144.4625) (end 209.1859 144.4625) (width 0.1524) (layer TOP-L1) (net 50) (tstamp 51833008))
+  (segment (start 209.1875 144.2875) (end 209.1875 144.4625) (width 0.1524) (layer TOP-L1) (net 50) (tstamp 51833006))
+  (segment (start 208.987402 144.087402) (end 209.1875 144.2875) (width 0.1524) (layer TOP-L1) (net 50) (tstamp 51833005))
+  (segment (start 208.987402 142.362402) (end 208.987402 144.087402) (width 0.1524) (layer TOP-L1) (net 50) (tstamp 51833003))
+  (segment (start 207.2875 140.6625) (end 208.987402 142.362402) (width 0.1524) (layer TOP-L1) (net 50) (tstamp 51833001))
+  (segment (start 209.1859 144.9537) (end 209.1859 144.4625) (width 0.1524) (layer TOP-L1) (net 50))
+  (segment (start 204.9875 117.7875) (end 203.5625 117.7875) (width 0.1524) (layer TOP-L1) (net 50) (tstamp 51832AF5))
+  (segment (start 207.2875 120.0875) (end 204.9875 117.7875) (width 0.1524) (layer TOP-L1) (net 50) (tstamp 51832AED))
+  (segment (start 207.2875 131.1875) (end 207.2875 120.0875) (width 0.1524) (layer TOP-L1) (net 50) (tstamp 51832FFF))
+  (segment (start 204.5125 131.8475) (end 204.5125 141.6275) (width 0.1524) (layer TOP-L1) (net 51))
+  (segment (start 203.3725 144.1775) (end 203.3759 144.1775) (width 0.1524) (layer TOP-L1) (net 51) (tstamp 5185B6D5))
+  (segment (start 203.3725 142.7675) (end 203.3725 144.1775) (width 0.1524) (layer TOP-L1) (net 51) (tstamp 5185B6D1))
+  (segment (start 204.5125 141.6275) (end 203.3725 142.7675) (width 0.1524) (layer TOP-L1) (net 51) (tstamp 5185B6CB))
+  (segment (start 203.9225 120.5875) (end 204.0025 120.5875) (width 0.1524) (layer TOP-L1) (net 51))
+  (segment (start 204.5125 121.0975) (end 204.5125 131.8475) (width 0.1524) (layer TOP-L1) (net 51) (tstamp 5185B683))
+  (segment (start 204.0025 120.5875) (end 204.5125 121.0975) (width 0.1524) (layer TOP-L1) (net 51) (tstamp 5185B682))
+  (segment (start 202.7625 120.1875) (end 203.1625 120.5875) (width 0.1524) (layer TOP-L1) (net 51))
+  (segment (start 203.3759 144.1775) (end 203.3759 144.9918) (width 0.1524) (layer TOP-L1) (net 51) (tstamp 5185B6D6))
+  (segment (start 203.1625 120.5875) (end 203.9225 120.5875) (width 0.1524) (layer TOP-L1) (net 51) (tstamp 51832BFB))
+  (segment (start 203.8759 144.9918) (end 203.8759 142.6941) (width 0.1524) (layer TOP-L1) (net 52))
+  (segment (start 204.0525 120.1875) (end 203.5625 120.1875) (width 0.1524) (layer TOP-L1) (net 52) (tstamp 5185B6E2))
+  (segment (start 204.812402 120.947402) (end 204.0525 120.1875) (width 0.1524) (layer TOP-L1) (net 52) (tstamp 5185B6DA))
+  (segment (start 204.812402 141.757598) (end 204.812402 120.947402) (width 0.1524) (layer TOP-L1) (net 52) (tstamp 5185B6D9))
+  (segment (start 203.8759 142.6941) (end 204.812402 141.757598) (width 0.1524) (layer TOP-L1) (net 52) (tstamp 5185B6D8))
+  (segment (start 193.1625 106.5875) (end 192.7625 106.1875) (width 0.1524) (layer TOP-L1) (net 53))
+  (segment (start 191.3325 91.8575) (end 191.3325 87.0825) (width 0.1524) (layer TOP-L1) (net 53) (tstamp 518377F3))
+  (segment (start 192.3125 92.8375) (end 191.3325 91.8575) (width 0.1524) (layer TOP-L1) (net 53) (tstamp 518377EE))
+  (segment (start 192.3125 104.1125) (end 192.3125 92.8375) (width 0.1524) (layer TOP-L1) (net 53) (tstamp 518377EA))
+  (segment (start 192.7625 104.5625) (end 192.3125 104.1125) (width 0.1524) (layer TOP-L1) (net 53) (tstamp 518377E8))
+  (segment (start 192.7625 106.1875) (end 192.7625 104.5625) (width 0.1524) (layer TOP-L1) (net 53) (tstamp 518377E3))
+  (segment (start 192.3625 106.5875) (end 191.9625 106.1875) (width 0.1524) (layer TOP-L1) (net 54))
+  (segment (start 188.7925 91.0425) (end 188.7925 87.0825) (width 0.1524) (layer TOP-L1) (net 54) (tstamp 518377B7))
+  (segment (start 191.5375 93.7875) (end 188.7925 91.0425) (width 0.1524) (layer TOP-L1) (net 54) (tstamp 518377AF))
+  (segment (start 191.5375 104.5625) (end 191.5375 93.7875) (width 0.1524) (layer TOP-L1) (net 54) (tstamp 518377AC))
+  (segment (start 191.9625 104.9875) (end 191.5375 104.5625) (width 0.1524) (layer TOP-L1) (net 54) (tstamp 518377A9))
+  (segment (start 191.9625 106.1875) (end 191.9625 104.9875) (width 0.1524) (layer TOP-L1) (net 54) (tstamp 518377A2))
+  (segment (start 188.7925 84.5425) (end 188.7925 84.6925) (width 0.1524) (layer TOP-L1) (net 55))
+  (segment (start 192.3625 104.7375) (end 192.3625 105.3375) (width 0.1524) (layer TOP-L1) (net 55) (tstamp 518377C5))
+  (segment (start 191.9125 104.2875) (end 192.3625 104.7375) (width 0.1524) (layer TOP-L1) (net 55) (tstamp 518377C1))
+  (segment (start 191.9125 93.6125) (end 191.9125 104.2875) (width 0.1524) (layer TOP-L1) (net 55) (tstamp 518377C0))
+  (segment (start 190.1375 91.8375) (end 191.9125 93.6125) (width 0.1524) (layer TOP-L1) (net 55) (tstamp 518377BE))
+  (segment (start 190.1375 86.0375) (end 190.1375 91.8375) (width 0.1524) (layer TOP-L1) (net 55) (tstamp 518377BC))
+  (segment (start 188.7925 84.6925) (end 190.1375 86.0375) (width 0.1524) (layer TOP-L1) (net 55) (tstamp 518377BB))
+  (segment (start 192.3625 105.7875) (end 192.3625 105.3375) (width 0.1524) (layer TOP-L1) (net 55))
+  (segment (start 191.3325 84.5425) (end 191.3925 84.5425) (width 0.1524) (layer TOP-L1) (net 56))
+  (segment (start 193.1625 104.3125) (end 193.1625 104.7375) (width 0.1524) (layer TOP-L1) (net 56) (tstamp 51837802))
+  (segment (start 192.7875 103.9375) (end 193.1625 104.3125) (width 0.1524) (layer TOP-L1) (net 56) (tstamp 518377FF))
+  (segment (start 192.7875 92.6125) (end 192.7875 103.9375) (width 0.1524) (layer TOP-L1) (net 56) (tstamp 518377FE))
+  (segment (start 192.6375 92.4625) (end 192.7875 92.6125) (width 0.1524) (layer TOP-L1) (net 56) (tstamp 518377FA))
+  (segment (start 192.6375 85.7875) (end 192.6375 92.4625) (width 0.1524) (layer TOP-L1) (net 56) (tstamp 518377F8))
+  (segment (start 191.3925 84.5425) (end 192.6375 85.7875) (width 0.1524) (layer TOP-L1) (net 56) (tstamp 518377F7))
+  (segment (start 193.1625 105.7875) (end 193.1625 104.7375) (width 0.1524) (layer TOP-L1) (net 56))
+  (segment (start 178.5125 131.8875) (end 180.2875 131.8875) (width 0.1524) (layer Sig2-L4) (net 57))
+  (segment (start 184.9125 131.2125) (end 188.1375 127.9875) (width 0.1524) (layer Sig2-L4) (net 57) (tstamp 5191EBE1))
+  (segment (start 180.9625 131.2125) (end 184.9125 131.2125) (width 0.1524) (layer Sig2-L4) (net 57) (tstamp 5191EBDF))
+  (segment (start 180.2875 131.8875) (end 180.9625 131.2125) (width 0.1524) (layer Sig2-L4) (net 57) (tstamp 5191EBDB))
+  (segment (start 190.5875 122.7625) (end 191.9625 121.3875) (width 0.1524) (layer Sig2-L4) (net 57) (tstamp 5191EB95))
+  (segment (start 190.5875 124.2875) (end 190.5875 122.7625) (width 0.1524) (layer Sig2-L4) (net 57) (tstamp 5191EB8F))
+  (segment (start 190.2125 124.6625) (end 190.5875 124.2875) (width 0.1524) (layer Sig2-L4) (net 57) (tstamp 5191EB8E))
+  (segment (start 190.2125 125.9125) (end 190.2125 124.6625) (width 0.1524) (layer Sig2-L4) (net 57) (tstamp 5191EB89))
+  (segment (start 188.1375 127.9875) (end 190.2125 125.9125) (width 0.1524) (layer Sig2-L4) (net 57) (tstamp 5191EBE5))
+  (segment (start 169.4625 139.3875) (end 169.4625 139.4125) (width 0.1524) (layer Sig2-L4) (net 57))
+  (via (at 191.9625 121.3875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 57))
+  (segment (start 192.3625 120.9875) (end 191.9625 121.3875) (width 0.1524) (layer TOP-L1) (net 57))
+  (segment (start 169.4625 139.4125) (end 167.1625 141.7125) (width 0.1524) (layer Sig2-L4) (net 57) (tstamp 5187B397))
+  (segment (start 167.1625 141.7125) (end 164.3875 141.7125) (width 0.1524) (layer Sig2-L4) (net 57) (tstamp 5187B398))
+  (segment (start 164.3875 141.7125) (end 163.5375 140.8625) (width 0.1524) (layer Sig2-L4) (net 57) (tstamp 5187B39A))
+  (segment (start 163.5375 140.8625) (end 163.5375 136.0875) (width 0.1524) (layer Sig2-L4) (net 57) (tstamp 5187B39B))
+  (segment (start 163.5375 136.0875) (end 163.8875 135.7375) (width 0.1524) (layer Sig2-L4) (net 57) (tstamp 5187B39D))
+  (segment (start 163.8875 135.7375) (end 169.5375 135.7375) (width 0.1524) (layer Sig2-L4) (net 57) (tstamp 5187B39E))
+  (segment (start 169.5375 135.7375) (end 173.3875 131.8875) (width 0.1524) (layer Sig2-L4) (net 57) (tstamp 5187B39F))
+  (segment (start 173.3875 131.8875) (end 178.5125 131.8875) (width 0.1524) (layer Sig2-L4) (net 57) (tstamp 5187B3A1))
+  (segment (start 193.4625 139.3875) (end 193.4625 139.2625) (width 0.1524) (layer TOP-L1) (net 58))
+  (segment (start 196.7625 122.9875) (end 197.1625 122.5875) (width 0.1524) (layer TOP-L1) (net 58) (tstamp 5187B342))
+  (segment (start 196.7625 132.6625) (end 196.7625 122.9875) (width 0.1524) (layer TOP-L1) (net 58) (tstamp 5187B340))
+  (segment (start 192.5125 136.9125) (end 196.7625 132.6625) (width 0.1524) (layer TOP-L1) (net 58) (tstamp 5187B33E))
+  (segment (start 192.5125 138.3125) (end 192.5125 136.9125) (width 0.1524) (layer TOP-L1) (net 58) (tstamp 5187B33C))
+  (segment (start 193.4625 139.2625) (end 192.5125 138.3125) (width 0.1524) (layer TOP-L1) (net 58) (tstamp 5187B33B))
+  (segment (start 197.1625 123.3875) (end 197.1625 133.6875) (width 0.1524) (layer TOP-L1) (net 59))
+  (segment (start 197.1625 133.6875) (end 193.4625 137.3875) (width 0.1524) (layer TOP-L1) (net 59) (tstamp 5187B337))
+  (segment (start 184.1375 138.7125) (end 184.4875 138.3625) (width 0.1524) (layer Sig2-L4) (net 60))
+  (segment (start 184.4875 138.3625) (end 184.4875 137.0625) (width 0.1524) (layer Sig2-L4) (net 60) (tstamp 51888CE5))
+  (segment (start 185.0875 136.4625) (end 186.5125 136.4625) (width 0.1524) (layer Sig2-L4) (net 60) (tstamp 51888CE8))
+  (segment (start 184.4875 137.0625) (end 185.0875 136.4625) (width 0.1524) (layer Sig2-L4) (net 60) (tstamp 51888CE6))
+  (segment (start 186.5125 136.4625) (end 187.0375 135.9375) (width 0.1524) (layer Sig2-L4) (net 60) (tstamp 51888CEA))
+  (segment (start 184.1625 138.6875) (end 184.1375 138.7125) (width 0.1524) (layer Sig2-L4) (net 60))
+  (segment (start 197.9625 125.0125) (end 197.9625 122.1875) (width 0.1524) (layer Sig2-L4) (net 60) (tstamp 51888CD3))
+  (segment (start 186.5125 136.4625) (end 187.0375 135.9375) (width 0.1524) (layer Sig2-L4) (net 60) (tstamp 51888CD1))
+  (segment (start 187.0375 135.9375) (end 197.9625 125.0125) (width 0.1524) (layer Sig2-L4) (net 60) (tstamp 51888CED))
+  (segment (start 183.4625 139.3875) (end 184.1625 138.6875) (width 0.1524) (layer Sig2-L4) (net 60))
+  (segment (start 197.5625 121.3875) (end 197.1625 120.9875) (width 0.1524) (layer TOP-L1) (net 60) (tstamp 5187B3DC))
+  (via (at 197.5625 121.3875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 60))
+  (segment (start 197.9625 121.7875) (end 197.5625 121.3875) (width 0.1524) (layer Sig2-L4) (net 60) (tstamp 5187B3DA))
+  (segment (start 197.9625 122.1875) (end 197.9625 121.7875) (width 0.1524) (layer Sig2-L4) (net 60) (tstamp 51888CD7))
+  (segment (start 197.5625 122.2375) (end 197.5625 124.8625) (width 0.1524) (layer Sig2-L4) (net 61))
+  (segment (start 184.8125 136.0375) (end 183.4625 137.3875) (width 0.1524) (layer Sig2-L4) (net 61) (tstamp 51888CDF))
+  (segment (start 186.3875 136.0375) (end 184.8125 136.0375) (width 0.1524) (layer Sig2-L4) (net 61) (tstamp 51888CDD))
+  (segment (start 197.5625 124.8625) (end 186.3875 136.0375) (width 0.1524) (layer Sig2-L4) (net 61) (tstamp 51888CDB))
+  (segment (start 197.1625 121.7875) (end 197.5625 122.1875) (width 0.1524) (layer TOP-L1) (net 61))
+  (segment (start 197.5625 122.1875) (end 197.5625 122.2375) (width 0.1524) (layer Sig2-L4) (net 61) (tstamp 5187B3E1))
+  (via (at 197.5625 122.1875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 61))
+  (segment (start 182.4875 138.0625) (end 182.4875 136.9875) (width 0.1524) (layer Sig2-L4) (net 62))
+  (segment (start 197.1625 124.7875) (end 197.1625 122.1125) (width 0.1524) (layer Sig2-L4) (net 62) (tstamp 51888CF8))
+  (segment (start 186.2875 135.6625) (end 197.1625 124.7875) (width 0.1524) (layer Sig2-L4) (net 62) (tstamp 51888CF6))
+  (segment (start 183.8125 135.6625) (end 186.2875 135.6625) (width 0.1524) (layer Sig2-L4) (net 62) (tstamp 51888CF4))
+  (segment (start 182.4875 136.9875) (end 183.8125 135.6625) (width 0.1524) (layer Sig2-L4) (net 62) (tstamp 51888CF2))
+  (segment (start 197.1625 121.7875) (end 196.9625 121.5875) (width 0.1524) (layer Sig2-L4) (net 62) (tstamp 5187B3FA))
+  (segment (start 197.1625 122.1125) (end 197.1625 121.7875) (width 0.1524) (layer Sig2-L4) (net 62) (tstamp 51888CFC))
+  (segment (start 181.4625 139.3875) (end 182.4875 138.3625) (width 0.1524) (layer Sig2-L4) (net 62))
+  (segment (start 196.7625 121.3875) (end 196.3625 120.9875) (width 0.1524) (layer TOP-L1) (net 62) (tstamp 5187B3F3))
+  (via (at 196.7625 121.3875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 62))
+  (segment (start 196.9625 121.5875) (end 196.7625 121.3875) (width 0.1524) (layer Sig2-L4) (net 62) (tstamp 5187B3FD))
+  (segment (start 182.4875 138.3625) (end 182.4875 138.0625) (width 0.1524) (layer Sig2-L4) (net 62) (tstamp 5187B3E8))
+  (segment (start 181.4625 137.3875) (end 181.4625 137.3625) (width 0.1524) (layer Sig2-L4) (net 63))
+  (segment (start 196.7625 122.1875) (end 196.3625 121.7875) (width 0.1524) (layer TOP-L1) (net 63) (tstamp 51888D05))
+  (via (at 196.7625 122.1875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 63))
+  (segment (start 196.7625 124.6875) (end 196.7625 122.1875) (width 0.1524) (layer Sig2-L4) (net 63) (tstamp 51888D02))
+  (segment (start 186.2125 135.2375) (end 196.7625 124.6875) (width 0.1524) (layer Sig2-L4) (net 63) (tstamp 51888D01))
+  (segment (start 183.5875 135.2375) (end 186.2125 135.2375) (width 0.1524) (layer Sig2-L4) (net 63) (tstamp 51888CFF))
+  (segment (start 181.4625 137.3625) (end 183.5875 135.2375) (width 0.1524) (layer Sig2-L4) (net 63) (tstamp 51888CFE))
+  (segment (start 195.5625 120.9875) (end 195.9625 121.3875) (width 0.1524) (layer TOP-L1) (net 64))
+  (segment (start 180.5375 138.3125) (end 179.4625 139.3875) (width 0.1524) (layer Sig2-L4) (net 64) (tstamp 51888D44))
+  (segment (start 180.5375 136.8125) (end 180.5375 138.3125) (width 0.1524) (layer Sig2-L4) (net 64) (tstamp 51888D42))
+  (segment (start 182.5125 134.8375) (end 180.5375 136.8125) (width 0.1524) (layer Sig2-L4) (net 64) (tstamp 51888D40))
+  (segment (start 186.1125 134.8375) (end 182.5125 134.8375) (width 0.1524) (layer Sig2-L4) (net 64) (tstamp 51888D3E))
+  (segment (start 196.3625 124.5875) (end 186.1125 134.8375) (width 0.1524) (layer Sig2-L4) (net 64) (tstamp 51888D3C))
+  (segment (start 196.3625 121.7875) (end 196.3625 124.5875) (width 0.1524) (layer Sig2-L4) (net 64) (tstamp 51888D3B))
+  (segment (start 195.9625 121.3875) (end 196.3625 121.7875) (width 0.1524) (layer Sig2-L4) (net 64) (tstamp 51888D3A))
+  (via (at 195.9625 121.3875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 64))
+  (segment (start 179.4625 137.3875) (end 179.4625 137.2625) (width 0.1524) (layer Sig2-L4) (net 65))
+  (segment (start 195.9625 122.1875) (end 195.5625 121.7875) (width 0.1524) (layer TOP-L1) (net 65) (tstamp 51888D50))
+  (via (at 195.9625 122.1875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 65))
+  (segment (start 195.9625 124.4375) (end 195.9625 122.1875) (width 0.1524) (layer Sig2-L4) (net 65) (tstamp 51888D4D))
+  (segment (start 185.9875 134.4125) (end 195.9625 124.4375) (width 0.1524) (layer Sig2-L4) (net 65) (tstamp 51888D4B))
+  (segment (start 182.3125 134.4125) (end 185.9875 134.4125) (width 0.1524) (layer Sig2-L4) (net 65) (tstamp 51888D49))
+  (segment (start 179.4625 137.2625) (end 182.3125 134.4125) (width 0.1524) (layer Sig2-L4) (net 65) (tstamp 51888D48))
+  (segment (start 177.4625 139.3875) (end 178.4625 138.3875) (width 0.1524) (layer Sig2-L4) (net 66))
+  (segment (start 195.1625 121.3875) (end 194.7625 120.9875) (width 0.1524) (layer TOP-L1) (net 66) (tstamp 51888D62))
+  (via (at 195.1625 121.3875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 66))
+  (segment (start 195.5625 121.7875) (end 195.1625 121.3875) (width 0.1524) (layer Sig2-L4) (net 66) (tstamp 51888D60))
+  (segment (start 195.5625 124.2875) (end 195.5625 121.7875) (width 0.1524) (layer Sig2-L4) (net 66) (tstamp 51888D5E))
+  (segment (start 185.7875 134.0625) (end 195.5625 124.2875) (width 0.1524) (layer Sig2-L4) (net 66) (tstamp 51888D5D))
+  (segment (start 182.1625 134.0625) (end 185.7875 134.0625) (width 0.1524) (layer Sig2-L4) (net 66) (tstamp 51888D5B))
+  (segment (start 179.9125 136.3125) (end 182.1625 134.0625) (width 0.1524) (layer Sig2-L4) (net 66) (tstamp 51888D5A))
+  (segment (start 179.1125 136.3125) (end 179.9125 136.3125) (width 0.1524) (layer Sig2-L4) (net 66) (tstamp 51888D58))
+  (segment (start 178.4625 136.9625) (end 179.1125 136.3125) (width 0.1524) (layer Sig2-L4) (net 66) (tstamp 51888D56))
+  (segment (start 178.4625 138.3875) (end 178.4625 136.9625) (width 0.1524) (layer Sig2-L4) (net 66) (tstamp 51888D54))
+  (segment (start 194.7625 121.7875) (end 195.1625 122.1875) (width 0.1524) (layer TOP-L1) (net 67))
+  (segment (start 178.9625 135.8875) (end 177.4625 137.3875) (width 0.1524) (layer Sig2-L4) (net 67) (tstamp 51888D6F))
+  (segment (start 179.8125 135.8875) (end 178.9625 135.8875) (width 0.1524) (layer Sig2-L4) (net 67) (tstamp 51888D6D))
+  (segment (start 181.9875 133.7125) (end 179.8125 135.8875) (width 0.1524) (layer Sig2-L4) (net 67) (tstamp 51888D6B))
+  (segment (start 185.5875 133.7125) (end 181.9875 133.7125) (width 0.1524) (layer Sig2-L4) (net 67) (tstamp 51888D69))
+  (segment (start 195.1625 124.1375) (end 185.5875 133.7125) (width 0.1524) (layer Sig2-L4) (net 67) (tstamp 51888D68))
+  (segment (start 195.1625 122.1875) (end 195.1625 124.1375) (width 0.1524) (layer Sig2-L4) (net 67) (tstamp 51888D67))
+  (via (at 195.1625 122.1875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 67))
+  (segment (start 175.4625 139.3875) (end 175.5375 139.3875) (width 0.1524) (layer Sig2-L4) (net 68))
+  (segment (start 194.3625 121.3875) (end 193.9625 120.9875) (width 0.1524) (layer TOP-L1) (net 68) (tstamp 51888D83))
+  (via (at 194.3625 121.3875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 68))
+  (segment (start 194.7625 121.7875) (end 194.3625 121.3875) (width 0.1524) (layer Sig2-L4) (net 68) (tstamp 51888D81))
+  (segment (start 194.7625 123.9625) (end 194.7625 121.7875) (width 0.1524) (layer Sig2-L4) (net 68) (tstamp 51888D7F))
+  (segment (start 185.4375 133.2875) (end 194.7625 123.9625) (width 0.1524) (layer Sig2-L4) (net 68) (tstamp 51888D7E))
+  (segment (start 181.8375 133.2875) (end 185.4375 133.2875) (width 0.1524) (layer Sig2-L4) (net 68) (tstamp 51888D7C))
+  (segment (start 179.6875 135.4375) (end 181.8375 133.2875) (width 0.1524) (layer Sig2-L4) (net 68) (tstamp 51888D7A))
+  (segment (start 178.0375 135.4375) (end 179.6875 135.4375) (width 0.1524) (layer Sig2-L4) (net 68) (tstamp 51888D78))
+  (segment (start 176.5125 136.9625) (end 178.0375 135.4375) (width 0.1524) (layer Sig2-L4) (net 68) (tstamp 51888D76))
+  (segment (start 176.5125 138.4125) (end 176.5125 136.9625) (width 0.1524) (layer Sig2-L4) (net 68) (tstamp 51888D74))
+  (segment (start 175.5375 139.3875) (end 176.5125 138.4125) (width 0.1524) (layer Sig2-L4) (net 68) (tstamp 51888D73))
+  (segment (start 193.9625 121.7875) (end 194.3625 122.1875) (width 0.1524) (layer TOP-L1) (net 69))
+  (segment (start 175.6125 137.3875) (end 175.4625 137.3875) (width 0.1524) (layer Sig2-L4) (net 69) (tstamp 51888D90))
+  (segment (start 177.9375 135.0625) (end 175.6125 137.3875) (width 0.1524) (layer Sig2-L4) (net 69) (tstamp 51888D8F))
+  (segment (start 179.5625 135.0625) (end 177.9375 135.0625) (width 0.1524) (layer Sig2-L4) (net 69) (tstamp 51888D8D))
+  (segment (start 181.6875 132.9375) (end 179.5625 135.0625) (width 0.1524) (layer Sig2-L4) (net 69) (tstamp 51888D8C))
+  (segment (start 185.3125 132.9375) (end 181.6875 132.9375) (width 0.1524) (layer Sig2-L4) (net 69) (tstamp 51888D8A))
+  (segment (start 194.3625 123.8875) (end 185.3125 132.9375) (width 0.1524) (layer Sig2-L4) (net 69) (tstamp 51888D89))
+  (segment (start 194.3625 122.1875) (end 194.3625 123.8875) (width 0.1524) (layer Sig2-L4) (net 69) (tstamp 51888D88))
+  (via (at 194.3625 122.1875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 69))
+  (segment (start 191.4625 139.3875) (end 191.4625 139.1625) (width 0.1524) (layer TOP-L1) (net 70))
+  (segment (start 195.9625 122.9875) (end 196.3625 122.5875) (width 0.1524) (layer TOP-L1) (net 70) (tstamp 5187B357))
+  (segment (start 195.9625 131.5875) (end 195.9625 122.9875) (width 0.1524) (layer TOP-L1) (net 70) (tstamp 5187B355))
+  (segment (start 190.5125 137.0375) (end 195.9625 131.5875) (width 0.1524) (layer TOP-L1) (net 70) (tstamp 5187B353))
+  (segment (start 190.5125 138.2125) (end 190.5125 137.0375) (width 0.1524) (layer TOP-L1) (net 70) (tstamp 5187B351))
+  (segment (start 191.4625 139.1625) (end 190.5125 138.2125) (width 0.1524) (layer TOP-L1) (net 70) (tstamp 5187B350))
+  (segment (start 173.4625 139.3875) (end 173.6125 139.3875) (width 0.1524) (layer Sig2-L4) (net 71))
+  (segment (start 193.5625 121.3875) (end 193.1625 120.9875) (width 0.1524) (layer TOP-L1) (net 71) (tstamp 51888DA8))
+  (via (at 193.5625 121.3875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 71))
+  (segment (start 193.9625 121.7875) (end 193.5625 121.3875) (width 0.1524) (layer Sig2-L4) (net 71) (tstamp 51888DA6))
+  (segment (start 193.9625 123.7375) (end 193.9625 121.7875) (width 0.1524) (layer Sig2-L4) (net 71) (tstamp 51888DA2))
+  (segment (start 185.1375 132.5625) (end 193.9625 123.7375) (width 0.1524) (layer Sig2-L4) (net 71) (tstamp 51888DA0))
+  (segment (start 181.5625 132.5625) (end 185.1375 132.5625) (width 0.1524) (layer Sig2-L4) (net 71) (tstamp 51888D9E))
+  (segment (start 179.4625 134.6625) (end 181.5625 132.5625) (width 0.1524) (layer Sig2-L4) (net 71) (tstamp 51888D9D))
+  (segment (start 177.8125 134.6625) (end 179.4625 134.6625) (width 0.1524) (layer Sig2-L4) (net 71) (tstamp 51888D9C))
+  (segment (start 176.0375 136.4375) (end 177.8125 134.6625) (width 0.1524) (layer Sig2-L4) (net 71) (tstamp 51888D9A))
+  (segment (start 175.0875 136.4375) (end 176.0375 136.4375) (width 0.1524) (layer Sig2-L4) (net 71) (tstamp 51888D99))
+  (segment (start 174.5125 137.0125) (end 175.0875 136.4375) (width 0.1524) (layer Sig2-L4) (net 71) (tstamp 51888D98))
+  (segment (start 174.5125 138.4875) (end 174.5125 137.0125) (width 0.1524) (layer Sig2-L4) (net 71) (tstamp 51888D96))
+  (segment (start 173.6125 139.3875) (end 174.5125 138.4875) (width 0.1524) (layer Sig2-L4) (net 71) (tstamp 51888D95))
+  (segment (start 193.1625 121.7875) (end 193.5625 122.1875) (width 0.1524) (layer TOP-L1) (net 72))
+  (segment (start 174.8125 136.0375) (end 173.4625 137.3875) (width 0.1524) (layer Sig2-L4) (net 72) (tstamp 51888DDC))
+  (segment (start 175.8625 136.0375) (end 174.8125 136.0375) (width 0.1524) (layer Sig2-L4) (net 72) (tstamp 51888DDB))
+  (segment (start 177.5875 134.3125) (end 175.8625 136.0375) (width 0.1524) (layer Sig2-L4) (net 72) (tstamp 51888DD9))
+  (segment (start 179.2875 134.3125) (end 177.5875 134.3125) (width 0.1524) (layer Sig2-L4) (net 72) (tstamp 51888DD7))
+  (segment (start 181.4125 132.1875) (end 179.2875 134.3125) (width 0.1524) (layer Sig2-L4) (net 72) (tstamp 51888DD6))
+  (segment (start 185.0125 132.1875) (end 181.4125 132.1875) (width 0.1524) (layer Sig2-L4) (net 72) (tstamp 51888DD4))
+  (segment (start 193.5625 123.6375) (end 185.0125 132.1875) (width 0.1524) (layer Sig2-L4) (net 72) (tstamp 51888DD3))
+  (segment (start 193.5625 123.3125) (end 193.5625 123.6375) (width 0.1524) (layer Sig2-L4) (net 72) (tstamp 51888DD2))
+  (segment (start 193.5625 122.1875) (end 193.5625 123.3125) (width 0.1524) (layer Sig2-L4) (net 72) (tstamp 51888DD1))
+  (via (at 193.5625 122.1875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 72))
+  (segment (start 171.4625 139.3875) (end 171.4375 139.3875) (width 0.1524) (layer TOP-L1) (net 73))
+  (segment (start 192.7625 122.9875) (end 193.1625 122.5875) (width 0.1524) (layer TOP-L1) (net 73) (tstamp 51888E85))
+  (segment (start 192.7625 130.0375) (end 192.7625 122.9875) (width 0.1524) (layer TOP-L1) (net 73) (tstamp 51888E83))
+  (segment (start 189.1125 133.6875) (end 192.7625 130.0375) (width 0.1524) (layer TOP-L1) (net 73) (tstamp 51888E82))
+  (segment (start 186.4375 133.6875) (end 189.1125 133.6875) (width 0.1524) (layer TOP-L1) (net 73) (tstamp 51888E80))
+  (segment (start 184.0875 136.0375) (end 186.4375 133.6875) (width 0.1524) (layer TOP-L1) (net 73) (tstamp 51888E7E))
+  (segment (start 171.5625 136.0375) (end 184.0875 136.0375) (width 0.1524) (layer TOP-L1) (net 73) (tstamp 51888E7C))
+  (segment (start 170.5375 137.0625) (end 171.5625 136.0375) (width 0.1524) (layer TOP-L1) (net 73) (tstamp 51888E7A))
+  (segment (start 170.5375 138.4875) (end 170.5375 137.0625) (width 0.1524) (layer TOP-L1) (net 73) (tstamp 51888E78))
+  (segment (start 171.4375 139.3875) (end 170.5375 138.4875) (width 0.1524) (layer TOP-L1) (net 73) (tstamp 51888E77))
+  (segment (start 172.1625 136.6875) (end 172.3875 136.4625) (width 0.1524) (layer TOP-L1) (net 74))
+  (segment (start 186.6875 134.0875) (end 187.5875 134.0875) (width 0.1524) (layer TOP-L1) (net 74) (tstamp 51888E70))
+  (segment (start 184.3125 136.4625) (end 186.6875 134.0875) (width 0.1524) (layer TOP-L1) (net 74) (tstamp 51888E6E))
+  (segment (start 172.3875 136.4625) (end 184.3125 136.4625) (width 0.1524) (layer TOP-L1) (net 74) (tstamp 51888E6D))
+  (segment (start 193.1625 123.3875) (end 193.1625 130.1875) (width 0.1524) (layer TOP-L1) (net 74))
+  (segment (start 189.2625 134.0875) (end 187.5875 134.0875) (width 0.1524) (layer TOP-L1) (net 74) (tstamp 51888E65))
+  (segment (start 193.1625 130.1875) (end 189.2625 134.0875) (width 0.1524) (layer TOP-L1) (net 74) (tstamp 51888E63))
+  (segment (start 172.1625 136.6875) (end 171.4625 137.3875) (width 0.1524) (layer TOP-L1) (net 74) (tstamp 51888E6B))
+  (segment (start 196.3625 123.3875) (end 196.3625 132.4875) (width 0.1524) (layer TOP-L1) (net 75))
+  (segment (start 196.3625 132.4875) (end 191.4625 137.3875) (width 0.1524) (layer TOP-L1) (net 75) (tstamp 5187B34C))
+  (segment (start 195.1625 129.1675) (end 195.1625 131.1275) (width 0.1524) (layer TOP-L1) (net 76))
+  (segment (start 188.8825 136.6475) (end 188.8825 136.6425) (width 0.1524) (layer TOP-L1) (net 76) (tstamp 51888E2F))
+  (segment (start 189.2625 136.2675) (end 188.8825 136.6475) (width 0.1524) (layer TOP-L1) (net 76) (tstamp 51888E2D))
+  (segment (start 190.0225 136.2675) (end 189.2625 136.2675) (width 0.1524) (layer TOP-L1) (net 76) (tstamp 51888E2B))
+  (segment (start 195.1625 131.1275) (end 190.0225 136.2675) (width 0.1524) (layer TOP-L1) (net 76) (tstamp 51888E29))
+  (segment (start 189.4625 139.3875) (end 188.5875 138.5125) (width 0.1524) (layer TOP-L1) (net 76))
+  (segment (start 188.5875 136.9375) (end 188.8825 136.6425) (width 0.1524) (layer TOP-L1) (net 76) (tstamp 5187B360))
+  (segment (start 188.5875 138.5125) (end 188.5875 136.9375) (width 0.1524) (layer TOP-L1) (net 76) (tstamp 5187B35F))
+  (segment (start 195.1625 122.9875) (end 195.5625 122.5875) (width 0.1524) (layer TOP-L1) (net 76) (tstamp 5187B363))
+  (segment (start 195.1625 129.1675) (end 195.1625 122.9875) (width 0.1524) (layer TOP-L1) (net 76) (tstamp 51888E27))
+  (segment (start 195.5625 123.3875) (end 195.5625 131.3125) (width 0.1524) (layer TOP-L1) (net 77))
+  (segment (start 189.4875 137.3875) (end 189.4625 137.3875) (width 0.1524) (layer TOP-L1) (net 77) (tstamp 5187B35C))
+  (segment (start 195.5625 131.3125) (end 189.4875 137.3875) (width 0.1524) (layer TOP-L1) (net 77) (tstamp 5187B35A))
+  (segment (start 194.3625 128.6375) (end 194.3625 130.7625) (width 0.1524) (layer TOP-L1) (net 78))
+  (segment (start 188.3125 135.2625) (end 187.7125 135.8625) (width 0.1524) (layer TOP-L1) (net 78) (tstamp 51888E44))
+  (segment (start 189.8625 135.2625) (end 188.3125 135.2625) (width 0.1524) (layer TOP-L1) (net 78) (tstamp 51888E42))
+  (segment (start 194.3625 130.7625) (end 189.8625 135.2625) (width 0.1524) (layer TOP-L1) (net 78) (tstamp 51888E40))
+  (segment (start 187.4625 139.3875) (end 187.4625 139.3625) (width 0.1524) (layer TOP-L1) (net 78))
+  (segment (start 186.5625 137.0125) (end 187.7125 135.8625) (width 0.1524) (layer TOP-L1) (net 78) (tstamp 5187B36D))
+  (segment (start 186.5625 138.4625) (end 186.5625 137.0125) (width 0.1524) (layer TOP-L1) (net 78) (tstamp 5187B36B))
+  (segment (start 187.4625 139.3625) (end 186.5625 138.4625) (width 0.1524) (layer TOP-L1) (net 78) (tstamp 5187B36A))
+  (segment (start 194.3625 122.9875) (end 194.7625 122.5875) (width 0.1524) (layer TOP-L1) (net 78) (tstamp 5187B371))
+  (segment (start 194.3625 128.6375) (end 194.3625 122.9875) (width 0.1524) (layer TOP-L1) (net 78) (tstamp 51888E3E))
+  (segment (start 194.7625 129.3625) (end 194.7625 130.9625) (width 0.1524) (layer TOP-L1) (net 79))
+  (segment (start 188.9125 135.9125) (end 188.9125 135.9375) (width 0.1524) (layer TOP-L1) (net 79) (tstamp 51888E3B))
+  (segment (start 188.9375 135.9125) (end 188.9125 135.9125) (width 0.1524) (layer TOP-L1) (net 79) (tstamp 51888E3A))
+  (segment (start 189.1125 135.7375) (end 188.9375 135.9125) (width 0.1524) (layer TOP-L1) (net 79) (tstamp 51888E39))
+  (segment (start 189.9875 135.7375) (end 189.1125 135.7375) (width 0.1524) (layer TOP-L1) (net 79) (tstamp 51888E37))
+  (segment (start 194.7625 130.9625) (end 189.9875 135.7375) (width 0.1524) (layer TOP-L1) (net 79) (tstamp 51888E35))
+  (segment (start 194.7625 123.3875) (end 194.7625 129.3625) (width 0.1524) (layer TOP-L1) (net 79))
+  (segment (start 188.9125 135.9375) (end 187.4625 137.3875) (width 0.1524) (layer TOP-L1) (net 79) (tstamp 51888E3C))
+  (segment (start 193.5625 127.1875) (end 193.5625 130.3625) (width 0.1524) (layer TOP-L1) (net 80))
+  (segment (start 187.0125 134.4375) (end 184.7625 136.6875) (width 0.1524) (layer TOP-L1) (net 80) (tstamp 51888E5C))
+  (segment (start 189.4875 134.4375) (end 187.0125 134.4375) (width 0.1524) (layer TOP-L1) (net 80) (tstamp 51888E5A))
+  (segment (start 193.5625 130.3625) (end 189.4875 134.4375) (width 0.1524) (layer TOP-L1) (net 80) (tstamp 51888E58))
+  (segment (start 185.4625 139.3875) (end 185.4625 139.2875) (width 0.1524) (layer TOP-L1) (net 80))
+  (segment (start 184.5625 136.8875) (end 184.7625 136.6875) (width 0.1524) (layer TOP-L1) (net 80) (tstamp 5187B37B))
+  (segment (start 184.5625 138.3875) (end 184.5625 136.8875) (width 0.1524) (layer TOP-L1) (net 80) (tstamp 5187B379))
+  (segment (start 185.4625 139.2875) (end 184.5625 138.3875) (width 0.1524) (layer TOP-L1) (net 80) (tstamp 5187B378))
+  (segment (start 193.5625 122.9875) (end 193.9625 122.5875) (width 0.1524) (layer TOP-L1) (net 80) (tstamp 5187B37F))
+  (segment (start 193.5625 127.1875) (end 193.5625 122.9875) (width 0.1524) (layer TOP-L1) (net 80) (tstamp 51888E56))
+  (segment (start 187.5875 135.2625) (end 187.9625 134.8875) (width 0.1524) (layer TOP-L1) (net 81))
+  (segment (start 193.9625 130.5875) (end 193.9625 128.3125) (width 0.1524) (layer TOP-L1) (net 81) (tstamp 51888E50))
+  (segment (start 189.6625 134.8875) (end 193.9625 130.5875) (width 0.1524) (layer TOP-L1) (net 81) (tstamp 51888E4E))
+  (segment (start 187.9625 134.8875) (end 189.6625 134.8875) (width 0.1524) (layer TOP-L1) (net 81) (tstamp 51888E4D))
+  (segment (start 193.9625 123.3875) (end 193.9625 128.3125) (width 0.1524) (layer TOP-L1) (net 81))
+  (segment (start 187.5875 135.2625) (end 185.4625 137.3875) (width 0.1524) (layer TOP-L1) (net 81) (tstamp 51888E4B))
+  (segment (start 169.4625 137.3875) (end 171.2875 135.5625) (width 0.1524) (layer Sig2-L4) (net 82))
+  (segment (start 192.7625 122.1875) (end 192.3625 121.7875) (width 0.1524) (layer TOP-L1) (net 82) (tstamp 51888EC0))
+  (via (at 192.7625 122.1875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 82))
+  (segment (start 192.7625 123.8375) (end 192.7625 122.1875) (width 0.1524) (layer Sig2-L4) (net 82) (tstamp 51888EBD))
+  (segment (start 184.8875 131.7125) (end 192.7625 123.8375) (width 0.1524) (layer Sig2-L4) (net 82) (tstamp 51888EBB))
+  (segment (start 181.1875 131.7125) (end 184.8875 131.7125) (width 0.1524) (layer Sig2-L4) (net 82) (tstamp 51888EB9))
+  (segment (start 179.1125 133.7875) (end 181.1875 131.7125) (width 0.1524) (layer Sig2-L4) (net 82) (tstamp 51888EB7))
+  (segment (start 177.4875 133.7875) (end 179.1125 133.7875) (width 0.1524) (layer Sig2-L4) (net 82) (tstamp 51888EB5))
+  (segment (start 175.7125 135.5625) (end 177.4875 133.7875) (width 0.1524) (layer Sig2-L4) (net 82) (tstamp 51888EB3))
+  (segment (start 171.2875 135.5625) (end 175.7125 135.5625) (width 0.1524) (layer Sig2-L4) (net 82) (tstamp 51888EB1))
+  (segment (start 167.4625 139.3875) (end 167.4625 139.4375) (width 0.1524) (layer Sig1-L3) (net 83))
+  (segment (start 156.9875 141.9875) (end 156.9875 143.5315) (width 0.1524) (layer TOP-L1) (net 83) (tstamp 51888FA8))
+  (via (at 156.9875 141.9875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 83))
+  (segment (start 158.1125 140.8625) (end 156.9875 141.9875) (width 0.1524) (layer Sig1-L3) (net 83) (tstamp 51888FA5))
+  (segment (start 166.0375 140.8625) (end 158.1125 140.8625) (width 0.1524) (layer Sig1-L3) (net 83) (tstamp 51888FA3))
+  (segment (start 167.4625 139.4375) (end 166.0375 140.8625) (width 0.1524) (layer Sig1-L3) (net 83) (tstamp 51888FA2))
+  (segment (start 192.3625 122.5875) (end 192.3625 122.6375) (width 0.1524) (layer TOP-L1) (net 83))
+  (segment (start 166.5125 138.4375) (end 167.4625 139.3875) (width 0.1524) (layer TOP-L1) (net 83) (tstamp 51888EA0))
+  (segment (start 166.5125 137.0125) (end 166.5125 138.4375) (width 0.1524) (layer TOP-L1) (net 83) (tstamp 51888E9E))
+  (segment (start 168.2875 135.2375) (end 166.5125 137.0125) (width 0.1524) (layer TOP-L1) (net 83) (tstamp 51888E9C))
+  (segment (start 183.8125 135.2375) (end 168.2875 135.2375) (width 0.1524) (layer TOP-L1) (net 83) (tstamp 51888E9A))
+  (segment (start 186.1125 132.9375) (end 183.8125 135.2375) (width 0.1524) (layer TOP-L1) (net 83) (tstamp 51888E98))
+  (segment (start 188.7875 132.9375) (end 186.1125 132.9375) (width 0.1524) (layer TOP-L1) (net 83) (tstamp 51888E96))
+  (segment (start 191.9625 129.7625) (end 188.7875 132.9375) (width 0.1524) (layer TOP-L1) (net 83) (tstamp 51888E95))
+  (segment (start 191.9625 123.0375) (end 191.9625 129.7625) (width 0.1524) (layer TOP-L1) (net 83) (tstamp 51888E94))
+  (segment (start 192.3625 122.6375) (end 191.9625 123.0375) (width 0.1524) (layer TOP-L1) (net 83) (tstamp 51888E93))
+  (segment (start 201.1625 122.5875) (end 201.1625 122.5975) (width 0.1524) (layer TOP-L1) (net 84))
+  (segment (start 199.1625 128.1575) (end 200.3625 129.3575) (width 0.1524) (layer TOP-L1) (net 84) (tstamp 5185B755))
+  (segment (start 199.1625 125.2275) (end 199.1625 128.1575) (width 0.1524) (layer TOP-L1) (net 84) (tstamp 5185B750))
+  (segment (start 200.7625 123.6275) (end 199.1625 125.2275) (width 0.1524) (layer TOP-L1) (net 84) (tstamp 5185B74D))
+  (segment (start 200.7625 122.9975) (end 200.7625 123.6275) (width 0.1524) (layer TOP-L1) (net 84) (tstamp 5185B74C))
+  (segment (start 201.1625 122.5975) (end 200.7625 122.9975) (width 0.1524) (layer TOP-L1) (net 84) (tstamp 5185B747))
+  (segment (start 201.9625 122.5875) (end 202.3625 122.9875) (width 0.1524) (layer TOP-L1) (net 85))
+  (segment (start 204.0625 128.1975) (end 202.9025 129.3575) (width 0.1524) (layer TOP-L1) (net 85) (tstamp 5185B741))
+  (segment (start 204.0625 125.7975) (end 204.0625 128.1975) (width 0.1524) (layer TOP-L1) (net 85) (tstamp 5185B73B))
+  (segment (start 202.3625 124.0975) (end 204.0625 125.7975) (width 0.1524) (layer TOP-L1) (net 85) (tstamp 5185B736))
+  (segment (start 202.3625 122.9875) (end 202.3625 124.0975) (width 0.1524) (layer TOP-L1) (net 85) (tstamp 5185B72F))
+  (segment (start 154.4475 143.5315) (end 154.4475 141.5475) (width 0.1524) (layer TOP-L1) (net 86))
+  (segment (start 166.4875 138.3625) (end 167.4625 137.3875) (width 0.1524) (layer Sig1-L3) (net 86) (tstamp 51888F9E))
+  (segment (start 157.6125 138.3625) (end 166.4875 138.3625) (width 0.1524) (layer Sig1-L3) (net 86) (tstamp 51888F9C))
+  (segment (start 154.4375 141.5375) (end 157.6125 138.3625) (width 0.1524) (layer Sig1-L3) (net 86) (tstamp 51888F9B))
+  (via (at 154.4375 141.5375) (size 0.4572) (layers TOP-L1 BOT-L6) (net 86))
+  (segment (start 154.4475 141.5475) (end 154.4375 141.5375) (width 0.1524) (layer TOP-L1) (net 86) (tstamp 51888F99))
+  (segment (start 192.3625 123.3875) (end 192.3625 129.9125) (width 0.1524) (layer TOP-L1) (net 86))
+  (segment (start 169.2125 135.6375) (end 167.4625 137.3875) (width 0.1524) (layer TOP-L1) (net 86) (tstamp 51888E8F))
+  (segment (start 183.9875 135.6375) (end 169.2125 135.6375) (width 0.1524) (layer TOP-L1) (net 86) (tstamp 51888E8D))
+  (segment (start 186.2875 133.3375) (end 183.9875 135.6375) (width 0.1524) (layer TOP-L1) (net 86) (tstamp 51888E8C))
+  (segment (start 188.9375 133.3375) (end 186.2875 133.3375) (width 0.1524) (layer TOP-L1) (net 86) (tstamp 51888E8A))
+  (segment (start 192.3625 129.9125) (end 188.9375 133.3375) (width 0.1524) (layer TOP-L1) (net 86) (tstamp 51888E88))
+  (segment (start 200.3625 126.8175) (end 200.3625 125.2775) (width 0.1524) (layer TOP-L1) (net 87))
+  (segment (start 201.1625 124.4775) (end 201.1625 123.3875) (width 0.1524) (layer TOP-L1) (net 87) (tstamp 5185B725))
+  (segment (start 200.3625 125.2775) (end 201.1625 124.4775) (width 0.1524) (layer TOP-L1) (net 87) (tstamp 5185B723))
+  (segment (start 201.9625 123.3875) (end 201.9625 124.4875) (width 0.1524) (layer TOP-L1) (net 88))
+  (segment (start 202.9025 125.4275) (end 202.9025 126.8175) (width 0.1524) (layer TOP-L1) (net 88) (tstamp 5185B72B))
+  (segment (start 201.9625 124.4875) (end 202.9025 125.4275) (width 0.1524) (layer TOP-L1) (net 88) (tstamp 5185B729))
+  (segment (start 189.1625 109.7875) (end 189.1625 109.6875) (width 0.1524) (layer TOP-L1) (net 89))
+  (segment (start 196.6125 107.4625) (end 196.6125 106.3375) (width 0.1524) (layer Sig1-L3) (net 89) (tstamp 5191FDCA))
+  (segment (start 195.0125 109.0625) (end 196.6125 107.4625) (width 0.1524) (layer Sig1-L3) (net 89) (tstamp 5191FDC8))
+  (segment (start 189.7875 109.0625) (end 195.0125 109.0625) (width 0.1524) (layer Sig1-L3) (net 89) (tstamp 5191FDC7))
+  (segment (start 189.5625 109.2875) (end 189.7875 109.0625) (width 0.1524) (layer Sig1-L3) (net 89) (tstamp 5191FDC6))
+  (via (at 189.5625 109.2875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 89))
+  (segment (start 189.1625 109.6875) (end 189.5625 109.2875) (width 0.1524) (layer TOP-L1) (net 89) (tstamp 5191FDC4))
+  (segment (start 196.6125 105.4625) (end 196.8125 105.2625) (width 0.1524) (layer Sig1-L3) (net 89) (tstamp 5188E3C9))
+  (segment (start 196.6125 106.3375) (end 196.6125 105.4625) (width 0.1524) (layer Sig1-L3) (net 89) (tstamp 5191FDCE))
+  (segment (start 213.61448 101.17058) (end 213.52942 101.17058) (width 0.1524) (layer TOP-L1) (net 89))
+  (segment (start 198.4375 103.6375) (end 197.7625 104.3125) (width 0.1524) (layer Sig1-L3) (net 89) (tstamp 5188E2B4))
+  (segment (start 211.0625 103.6375) (end 198.4375 103.6375) (width 0.1524) (layer Sig1-L3) (net 89) (tstamp 5188E2AB))
+  (segment (start 212.7875 101.9125) (end 211.0625 103.6375) (width 0.1524) (layer Sig1-L3) (net 89) (tstamp 5188E2AA))
+  (via (at 212.7875 101.9125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 89))
+  (segment (start 213.52942 101.17058) (end 212.7875 101.9125) (width 0.1524) (layer TOP-L1) (net 89) (tstamp 5188E2A1))
+  (segment (start 197.7625 104.3125) (end 196.8125 105.2625) (width 0.1524) (layer Sig1-L3) (net 89) (tstamp 5188E2BC))
+  (segment (start 162.5625 104.3875) (end 162.5625 103.8125) (width 0.1524) (layer TOP-L1) (net 90))
+  (segment (start 161.6625 102.9125) (end 161.1875 102.9125) (width 0.1524) (layer Sig2-L4) (net 90) (tstamp 5185C6FF))
+  (segment (start 161.6875 102.9375) (end 161.6625 102.9125) (width 0.1524) (layer Sig2-L4) (net 90) (tstamp 5185C6FE))
+  (via (at 161.6875 102.9375) (size 0.4572) (layers TOP-L1 BOT-L6) (net 90))
+  (segment (start 162.5625 103.8125) (end 161.6875 102.9375) (width 0.1524) (layer TOP-L1) (net 90) (tstamp 5185C6FC))
+  (segment (start 161.1875 102.9125) (end 160.9625 102.9125) (width 0.1524) (layer Sig2-L4) (net 90) (tstamp 5185C702))
+  (segment (start 158.2375 124.5125) (end 158.2375 124.0875) (width 0.1524) (layer Sig2-L4) (net 90))
+  (segment (start 157.9375 123.7875) (end 157.1875 123.7875) (width 0.1524) (layer Sig2-L4) (net 90) (tstamp 5182FB7C))
+  (segment (start 158.2375 124.0875) (end 157.9375 123.7875) (width 0.1524) (layer Sig2-L4) (net 90) (tstamp 5182FB7B))
+  (segment (start 154.8375 125.6875) (end 154.3625 125.6875) (width 0.1524) (layer Sig2-L4) (net 90))
+  (segment (start 154.7875 123.0625) (end 154.7875 123.6875) (width 0.1524) (layer Sig2-L4) (net 90) (tstamp 5182FB6C))
+  (segment (start 154.3625 122.6375) (end 154.7875 123.0625) (width 0.1524) (layer Sig2-L4) (net 90) (tstamp 5182FB6A))
+  (segment (start 153.7625 122.6375) (end 154.3625 122.6375) (width 0.1524) (layer Sig2-L4) (net 90) (tstamp 5182FB69))
+  (segment (start 153.3125 123.0875) (end 153.7625 122.6375) (width 0.1524) (layer Sig2-L4) (net 90) (tstamp 5182FB68))
+  (segment (start 153.3125 124.6375) (end 153.3125 123.0875) (width 0.1524) (layer Sig2-L4) (net 90) (tstamp 5182FB64))
+  (segment (start 154.3625 125.6875) (end 153.3125 124.6375) (width 0.1524) (layer Sig2-L4) (net 90) (tstamp 5182FB63))
+  (segment (start 154.7875 123.6875) (end 154.7875 124.4375) (width 0.1524) (layer Sig2-L4) (net 90) (tstamp 5182FB6F))
+  (segment (start 162.5625 126.3875) (end 162.1625 126.7875) (width 0.1524) (layer TOP-L1) (net 90))
+  (segment (start 156.4125 122.5875) (end 158.9375 122.5875) (width 0.1524) (layer Sig2-L4) (net 90) (tstamp 5182FB25))
+  (segment (start 156.0625 122.9375) (end 156.4125 122.5875) (width 0.1524) (layer Sig2-L4) (net 90) (tstamp 5182FB22))
+  (segment (start 156.0625 123.3375) (end 156.0625 122.9375) (width 0.1524) (layer Sig2-L4) (net 90) (tstamp 5182FB21))
+  (segment (start 156.5125 123.7875) (end 156.0625 123.3375) (width 0.1524) (layer Sig2-L4) (net 90) (tstamp 5182FB20))
+  (segment (start 157.1875 123.7875) (end 156.5125 123.7875) (width 0.1524) (layer Sig2-L4) (net 90) (tstamp 5182FB7F))
+  (segment (start 158.2375 124.7375) (end 158.2375 124.5125) (width 0.1524) (layer Sig2-L4) (net 90) (tstamp 5182FB1A))
+  (segment (start 157.8875 125.0875) (end 158.2375 124.7375) (width 0.1524) (layer Sig2-L4) (net 90) (tstamp 5182FB19))
+  (segment (start 155.4375 125.0875) (end 157.8875 125.0875) (width 0.1524) (layer Sig2-L4) (net 90) (tstamp 5182FB13))
+  (segment (start 154.7875 124.4375) (end 155.4375 125.0875) (width 0.1524) (layer Sig2-L4) (net 90) (tstamp 5182FB12))
+  (segment (start 156.4375 125.6875) (end 154.8375 125.6875) (width 0.1524) (layer Sig2-L4) (net 90) (tstamp 5182FAF9))
+  (segment (start 156.8875 126.1375) (end 156.4375 125.6875) (width 0.1524) (layer Sig2-L4) (net 90) (tstamp 5182FAF8))
+  (segment (start 156.8875 126.6375) (end 156.8875 126.1375) (width 0.1524) (layer Sig2-L4) (net 90) (tstamp 5182FAF7))
+  (segment (start 156.3875 127.1375) (end 156.8875 126.6375) (width 0.1524) (layer Sig2-L4) (net 90) (tstamp 5182FAF6))
+  (segment (start 153.4625 127.1375) (end 156.3875 127.1375) (width 0.1524) (layer Sig2-L4) (net 90) (tstamp 5182FAED))
+  (segment (start 152.7125 126.3875) (end 153.4625 127.1375) (width 0.1524) (layer Sig2-L4) (net 90) (tstamp 5182FAEC))
+  (segment (start 151.8125 126.3875) (end 152.7125 126.3875) (width 0.1524) (layer Sig2-L4) (net 90) (tstamp 5182FAEA))
+  (segment (start 151.5125 126.6875) (end 151.8125 126.3875) (width 0.1524) (layer Sig2-L4) (net 90) (tstamp 5182FAE8))
+  (segment (start 151.5125 127.1625) (end 151.5125 126.6875) (width 0.1524) (layer Sig2-L4) (net 90) (tstamp 5182FAE7))
+  (segment (start 152.3375 127.9875) (end 151.5125 127.1625) (width 0.1524) (layer Sig2-L4) (net 90) (tstamp 5182FAE5))
+  (segment (start 157.4875 127.9875) (end 152.3375 127.9875) (width 0.1524) (layer Sig2-L4) (net 90) (tstamp 5182FAE4))
+  (segment (start 157.8625 127.6125) (end 157.4875 127.9875) (width 0.1524) (layer Sig2-L4) (net 90) (tstamp 5182FADA))
+  (segment (start 161.3375 127.6125) (end 157.8625 127.6125) (width 0.1524) (layer Sig2-L4) (net 90) (tstamp 5182FAD1))
+  (segment (start 162.1625 126.7875) (end 161.3375 127.6125) (width 0.1524) (layer Sig2-L4) (net 90) (tstamp 5182FAD0))
+  (via (at 162.1625 126.7875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 90))
+  (segment (start 158.9625 109.3375) (end 159.7375 108.5625) (width 0.1524) (layer Sig2-L4) (net 90))
+  (segment (start 157.3125 102.9125) (end 160.9625 102.9125) (width 0.1524) (layer Sig2-L4) (net 90) (tstamp 5182FA37))
+  (segment (start 156.8125 103.4125) (end 157.3125 102.9125) (width 0.1524) (layer Sig2-L4) (net 90) (tstamp 5182FA35))
+  (segment (start 156.8125 104.7125) (end 156.8125 103.4125) (width 0.1524) (layer Sig2-L4) (net 90) (tstamp 5182FA33))
+  (segment (start 157.8375 105.7375) (end 156.8125 104.7125) (width 0.1524) (layer Sig2-L4) (net 90) (tstamp 5182FA23))
+  (segment (start 163.1125 105.7375) (end 157.8375 105.7375) (width 0.1524) (layer Sig2-L4) (net 90) (tstamp 5182FA20))
+  (segment (start 163.5875 106.2125) (end 163.1125 105.7375) (width 0.1524) (layer Sig2-L4) (net 90) (tstamp 5182FA1E))
+  (segment (start 163.5875 106.7875) (end 163.5875 106.2125) (width 0.1524) (layer Sig2-L4) (net 90) (tstamp 5182FA1C))
+  (segment (start 161.8125 108.5625) (end 163.5875 106.7875) (width 0.1524) (layer Sig2-L4) (net 90) (tstamp 5182FA18))
+  (segment (start 159.7375 108.5625) (end 161.8125 108.5625) (width 0.1524) (layer Sig2-L4) (net 90) (tstamp 5182FA12))
+  (segment (start 158.9375 122.5875) (end 156.6875 122.5875) (width 0.1524) (layer Sig2-L4) (net 90) (tstamp 5182FA5D))
+  (segment (start 155.9625 112.3375) (end 158.9625 109.3375) (width 0.1524) (layer Sig2-L4) (net 90) (tstamp 5182F9A0))
+  (segment (start 155.9625 121.8625) (end 155.9625 112.3375) (width 0.1524) (layer Sig2-L4) (net 90) (tstamp 5182F99E))
+  (segment (start 156.6875 122.5875) (end 155.9625 121.8625) (width 0.1524) (layer Sig2-L4) (net 90) (tstamp 5182F999))
+  (segment (start 158.9375 122.5875) (end 158.9375 122.5875) (width 0.1524) (layer Sig2-L4) (net 90))
+  (via (at 188.7625 122.1875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 90))
+  (segment (start 188.7625 122.1875) (end 188.3625 122.5875) (width 0.1524) (layer Sig1-L3) (net 90) (tstamp 517B8C6D))
+  (segment (start 188.3625 122.5875) (end 158.9375 122.5875) (width 0.1524) (layer Sig1-L3) (net 90) (tstamp 517B8C6E))
+  (via (at 158.9375 122.5875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 90))
+  (segment (start 189.1625 121.7875) (end 188.7625 122.1875) (width 0.1524) (layer TOP-L1) (net 90))
+  (segment (start 203.5625 108.9875) (end 203.1625 108.5875) (width 0.1524) (layer TOP-L1) (net 91))
+  (segment (start 201.5625 105.2075) (end 196.9125 100.5575) (width 0.1524) (layer Sig2-L4) (net 91) (tstamp 5189B037))
+  (segment (start 201.5625 107.6375) (end 201.5625 105.2075) (width 0.1524) (layer Sig2-L4) (net 91) (tstamp 5189B032))
+  (segment (start 202.1125 108.1875) (end 201.5625 107.6375) (width 0.1524) (layer Sig2-L4) (net 91) (tstamp 5189B02E))
+  (segment (start 202.7625 108.1875) (end 202.1125 108.1875) (width 0.1524) (layer Sig2-L4) (net 91) (tstamp 5189B02B))
+  (segment (start 203.1625 108.5875) (end 202.7625 108.1875) (width 0.1524) (layer Sig2-L4) (net 91) (tstamp 5189B02A))
+  (via (at 203.1625 108.5875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 91))
+  (segment (start 196.9125 98.0175) (end 196.7075 98.0175) (width 0.1524) (layer Sig2-L4) (net 92))
+  (segment (start 202.3625 108.5875) (end 202.7625 108.9875) (width 0.1524) (layer TOP-L1) (net 92) (tstamp 5189B04F))
+  (via (at 202.3625 108.5875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 92))
+  (segment (start 201.6625 108.5875) (end 202.3625 108.5875) (width 0.1524) (layer Sig2-L4) (net 92) (tstamp 5189B048))
+  (segment (start 201.1125 108.0375) (end 201.6625 108.5875) (width 0.1524) (layer Sig2-L4) (net 92) (tstamp 5189B047))
+  (segment (start 201.1125 105.4375) (end 201.1125 108.0375) (width 0.1524) (layer Sig2-L4) (net 92) (tstamp 5189B044))
+  (segment (start 197.6625 101.9875) (end 201.1125 105.4375) (width 0.1524) (layer Sig2-L4) (net 92) (tstamp 5189B042))
+  (segment (start 196.1375 101.9875) (end 197.6625 101.9875) (width 0.1524) (layer Sig2-L4) (net 92) (tstamp 5189B041))
+  (segment (start 195.5875 101.4375) (end 196.1375 101.9875) (width 0.1524) (layer Sig2-L4) (net 92) (tstamp 5189B040))
+  (segment (start 195.5875 99.1375) (end 195.5875 101.4375) (width 0.1524) (layer Sig2-L4) (net 92) (tstamp 5189B03E))
+  (segment (start 196.7075 98.0175) (end 195.5875 99.1375) (width 0.1524) (layer Sig2-L4) (net 92) (tstamp 5189B03D))
+  (segment (start 203.5625 108.1875) (end 203.1625 107.7875) (width 0.1524) (layer TOP-L1) (net 93))
+  (segment (start 199.4525 101.2775) (end 199.4525 100.5575) (width 0.1524) (layer Sig2-L4) (net 93) (tstamp 5189B00B))
+  (segment (start 202.7625 104.5875) (end 199.4525 101.2775) (width 0.1524) (layer Sig2-L4) (net 93) (tstamp 5189B006))
+  (segment (start 202.7625 107.3875) (end 202.7625 104.5875) (width 0.1524) (layer Sig2-L4) (net 93) (tstamp 5189B002))
+  (segment (start 203.1625 107.7875) (end 202.7625 107.3875) (width 0.1524) (layer Sig2-L4) (net 93) (tstamp 5189B001))
+  (via (at 203.1625 107.7875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 93))
+  (segment (start 199.4525 98.0175) (end 199.4525 98.0225) (width 0.1524) (layer Sig2-L4) (net 94))
+  (segment (start 202.3625 107.7875) (end 202.7625 108.1875) (width 0.1524) (layer TOP-L1) (net 94) (tstamp 5189B020))
+  (via (at 202.3625 107.7875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 94))
+  (segment (start 202.3625 105.2875) (end 202.3625 107.7875) (width 0.1524) (layer Sig2-L4) (net 94) (tstamp 5189B01A))
+  (segment (start 198.2125 101.1375) (end 202.3625 105.2875) (width 0.1524) (layer Sig2-L4) (net 94) (tstamp 5189B012))
+  (segment (start 198.2125 99.2625) (end 198.2125 101.1375) (width 0.1524) (layer Sig2-L4) (net 94) (tstamp 5189B010))
+  (segment (start 199.4525 98.0225) (end 198.2125 99.2625) (width 0.1524) (layer Sig2-L4) (net 94) (tstamp 5189B00F))
+  (segment (start 203.5625 107.3875) (end 203.5875 107.3875) (width 0.1524) (layer TOP-L1) (net 95))
+  (segment (start 203.9875 102.5525) (end 201.9925 100.5575) (width 0.1524) (layer Sig2-L4) (net 95) (tstamp 5189AFD9))
+  (segment (start 203.9875 106.9875) (end 203.9875 102.5525) (width 0.1524) (layer Sig2-L4) (net 95) (tstamp 5189AFD8))
+  (via (at 203.9875 106.9875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 95))
+  (segment (start 203.5875 107.3875) (end 203.9875 106.9875) (width 0.1524) (layer TOP-L1) (net 95) (tstamp 5189AFCC))
+  (segment (start 201.9925 98.0175) (end 200.7875 99.2225) (width 0.1524) (layer Sig2-L4) (net 96))
+  (segment (start 203.1625 106.9875) (end 202.7625 107.3875) (width 0.1524) (layer TOP-L1) (net 96) (tstamp 5189AFF5))
+  (via (at 203.1625 106.9875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 96))
+  (segment (start 203.1625 103.7375) (end 203.1625 106.9875) (width 0.1524) (layer Sig2-L4) (net 96) (tstamp 5189AFEB))
+  (segment (start 200.7875 101.3625) (end 203.1625 103.7375) (width 0.1524) (layer Sig2-L4) (net 96) (tstamp 5189AFE8))
+  (segment (start 200.7875 101.1125) (end 200.7875 101.3625) (width 0.1524) (layer Sig2-L4) (net 96) (tstamp 5189AFE6))
+  (segment (start 200.7875 99.2225) (end 200.7875 101.1125) (width 0.1524) (layer Sig2-L4) (net 96) (tstamp 5189AFE4))
+  (segment (start 203.5625 106.5875) (end 203.9125 106.5875) (width 0.1524) (layer TOP-L1) (net 97))
+  (segment (start 204.5325 105.9675) (end 204.5325 100.5575) (width 0.1524) (layer TOP-L1) (net 97) (tstamp 5189AFA1))
+  (segment (start 203.9125 106.5875) (end 204.5325 105.9675) (width 0.1524) (layer TOP-L1) (net 97) (tstamp 5189AF9A))
+  (segment (start 202.7625 106.5875) (end 203.1625 106.1875) (width 0.1524) (layer TOP-L1) (net 98))
+  (segment (start 203.2875 99.2625) (end 204.5325 98.0175) (width 0.1524) (layer TOP-L1) (net 98) (tstamp 5189AFC6))
+  (segment (start 203.2875 102.4375) (end 203.2875 99.2625) (width 0.1524) (layer TOP-L1) (net 98) (tstamp 5189AFC0))
+  (segment (start 203.1625 102.5625) (end 203.2875 102.4375) (width 0.1524) (layer TOP-L1) (net 98) (tstamp 5189AFB9))
+  (segment (start 203.1625 106.1875) (end 203.1625 102.5625) (width 0.1524) (layer TOP-L1) (net 98) (tstamp 5189AFB6))
+  (segment (start 219.6125 97.7375) (end 219.7375 97.6125) (width 0.1524) (layer Sig1-L3) (net 99))
+  (segment (start 219.7375 95.7375) (end 220.4375 95.0375) (width 0.1524) (layer Sig1-L3) (net 99) (tstamp 5191FDA6))
+  (segment (start 219.7375 97.6125) (end 219.7375 95.7375) (width 0.1524) (layer Sig1-L3) (net 99) (tstamp 5191FDA5))
+  (segment (start 220.43946 95.0187) (end 222.1875 93.27066) (width 0.1524) (layer Sig2-L4) (net 99))
+  (segment (start 221.26292 90.3875) (end 221.2375 90.36208) (width 0.1524) (layer TOP-L1) (net 99) (tstamp 5189B33A))
+  (segment (start 222.1875 90.3875) (end 221.26292 90.3875) (width 0.1524) (layer TOP-L1) (net 99) (tstamp 5189B339))
+  (via (at 222.1875 90.3875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 99))
+  (segment (start 222.1875 93.27066) (end 222.1875 90.3875) (width 0.1524) (layer Sig2-L4) (net 99) (tstamp 5189B336))
+  (segment (start 220.4375 95.0187) (end 220.4375 95.0375) (width 0.1524) (layer Sig1-L3) (net 99))
+  (segment (start 220.43946 95.0187) (end 220.4375 95.0187) (width 0.1524) (layer Sig1-L3) (net 99))
+  (segment (start 210.7375 103.0875) (end 198.0875 103.0875) (width 0.1524) (layer Sig1-L3) (net 99) (tstamp 5188E28F))
+  (segment (start 212.9625 100.8625) (end 210.7375 103.0875) (width 0.1524) (layer Sig1-L3) (net 99) (tstamp 5188E28C))
+  (segment (start 216.4875 100.8625) (end 212.9625 100.8625) (width 0.1524) (layer Sig1-L3) (net 99) (tstamp 5188E282))
+  (segment (start 219.6125 97.7375) (end 216.4875 100.8625) (width 0.1524) (layer Sig1-L3) (net 99) (tstamp 5191FDA3))
+  (segment (start 195.9625 106.9875) (end 196.3625 107.3875) (width 0.1524) (layer TOP-L1) (net 99) (tstamp 5188A0E1))
+  (segment (start 198.0875 103.0875) (end 197.2375 103.0875) (width 0.1524) (layer Sig1-L3) (net 99) (tstamp 5188E295))
+  (segment (start 197.2375 103.0875) (end 195.9625 104.3625) (width 0.1524) (layer Sig1-L3) (net 99) (tstamp 5188A0D4))
+  (segment (start 195.9625 104.3625) (end 195.9625 105.3625) (width 0.1524) (layer Sig1-L3) (net 99) (tstamp 5188A0DA))
+  (via (at 195.9625 105.3625) (size 0.4572) (layers TOP-L1 BOT-L6) (net 99))
+  (segment (start 195.9625 105.3625) (end 195.9625 106.0125) (width 0.1524) (layer TOP-L1) (net 99) (tstamp 5188A0DF))
+  (segment (start 195.9625 106.0125) (end 195.9625 106.9875) (width 0.1524) (layer TOP-L1) (net 99) (tstamp 5188A0E0))
+  (segment (start 220.43438 95.02378) (end 220.43946 95.0187) (width 0.1524) (layer TOP-L1) (net 99) (tstamp 5162E402))
+  (via (at 220.43946 95.0187) (size 0.4572) (layers TOP-L1 BOT-L6) (net 99))
+  (segment (start 220.43438 95.02378) (end 219.76128 95.02378) (width 0.1524) (layer TOP-L1) (net 99))
+  (segment (start 159.3375 120.9875) (end 149.0875 120.9875) (width 0.1524) (layer TOP-L1) (net 100))
+  (segment (start 159.3375 120.9875) (end 187.5625 120.9875) (width 0.1524) (layer Sig1-L3) (net 100) (tstamp 517B3758))
+  (segment (start 187.9625 120.5875) (end 187.5625 120.9875) (width 0.1524) (layer Sig1-L3) (net 100) (tstamp 517B3757))
+  (via (at 187.9625 120.5875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 100))
+  (via (at 159.3375 120.9875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 100))
+  (segment (start 188.3625 120.1875) (end 187.9625 120.5875) (width 0.1524) (layer TOP-L1) (net 100))
+  (segment (start 149.0875 120.9875) (end 149.1125 120.9875) (width 0.1524) (layer TOP-L1) (net 100) (tstamp 5180597D))
+  (segment (start 147.2875 120.8125) (end 147.9625 120.1375) (width 0.1524) (layer Sig2-L4) (net 100))
+  (segment (start 148.7375 120.9875) (end 148.8375 120.9875) (width 0.1524) (layer Sig2-L4) (net 100) (tstamp 517F32F7))
+  (segment (start 148.5125 120.7625) (end 148.7375 120.9875) (width 0.1524) (layer Sig2-L4) (net 100) (tstamp 517F32F1))
+  (segment (start 148.5125 118.7375) (end 148.5125 120.7625) (width 0.1524) (layer Sig2-L4) (net 100) (tstamp 517F32EF))
+  (segment (start 148.7625 118.4875) (end 148.5125 118.7375) (width 0.1524) (layer Sig2-L4) (net 100) (tstamp 517F32ED))
+  (segment (start 148.7625 118.1125) (end 148.7625 118.4875) (width 0.1524) (layer Sig2-L4) (net 100) (tstamp 517F32EB))
+  (segment (start 148.4625 117.8125) (end 148.7625 118.1125) (width 0.1524) (layer Sig2-L4) (net 100) (tstamp 517F32EA))
+  (segment (start 148.1875 117.8125) (end 148.4625 117.8125) (width 0.1524) (layer Sig2-L4) (net 100) (tstamp 517F32E7))
+  (segment (start 147.9625 118.0375) (end 148.1875 117.8125) (width 0.1524) (layer Sig2-L4) (net 100) (tstamp 517F32E1))
+  (segment (start 147.9625 120.1375) (end 147.9625 118.0375) (width 0.1524) (layer Sig2-L4) (net 100) (tstamp 517F32DE))
+  (segment (start 145.6375 123.9125) (end 145.6375 122.4625) (width 0.1524) (layer Sig2-L4) (net 100))
+  (segment (start 145.6375 122.4625) (end 146.0625 122.0375) (width 0.1524) (layer Sig2-L4) (net 100) (tstamp 517F32D3))
+  (segment (start 148.5375 125.6375) (end 148.5375 125.4625) (width 0.1524) (layer Sig2-L4) (net 100))
+  (segment (start 147.5875 124.5125) (end 146.9875 124.5125) (width 0.1524) (layer Sig2-L4) (net 100) (tstamp 517F328B))
+  (segment (start 148.5375 125.4625) (end 147.5875 124.5125) (width 0.1524) (layer Sig2-L4) (net 100) (tstamp 517F3289))
+  (segment (start 146.0625 122.0375) (end 147.2875 120.8125) (width 0.1524) (layer Sig2-L4) (net 100) (tstamp 517F32D7))
+  (segment (start 146.9875 124.5125) (end 146.0625 124.5125) (width 0.1524) (layer Sig2-L4) (net 100) (tstamp 517F3290))
+  (segment (start 145.6375 124.0875) (end 145.6375 123.9125) (width 0.1524) (layer Sig2-L4) (net 100) (tstamp 517F3069))
+  (segment (start 146.0625 124.5125) (end 145.6375 124.0875) (width 0.1524) (layer Sig2-L4) (net 100) (tstamp 517F3068))
+  (segment (start 148.5375 125.6625) (end 148.5375 125.6375) (width 0.1524) (layer Sig2-L4) (net 100))
+  (segment (start 149.2625 110.1125) (end 149.2625 110.2625) (width 0.1524) (layer Sig1-L3) (net 100))
+  (segment (start 149.2625 111.7125) (end 149.2625 112.2625) (width 0.1524) (layer Sig1-L3) (net 100) (tstamp 517F301C))
+  (segment (start 148.7375 111.1875) (end 149.2625 111.7125) (width 0.1524) (layer Sig1-L3) (net 100) (tstamp 517F301B))
+  (segment (start 148.7375 110.7875) (end 148.7375 111.1875) (width 0.1524) (layer Sig1-L3) (net 100) (tstamp 517F301A))
+  (segment (start 149.2625 110.2625) (end 148.7375 110.7875) (width 0.1524) (layer Sig1-L3) (net 100) (tstamp 517F3019))
+  (segment (start 149.2625 108.2375) (end 148.7375 108.7625) (width 0.1524) (layer Sig1-L3) (net 100))
+  (segment (start 149.2625 109.8125) (end 149.2625 110.1125) (width 0.1524) (layer Sig1-L3) (net 100) (tstamp 517F300B))
+  (segment (start 148.7375 109.2875) (end 149.2625 109.8125) (width 0.1524) (layer Sig1-L3) (net 100) (tstamp 517F300A))
+  (segment (start 148.7375 108.7625) (end 148.7375 109.2875) (width 0.1524) (layer Sig1-L3) (net 100) (tstamp 517F3009))
+  (segment (start 149.2625 106.3625) (end 149.2625 106.4125) (width 0.1524) (layer Sig1-L3) (net 100))
+  (segment (start 149.2625 107.9125) (end 149.2625 108.2375) (width 0.1524) (layer Sig1-L3) (net 100) (tstamp 517F3001))
+  (segment (start 148.7125 107.3625) (end 149.2625 107.9125) (width 0.1524) (layer Sig1-L3) (net 100) (tstamp 517F3000))
+  (segment (start 148.7125 106.9625) (end 148.7125 107.3625) (width 0.1524) (layer Sig1-L3) (net 100) (tstamp 517F2FFF))
+  (segment (start 149.2625 106.4125) (end 148.7125 106.9625) (width 0.1524) (layer Sig1-L3) (net 100) (tstamp 517F2FFE))
+  (segment (start 149.8125 105.7375) (end 149.8125 105.5375) (width 0.1524) (layer Sig2-L4) (net 100))
+  (segment (start 149.2625 105.4125) (end 149.2625 105.7375) (width 0.1524) (layer Sig1-L3) (net 100) (tstamp 517F2FC6))
+  (via (at 149.2625 105.4125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 100))
+  (segment (start 149.3875 105.2875) (end 149.2625 105.4125) (width 0.1524) (layer Sig2-L4) (net 100) (tstamp 517F2FC2))
+  (segment (start 149.5625 105.2875) (end 149.3875 105.2875) (width 0.1524) (layer Sig2-L4) (net 100) (tstamp 517F2FBB))
+  (segment (start 149.8125 105.5375) (end 149.5625 105.2875) (width 0.1524) (layer Sig2-L4) (net 100) (tstamp 517F2FB4))
+  (segment (start 149.2625 126.6875) (end 149.2625 126.6375) (width 0.1524) (layer Sig2-L4) (net 100))
+  (segment (start 148.8375 120.9875) (end 149.1125 120.9875) (width 0.1524) (layer Sig2-L4) (net 100) (tstamp 517F32FA))
+  (segment (start 148.5375 125.9125) (end 148.5375 125.6625) (width 0.1524) (layer Sig2-L4) (net 100) (tstamp 517F2F62))
+  (segment (start 149.2625 126.6375) (end 148.5375 125.9125) (width 0.1524) (layer Sig2-L4) (net 100) (tstamp 517F2F61))
+  (segment (start 148.8625 115.0875) (end 148.8625 114.3875) (width 0.1524) (layer Sig1-L3) (net 100))
+  (segment (start 148.8625 120.7375) (end 148.8625 115.0875) (width 0.1524) (layer Sig1-L3) (net 100) (tstamp 517B8644))
+  (segment (start 149.2625 113.7875) (end 149.2625 112.2625) (width 0.1524) (layer Sig1-L3) (net 100) (tstamp 517B87B6))
+  (segment (start 149.1125 120.9875) (end 148.8625 120.7375) (width 0.1524) (layer Sig1-L3) (net 100))
+  (segment (start 148.8625 114.3875) (end 148.8625 114.3625) (width 0.1524) (layer Sig1-L3) (net 100) (tstamp 517B87A6))
+  (segment (start 148.8625 114.3625) (end 149.2625 113.9625) (width 0.1524) (layer Sig1-L3) (net 100) (tstamp 517B87A7))
+  (segment (start 149.2625 113.9625) (end 149.2625 113.7875) (width 0.1524) (layer Sig1-L3) (net 100) (tstamp 517B87B2))
+  (segment (start 149.2625 106.3625) (end 149.2625 105.7375) (width 0.1524) (layer Sig1-L3) (net 100) (tstamp 517F2FFC))
+  (segment (start 159.7625 106.3875) (end 160.1625 105.9875) (width 0.1524) (layer TOP-L1) (net 100))
+  (segment (start 151.0125 106.9375) (end 149.8125 105.7375) (width 0.1524) (layer Sig2-L4) (net 100) (tstamp 517B2BBE))
+  (segment (start 159.2125 106.9375) (end 151.0125 106.9375) (width 0.1524) (layer Sig2-L4) (net 100) (tstamp 517B2BBB))
+  (segment (start 159.7625 106.3875) (end 159.2125 106.9375) (width 0.1524) (layer Sig2-L4) (net 100) (tstamp 517B2BBA))
+  (via (at 159.7625 106.3875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 100))
+  (via (at 159.7625 128.3875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 100))
+  (segment (start 159.7625 128.3875) (end 159.312598 128.837402) (width 0.1524) (layer Sig2-L4) (net 100) (tstamp 517B32C6))
+  (segment (start 159.312598 128.837402) (end 151.012402 128.837402) (width 0.1524) (layer Sig2-L4) (net 100) (tstamp 517B32C7))
+  (segment (start 151.012402 128.837402) (end 149.2625 127.0875) (width 0.1524) (layer Sig2-L4) (net 100) (tstamp 517B32C8))
+  (segment (start 149.2625 127.0875) (end 149.2625 126.6875) (width 0.1524) (layer Sig2-L4) (net 100) (tstamp 517B32CC))
+  (segment (start 160.1625 127.9875) (end 159.7625 128.3875) (width 0.1524) (layer TOP-L1) (net 100))
+  (via (at 149.1125 120.9875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 100))
+  (segment (start 152.7625 114.0625) (end 153.1375 114.4375) (width 0.1524) (layer Sig2-L4) (net 101))
+  (segment (start 153.5125 114.8625) (end 153.7875 114.5875) (width 0.1524) (layer Sig2-L4) (net 101) (tstamp 5191BA17))
+  (segment (start 153.2875 114.8625) (end 153.5125 114.8625) (width 0.1524) (layer Sig2-L4) (net 101) (tstamp 5191BA14))
+  (segment (start 153.1375 114.7125) (end 153.2875 114.8625) (width 0.1524) (layer Sig2-L4) (net 101) (tstamp 5191BA13))
+  (segment (start 153.1375 114.4375) (end 153.1375 114.7125) (width 0.1524) (layer Sig2-L4) (net 101) (tstamp 5191BA11))
+  (segment (start 153.3375 113.6375) (end 153.4125 113.6375) (width 0.1524) (layer Sig2-L4) (net 101))
+  (segment (start 153.6375 113.4125) (end 153.6375 113.2875) (width 0.1524) (layer Sig2-L4) (net 101) (tstamp 5191BA05))
+  (segment (start 153.4125 113.6375) (end 153.6375 113.4125) (width 0.1524) (layer Sig2-L4) (net 101) (tstamp 5191BA04))
+  (segment (start 152.7625 113.5125) (end 152.8875 113.6375) (width 0.1524) (layer Sig2-L4) (net 101))
+  (segment (start 152.8875 113.6375) (end 153.3375 113.6375) (width 0.1524) (layer Sig2-L4) (net 101) (tstamp 5191B9FB))
+  (segment (start 152.7625 109.8625) (end 153.3625 109.8625) (width 0.1524) (layer Sig2-L4) (net 101))
+  (segment (start 154.2625 109.2375) (end 154.4125 109.0875) (width 0.1524) (layer TOP-L1) (net 101) (tstamp 5191B41F))
+  (segment (start 154.2625 109.7125) (end 154.2625 109.2375) (width 0.1524) (layer TOP-L1) (net 101) (tstamp 5191B41E))
+  (segment (start 154.0125 109.9625) (end 154.2625 109.7125) (width 0.1524) (layer TOP-L1) (net 101) (tstamp 5191B41D))
+  (via (at 154.0125 109.9625) (size 0.4572) (layers TOP-L1 BOT-L6) (net 101))
+  (segment (start 153.4625 109.9625) (end 154.0125 109.9625) (width 0.1524) (layer Sig2-L4) (net 101) (tstamp 5191B416))
+  (segment (start 153.3625 109.8625) (end 153.4625 109.9625) (width 0.1524) (layer Sig2-L4) (net 101) (tstamp 5191B40F))
+  (segment (start 160.4875 117.7875) (end 159.0125 117.7875) (width 0.1524) (layer Sig1-L3) (net 101))
+  (via (at 159.0125 117.7875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 101))
+  (segment (start 154.5875 117.7875) (end 159.0125 117.7875) (width 0.1524) (layer TOP-L1) (net 101))
+  (segment (start 160.4875 117.7875) (end 187.5625 117.7875) (width 0.1524) (layer Sig1-L3) (net 101) (tstamp 5182F37F))
+  (segment (start 187.5625 117.7875) (end 187.9625 117.3875) (width 0.1524) (layer Sig1-L3) (net 101) (tstamp 517F8D28))
+  (via (at 187.9625 117.3875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 101))
+  (segment (start 187.9625 117.3875) (end 188.3625 116.9875) (width 0.1524) (layer TOP-L1) (net 101) (tstamp 517F8D3C))
+  (segment (start 149.7875 134.0375) (end 148.4875 134.0375) (width 0.1524) (layer Sig1-L3) (net 101))
+  (segment (start 148.6125 134.9875) (end 148.9625 134.9875) (width 0.1524) (layer Sig1-L3) (net 101) (tstamp 517FEF06))
+  (segment (start 148.2875 134.6625) (end 148.6125 134.9875) (width 0.1524) (layer Sig1-L3) (net 101) (tstamp 517FEF05))
+  (segment (start 148.2875 134.2375) (end 148.2875 134.6625) (width 0.1524) (layer Sig1-L3) (net 101) (tstamp 517FEF04))
+  (segment (start 148.4875 134.0375) (end 148.2875 134.2375) (width 0.1524) (layer Sig1-L3) (net 101) (tstamp 517FEF03))
+  (segment (start 154.3625 130.9375) (end 154.3625 131.6625) (width 0.1524) (layer Sig1-L3) (net 101))
+  (segment (start 153.1125 132.7875) (end 154.8625 131.0375) (width 0.1524) (layer TOP-L1) (net 101) (tstamp 517FEEFA))
+  (segment (start 153.1125 133.8625) (end 153.1125 132.7875) (width 0.1524) (layer TOP-L1) (net 101) (tstamp 517FEEF8))
+  (segment (start 152.4875 134.4875) (end 153.1125 133.8625) (width 0.1524) (layer TOP-L1) (net 101) (tstamp 517FEEF7))
+  (via (at 152.4875 134.4875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 101))
+  (segment (start 151.9875 134.9875) (end 152.4875 134.4875) (width 0.1524) (layer Sig1-L3) (net 101) (tstamp 517FEEF5))
+  (segment (start 148.9625 134.9875) (end 151.9875 134.9875) (width 0.1524) (layer Sig1-L3) (net 101) (tstamp 517FEF09))
+  (segment (start 150.1375 134.3875) (end 149.7875 134.0375) (width 0.1524) (layer Sig1-L3) (net 101) (tstamp 517FEEEE))
+  (segment (start 151.6375 134.3875) (end 150.1375 134.3875) (width 0.1524) (layer Sig1-L3) (net 101) (tstamp 517FEEEC))
+  (segment (start 154.3625 131.6625) (end 151.6375 134.3875) (width 0.1524) (layer Sig1-L3) (net 101) (tstamp 517FEEEB))
+  (segment (start 152.5375 112.7625) (end 150.7125 112.7625) (width 0.1524) (layer Sig2-L4) (net 101))
+  (segment (start 151.1625 109.8625) (end 152.3875 109.8625) (width 0.1524) (layer Sig2-L4) (net 101) (tstamp 517F8E7F))
+  (segment (start 150.8625 110.1625) (end 151.1625 109.8625) (width 0.1524) (layer Sig2-L4) (net 101) (tstamp 517F8E7E))
+  (segment (start 150.8625 111.0125) (end 150.8625 110.1625) (width 0.1524) (layer Sig2-L4) (net 101) (tstamp 517F8E7D))
+  (segment (start 151.1125 111.2625) (end 150.8625 111.0125) (width 0.1524) (layer Sig2-L4) (net 101) (tstamp 517F8E7C))
+  (segment (start 151.5625 111.2625) (end 151.1125 111.2625) (width 0.1524) (layer Sig2-L4) (net 101) (tstamp 517F8E7B))
+  (segment (start 152.1125 110.7125) (end 151.5625 111.2625) (width 0.1524) (layer Sig2-L4) (net 101) (tstamp 517F8E7A))
+  (segment (start 152.7375 110.7125) (end 152.1125 110.7125) (width 0.1524) (layer Sig2-L4) (net 101) (tstamp 517F8E78))
+  (segment (start 153.5125 111.4875) (end 152.7375 110.7125) (width 0.1524) (layer Sig2-L4) (net 101) (tstamp 517F8E77))
+  (segment (start 153.5125 111.7375) (end 153.5125 111.4875) (width 0.1524) (layer Sig2-L4) (net 101) (tstamp 517F8E76))
+  (segment (start 153.2125 112.0375) (end 153.5125 111.7375) (width 0.1524) (layer Sig2-L4) (net 101) (tstamp 517F8E75))
+  (segment (start 150.6875 112.0375) (end 153.2125 112.0375) (width 0.1524) (layer Sig2-L4) (net 101) (tstamp 517F8E71))
+  (segment (start 150.5125 112.2125) (end 150.6875 112.0375) (width 0.1524) (layer Sig2-L4) (net 101) (tstamp 517F8E70))
+  (segment (start 150.5125 112.5625) (end 150.5125 112.2125) (width 0.1524) (layer Sig2-L4) (net 101) (tstamp 517F8E6F))
+  (segment (start 150.7125 112.7625) (end 150.5125 112.5625) (width 0.1524) (layer Sig2-L4) (net 101) (tstamp 517F8E6E))
+  (segment (start 152.3875 109.8625) (end 152.4875 109.8625) (width 0.1524) (layer Sig2-L4) (net 101) (tstamp 517F8E83))
+  (segment (start 152.4875 109.8625) (end 152.7625 109.8625) (width 0.1524) (layer Sig2-L4) (net 101) (tstamp 517F8DD6))
+  (segment (start 150.1875 113.9375) (end 150.1875 113.4625) (width 0.1524) (layer Sig2-L4) (net 101))
+  (segment (start 150.3625 113.2875) (end 150.8625 113.2875) (width 0.1524) (layer Sig2-L4) (net 101) (tstamp 517F8E0B))
+  (segment (start 150.1875 113.4625) (end 150.3625 113.2875) (width 0.1524) (layer Sig2-L4) (net 101) (tstamp 517F8E0A))
+  (segment (start 150.1875 113.9875) (end 150.1875 113.9375) (width 0.1524) (layer Sig2-L4) (net 101))
+  (segment (start 153.3875 112.7625) (end 152.5375 112.7625) (width 0.1524) (layer Sig2-L4) (net 101) (tstamp 517F8DFA))
+  (segment (start 153.6375 113.0125) (end 153.3875 112.7625) (width 0.1524) (layer Sig2-L4) (net 101) (tstamp 517F8DF9))
+  (segment (start 153.6375 113.2875) (end 153.6375 113.0125) (width 0.1524) (layer Sig2-L4) (net 101) (tstamp 5191BA08))
+  (segment (start 152.5375 113.2875) (end 152.7625 113.5125) (width 0.1524) (layer Sig2-L4) (net 101) (tstamp 517F8DF5))
+  (segment (start 150.8625 113.2875) (end 152.5375 113.2875) (width 0.1524) (layer Sig2-L4) (net 101) (tstamp 517F8E0E))
+  (segment (start 150.1875 114.0625) (end 150.1875 113.9875) (width 0.1524) (layer Sig2-L4) (net 101) (tstamp 517F8DC5))
+  (segment (start 150.4375 114.3125) (end 150.1875 114.0625) (width 0.1524) (layer Sig2-L4) (net 101) (tstamp 517F8DC4))
+  (segment (start 150.5875 114.3125) (end 150.4375 114.3125) (width 0.1524) (layer Sig2-L4) (net 101) (tstamp 517F8DC1))
+  (segment (start 151.2125 113.6875) (end 150.5875 114.3125) (width 0.1524) (layer Sig2-L4) (net 101) (tstamp 517F8DC0))
+  (segment (start 152.3875 113.6875) (end 151.2125 113.6875) (width 0.1524) (layer Sig2-L4) (net 101) (tstamp 517F8DBD))
+  (segment (start 152.7625 114.0625) (end 152.3875 113.6875) (width 0.1524) (layer Sig2-L4) (net 101) (tstamp 5191BA0F))
+  (segment (start 154.2625 114.1125) (end 153.7875 114.5875) (width 0.1524) (layer Sig2-L4) (net 101) (tstamp 517F8DB5))
+  (segment (start 154.5125 117.7125) (end 154.5125 115.8375) (width 0.1524) (layer Sig2-L4) (net 101) (tstamp 517F8D70))
+  (segment (start 154.5875 117.7875) (end 154.5125 117.7125) (width 0.1524) (layer Sig2-L4) (net 101))
+  (segment (start 154.5125 115.8375) (end 154.5125 115.3625) (width 0.1524) (layer Sig2-L4) (net 101))
+  (segment (start 154.5125 115.3625) (end 154.9125 114.9625) (width 0.1524) (layer Sig2-L4) (net 101) (tstamp 517F8DB0))
+  (segment (start 154.9125 114.9625) (end 154.9125 114.3875) (width 0.1524) (layer Sig2-L4) (net 101) (tstamp 517F8DB1))
+  (segment (start 154.9125 114.3875) (end 154.6375 114.1125) (width 0.1524) (layer Sig2-L4) (net 101) (tstamp 517F8DB2))
+  (segment (start 154.6375 114.1125) (end 154.2625 114.1125) (width 0.1524) (layer Sig2-L4) (net 101) (tstamp 517F8DB3))
+  (segment (start 155.9125 107.987598) (end 155.9125 107.9875) (width 0.1524) (layer TOP-L1) (net 101) (tstamp 517F8D98))
+  (segment (start 155.512402 107.987598) (end 155.9125 107.987598) (width 0.1524) (layer TOP-L1) (net 101) (tstamp 517F8D93))
+  (segment (start 154.4125 109.0875) (end 155.512402 107.987598) (width 0.1524) (layer TOP-L1) (net 101) (tstamp 5191B424))
+  (via (at 154.5875 117.7875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 101))
+  (segment (start 159.3625 108.5875) (end 158.7625 107.9875) (width 0.1524) (layer TOP-L1) (net 101) (tstamp 517B2582))
+  (segment (start 159.3625 108.5875) (end 159.3625 109.1875) (width 0.1524) (layer TOP-L1) (net 101))
+  (segment (start 158.7625 107.9875) (end 155.9125 107.9875) (width 0.1524) (layer TOP-L1) (net 101) (tstamp 517B2585))
+  (segment (start 154.3625 118.0125) (end 154.5875 117.7875) (width 0.1524) (layer Sig1-L3) (net 101))
+  (segment (start 159.3625 131.1875) (end 159.3625 130.6625) (width 0.1524) (layer TOP-L1) (net 101))
+  (segment (start 155.8875 130.0125) (end 154.8625 131.0375) (width 0.1524) (layer TOP-L1) (net 101) (tstamp 517B22BD))
+  (segment (start 158.7125 130.0125) (end 155.8875 130.0125) (width 0.1524) (layer TOP-L1) (net 101) (tstamp 517B22B9))
+  (segment (start 159.3625 130.6625) (end 158.7125 130.0125) (width 0.1524) (layer TOP-L1) (net 101) (tstamp 517B22B7))
+  (segment (start 154.3625 118.0125) (end 154.3625 130.9375) (width 0.1524) (layer Sig1-L3) (net 101))
+  (segment (start 153.5125 114.1875) (end 160.0375 114.1875) (width 0.1524) (layer TOP-L1) (net 102))
+  (via (at 187.1625 114.1875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 102))
+  (segment (start 160.0375 114.1875) (end 187.1625 114.1875) (width 0.1524) (layer Sig1-L3) (net 102) (tstamp 518057B1))
+  (segment (start 187.1625 114.1875) (end 187.5625 113.7875) (width 0.1524) (layer TOP-L1) (net 102))
+  (via (at 153.5125 114.1875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 102))
+  (via (at 160.0375 114.1875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 102))
+  (segment (start 149.7875 130.7125) (end 149.7125 130.7125) (width 0.1524) (layer TOP-L1) (net 102))
+  (segment (start 149.7375 131.4125) (end 149.8875 131.4125) (width 0.1524) (layer TOP-L1) (net 102) (tstamp 517FEE8B))
+  (segment (start 149.5875 131.2625) (end 149.7375 131.4125) (width 0.1524) (layer TOP-L1) (net 102) (tstamp 517FEE8A))
+  (segment (start 149.5875 130.8375) (end 149.5875 131.2625) (width 0.1524) (layer TOP-L1) (net 102) (tstamp 517FEE89))
+  (segment (start 149.7125 130.7125) (end 149.5875 130.8375) (width 0.1524) (layer TOP-L1) (net 102) (tstamp 517FEE88))
+  (segment (start 150.7625 131.4125) (end 152.3625 131.4125) (width 0.1524) (layer TOP-L1) (net 102))
+  (segment (start 153.1625 130.6125) (end 153.1625 130.0625) (width 0.1524) (layer Sig1-L3) (net 102) (tstamp 517FEE69))
+  (segment (start 152.5125 131.2625) (end 153.1625 130.6125) (width 0.1524) (layer Sig1-L3) (net 102) (tstamp 517FEE68))
+  (via (at 152.5125 131.2625) (size 0.4572) (layers TOP-L1 BOT-L6) (net 102))
+  (segment (start 152.3625 131.4125) (end 152.5125 131.2625) (width 0.1524) (layer TOP-L1) (net 102) (tstamp 517FEE66))
+  (segment (start 154.1875 129.0875) (end 151.9125 129.0875) (width 0.1524) (layer TOP-L1) (net 102))
+  (segment (start 149.8875 131.4125) (end 150.7625 131.4125) (width 0.1524) (layer TOP-L1) (net 102) (tstamp 517FEE8E))
+  (segment (start 150.2875 130.7125) (end 149.7875 130.7125) (width 0.1524) (layer TOP-L1) (net 102) (tstamp 517FEE56))
+  (segment (start 151.9125 129.0875) (end 150.2875 130.7125) (width 0.1524) (layer TOP-L1) (net 102) (tstamp 517FEE54))
+  (segment (start 153.3875 115.4375) (end 153.1625 115.2125) (width 0.1524) (layer Sig1-L3) (net 102) (tstamp 517F6D51))
+  (segment (start 153.1625 115.2125) (end 153.1625 114.5375) (width 0.1524) (layer Sig1-L3) (net 102) (tstamp 517F6D54))
+  (segment (start 153.1625 114.5375) (end 153.5125 114.1875) (width 0.1524) (layer Sig1-L3) (net 102) (tstamp 517F6D58))
+  (segment (start 153.1625 130.0625) (end 153.1625 116.2125) (width 0.1524) (layer Sig1-L3) (net 102) (tstamp 517FEE6C))
+  (segment (start 153.1625 116.2125) (end 153.3875 115.9875) (width 0.1524) (layer Sig1-L3) (net 102) (tstamp 517BAF3B))
+  (segment (start 153.3875 115.9875) (end 153.3875 115.4375) (width 0.1524) (layer Sig1-L3) (net 102))
+  (segment (start 161.7625 131.1875) (end 161.7625 130.9375) (width 0.1524) (layer TOP-L1) (net 102))
+  (segment (start 159.9125 129.0875) (end 159.4375 129.0875) (width 0.1524) (layer TOP-L1) (net 102) (tstamp 517F6DF3))
+  (segment (start 161.7625 130.9375) (end 159.9125 129.0875) (width 0.1524) (layer TOP-L1) (net 102) (tstamp 517F6DF0))
+  (segment (start 156.1125 129.0875) (end 154.1875 129.0875) (width 0.1524) (layer TOP-L1) (net 102))
+  (segment (start 159.4375 129.0875) (end 159.3375 129.0875) (width 0.1524) (layer TOP-L1) (net 102) (tstamp 517F6DF6))
+  (segment (start 159.3375 129.0875) (end 156.1125 129.0875) (width 0.1524) (layer TOP-L1) (net 102) (tstamp 517F6D9C))
+  (segment (start 149.9375 107.9875) (end 148.4875 107.9875) (width 0.1524) (layer TOP-L1) (net 102))
+  (segment (start 151.4125 106.9625) (end 152.1625 107.7125) (width 0.1524) (layer TOP-L1) (net 102) (tstamp 517F6D0F))
+  (segment (start 148.7125 106.9625) (end 151.4125 106.9625) (width 0.1524) (layer TOP-L1) (net 102) (tstamp 517F6D09))
+  (segment (start 148.2375 107.4375) (end 148.7125 106.9625) (width 0.1524) (layer TOP-L1) (net 102) (tstamp 517F6D08))
+  (segment (start 148.2375 107.7375) (end 148.2375 107.4375) (width 0.1524) (layer TOP-L1) (net 102) (tstamp 517F6D07))
+  (segment (start 148.4875 107.9875) (end 148.2375 107.7375) (width 0.1524) (layer TOP-L1) (net 102) (tstamp 517F6D06))
+  (segment (start 151.3125 108.7875) (end 151.5375 108.7875) (width 0.1524) (layer TOP-L1) (net 102))
+  (segment (start 153.0875 107.8125) (end 153.2125 107.6875) (width 0.1524) (layer TOP-L1) (net 102) (tstamp 517F6CEC))
+  (segment (start 152.2625 107.8125) (end 153.0875 107.8125) (width 0.1524) (layer TOP-L1) (net 102) (tstamp 517F6CE9))
+  (segment (start 152.1625 107.7125) (end 152.2625 107.8125) (width 0.1524) (layer TOP-L1) (net 102) (tstamp 517F6D17))
+  (segment (start 150.6875 107.9875) (end 149.9375 107.9875) (width 0.1524) (layer TOP-L1) (net 102) (tstamp 517F6CE0))
+  (segment (start 150.9875 108.2875) (end 150.6875 107.9875) (width 0.1524) (layer TOP-L1) (net 102) (tstamp 517F6CDF))
+  (segment (start 151.2125 108.2875) (end 150.9875 108.2875) (width 0.1524) (layer TOP-L1) (net 102) (tstamp 517F6CDE))
+  (segment (start 151.4625 108.0375) (end 151.2125 108.2875) (width 0.1524) (layer TOP-L1) (net 102) (tstamp 517F6CDD))
+  (segment (start 151.6875 108.0375) (end 151.4625 108.0375) (width 0.1524) (layer TOP-L1) (net 102) (tstamp 517F6CDC))
+  (segment (start 151.8375 108.1875) (end 151.6875 108.0375) (width 0.1524) (layer TOP-L1) (net 102) (tstamp 517F6CDB))
+  (segment (start 151.8375 108.4875) (end 151.8375 108.1875) (width 0.1524) (layer TOP-L1) (net 102) (tstamp 517F6CDA))
+  (segment (start 151.5375 108.7875) (end 151.8375 108.4875) (width 0.1524) (layer TOP-L1) (net 102) (tstamp 517F6CD9))
+  (segment (start 153.1625 110.1375) (end 153.1625 109.9875) (width 0.1524) (layer TOP-L1) (net 102))
+  (segment (start 161.7625 108.3375) (end 161.7625 109.1875) (width 0.1524) (layer TOP-L1) (net 102) (tstamp 517F6CC5))
+  (segment (start 160.4625 107.0375) (end 161.7625 108.3375) (width 0.1524) (layer TOP-L1) (net 102) (tstamp 517F6CC1))
+  (segment (start 153.8625 107.0375) (end 160.4625 107.0375) (width 0.1524) (layer TOP-L1) (net 102) (tstamp 517F6CAC))
+  (segment (start 153.2125 107.6875) (end 153.8625 107.0375) (width 0.1524) (layer TOP-L1) (net 102) (tstamp 517F6CEF))
+  (segment (start 150.7875 108.7875) (end 151.3125 108.7875) (width 0.1524) (layer TOP-L1) (net 102) (tstamp 517F6CAA))
+  (segment (start 150.2875 108.2875) (end 150.7875 108.7875) (width 0.1524) (layer TOP-L1) (net 102) (tstamp 517F6CA8))
+  (segment (start 148.1875 108.2875) (end 150.2875 108.2875) (width 0.1524) (layer TOP-L1) (net 102) (tstamp 517F6CA7))
+  (segment (start 147.9625 108.5125) (end 148.1875 108.2875) (width 0.1524) (layer TOP-L1) (net 102) (tstamp 517F6CA6))
+  (segment (start 147.9625 108.7125) (end 147.9625 108.5125) (width 0.1524) (layer TOP-L1) (net 102) (tstamp 517F6CA4))
+  (segment (start 148.1875 108.9375) (end 147.9625 108.7125) (width 0.1524) (layer TOP-L1) (net 102) (tstamp 517F6CA3))
+  (segment (start 150.1125 108.9375) (end 148.1875 108.9375) (width 0.1524) (layer TOP-L1) (net 102) (tstamp 517F6CA2))
+  (segment (start 150.3625 109.1875) (end 150.1125 108.9375) (width 0.1524) (layer TOP-L1) (net 102) (tstamp 517F6CA1))
+  (segment (start 151.7625 109.1875) (end 150.3625 109.1875) (width 0.1524) (layer TOP-L1) (net 102) (tstamp 517F6C9F))
+  (segment (start 152.2375 109.6625) (end 151.7625 109.1875) (width 0.1524) (layer TOP-L1) (net 102) (tstamp 517F6C9D))
+  (segment (start 152.8375 109.6625) (end 152.2375 109.6625) (width 0.1524) (layer TOP-L1) (net 102) (tstamp 517F6C9C))
+  (segment (start 153.1625 109.9875) (end 152.8375 109.6625) (width 0.1524) (layer TOP-L1) (net 102) (tstamp 517F6C9B))
+  (segment (start 153.1625 111.3875) (end 153.1625 110.3875) (width 0.1524) (layer Sig1-L3) (net 102))
+  (segment (start 153.1625 111.5625) (end 153.1625 111.3875) (width 0.1524) (layer Sig1-L3) (net 102))
+  (via (at 153.1625 110.3875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 102))
+  (segment (start 153.1625 110.1375) (end 153.1625 110.3875) (width 0.1524) (layer TOP-L1) (net 102) (tstamp 517F6C99))
+  (segment (start 153.5125 114.1875) (end 153.1625 113.8375) (width 0.1524) (layer Sig1-L3) (net 102))
+  (segment (start 153.1625 113.8375) (end 153.1625 111.5625) (width 0.1524) (layer Sig1-L3) (net 102) (tstamp 517BAF6F))
+  (segment (start 155.0375 122.8375) (end 155.0375 122.5875) (width 0.1524) (layer Sig1-L3) (net 103))
+  (segment (start 155.0625 121.1625) (end 155.0625 121.0125) (width 0.1524) (layer Sig1-L3) (net 103) (tstamp 5191BEE4))
+  (segment (start 155.5125 121.6125) (end 155.0625 121.1625) (width 0.1524) (layer Sig1-L3) (net 103) (tstamp 5191BEE0))
+  (segment (start 155.5125 122.1125) (end 155.5125 121.6125) (width 0.1524) (layer Sig1-L3) (net 103) (tstamp 5191BEDE))
+  (segment (start 155.0375 122.5875) (end 155.5125 122.1125) (width 0.1524) (layer Sig1-L3) (net 103) (tstamp 5191BEDD))
+  (segment (start 155.1125 109.6875) (end 154.6875 110.1125) (width 0.1524) (layer TOP-L1) (net 103))
+  (segment (start 153.8875 111.5375) (end 153.8875 111.6875) (width 0.1524) (layer Sig2-L4) (net 103) (tstamp 5191B3E3))
+  (segment (start 154.6875 110.7375) (end 153.8875 111.5375) (width 0.1524) (layer Sig2-L4) (net 103) (tstamp 5191B3E2))
+  (segment (start 154.6875 110.4375) (end 154.6875 110.7375) (width 0.1524) (layer Sig2-L4) (net 103) (tstamp 5191B3E1))
+  (via (at 154.6875 110.4375) (size 0.4572) (layers TOP-L1 BOT-L6) (net 103))
+  (segment (start 154.6875 110.1125) (end 154.6875 110.4375) (width 0.1524) (layer TOP-L1) (net 103) (tstamp 5191B3D9))
+  (segment (start 148.8875 136.3625) (end 148.5375 136.3625) (width 0.1524) (layer Sig1-L3) (net 103))
+  (segment (start 148.5625 137.1875) (end 148.8125 137.1875) (width 0.1524) (layer Sig1-L3) (net 103) (tstamp 517FEFF1))
+  (segment (start 148.3375 136.9625) (end 148.5625 137.1875) (width 0.1524) (layer Sig1-L3) (net 103) (tstamp 517FEFF0))
+  (segment (start 148.3375 136.5625) (end 148.3375 136.9625) (width 0.1524) (layer Sig1-L3) (net 103) (tstamp 517FEFEF))
+  (segment (start 148.5375 136.3625) (end 148.3375 136.5625) (width 0.1524) (layer Sig1-L3) (net 103) (tstamp 517FEFEE))
+  (segment (start 152.8875 137.1875) (end 153.5625 136.5125) (width 0.1524) (layer Sig1-L3) (net 103) (tstamp 517FEFE5))
+  (segment (start 148.8125 137.1875) (end 152.8875 137.1875) (width 0.1524) (layer Sig1-L3) (net 103) (tstamp 517FEFF4))
+  (segment (start 155.0375 130.5375) (end 155.0375 132.2375) (width 0.1524) (layer Sig1-L3) (net 103))
+  (segment (start 155.1625 134.4875) (end 155.1625 133.3875) (width 0.1524) (layer TOP-L1) (net 103) (tstamp 517FEFDA))
+  (via (at 155.1625 134.4875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 103))
+  (segment (start 155.1625 134.9125) (end 155.1625 134.4875) (width 0.1524) (layer Sig1-L3) (net 103) (tstamp 517FEFD8))
+  (segment (start 153.5625 136.5125) (end 155.1625 134.9125) (width 0.1524) (layer Sig1-L3) (net 103) (tstamp 517FEFE9))
+  (segment (start 152.8125 136.3625) (end 148.8875 136.3625) (width 0.1524) (layer Sig1-L3) (net 103) (tstamp 517FEFC7))
+  (segment (start 154.3125 134.8625) (end 152.8125 136.3625) (width 0.1524) (layer Sig1-L3) (net 103) (tstamp 517FEFC5))
+  (segment (start 154.3125 132.9625) (end 154.3125 134.8625) (width 0.1524) (layer Sig1-L3) (net 103) (tstamp 517FEFC3))
+  (segment (start 155.0375 132.2375) (end 154.3125 132.9625) (width 0.1524) (layer Sig1-L3) (net 103) (tstamp 517FEFC1))
+  (segment (start 152.8625 123.9625) (end 152.8625 124.3625) (width 0.1524) (layer Sig2-L4) (net 103))
+  (segment (start 152.0875 119.5375) (end 152.0875 118.2625) (width 0.1524) (layer Sig2-L4) (net 103) (tstamp 517FEF78))
+  (segment (start 154.5875 118.3125) (end 154.8875 118.3125) (width 0.1524) (layer Sig2-L4) (net 103) (tstamp 517FEF8E))
+  (segment (start 154.8875 118.3125) (end 155.1125 118.0875) (width 0.1524) (layer Sig2-L4) (net 103) (tstamp 517FEF51))
+  (segment (start 155.1125 118.0875) (end 155.1125 115.4375) (width 0.1524) (layer Sig2-L4) (net 103) (tstamp 517FEF52))
+  (segment (start 155.1125 115.4375) (end 155.3125 115.2375) (width 0.1524) (layer Sig2-L4) (net 103) (tstamp 517FEF53))
+  (segment (start 155.3125 115.2375) (end 155.3125 114.1625) (width 0.1524) (layer Sig2-L4) (net 103) (tstamp 517FEF54))
+  (segment (start 155.3125 114.1625) (end 154.8375 113.6875) (width 0.1524) (layer Sig2-L4) (net 103) (tstamp 517FEF55))
+  (segment (start 154.8375 113.6875) (end 154.2375 113.6875) (width 0.1524) (layer Sig2-L4) (net 103) (tstamp 517FEF56))
+  (segment (start 154.2375 113.6875) (end 154.0375 113.4875) (width 0.1524) (layer Sig2-L4) (net 103) (tstamp 517FEF57))
+  (segment (start 154.0375 113.4875) (end 154.0375 112.8625) (width 0.1524) (layer Sig2-L4) (net 103) (tstamp 517FEF58))
+  (segment (start 154.0375 112.8625) (end 153.7375 112.5625) (width 0.1524) (layer Sig2-L4) (net 103) (tstamp 517FEF59))
+  (segment (start 153.7375 112.5625) (end 153.7375 112.1875) (width 0.1524) (layer Sig2-L4) (net 103) (tstamp 517FEF5A))
+  (segment (start 153.7375 112.1875) (end 153.8875 112.0375) (width 0.1524) (layer Sig2-L4) (net 103) (tstamp 517FEF5B))
+  (segment (start 153.8875 112.0375) (end 153.8875 111.6875) (width 0.1524) (layer Sig2-L4) (net 103) (tstamp 517FEF5C))
+  (segment (start 155.2875 120.5875) (end 154.0375 120.5875) (width 0.1524) (layer Sig2-L4) (net 103))
+  (segment (start 153.1375 120.5875) (end 152.8625 120.8625) (width 0.1524) (layer Sig2-L4) (net 103) (tstamp 517FEF6C))
+  (segment (start 152.8625 120.8625) (end 152.8625 122.5875) (width 0.1524) (layer Sig2-L4) (net 103) (tstamp 517FEF6D))
+  (segment (start 151.6375 123.0375) (end 151.6375 122.3625) (width 0.1524) (layer Sig2-L4) (net 103) (tstamp 517FEF9E))
+  (segment (start 151.6375 122.3625) (end 152.1625 121.8375) (width 0.1524) (layer Sig2-L4) (net 103) (tstamp 517FEF72))
+  (segment (start 152.1625 121.8375) (end 152.1625 120.6375) (width 0.1524) (layer Sig2-L4) (net 103) (tstamp 517FEF73))
+  (segment (start 152.1625 120.6375) (end 152.0875 120.5625) (width 0.1524) (layer Sig2-L4) (net 103) (tstamp 517FEF74))
+  (segment (start 152.0875 120.5625) (end 152.0875 119.5375) (width 0.1524) (layer Sig2-L4) (net 103) (tstamp 517FEF75))
+  (segment (start 154.0375 120.5875) (end 153.1375 120.5875) (width 0.1524) (layer Sig2-L4) (net 103))
+  (segment (start 152.0875 117.4125) (end 152.3875 117.1125) (width 0.1524) (layer Sig2-L4) (net 103) (tstamp 517FEF80))
+  (segment (start 152.3875 117.1125) (end 152.8625 117.1125) (width 0.1524) (layer Sig2-L4) (net 103) (tstamp 517FEF81))
+  (segment (start 152.8625 117.1125) (end 153.1625 117.4125) (width 0.1524) (layer Sig2-L4) (net 103) (tstamp 517FEF82))
+  (segment (start 153.1625 117.4125) (end 153.1625 118.0375) (width 0.1524) (layer Sig2-L4) (net 103) (tstamp 517FEF83))
+  (segment (start 153.1625 118.0375) (end 152.9125 118.2875) (width 0.1524) (layer Sig2-L4) (net 103) (tstamp 517FEF84))
+  (segment (start 152.9125 118.2875) (end 152.9125 119.7125) (width 0.1524) (layer Sig2-L4) (net 103) (tstamp 517FEF85))
+  (segment (start 152.9125 119.7125) (end 153.3375 120.1375) (width 0.1524) (layer Sig2-L4) (net 103) (tstamp 517FEF86))
+  (segment (start 153.3375 120.1375) (end 153.6875 120.1375) (width 0.1524) (layer Sig2-L4) (net 103) (tstamp 517FEF87))
+  (segment (start 153.6875 120.1375) (end 153.9875 119.8375) (width 0.1524) (layer Sig2-L4) (net 103) (tstamp 517FEF88))
+  (segment (start 153.9875 119.8375) (end 153.9875 118.5625) (width 0.1524) (layer Sig2-L4) (net 103) (tstamp 517FEF89))
+  (segment (start 153.9875 118.5625) (end 154.2375 118.3125) (width 0.1524) (layer Sig2-L4) (net 103) (tstamp 517FEF8A))
+  (segment (start 154.2375 118.3125) (end 154.5875 118.3125) (width 0.1524) (layer Sig2-L4) (net 103) (tstamp 517FEF8B))
+  (segment (start 152.0875 118.2625) (end 152.0875 117.4125) (width 0.1524) (layer Sig2-L4) (net 103))
+  (segment (start 151.6375 123.7125) (end 151.6375 123.0375) (width 0.1524) (layer Sig2-L4) (net 103) (tstamp 517FEFA9))
+  (segment (start 152.8625 122.5875) (end 152.8625 123.9625) (width 0.1524) (layer Sig2-L4) (net 103))
+  (segment (start 151.6375 123.7625) (end 151.6375 123.7125) (width 0.1524) (layer Sig2-L4) (net 103) (tstamp 517FEFB4))
+  (segment (start 152.8625 124.3625) (end 152.5375 124.6875) (width 0.1524) (layer Sig2-L4) (net 103) (tstamp 517FEFAE))
+  (segment (start 152.5375 124.6875) (end 151.9375 124.6875) (width 0.1524) (layer Sig2-L4) (net 103) (tstamp 517FEFAF))
+  (segment (start 151.9375 124.6875) (end 151.6375 124.3875) (width 0.1524) (layer Sig2-L4) (net 103) (tstamp 517FEFB0))
+  (segment (start 151.6375 124.3875) (end 151.6375 123.7625) (width 0.1524) (layer Sig2-L4) (net 103) (tstamp 517FEFB1))
+  (segment (start 155.1125 109.6875) (end 155.3875 109.4125) (width 0.1524) (layer TOP-L1) (net 103) (tstamp 5191B3D7))
+  (segment (start 158.5625 108.9875) (end 158.162402 108.587402) (width 0.1524) (layer TOP-L1) (net 103) (tstamp 517B25BE))
+  (segment (start 158.5625 108.9875) (end 158.5625 109.1875) (width 0.1524) (layer TOP-L1) (net 103))
+  (segment (start 156.212598 108.587402) (end 155.3875 109.4125) (width 0.1524) (layer TOP-L1) (net 103) (tstamp 517B25C3))
+  (segment (start 158.162402 108.587402) (end 156.212598 108.587402) (width 0.1524) (layer TOP-L1) (net 103) (tstamp 517B25C2))
+  (segment (start 155.0625 121.0125) (end 155.0625 120.8125) (width 0.1524) (layer Sig1-L3) (net 103) (tstamp 5191BEE7))
+  (segment (start 155.0375 130.5375) (end 155.0375 122.8375) (width 0.1524) (layer Sig1-L3) (net 103) (tstamp 517FEFBF))
+  (segment (start 158.5625 131.1875) (end 158.0125 130.6375) (width 0.1524) (layer TOP-L1) (net 103))
+  (segment (start 158.0125 130.6375) (end 156.2375 130.6375) (width 0.1524) (layer TOP-L1) (net 103) (tstamp 517B1D20))
+  (segment (start 156.2375 130.6375) (end 155.1625 131.7125) (width 0.1524) (layer TOP-L1) (net 103) (tstamp 517B1D23))
+  (segment (start 155.1625 131.7125) (end 155.1625 133.3875) (width 0.1524) (layer TOP-L1) (net 103) (tstamp 517B1D26))
+  (segment (start 158.0625 120.5875) (end 155.2875 120.5875) (width 0.1524) (layer TOP-L1) (net 103) (tstamp 517BB1D3))
+  (via (at 155.2875 120.5875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 103))
+  (segment (start 187.1625 120.5875) (end 187.5625 120.1875) (width 0.1524) (layer TOP-L1) (net 103))
+  (segment (start 185.0625 120.5875) (end 158.0625 120.5875) (width 0.1524) (layer Sig1-L3) (net 103) (tstamp 517B3741))
+  (segment (start 187.1625 120.5875) (end 185.0625 120.5875) (width 0.1524) (layer Sig1-L3) (net 103) (tstamp 517B3740))
+  (via (at 187.1625 120.5875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 103))
+  (via (at 158.0625 120.5875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 103))
+  (segment (start 155.0625 120.8125) (end 155.2875 120.5875) (width 0.1524) (layer Sig1-L3) (net 103) (tstamp 517BB1E4))
+  (segment (start 149.8125 132.4875) (end 149.6375 132.4875) (width 0.1524) (layer Sig1-L3) (net 104))
+  (segment (start 148.9125 133.0125) (end 149.6875 133.0125) (width 0.1524) (layer Sig1-L3) (net 104) (tstamp 517FEEBC))
+  (segment (start 148.7375 132.8375) (end 148.9125 133.0125) (width 0.1524) (layer Sig1-L3) (net 104) (tstamp 517FEEBB))
+  (segment (start 148.7375 132.3875) (end 148.7375 132.8375) (width 0.1524) (layer Sig1-L3) (net 104) (tstamp 517FEEBA))
+  (segment (start 149.0125 132.1125) (end 148.7375 132.3875) (width 0.1524) (layer Sig1-L3) (net 104) (tstamp 517FEEB9))
+  (segment (start 149.2625 132.1125) (end 149.0125 132.1125) (width 0.1524) (layer Sig1-L3) (net 104) (tstamp 517FEEB8))
+  (segment (start 149.6375 132.4875) (end 149.2625 132.1125) (width 0.1524) (layer Sig1-L3) (net 104) (tstamp 517FEEB7))
+  (segment (start 155.187402 129.412598) (end 156.1625 129.412598) (width 0.1524) (layer TOP-L1) (net 104) (tstamp 517FEEAE))
+  (segment (start 152.3625 132.2375) (end 155.187402 129.412598) (width 0.1524) (layer TOP-L1) (net 104) (tstamp 517FEEAD))
+  (via (at 152.3625 132.2375) (size 0.4572) (layers TOP-L1 BOT-L6) (net 104))
+  (segment (start 152.0875 132.2375) (end 152.3625 132.2375) (width 0.1524) (layer Sig1-L3) (net 104) (tstamp 517FEEAB))
+  (segment (start 151.6875 132.6375) (end 152.0875 132.2375) (width 0.1524) (layer Sig1-L3) (net 104) (tstamp 517FEEAA))
+  (segment (start 150.4875 132.6375) (end 151.6875 132.6375) (width 0.1524) (layer Sig1-L3) (net 104) (tstamp 517FEEA9))
+  (segment (start 150.1125 133.0125) (end 150.4875 132.6375) (width 0.1524) (layer Sig1-L3) (net 104) (tstamp 517FEEA8))
+  (segment (start 149.6875 133.0125) (end 150.1125 133.0125) (width 0.1524) (layer Sig1-L3) (net 104) (tstamp 517FEEBF))
+  (segment (start 153.5625 130.3625) (end 153.5625 130.9125) (width 0.1524) (layer Sig1-L3) (net 104))
+  (segment (start 149.9875 132.4875) (end 149.8125 132.4875) (width 0.1524) (layer Sig1-L3) (net 104) (tstamp 517FEE9A))
+  (segment (start 150.2375 132.2375) (end 149.9875 132.4875) (width 0.1524) (layer Sig1-L3) (net 104) (tstamp 517FEE99))
+  (segment (start 151.3375 132.2375) (end 150.2375 132.2375) (width 0.1524) (layer Sig1-L3) (net 104) (tstamp 517FEE98))
+  (segment (start 151.8125 131.7625) (end 151.3375 132.2375) (width 0.1524) (layer Sig1-L3) (net 104) (tstamp 517FEE97))
+  (segment (start 152.7125 131.7625) (end 151.8125 131.7625) (width 0.1524) (layer Sig1-L3) (net 104) (tstamp 517FEE95))
+  (segment (start 153.5625 130.9125) (end 152.7125 131.7625) (width 0.1524) (layer Sig1-L3) (net 104) (tstamp 517FEE94))
+  (segment (start 152.4125 115.2625) (end 152.4125 114.8875) (width 0.1524) (layer Sig2-L4) (net 104))
+  (segment (start 149.4875 114.6375) (end 149.4875 113.9875) (width 0.1524) (layer Sig2-L4) (net 104) (tstamp 517F6FF8))
+  (segment (start 150.3375 115.4875) (end 149.4875 114.6375) (width 0.1524) (layer Sig2-L4) (net 104) (tstamp 517F6FF6))
+  (segment (start 150.8125 115.4875) (end 150.3375 115.4875) (width 0.1524) (layer Sig2-L4) (net 104) (tstamp 517F6FF5))
+  (segment (start 150.9875 115.3125) (end 150.8125 115.4875) (width 0.1524) (layer Sig2-L4) (net 104) (tstamp 517F6FF4))
+  (segment (start 150.9875 115.0375) (end 150.9875 115.3125) (width 0.1524) (layer Sig2-L4) (net 104) (tstamp 517F6FF3))
+  (segment (start 151.5125 114.5125) (end 150.9875 115.0375) (width 0.1524) (layer Sig2-L4) (net 104) (tstamp 517F6FF2))
+  (segment (start 152.0375 114.5125) (end 151.5125 114.5125) (width 0.1524) (layer Sig2-L4) (net 104) (tstamp 517F6FF1))
+  (segment (start 152.4125 114.8875) (end 152.0375 114.5125) (width 0.1524) (layer Sig2-L4) (net 104) (tstamp 517F6FF0))
+  (segment (start 148.8875 111.3625) (end 148.5875 111.3625) (width 0.1524) (layer Sig2-L4) (net 104))
+  (segment (start 146.8375 110.1125) (end 147.2375 109.7125) (width 0.1524) (layer Sig2-L4) (net 104) (tstamp 517F6FD9))
+  (segment (start 146.8375 111.5125) (end 146.8375 110.1125) (width 0.1524) (layer Sig2-L4) (net 104) (tstamp 517F6FD8))
+  (segment (start 147.0125 111.6875) (end 146.8375 111.5125) (width 0.1524) (layer Sig2-L4) (net 104) (tstamp 517F6FD7))
+  (segment (start 148.2625 111.6875) (end 147.0125 111.6875) (width 0.1524) (layer Sig2-L4) (net 104) (tstamp 517F6FD6))
+  (segment (start 148.5875 111.3625) (end 148.2625 111.6875) (width 0.1524) (layer Sig2-L4) (net 104) (tstamp 517F6FD5))
+  (segment (start 146.9125 112.7125) (end 146.9125 112.4875) (width 0.1524) (layer Sig2-L4) (net 104))
+  (segment (start 149.4125 111.3625) (end 148.8875 111.3625) (width 0.1524) (layer Sig2-L4) (net 104) (tstamp 517F6FC2))
+  (segment (start 149.6875 111.6375) (end 149.4125 111.3625) (width 0.1524) (layer Sig2-L4) (net 104) (tstamp 517F6FC1))
+  (segment (start 149.6875 112.1125) (end 149.6875 111.6375) (width 0.1524) (layer Sig2-L4) (net 104) (tstamp 517F6FC0))
+  (segment (start 149.3875 112.4125) (end 149.6875 112.1125) (width 0.1524) (layer Sig2-L4) (net 104) (tstamp 517F6FBF))
+  (segment (start 148.2875 112.4125) (end 149.3875 112.4125) (width 0.1524) (layer Sig2-L4) (net 104) (tstamp 517F6FBD))
+  (segment (start 147.9125 112.0375) (end 148.2875 112.4125) (width 0.1524) (layer Sig2-L4) (net 104) (tstamp 517F6FBC))
+  (segment (start 147.3625 112.0375) (end 147.9125 112.0375) (width 0.1524) (layer Sig2-L4) (net 104) (tstamp 517F6FBB))
+  (segment (start 146.9125 112.4875) (end 147.3625 112.0375) (width 0.1524) (layer Sig2-L4) (net 104) (tstamp 517F6FBA))
+  (segment (start 149.4875 113.9875) (end 149.4875 113.2875) (width 0.1524) (layer Sig2-L4) (net 104) (tstamp 517F6FFF))
+  (segment (start 147.9375 109.0125) (end 149.8125 109.0125) (width 0.1524) (layer Sig2-L4) (net 104) (tstamp 517F6FAB))
+  (segment (start 147.2375 109.7125) (end 147.9375 109.0125) (width 0.1524) (layer Sig2-L4) (net 104) (tstamp 517F6FDF))
+  (segment (start 146.9125 112.8375) (end 146.9125 112.7125) (width 0.1524) (layer Sig2-L4) (net 104) (tstamp 517F6FA3))
+  (segment (start 147.2875 113.2125) (end 146.9125 112.8375) (width 0.1524) (layer Sig2-L4) (net 104) (tstamp 517F6FA2))
+  (segment (start 147.9625 113.2125) (end 147.2875 113.2125) (width 0.1524) (layer Sig2-L4) (net 104) (tstamp 517F6FA1))
+  (segment (start 148.3625 112.8125) (end 147.9625 113.2125) (width 0.1524) (layer Sig2-L4) (net 104) (tstamp 517F6F9A))
+  (segment (start 149.0125 112.8125) (end 148.3625 112.8125) (width 0.1524) (layer Sig2-L4) (net 104) (tstamp 517F6F99))
+  (segment (start 149.4875 113.2875) (end 149.0125 112.8125) (width 0.1524) (layer Sig2-L4) (net 104) (tstamp 517F6F98))
+  (segment (start 153.9125 116.5875) (end 152.7375 116.5875) (width 0.1524) (layer Sig2-L4) (net 104))
+  (segment (start 154.5125 107.3625) (end 155.437598 107.3625) (width 0.1524) (layer TOP-L1) (net 104) (tstamp 517F6F74))
+  (segment (start 152.8625 109.0125) (end 154.5125 107.3625) (width 0.1524) (layer TOP-L1) (net 104) (tstamp 517F6F6B))
+  (segment (start 152.5375 109.0125) (end 152.8625 109.0125) (width 0.1524) (layer TOP-L1) (net 104) (tstamp 517F6F6A))
+  (via (at 152.5375 109.0125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 104))
+  (segment (start 149.8125 109.0125) (end 152.5375 109.0125) (width 0.1524) (layer Sig2-L4) (net 104) (tstamp 517F6FB1))
+  (segment (start 152.4125 116.2625) (end 152.4125 115.2625) (width 0.1524) (layer Sig2-L4) (net 104) (tstamp 517F6F47))
+  (segment (start 152.7375 116.5875) (end 152.4125 116.2625) (width 0.1524) (layer Sig2-L4) (net 104) (tstamp 517F6F43))
+  (segment (start 153.5625 116.9625) (end 153.5625 116.9375) (width 0.1524) (layer Sig1-L3) (net 104))
+  (segment (start 153.5625 116.9625) (end 153.5625 130.3625) (width 0.1524) (layer Sig1-L3) (net 104))
+  (segment (start 153.5625 116.9375) (end 153.9125 116.5875) (width 0.1524) (layer Sig1-L3) (net 104) (tstamp 517BAFDC))
+  (segment (start 160.1625 108.2875) (end 159.2375 107.3625) (width 0.1524) (layer TOP-L1) (net 104) (tstamp 517B2535))
+  (segment (start 160.1625 108.2875) (end 160.1625 109.1875) (width 0.1524) (layer TOP-L1) (net 104))
+  (segment (start 159.2375 107.3625) (end 155.437598 107.3625) (width 0.1524) (layer TOP-L1) (net 104) (tstamp 517B253A))
+  (segment (start 160.1625 131.1875) (end 160.1625 130.4875) (width 0.1524) (layer TOP-L1) (net 104))
+  (segment (start 159.087598 129.412598) (end 156.1625 129.412598) (width 0.1524) (layer TOP-L1) (net 104) (tstamp 517B2751))
+  (segment (start 160.1625 130.4875) (end 159.087598 129.412598) (width 0.1524) (layer TOP-L1) (net 104) (tstamp 517B274E))
+  (via (at 153.9125 116.5875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 104))
+  (via (at 158.0625 116.5875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 104))
+  (via (at 187.1625 116.5875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 104))
+  (segment (start 187.1625 116.5875) (end 186.5625 116.5875) (width 0.1524) (layer Sig1-L3) (net 104) (tstamp 517B3588))
+  (segment (start 158.0625 116.5875) (end 186.5625 116.5875) (width 0.1524) (layer Sig1-L3) (net 104) (tstamp 517B3589))
+  (segment (start 187.1625 116.5875) (end 187.5625 116.1875) (width 0.1524) (layer TOP-L1) (net 104))
+  (segment (start 153.9125 116.5875) (end 158.0625 116.5875) (width 0.1524) (layer TOP-L1) (net 104))
+  (segment (start 153.3875 121.3875) (end 153.0625 121.3875) (width 0.1524) (layer TOP-L1) (net 105))
+  (segment (start 152.0375 121.3875) (end 151.9375 121.3875) (width 0.1524) (layer TOP-L1) (net 105) (tstamp 517F3913))
+  (segment (start 152.1625 121.5125) (end 152.0375 121.3875) (width 0.1524) (layer TOP-L1) (net 105) (tstamp 517F3911))
+  (segment (start 152.1625 122.1375) (end 152.1625 121.5125) (width 0.1524) (layer TOP-L1) (net 105) (tstamp 517F390F))
+  (segment (start 152.2875 122.2625) (end 152.1625 122.1375) (width 0.1524) (layer TOP-L1) (net 105) (tstamp 517F390E))
+  (segment (start 152.5625 122.2625) (end 152.2875 122.2625) (width 0.1524) (layer TOP-L1) (net 105) (tstamp 517F390C))
+  (segment (start 152.7625 122.0625) (end 152.5625 122.2625) (width 0.1524) (layer TOP-L1) (net 105) (tstamp 517F390B))
+  (segment (start 152.7625 121.6875) (end 152.7625 122.0625) (width 0.1524) (layer TOP-L1) (net 105) (tstamp 517F390A))
+  (segment (start 153.0625 121.3875) (end 152.7625 121.6875) (width 0.1524) (layer TOP-L1) (net 105) (tstamp 517F3909))
+  (segment (start 151.6375 121.3875) (end 151.5375 121.3875) (width 0.1524) (layer Sig1-L3) (net 105))
+  (segment (start 156.9625 105.9875) (end 157.7625 105.9875) (width 0.1524) (layer TOP-L1) (net 105) (tstamp 517F38E9))
+  (via (at 156.9625 105.9875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 105))
+  (segment (start 156.5375 105.5625) (end 156.9625 105.9875) (width 0.1524) (layer Sig1-L3) (net 105) (tstamp 517F38E4))
+  (segment (start 156.5375 102.7625) (end 156.5375 105.5625) (width 0.1524) (layer Sig1-L3) (net 105) (tstamp 517F38E0))
+  (segment (start 155.3875 101.6125) (end 156.5375 102.7625) (width 0.1524) (layer Sig1-L3) (net 105) (tstamp 517F38DB))
+  (segment (start 154.3375 101.6125) (end 155.3875 101.6125) (width 0.1524) (layer Sig1-L3) (net 105) (tstamp 517F38DA))
+  (segment (start 153.9875 101.9625) (end 154.3375 101.6125) (width 0.1524) (layer Sig1-L3) (net 105) (tstamp 517F38D9))
+  (segment (start 153.9875 102.8875) (end 153.9875 101.9625) (width 0.1524) (layer Sig1-L3) (net 105) (tstamp 517F38D8))
+  (segment (start 153.5375 103.3375) (end 153.9875 102.8875) (width 0.1524) (layer Sig1-L3) (net 105) (tstamp 517F38D5))
+  (segment (start 153.0875 103.3375) (end 153.5375 103.3375) (width 0.1524) (layer Sig1-L3) (net 105) (tstamp 517F38D4))
+  (segment (start 152.6375 102.8875) (end 153.0875 103.3375) (width 0.1524) (layer Sig1-L3) (net 105) (tstamp 517F38D3))
+  (segment (start 152.6375 101.9125) (end 152.6375 102.8875) (width 0.1524) (layer Sig1-L3) (net 105) (tstamp 517F38CF))
+  (segment (start 152.3625 101.6375) (end 152.6375 101.9125) (width 0.1524) (layer Sig1-L3) (net 105) (tstamp 517F38CE))
+  (segment (start 151.7875 101.6375) (end 152.3625 101.6375) (width 0.1524) (layer Sig1-L3) (net 105) (tstamp 517F38B8))
+  (segment (start 151.2625 102.1625) (end 151.7875 101.6375) (width 0.1524) (layer Sig1-L3) (net 105) (tstamp 517F38B2))
+  (segment (start 151.2625 121.1125) (end 151.2625 102.1625) (width 0.1524) (layer Sig1-L3) (net 105) (tstamp 517F38AA))
+  (segment (start 151.5375 121.3875) (end 151.2625 121.1125) (width 0.1524) (layer Sig1-L3) (net 105) (tstamp 517F38A8))
+  (segment (start 146.3625 129.5175) (end 146.2225 129.5175) (width 0.1524) (layer Sig1-L3) (net 105))
+  (segment (start 146.2525 130.3875) (end 146.5825 130.3875) (width 0.1524) (layer Sig1-L3) (net 105) (tstamp 517F37B0))
+  (segment (start 146.0425 130.1775) (end 146.2525 130.3875) (width 0.1524) (layer Sig1-L3) (net 105) (tstamp 517F37AE))
+  (segment (start 146.0425 129.6975) (end 146.0425 130.1775) (width 0.1524) (layer Sig1-L3) (net 105) (tstamp 517F37AD))
+  (segment (start 146.2225 129.5175) (end 146.0425 129.6975) (width 0.1524) (layer Sig1-L3) (net 105) (tstamp 517F37AC))
+  (segment (start 146.7525 128.8275) (end 146.8325 128.8275) (width 0.1524) (layer Sig1-L3) (net 105))
+  (segment (start 146.8325 129.5175) (end 146.4525 129.5175) (width 0.1524) (layer Sig1-L3) (net 105) (tstamp 517F379D))
+  (segment (start 147.0225 129.3275) (end 146.8325 129.5175) (width 0.1524) (layer Sig1-L3) (net 105) (tstamp 517F379C))
+  (segment (start 147.0225 129.0175) (end 147.0225 129.3275) (width 0.1524) (layer Sig1-L3) (net 105) (tstamp 517F379B))
+  (segment (start 146.8325 128.8275) (end 147.0225 129.0175) (width 0.1524) (layer Sig1-L3) (net 105) (tstamp 517F379A))
+  (segment (start 145.8925 128.4975) (end 145.8925 128.6375) (width 0.1524) (layer Sig1-L3) (net 105))
+  (segment (start 146.4525 129.5175) (end 146.3625 129.5175) (width 0.1524) (layer Sig1-L3) (net 105) (tstamp 517F37A0))
+  (segment (start 146.0825 128.8275) (end 146.7525 128.8275) (width 0.1524) (layer Sig1-L3) (net 105) (tstamp 517F378A))
+  (segment (start 145.8925 128.6375) (end 146.0825 128.8275) (width 0.1524) (layer Sig1-L3) (net 105) (tstamp 517F3789))
+  (segment (start 147.3225 128.1675) (end 146.1325 128.1675) (width 0.1524) (layer Sig1-L3) (net 105))
+  (segment (start 146.5825 130.3875) (end 147.7825 130.3875) (width 0.1524) (layer Sig1-L3) (net 105) (tstamp 517F37B3))
+  (segment (start 145.8925 128.4075) (end 145.8925 128.4975) (width 0.1524) (layer Sig1-L3) (net 105) (tstamp 517F3772))
+  (segment (start 146.1325 128.1675) (end 145.8925 128.4075) (width 0.1524) (layer Sig1-L3) (net 105) (tstamp 517F3770))
+  (segment (start 147.7825 130.3875) (end 148.0825 130.3875) (width 0.1524) (layer Sig1-L3) (net 105) (tstamp 517F377E))
+  (segment (start 151.2625 128.1175) (end 151.2625 127.8475) (width 0.1524) (layer Sig1-L3) (net 105))
+  (segment (start 151.6525 127.6275) (end 151.7925 127.7675) (width 0.1524) (layer TOP-L1) (net 105) (tstamp 517F3722))
+  (segment (start 151.4825 127.6275) (end 151.6525 127.6275) (width 0.1524) (layer TOP-L1) (net 105) (tstamp 517F371F))
+  (segment (start 151.2625 127.8475) (end 151.4825 127.6275) (width 0.1524) (layer TOP-L1) (net 105) (tstamp 517F371E))
+  (via (at 151.2625 127.8475) (size 0.4572) (layers TOP-L1 BOT-L6) (net 105))
+  (segment (start 151.2625 126.0375) (end 151.2625 126.2175) (width 0.1524) (layer Sig1-L3) (net 105))
+  (segment (start 151.2625 129.8175) (end 151.2625 128.1175) (width 0.1524) (layer Sig1-L3) (net 105) (tstamp 517F36C0))
+  (segment (start 150.6925 130.3875) (end 151.2625 129.8175) (width 0.1524) (layer Sig1-L3) (net 105) (tstamp 517F36BA))
+  (segment (start 148.0825 130.3875) (end 150.6925 130.3875) (width 0.1524) (layer Sig1-L3) (net 105) (tstamp 517F373F))
+  (segment (start 147.7025 128.1675) (end 147.3225 128.1675) (width 0.1524) (layer Sig1-L3) (net 105) (tstamp 517F36AA))
+  (segment (start 148.1125 128.5775) (end 147.7025 128.1675) (width 0.1524) (layer Sig1-L3) (net 105) (tstamp 517F36A9))
+  (segment (start 148.1125 129.1375) (end 148.1125 128.5775) (width 0.1524) (layer Sig1-L3) (net 105) (tstamp 517F36A8))
+  (segment (start 148.6525 129.6775) (end 148.1125 129.1375) (width 0.1524) (layer Sig1-L3) (net 105) (tstamp 517F36A5))
+  (segment (start 150.3725 129.6775) (end 148.6525 129.6775) (width 0.1524) (layer Sig1-L3) (net 105) (tstamp 517F36A4))
+  (segment (start 150.7625 129.2875) (end 150.3725 129.6775) (width 0.1524) (layer Sig1-L3) (net 105) (tstamp 517F36A0))
+  (segment (start 150.7625 127.7375) (end 150.7625 129.2875) (width 0.1524) (layer Sig1-L3) (net 105) (tstamp 517F369F))
+  (segment (start 150.5325 127.5075) (end 150.7625 127.7375) (width 0.1524) (layer Sig1-L3) (net 105) (tstamp 517F369E))
+  (segment (start 150.5325 126.9475) (end 150.5325 127.5075) (width 0.1524) (layer Sig1-L3) (net 105) (tstamp 517F3697))
+  (segment (start 151.2625 126.2175) (end 150.5325 126.9475) (width 0.1524) (layer Sig1-L3) (net 105) (tstamp 517F3691))
+  (segment (start 157.7625 127.9875) (end 152.0125 127.9875) (width 0.1524) (layer TOP-L1) (net 105))
+  (segment (start 152.0125 127.9875) (end 151.7925 127.7675) (width 0.1524) (layer TOP-L1) (net 105) (tstamp 517B2DDD))
+  (segment (start 151.2625 126.0375) (end 151.2625 121.7575) (width 0.1524) (layer Sig1-L3) (net 105) (tstamp 517F368F))
+  (via (at 187.1625 121.3875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 105))
+  (segment (start 187.1625 121.3875) (end 185.6625 121.3875) (width 0.1524) (layer Sig1-L3) (net 105) (tstamp 517B8B40))
+  (segment (start 185.6625 121.3875) (end 158.0625 121.3875) (width 0.1524) (layer Sig1-L3) (net 105) (tstamp 517B8B41))
+  (via (at 158.0625 121.3875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 105))
+  (segment (start 158.0625 121.3875) (end 153.3875 121.3875) (width 0.1524) (layer TOP-L1) (net 105) (tstamp 517B8B50))
+  (segment (start 151.9375 121.3875) (end 151.6375 121.3875) (width 0.1524) (layer TOP-L1) (net 105) (tstamp 517F3916))
+  (via (at 151.6375 121.3875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 105))
+  (segment (start 187.1625 121.3875) (end 187.5625 120.9875) (width 0.1524) (layer TOP-L1) (net 105))
+  (segment (start 151.6325 121.3875) (end 151.6375 121.3875) (width 0.1524) (layer Sig1-L3) (net 105) (tstamp 517B8B6B))
+  (segment (start 151.2625 121.7575) (end 151.6325 121.3875) (width 0.1524) (layer Sig1-L3) (net 105) (tstamp 517B8B62))
+  (segment (start 167.9625 115.0875) (end 168.8375 115.0875) (width 0.1524) (layer TOP-L1) (net 106))
+  (segment (start 168.8125 113.8125) (end 168.0625 113.8125) (width 0.1524) (layer TOP-L1) (net 106) (tstamp 51806467))
+  (segment (start 169.0875 114.0875) (end 168.8125 113.8125) (width 0.1524) (layer TOP-L1) (net 106) (tstamp 51806466))
+  (segment (start 169.0875 114.8375) (end 169.0875 114.0875) (width 0.1524) (layer TOP-L1) (net 106) (tstamp 51806465))
+  (segment (start 168.8375 115.0875) (end 169.0875 114.8375) (width 0.1524) (layer TOP-L1) (net 106) (tstamp 51806464))
+  (segment (start 165.8625 115.0875) (end 165.9625 115.0875) (width 0.1524) (layer TOP-L1) (net 106))
+  (segment (start 167.4875 115.0875) (end 167.6875 115.0875) (width 0.1524) (layer TOP-L1) (net 106) (tstamp 5180645C))
+  (segment (start 166.9625 114.5625) (end 167.4875 115.0875) (width 0.1524) (layer TOP-L1) (net 106) (tstamp 5180645B))
+  (segment (start 166.4875 114.5625) (end 166.9625 114.5625) (width 0.1524) (layer TOP-L1) (net 106) (tstamp 5180645A))
+  (segment (start 165.9625 115.0875) (end 166.4875 114.5625) (width 0.1524) (layer TOP-L1) (net 106) (tstamp 51806459))
+  (segment (start 164.2125 113.8125) (end 164.3125 113.8125) (width 0.1524) (layer TOP-L1) (net 106))
+  (segment (start 165.9125 113.8125) (end 166.0375 113.8125) (width 0.1524) (layer TOP-L1) (net 106) (tstamp 51806452))
+  (segment (start 165.3875 114.3375) (end 165.9125 113.8125) (width 0.1524) (layer TOP-L1) (net 106) (tstamp 51806451))
+  (segment (start 164.8375 114.3375) (end 165.3875 114.3375) (width 0.1524) (layer TOP-L1) (net 106) (tstamp 51806450))
+  (segment (start 164.3125 113.8125) (end 164.8375 114.3375) (width 0.1524) (layer TOP-L1) (net 106) (tstamp 5180644F))
+  (segment (start 162.1625 113.8125) (end 162.3875 113.8125) (width 0.1524) (layer TOP-L1) (net 106))
+  (segment (start 163.8875 113.8125) (end 164.0375 113.8125) (width 0.1524) (layer TOP-L1) (net 106) (tstamp 51806448))
+  (segment (start 163.2875 114.4125) (end 163.8875 113.8125) (width 0.1524) (layer TOP-L1) (net 106) (tstamp 51806446))
+  (segment (start 162.9875 114.4125) (end 163.2875 114.4125) (width 0.1524) (layer TOP-L1) (net 106) (tstamp 51806445))
+  (segment (start 162.3875 113.8125) (end 162.9875 114.4125) (width 0.1524) (layer TOP-L1) (net 106) (tstamp 51806443))
+  (segment (start 167.6875 115.0875) (end 167.9625 115.0875) (width 0.1524) (layer TOP-L1) (net 106) (tstamp 5180645F))
+  (segment (start 168.0625 113.8125) (end 166.3625 113.8125) (width 0.1524) (layer TOP-L1) (net 106) (tstamp 5180646A))
+  (segment (start 156.4625 117.3875) (end 159.5375 117.3875) (width 0.1524) (layer TOP-L1) (net 106))
+  (segment (start 159.5375 117.3875) (end 160.7125 116.2125) (width 0.1524) (layer TOP-L1) (net 106) (tstamp 518063E3))
+  (segment (start 160.7125 116.2125) (end 160.7125 114.9125) (width 0.1524) (layer TOP-L1) (net 106) (tstamp 518063EC))
+  (segment (start 160.7125 114.9125) (end 161.8125 113.8125) (width 0.1524) (layer TOP-L1) (net 106) (tstamp 518063EE))
+  (segment (start 161.8125 113.8125) (end 162.1625 113.8125) (width 0.1524) (layer TOP-L1) (net 106) (tstamp 518063F0))
+  (segment (start 164.0375 113.8125) (end 164.2125 113.8125) (width 0.1524) (layer TOP-L1) (net 106) (tstamp 5180644B))
+  (segment (start 166.0375 113.8125) (end 166.3625 113.8125) (width 0.1524) (layer TOP-L1) (net 106) (tstamp 51806455))
+  (via (at 156.4625 117.3875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 106))
+  (via (at 161.6875 117.3875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 106))
+  (via (at 187.1625 117.3875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 106))
+  (segment (start 187.1625 117.3875) (end 185.1625 117.3875) (width 0.1524) (layer Sig1-L3) (net 106) (tstamp 517B35D0))
+  (segment (start 161.6875 117.3875) (end 185.1625 117.3875) (width 0.1524) (layer Sig1-L3) (net 106) (tstamp 517B35D1))
+  (segment (start 187.1625 117.3875) (end 187.5625 116.9875) (width 0.1524) (layer TOP-L1) (net 106))
+  (segment (start 161.3125 117.3875) (end 161.6875 117.3875) (width 0.1524) (layer TOP-L1) (net 106) (tstamp 51806406))
+  (segment (start 161.0875 117.1625) (end 161.3125 117.3875) (width 0.1524) (layer TOP-L1) (net 106) (tstamp 51806403))
+  (segment (start 161.0875 116.6875) (end 161.0875 117.1625) (width 0.1524) (layer TOP-L1) (net 106) (tstamp 518063FE))
+  (segment (start 162.1875 115.5875) (end 161.0875 116.6875) (width 0.1524) (layer TOP-L1) (net 106) (tstamp 518063FA))
+  (segment (start 163.2875 115.5875) (end 162.1875 115.5875) (width 0.1524) (layer TOP-L1) (net 106) (tstamp 518063F8))
+  (segment (start 163.7875 115.0875) (end 163.2875 115.5875) (width 0.1524) (layer TOP-L1) (net 106) (tstamp 518063F7))
+  (segment (start 165.8625 115.0875) (end 163.7875 115.0875) (width 0.1524) (layer TOP-L1) (net 106) (tstamp 51806457))
+  (segment (start 156.7125 107.5125) (end 156.7125 106.6125) (width 0.1524) (layer Sig1-L3) (net 106))
+  (segment (start 155.3125 106.5125) (end 155.3125 107.1875) (width 0.1524) (layer Sig1-L3) (net 106) (tstamp 51806373))
+  (segment (start 155.5875 106.2375) (end 155.3125 106.5125) (width 0.1524) (layer Sig1-L3) (net 106) (tstamp 51806372))
+  (segment (start 156.3375 106.2375) (end 155.5875 106.2375) (width 0.1524) (layer Sig1-L3) (net 106) (tstamp 51806371))
+  (segment (start 156.7125 106.6125) (end 156.3375 106.2375) (width 0.1524) (layer Sig1-L3) (net 106) (tstamp 51806370))
+  (segment (start 157.7625 109.1875) (end 157.1375 109.1875) (width 0.1524) (layer TOP-L1) (net 106))
+  (segment (start 156.4875 117.3875) (end 156.4625 117.3875) (width 0.1524) (layer Sig1-L3) (net 106) (tstamp 5180635E))
+  (segment (start 155.8875 116.7875) (end 156.4875 117.3875) (width 0.1524) (layer Sig1-L3) (net 106) (tstamp 51806354))
+  (segment (start 155.8875 112.5625) (end 155.8875 116.7875) (width 0.1524) (layer Sig1-L3) (net 106) (tstamp 51806353))
+  (segment (start 155.3125 111.9875) (end 155.8875 112.5625) (width 0.1524) (layer Sig1-L3) (net 106) (tstamp 51806350))
+  (segment (start 155.3125 107.1875) (end 155.3125 111.9875) (width 0.1524) (layer Sig1-L3) (net 106) (tstamp 51806377))
+  (segment (start 156.7125 108.7625) (end 156.7125 107.5125) (width 0.1524) (layer Sig1-L3) (net 106) (tstamp 51806342))
+  (segment (start 157.0375 109.0875) (end 156.7125 108.7625) (width 0.1524) (layer Sig1-L3) (net 106) (tstamp 51806341))
+  (via (at 157.0375 109.0875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 106))
+  (segment (start 157.1375 109.1875) (end 157.0375 109.0875) (width 0.1524) (layer TOP-L1) (net 106) (tstamp 5180633D))
+  (segment (start 157.7625 131.1875) (end 156.9375 131.1875) (width 0.1524) (layer TOP-L1) (net 106))
+  (segment (start 156.0125 117.8375) (end 156.4625 117.3875) (width 0.1524) (layer Sig1-L3) (net 106) (tstamp 51806300))
+  (segment (start 156.0125 122.1375) (end 156.0125 117.8375) (width 0.1524) (layer Sig1-L3) (net 106) (tstamp 518062F4))
+  (segment (start 155.7125 122.4375) (end 156.0125 122.1375) (width 0.1524) (layer Sig1-L3) (net 106) (tstamp 518062EF))
+  (segment (start 155.7125 131.1375) (end 155.7125 122.4375) (width 0.1524) (layer Sig1-L3) (net 106) (tstamp 518062E7))
+  (segment (start 156.1625 131.5875) (end 155.7125 131.1375) (width 0.1524) (layer Sig1-L3) (net 106) (tstamp 518062E6))
+  (via (at 156.1625 131.5875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 106))
+  (segment (start 156.5375 131.5875) (end 156.1625 131.5875) (width 0.1524) (layer TOP-L1) (net 106) (tstamp 518062E1))
+  (segment (start 156.9375 131.1875) (end 156.5375 131.5875) (width 0.1524) (layer TOP-L1) (net 106) (tstamp 518062DF))
+  (segment (start 149.8125 119.3875) (end 159.2125 119.3875) (width 0.1524) (layer TOP-L1) (net 107))
+  (via (at 187.9625 118.9875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 107))
+  (segment (start 187.9625 118.9875) (end 187.5625 119.3875) (width 0.1524) (layer Sig1-L3) (net 107) (tstamp 517B3683))
+  (segment (start 159.2125 119.3875) (end 187.5625 119.3875) (width 0.1524) (layer Sig1-L3) (net 107) (tstamp 517B3684))
+  (segment (start 187.9625 118.9875) (end 188.3625 118.5875) (width 0.1524) (layer TOP-L1) (net 107))
+  (via (at 159.2125 119.3875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 107))
+  (segment (start 149.2725 121.6975) (end 149.7725 121.1975) (width 0.1524) (layer Sig2-L4) (net 107) (tstamp 517F3340))
+  (segment (start 146.7225 122.0775) (end 147.1025 121.6975) (width 0.1524) (layer Sig2-L4) (net 107) (tstamp 517F333E))
+  (segment (start 147.1025 121.6975) (end 149.2725 121.6975) (width 0.1524) (layer Sig2-L4) (net 107) (tstamp 517F333F))
+  (segment (start 150.3525 127.5775) (end 149.6225 126.8475) (width 0.1524) (layer Sig2-L4) (net 107))
+  (segment (start 149.3325 119.8675) (end 149.8125 119.3875) (width 0.1524) (layer Sig2-L4) (net 107) (tstamp 517F3348))
+  (segment (start 149.3325 120.1775) (end 149.3325 119.8675) (width 0.1524) (layer Sig2-L4) (net 107) (tstamp 517F3346))
+  (segment (start 149.7725 120.6175) (end 149.3325 120.1775) (width 0.1524) (layer Sig2-L4) (net 107) (tstamp 517F3344))
+  (segment (start 149.7725 121.1975) (end 149.7725 120.6175) (width 0.1524) (layer Sig2-L4) (net 107) (tstamp 517F3343))
+  (segment (start 146.7225 122.5675) (end 146.7225 122.0775) (width 0.1524) (layer Sig2-L4) (net 107) (tstamp 517F333D))
+  (segment (start 146.9225 122.7675) (end 146.7225 122.5675) (width 0.1524) (layer Sig2-L4) (net 107) (tstamp 517F333B))
+  (segment (start 149.0925 122.7675) (end 146.9225 122.7675) (width 0.1524) (layer Sig2-L4) (net 107) (tstamp 517F3339))
+  (segment (start 149.3525 123.0275) (end 149.0925 122.7675) (width 0.1524) (layer Sig2-L4) (net 107) (tstamp 517F3338))
+  (segment (start 149.3525 123.4675) (end 149.3525 123.0275) (width 0.1524) (layer Sig2-L4) (net 107) (tstamp 517F3337))
+  (segment (start 149.1525 123.6675) (end 149.3525 123.4675) (width 0.1524) (layer Sig2-L4) (net 107) (tstamp 517F3336))
+  (segment (start 147.8025 123.6675) (end 149.1525 123.6675) (width 0.1524) (layer Sig2-L4) (net 107) (tstamp 517F3335))
+  (segment (start 147.3225 123.1875) (end 147.8025 123.6675) (width 0.1524) (layer Sig2-L4) (net 107) (tstamp 517F3334))
+  (segment (start 146.3625 123.1875) (end 147.3225 123.1875) (width 0.1524) (layer Sig2-L4) (net 107) (tstamp 517F3331))
+  (segment (start 146.0425 123.5075) (end 146.3625 123.1875) (width 0.1524) (layer Sig2-L4) (net 107) (tstamp 517F3330))
+  (segment (start 146.0425 123.8275) (end 146.0425 123.5075) (width 0.1524) (layer Sig2-L4) (net 107) (tstamp 517F3321))
+  (segment (start 146.3725 124.1575) (end 146.0425 123.8275) (width 0.1524) (layer Sig2-L4) (net 107) (tstamp 517F3320))
+  (segment (start 148.8225 124.1575) (end 146.3725 124.1575) (width 0.1524) (layer Sig2-L4) (net 107) (tstamp 517F331C))
+  (segment (start 149.6225 124.9575) (end 148.8225 124.1575) (width 0.1524) (layer Sig2-L4) (net 107) (tstamp 517F331B))
+  (segment (start 149.6225 126.8475) (end 149.6225 124.9575) (width 0.1524) (layer Sig2-L4) (net 107) (tstamp 517F3310))
+  (segment (start 150.0625 104.6125) (end 150.0625 104.5125) (width 0.1524) (layer Sig2-L4) (net 107))
+  (segment (start 150.0625 103.0125) (end 150.0625 103.7875) (width 0.1524) (layer Sig2-L4) (net 107) (tstamp 517F322C))
+  (segment (start 149.9375 102.8875) (end 150.0625 103.0125) (width 0.1524) (layer Sig2-L4) (net 107) (tstamp 517F322B))
+  (segment (start 149.6375 102.8875) (end 149.9375 102.8875) (width 0.1524) (layer Sig2-L4) (net 107) (tstamp 517F322A))
+  (segment (start 149.3375 103.1875) (end 149.6375 102.8875) (width 0.1524) (layer Sig2-L4) (net 107) (tstamp 517F3229))
+  (segment (start 149.3375 103.7875) (end 149.3375 103.1875) (width 0.1524) (layer Sig2-L4) (net 107) (tstamp 517F3227))
+  (segment (start 150.0625 104.5125) (end 149.3375 103.7875) (width 0.1524) (layer Sig2-L4) (net 107) (tstamp 517F3224))
+  (segment (start 149.6375 116.2625) (end 149.6375 115.8875) (width 0.1524) (layer Sig1-L3) (net 107))
+  (segment (start 150.0625 115.4625) (end 150.0625 115.2125) (width 0.1524) (layer Sig1-L3) (net 107) (tstamp 517B8926))
+  (segment (start 149.6375 115.8875) (end 150.0625 115.4625) (width 0.1524) (layer Sig1-L3) (net 107) (tstamp 517B8925))
+  (segment (start 149.8125 119.3875) (end 149.6375 119.2125) (width 0.1524) (layer Sig1-L3) (net 107))
+  (via (at 158.8625 106.4875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 107))
+  (segment (start 158.8625 106.4875) (end 158.7625 106.5875) (width 0.1524) (layer Sig2-L4) (net 107) (tstamp 517B2B82))
+  (segment (start 158.7625 106.5875) (end 151.3125 106.5875) (width 0.1524) (layer Sig2-L4) (net 107) (tstamp 517B2B83))
+  (segment (start 151.3125 106.5875) (end 150.0625 105.3375) (width 0.1524) (layer Sig2-L4) (net 107) (tstamp 517B2B85))
+  (segment (start 150.0625 105.3375) (end 150.0625 104.6125) (width 0.1524) (layer Sig2-L4) (net 107) (tstamp 517B2B91))
+  (via (at 150.0625 103.7875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 107))
+  (segment (start 158.8625 106.4875) (end 159.3625 105.9875) (width 0.1524) (layer TOP-L1) (net 107))
+  (segment (start 150.0625 115.2125) (end 150.0625 103.7875) (width 0.1524) (layer Sig1-L3) (net 107) (tstamp 517B8929))
+  (segment (start 149.6375 119.2125) (end 149.6375 116.2625) (width 0.1524) (layer Sig1-L3) (net 107) (tstamp 517B88E1))
+  (segment (start 159.3625 127.9875) (end 158.9625 128.3875) (width 0.1524) (layer TOP-L1) (net 107))
+  (segment (start 151.3125 128.5375) (end 150.3525 127.5775) (width 0.1524) (layer Sig2-L4) (net 107) (tstamp 517B2E59))
+  (segment (start 158.8125 128.5375) (end 151.3125 128.5375) (width 0.1524) (layer Sig2-L4) (net 107) (tstamp 517B2E58))
+  (segment (start 158.9625 128.3875) (end 158.8125 128.5375) (width 0.1524) (layer Sig2-L4) (net 107) (tstamp 517B2E57))
+  (via (at 158.9625 128.3875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 107))
+  (via (at 149.8125 119.3875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 107))
+  (segment (start 161.0375 115.3875) (end 159.3375 115.3875) (width 0.1524) (layer Sig1-L3) (net 108))
+  (via (at 159.3375 115.3875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 108))
+  (segment (start 155.9625 124.9625) (end 156.3125 124.9625) (width 0.1524) (layer TOP-L1) (net 108))
+  (segment (start 159.5375 125.5125) (end 159.6875 125.6625) (width 0.1524) (layer TOP-L1) (net 108) (tstamp 51821B1E))
+  (segment (start 156.8625 125.5125) (end 159.5375 125.5125) (width 0.1524) (layer TOP-L1) (net 108) (tstamp 51821B1D))
+  (segment (start 156.3125 124.9625) (end 156.8625 125.5125) (width 0.1524) (layer TOP-L1) (net 108) (tstamp 51821B1C))
+  (segment (start 149.2625 115.9875) (end 149.2625 115.6875) (width 0.1524) (layer Sig1-L3) (net 108))
+  (segment (start 149.2625 115.6875) (end 149.5625 115.3875) (width 0.1524) (layer Sig1-L3) (net 108) (tstamp 5180589A))
+  (segment (start 149.2625 115.9625) (end 149.2625 115.9875) (width 0.1524) (layer Sig1-L3) (net 108))
+  (segment (start 149.2625 115.6875) (end 149.5625 115.3875) (width 0.1524) (layer Sig1-L3) (net 108) (tstamp 5180588D))
+  (segment (start 149.2125 114.9625) (end 149.2125 115.0375) (width 0.1524) (layer Sig1-L3) (net 108))
+  (segment (start 149.2125 114.9625) (end 149.2125 114.5375) (width 0.1524) (layer Sig1-L3) (net 108) (tstamp 51805882))
+  (segment (start 149.2125 114.5375) (end 149.6625 114.0875) (width 0.1524) (layer Sig1-L3) (net 108) (tstamp 517B87BC))
+  (segment (start 149.6625 114.0875) (end 149.6625 104.7625) (width 0.1524) (layer Sig1-L3) (net 108) (tstamp 517B87BD))
+  (segment (start 149.6625 104.7625) (end 149.6625 104.7875) (width 0.1524) (layer Sig1-L3) (net 108) (tstamp 517B87CD))
+  (segment (start 159.7625 104.7875) (end 160.1625 105.1875) (width 0.1524) (layer TOP-L1) (net 108))
+  (via (at 149.6625 104.7875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 108))
+  (segment (start 149.6625 103.4875) (end 149.6625 104.7875) (width 0.1524) (layer TOP-L1) (net 108) (tstamp 517B2B1F))
+  (segment (start 150.2125 102.9375) (end 149.6625 103.4875) (width 0.1524) (layer TOP-L1) (net 108) (tstamp 517B2B15))
+  (segment (start 153.3875 102.9375) (end 150.2125 102.9375) (width 0.1524) (layer TOP-L1) (net 108) (tstamp 517F312D))
+  (segment (start 155.7375 102.9375) (end 155.4625 102.9375) (width 0.1524) (layer TOP-L1) (net 108) (tstamp 517F311B))
+  (segment (start 159.1125 102.9375) (end 158.9125 102.9375) (width 0.1524) (layer TOP-L1) (net 108) (tstamp 517B2B09))
+  (segment (start 159.7625 103.5875) (end 159.1125 102.9375) (width 0.1524) (layer TOP-L1) (net 108) (tstamp 517B2B04))
+  (segment (start 159.7625 104.7875) (end 159.7625 103.5875) (width 0.1524) (layer TOP-L1) (net 108) (tstamp 517B2B02))
+  (segment (start 158.7125 102.9375) (end 158.3875 103.2625) (width 0.1524) (layer TOP-L1) (net 108) (tstamp 517F3108))
+  (segment (start 158.3875 103.2625) (end 157.8625 103.2625) (width 0.1524) (layer TOP-L1) (net 108) (tstamp 517F3109))
+  (segment (start 157.8625 103.2625) (end 157.5375 102.9375) (width 0.1524) (layer TOP-L1) (net 108) (tstamp 517F310A))
+  (segment (start 157.5375 102.9375) (end 157.2875 102.9375) (width 0.1524) (layer TOP-L1) (net 108) (tstamp 517F310D))
+  (segment (start 157.2875 102.9375) (end 156.9875 103.2375) (width 0.1524) (layer TOP-L1) (net 108) (tstamp 517F310E))
+  (segment (start 156.9875 103.2375) (end 156.9875 104.1875) (width 0.1524) (layer TOP-L1) (net 108) (tstamp 517F310F))
+  (segment (start 156.9875 104.1875) (end 156.7375 104.4375) (width 0.1524) (layer TOP-L1) (net 108) (tstamp 517F3112))
+  (segment (start 156.7375 104.4375) (end 156.3625 104.4375) (width 0.1524) (layer TOP-L1) (net 108) (tstamp 517F3113))
+  (segment (start 156.3625 104.4375) (end 156.1375 104.2125) (width 0.1524) (layer TOP-L1) (net 108) (tstamp 517F3114))
+  (segment (start 156.1375 104.2125) (end 156.1375 103.1625) (width 0.1524) (layer TOP-L1) (net 108) (tstamp 517F3115))
+  (segment (start 156.1375 103.1625) (end 155.9125 102.9375) (width 0.1524) (layer TOP-L1) (net 108) (tstamp 517F3117))
+  (segment (start 155.9125 102.9375) (end 155.7375 102.9375) (width 0.1524) (layer TOP-L1) (net 108) (tstamp 517F3118))
+  (segment (start 158.9125 102.9375) (end 158.7125 102.9375) (width 0.1524) (layer TOP-L1) (net 108))
+  (segment (start 155.2625 102.9375) (end 154.8125 103.3875) (width 0.1524) (layer TOP-L1) (net 108) (tstamp 517F3122))
+  (segment (start 154.8125 103.3875) (end 154.8125 104.0375) (width 0.1524) (layer TOP-L1) (net 108) (tstamp 517F3123))
+  (segment (start 154.8125 104.0375) (end 154.5875 104.2625) (width 0.1524) (layer TOP-L1) (net 108) (tstamp 517F3124))
+  (segment (start 154.5875 104.2625) (end 154.1125 104.2625) (width 0.1524) (layer TOP-L1) (net 108) (tstamp 517F3125))
+  (segment (start 154.1125 104.2625) (end 153.8375 103.9875) (width 0.1524) (layer TOP-L1) (net 108) (tstamp 517F3126))
+  (segment (start 153.8375 103.9875) (end 153.8375 103.1875) (width 0.1524) (layer TOP-L1) (net 108) (tstamp 517F3127))
+  (segment (start 153.8375 103.1875) (end 153.5875 102.9375) (width 0.1524) (layer TOP-L1) (net 108) (tstamp 517F3128))
+  (segment (start 153.5875 102.9375) (end 153.3875 102.9375) (width 0.1524) (layer TOP-L1) (net 108) (tstamp 517F312A))
+  (segment (start 155.4625 102.9375) (end 155.2625 102.9375) (width 0.1524) (layer TOP-L1) (net 108))
+  (segment (start 149.2125 115.0375) (end 149.5625 115.3875) (width 0.1524) (layer Sig1-L3) (net 108) (tstamp 51805884))
+  (segment (start 159.3375 115.3875) (end 149.5375 115.3875) (width 0.1524) (layer TOP-L1) (net 108) (tstamp 5182F3AC))
+  (segment (start 188.3625 114.5875) (end 187.9625 114.9875) (width 0.1524) (layer TOP-L1) (net 108))
+  (via (at 149.5625 115.3875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 108))
+  (segment (start 187.5625 115.3875) (end 161.0375 115.3875) (width 0.1524) (layer Sig1-L3) (net 108) (tstamp 517B875B))
+  (segment (start 187.9625 114.9875) (end 187.5625 115.3875) (width 0.1524) (layer Sig1-L3) (net 108) (tstamp 517B875A))
+  (via (at 187.9625 114.9875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 108))
+  (segment (start 149.5375 115.3875) (end 149.5625 115.3875) (width 0.1524) (layer TOP-L1) (net 108) (tstamp 51805863))
+  (segment (start 149.5125 115.3875) (end 149.5625 115.3875) (width 0.1524) (layer Sig1-L3) (net 108) (tstamp 517B8781))
+  (segment (start 150.0625 128.1625) (end 150.0625 128.6625) (width 0.1524) (layer TOP-L1) (net 108))
+  (segment (start 149.5375 128.6875) (end 149.5375 127.9375) (width 0.1524) (layer TOP-L1) (net 108) (tstamp 517F31D4))
+  (segment (start 149.6625 128.8125) (end 149.5375 128.6875) (width 0.1524) (layer TOP-L1) (net 108) (tstamp 517F31D3))
+  (segment (start 149.9125 128.8125) (end 149.6625 128.8125) (width 0.1524) (layer TOP-L1) (net 108) (tstamp 517F31D2))
+  (segment (start 150.0625 128.6625) (end 149.9125 128.8125) (width 0.1524) (layer TOP-L1) (net 108) (tstamp 517F31D1))
+  (segment (start 149.5375 126.8375) (end 149.5375 126.6375) (width 0.1524) (layer TOP-L1) (net 108))
+  (segment (start 149.1625 126.2625) (end 149.1625 125.9125) (width 0.1524) (layer TOP-L1) (net 108) (tstamp 517F31B8))
+  (segment (start 149.5375 126.6375) (end 149.1625 126.2625) (width 0.1524) (layer TOP-L1) (net 108) (tstamp 517F31B7))
+  (segment (start 149.5375 127.8625) (end 149.5375 126.8375) (width 0.1524) (layer TOP-L1) (net 108))
+  (segment (start 149.6625 125.1125) (end 149.6625 124.8625) (width 0.1524) (layer Sig1-L3) (net 108) (tstamp 517F319C))
+  (segment (start 149.6625 124.8625) (end 149.6625 120.7625) (width 0.1524) (layer Sig1-L3) (net 108) (tstamp 517F31A2))
+  (segment (start 149.2625 120.3625) (end 149.2625 115.9625) (width 0.1524) (layer Sig1-L3) (net 108) (tstamp 517B86A6))
+  (segment (start 149.6625 120.7625) (end 149.2625 120.3625) (width 0.1524) (layer Sig1-L3) (net 108) (tstamp 517B869C))
+  (via (at 149.1625 125.9125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 108))
+  (segment (start 149.1625 125.9125) (end 149.1625 125.6125) (width 0.1524) (layer Sig1-L3) (net 108) (tstamp 517F319A))
+  (segment (start 149.1625 125.6125) (end 149.6625 125.1125) (width 0.1524) (layer Sig1-L3) (net 108) (tstamp 517F319B))
+  (segment (start 149.6625 126.0375) (end 149.6625 126.1125) (width 0.1524) (layer TOP-L1) (net 108))
+  (segment (start 149.5375 127.9375) (end 149.5375 127.8625) (width 0.1524) (layer TOP-L1) (net 108) (tstamp 517F31D7))
+  (segment (start 150.0625 126.5125) (end 150.0625 128.1625) (width 0.1524) (layer TOP-L1) (net 108) (tstamp 517F3148))
+  (segment (start 149.6625 126.1125) (end 150.0625 126.5125) (width 0.1524) (layer TOP-L1) (net 108) (tstamp 517F3147))
+  (segment (start 159.7625 126.7875) (end 160.1625 127.1875) (width 0.1524) (layer TOP-L1) (net 108))
+  (segment (start 159.7625 125.7375) (end 159.6875 125.6625) (width 0.1524) (layer TOP-L1) (net 108) (tstamp 517B32A4))
+  (segment (start 159.7625 126.7875) (end 159.7625 125.7375) (width 0.1524) (layer TOP-L1) (net 108) (tstamp 517B32A2))
+  (segment (start 149.6625 125.4875) (end 149.6625 126.0375) (width 0.1524) (layer TOP-L1) (net 108) (tstamp 517B32B2))
+  (segment (start 150.1875 124.9625) (end 149.6625 125.4875) (width 0.1524) (layer TOP-L1) (net 108) (tstamp 517B32B1))
+  (segment (start 155.9625 124.9625) (end 150.1875 124.9625) (width 0.1524) (layer TOP-L1) (net 108) (tstamp 51821B1A))
+  (segment (start 149.2625 135.3875) (end 148.3125 135.3875) (width 0.1524) (layer Sig1-L3) (net 109))
+  (segment (start 148.3125 135.9875) (end 148.9875 135.9875) (width 0.1524) (layer Sig1-L3) (net 109) (tstamp 517FEF3B))
+  (segment (start 148.1125 135.7875) (end 148.3125 135.9875) (width 0.1524) (layer Sig1-L3) (net 109) (tstamp 517FEF39))
+  (segment (start 148.1125 135.5875) (end 148.1125 135.7875) (width 0.1524) (layer Sig1-L3) (net 109) (tstamp 517FEF38))
+  (segment (start 148.3125 135.3875) (end 148.1125 135.5875) (width 0.1524) (layer Sig1-L3) (net 109) (tstamp 517FEF37))
+  (segment (start 148.9875 135.9875) (end 149.0125 135.9875) (width 0.1524) (layer Sig1-L3) (net 109) (tstamp 517FEF3E))
+  (segment (start 154.6875 131.3125) (end 154.6875 131.8625) (width 0.1524) (layer Sig1-L3) (net 109))
+  (segment (start 153.6875 132.6875) (end 154.9375 131.4375) (width 0.1524) (layer TOP-L1) (net 109) (tstamp 517FEF20))
+  (segment (start 153.6875 134.4375) (end 153.6875 132.6875) (width 0.1524) (layer TOP-L1) (net 109) (tstamp 517FEF1F))
+  (via (at 153.6875 134.4375) (size 0.4572) (layers TOP-L1 BOT-L6) (net 109))
+  (segment (start 153.6875 134.8875) (end 153.6875 134.4375) (width 0.1524) (layer Sig1-L3) (net 109) (tstamp 517FEF1D))
+  (segment (start 152.5875 135.9875) (end 153.6875 134.8875) (width 0.1524) (layer Sig1-L3) (net 109) (tstamp 517FEF1B))
+  (segment (start 149.0125 135.9875) (end 152.5875 135.9875) (width 0.1524) (layer Sig1-L3) (net 109) (tstamp 517FEF32))
+  (segment (start 152.5125 135.3875) (end 149.2625 135.3875) (width 0.1524) (layer Sig1-L3) (net 109) (tstamp 517FEF14))
+  (segment (start 153.0875 134.8125) (end 152.5125 135.3875) (width 0.1524) (layer Sig1-L3) (net 109) (tstamp 517FEF13))
+  (segment (start 153.0875 133.4625) (end 153.0875 134.8125) (width 0.1524) (layer Sig1-L3) (net 109) (tstamp 517FEF11))
+  (segment (start 154.6875 131.8625) (end 153.0875 133.4625) (width 0.1524) (layer Sig1-L3) (net 109) (tstamp 517FEF10))
+  (segment (start 154.7125 121.5625) (end 154.7125 121.5875) (width 0.1524) (layer Sig1-L3) (net 109))
+  (segment (start 154.9125 121.7875) (end 155.0375 121.7875) (width 0.1524) (layer Sig1-L3) (net 109) (tstamp 517F912B))
+  (segment (start 154.7125 121.5875) (end 154.9125 121.7875) (width 0.1524) (layer Sig1-L3) (net 109) (tstamp 517F9129))
+  (segment (start 153.5125 106.2625) (end 153.5125 105.9875) (width 0.1524) (layer Sig1-L3) (net 109))
+  (segment (start 153.5125 105.9875) (end 153.7625 105.7375) (width 0.1524) (layer Sig1-L3) (net 109) (tstamp 517F90D8))
+  (segment (start 154.3875 108.2375) (end 154.2625 108.3625) (width 0.1524) (layer Sig1-L3) (net 109) (tstamp 517F90DC))
+  (segment (start 154.3875 106.0625) (end 154.3875 108.2375) (width 0.1524) (layer Sig1-L3) (net 109) (tstamp 517F90DB))
+  (segment (start 154.0625 105.7375) (end 154.3875 106.0625) (width 0.1524) (layer Sig1-L3) (net 109) (tstamp 517F90DA))
+  (segment (start 153.7625 105.7375) (end 154.0625 105.7375) (width 0.1524) (layer Sig1-L3) (net 109) (tstamp 517F90D9))
+  (segment (start 153.0125 107.3875) (end 153.0125 107.9625) (width 0.1524) (layer Sig1-L3) (net 109))
+  (segment (start 153.5125 106.9625) (end 153.5125 106.2625) (width 0.1524) (layer Sig1-L3) (net 109) (tstamp 517F90CC))
+  (segment (start 153.8625 107.3125) (end 153.5125 106.9625) (width 0.1524) (layer Sig1-L3) (net 109) (tstamp 517F90CB))
+  (segment (start 153.8625 108.1125) (end 153.8625 107.3125) (width 0.1524) (layer Sig1-L3) (net 109) (tstamp 517F90CA))
+  (segment (start 153.6625 108.3125) (end 153.8625 108.1125) (width 0.1524) (layer Sig1-L3) (net 109) (tstamp 517F90C9))
+  (segment (start 153.3625 108.3125) (end 153.6625 108.3125) (width 0.1524) (layer Sig1-L3) (net 109) (tstamp 517F90C8))
+  (segment (start 153.0125 107.9625) (end 153.3625 108.3125) (width 0.1524) (layer Sig1-L3) (net 109) (tstamp 517F90C7))
+  (segment (start 155.0375 117.5375) (end 154.9125 117.4125) (width 0.1524) (layer Sig1-L3) (net 109))
+  (segment (start 154.7875 109.4125) (end 154.9875 109.2125) (width 0.1524) (layer TOP-L1) (net 109) (tstamp 517F90AA))
+  (via (at 154.7875 109.4125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 109))
+  (segment (start 154.5375 109.4125) (end 154.7875 109.4125) (width 0.1524) (layer Sig1-L3) (net 109) (tstamp 517F90A2))
+  (segment (start 154.0625 108.9375) (end 154.5375 109.4125) (width 0.1524) (layer Sig1-L3) (net 109) (tstamp 517F909F))
+  (segment (start 154.0625 108.5625) (end 154.0625 108.9375) (width 0.1524) (layer Sig1-L3) (net 109) (tstamp 517F909E))
+  (segment (start 154.2625 108.3625) (end 154.0625 108.5625) (width 0.1524) (layer Sig1-L3) (net 109) (tstamp 517F90E1))
+  (segment (start 153.0125 105.6875) (end 153.0125 107.3875) (width 0.1524) (layer Sig1-L3) (net 109) (tstamp 517F9078))
+  (segment (start 153.2875 105.4125) (end 153.0125 105.6875) (width 0.1524) (layer Sig1-L3) (net 109) (tstamp 517F9077))
+  (segment (start 153.2875 105.0625) (end 153.2875 105.4125) (width 0.1524) (layer Sig1-L3) (net 109) (tstamp 517F9075))
+  (segment (start 153.0875 104.8625) (end 153.2875 105.0625) (width 0.1524) (layer Sig1-L3) (net 109) (tstamp 517F9074))
+  (segment (start 152.7125 104.8625) (end 153.0875 104.8625) (width 0.1524) (layer Sig1-L3) (net 109) (tstamp 517F906E))
+  (segment (start 152.4625 105.1125) (end 152.7125 104.8625) (width 0.1524) (layer Sig1-L3) (net 109) (tstamp 517F9066))
+  (segment (start 152.4625 108.1375) (end 152.4625 105.1125) (width 0.1524) (layer Sig1-L3) (net 109) (tstamp 517F9065))
+  (segment (start 153.0125 108.6875) (end 152.4625 108.1375) (width 0.1524) (layer Sig1-L3) (net 109) (tstamp 517F9063))
+  (segment (start 153.0125 109.5375) (end 153.0125 108.6875) (width 0.1524) (layer Sig1-L3) (net 109) (tstamp 517F9062))
+  (segment (start 153.7375 110.2625) (end 153.0125 109.5375) (width 0.1524) (layer Sig1-L3) (net 109) (tstamp 517F905A))
+  (segment (start 153.7375 113.1125) (end 153.7375 110.2625) (width 0.1524) (layer Sig1-L3) (net 109) (tstamp 517F9055))
+  (segment (start 154.9125 114.2875) (end 153.7375 113.1125) (width 0.1524) (layer Sig1-L3) (net 109) (tstamp 517F9050))
+  (segment (start 154.9125 117.4125) (end 154.9125 114.2875) (width 0.1524) (layer Sig1-L3) (net 109) (tstamp 517F904B))
+  (segment (start 155.0375 117.5375) (end 155.0375 118.1375) (width 0.1524) (layer Sig1-L3) (net 109) (tstamp 517F9049))
+  (segment (start 155.0375 118.1375) (end 154.7125 118.4625) (width 0.1524) (layer Sig1-L3) (net 109) (tstamp 517BB145))
+  (segment (start 154.7125 118.4625) (end 154.7125 121.5625) (width 0.1524) (layer Sig1-L3) (net 109) (tstamp 517BB14D))
+  (segment (start 159.3625 109.9875) (end 158.9625 109.5875) (width 0.1524) (layer TOP-L1) (net 109))
+  (segment (start 158.9625 109.5875) (end 158.9625 108.7875) (width 0.1524) (layer TOP-L1) (net 109) (tstamp 517B2599))
+  (segment (start 158.9625 108.7875) (end 158.4625 108.2875) (width 0.1524) (layer TOP-L1) (net 109) (tstamp 517B259A))
+  (segment (start 158.4625 108.2875) (end 155.9125 108.2875) (width 0.1524) (layer TOP-L1) (net 109) (tstamp 517B259C))
+  (segment (start 155.9125 108.2875) (end 154.9875 109.2125) (width 0.1524) (layer TOP-L1) (net 109) (tstamp 517B259D))
+  (segment (start 154.7125 121.5625) (end 154.7125 121.5375) (width 0.1524) (layer Sig1-L3) (net 109) (tstamp 517BB19A))
+  (segment (start 155.0375 121.7875) (end 158.8125 121.7875) (width 0.1524) (layer TOP-L1) (net 109))
+  (via (at 158.8125 121.7875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 109))
+  (segment (start 158.8125 121.7875) (end 187.5625 121.7875) (width 0.1524) (layer Sig1-L3) (net 109) (tstamp 517F900E))
+  (segment (start 187.5625 121.7875) (end 187.9625 121.3875) (width 0.1524) (layer Sig1-L3) (net 109) (tstamp 517F900F))
+  (via (at 187.9625 121.3875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 109))
+  (segment (start 187.9625 121.3875) (end 188.3625 120.9875) (width 0.1524) (layer TOP-L1) (net 109) (tstamp 517F901C))
+  (via (at 155.0375 121.7875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 109))
+  (segment (start 155.0375 121.7875) (end 154.9875 121.7875) (width 0.1524) (layer Sig1-L3) (net 109))
+  (segment (start 154.9875 121.7875) (end 154.6875 122.0875) (width 0.1524) (layer Sig1-L3) (net 109) (tstamp 517BB19C))
+  (segment (start 154.6875 122.0875) (end 154.6875 131.3125) (width 0.1524) (layer Sig1-L3) (net 109) (tstamp 517BB1A0))
+  (segment (start 158.9625 131.5875) (end 159.3625 131.9875) (width 0.1524) (layer TOP-L1) (net 109))
+  (segment (start 156.0375 130.3375) (end 154.9375 131.4375) (width 0.1524) (layer TOP-L1) (net 109) (tstamp 517B229E))
+  (segment (start 158.5625 130.3375) (end 156.0375 130.3375) (width 0.1524) (layer TOP-L1) (net 109) (tstamp 517B229A))
+  (segment (start 158.9625 130.7375) (end 158.5625 130.3375) (width 0.1524) (layer TOP-L1) (net 109) (tstamp 517B2299))
+  (segment (start 158.9625 131.5875) (end 158.9625 130.7375) (width 0.1524) (layer TOP-L1) (net 109) (tstamp 517B2291))
+  (segment (start 153.0025 116.1875) (end 152.5725 116.1875) (width 0.1524) (layer TOP-L1) (net 110))
+  (segment (start 150.8625 116.1875) (end 150.7125 116.1875) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 519A00FA))
+  (segment (start 151.3025 116.6275) (end 150.8625 116.1875) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 519A00F9))
+  (segment (start 151.6025 116.6275) (end 151.3025 116.6275) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 519A00F8))
+  (segment (start 151.6825 116.5475) (end 151.6025 116.6275) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 519A00F7))
+  (segment (start 151.6825 115.8675) (end 151.6825 116.5475) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 519A00F5))
+  (segment (start 151.9125 115.6375) (end 151.6825 115.8675) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 519A00F4))
+  (segment (start 152.1025 115.6375) (end 151.9125 115.6375) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 519A00F3))
+  (segment (start 152.2825 115.8175) (end 152.1025 115.6375) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 519A00F1))
+  (segment (start 152.2825 115.8975) (end 152.2825 115.8175) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 519A00EE))
+  (segment (start 152.5725 116.1875) (end 152.2825 115.8975) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 519A00ED))
+  (segment (start 152.8225 103.9375) (end 152.8225 104.6175) (width 0.1524) (layer TOP-L1) (net 110))
+  (segment (start 152.2325 104.2875) (end 152.2325 104.2825) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 519A0000))
+  (segment (start 152.4325 104.4875) (end 152.2325 104.2875) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 5199FFF8))
+  (segment (start 152.4325 104.5775) (end 152.4325 104.4875) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 5199FFF6))
+  (segment (start 152.5725 104.7175) (end 152.4325 104.5775) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 5199FFED))
+  (segment (start 152.7225 104.7175) (end 152.5725 104.7175) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 5199FFEC))
+  (segment (start 152.8225 104.6175) (end 152.7225 104.7175) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 5199FFEB))
+  (segment (start 154.5925 104.8575) (end 154.5825 104.8575) (width 0.1524) (layer TOP-L1) (net 110))
+  (segment (start 153.6125 104.8575) (end 153.5025 104.8575) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 5199FFCA))
+  (segment (start 153.9225 104.5475) (end 153.6125 104.8575) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 5199FFC9))
+  (segment (start 154.2725 104.5475) (end 153.9225 104.5475) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 5199FFC8))
+  (segment (start 154.5825 104.8575) (end 154.2725 104.5475) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 5199FFC7))
+  (segment (start 161.0625 116.1875) (end 158.9625 116.1875) (width 0.1524) (layer Sig1-L3) (net 110))
+  (via (at 158.9625 116.1875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 110))
+  (segment (start 158.9625 116.1875) (end 153.0025 116.1875) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 5182F3A1))
+  (segment (start 150.7125 116.1875) (end 150.4375 116.1875) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 519A00FF))
+  (segment (start 150.3875 116.2375) (end 150.4375 116.1875) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 517BAFBD))
+  (via (at 187.9625 115.7875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 110))
+  (segment (start 187.9625 115.7875) (end 187.5625 116.1875) (width 0.1524) (layer Sig1-L3) (net 110) (tstamp 517B8977))
+  (segment (start 187.5625 116.1875) (end 161.0625 116.1875) (width 0.1524) (layer Sig1-L3) (net 110) (tstamp 517B8978))
+  (via (at 150.3875 116.2375) (size 0.4572) (layers TOP-L1 BOT-L6) (net 110))
+  (segment (start 187.9625 115.7875) (end 188.3625 115.3875) (width 0.1524) (layer TOP-L1) (net 110))
+  (segment (start 151.7125 103.2875) (end 152.2625 103.2875) (width 0.1524) (layer TOP-L1) (net 110))
+  (segment (start 150.2125 116.0625) (end 150.2125 115.9125) (width 0.1524) (layer Sig1-L3) (net 110) (tstamp 517B89A7))
+  (segment (start 150.2125 115.9125) (end 150.4625 115.6625) (width 0.1524) (layer Sig1-L3) (net 110) (tstamp 517B89AC))
+  (segment (start 150.4625 115.6625) (end 150.4625 104.7875) (width 0.1524) (layer Sig1-L3) (net 110) (tstamp 517B89B0))
+  (via (at 150.4625 104.7875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 110))
+  (segment (start 150.4625 104.7375) (end 150.4625 104.7875) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 517B2AC8))
+  (segment (start 150.4625 103.5375) (end 150.4625 104.7375) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 517B2ABE))
+  (segment (start 150.7125 103.2875) (end 150.4625 103.5375) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 517B2AB9))
+  (segment (start 151.7525 103.2875) (end 150.7125 103.2875) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 517F33F4))
+  (segment (start 150.3875 116.2375) (end 150.2125 116.0625) (width 0.1524) (layer Sig1-L3) (net 110))
+  (segment (start 151.7125 103.2875) (end 151.7525 103.2875) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 517F6592))
+  (segment (start 152.2625 103.2875) (end 152.4125 103.4375) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 517F6594))
+  (segment (start 152.4125 103.4375) (end 152.4125 103.9125) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 517F6595))
+  (segment (start 152.4125 103.9125) (end 152.2125 104.1125) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 517F6596))
+  (segment (start 152.2125 104.1125) (end 152.2125 104.2625) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 517F6598))
+  (segment (start 152.2125 104.2625) (end 152.2325 104.2825) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 517F659D))
+  (segment (start 148.6325 127.5275) (end 148.6325 127.6475) (width 0.1524) (layer Sig1-L3) (net 110))
+  (segment (start 148.6325 128.7475) (end 148.6325 128.7875) (width 0.1524) (layer Sig1-L3) (net 110) (tstamp 517F352A))
+  (segment (start 148.9125 128.4675) (end 148.6325 128.7475) (width 0.1524) (layer Sig1-L3) (net 110) (tstamp 517F3529))
+  (segment (start 148.9125 127.9275) (end 148.9125 128.4675) (width 0.1524) (layer Sig1-L3) (net 110) (tstamp 517F3528))
+  (segment (start 148.6325 127.6475) (end 148.9125 127.9275) (width 0.1524) (layer Sig1-L3) (net 110) (tstamp 517F3527))
+  (segment (start 148.6325 128.7875) (end 148.6325 128.9575) (width 0.1524) (layer Sig1-L3) (net 110) (tstamp 517F352E))
+  (segment (start 150.3925 129.0475) (end 150.3925 128.2075) (width 0.1524) (layer Sig1-L3) (net 110) (tstamp 517F3516))
+  (segment (start 150.2425 129.1975) (end 150.3925 129.0475) (width 0.1524) (layer Sig1-L3) (net 110) (tstamp 517F3514))
+  (segment (start 148.8725 129.1975) (end 150.2425 129.1975) (width 0.1524) (layer Sig1-L3) (net 110) (tstamp 517F3513))
+  (segment (start 148.6325 128.9575) (end 148.8725 129.1975) (width 0.1524) (layer Sig1-L3) (net 110) (tstamp 517F3511))
+  (segment (start 149.4725 126.3175) (end 148.6325 127.1575) (width 0.1524) (layer Sig1-L3) (net 110))
+  (segment (start 148.6325 127.1575) (end 148.6325 127.5275) (width 0.1524) (layer Sig1-L3) (net 110) (tstamp 517F34F8))
+  (segment (start 151.0725 125.2875) (end 150.5025 125.2875) (width 0.1524) (layer TOP-L1) (net 110))
+  (segment (start 150.4625 126.4475) (end 150.4625 126.2575) (width 0.1524) (layer Sig1-L3) (net 110) (tstamp 517F34E0))
+  (segment (start 149.9325 126.9775) (end 150.4625 126.4475) (width 0.1524) (layer Sig1-L3) (net 110) (tstamp 517F34DC))
+  (segment (start 149.9325 127.5875) (end 149.9325 126.9775) (width 0.1524) (layer Sig1-L3) (net 110) (tstamp 517F34DA))
+  (segment (start 150.3925 128.0475) (end 149.9325 127.5875) (width 0.1524) (layer Sig1-L3) (net 110) (tstamp 517F34D9))
+  (segment (start 150.3925 128.2075) (end 150.3925 128.0475) (width 0.1524) (layer Sig1-L3) (net 110) (tstamp 517F351A))
+  (segment (start 150.0725 125.7175) (end 149.4725 126.3175) (width 0.1524) (layer Sig1-L3) (net 110) (tstamp 517F34CA))
+  (via (at 150.0725 125.7175) (size 0.4572) (layers TOP-L1 BOT-L6) (net 110))
+  (segment (start 150.5025 125.2875) (end 150.0725 125.7175) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 517F34C2))
+  (segment (start 155.0025 104.6275) (end 154.7725 104.8575) (width 0.1524) (layer TOP-L1) (net 110))
+  (segment (start 158.9625 104.7875) (end 159.3625 105.1875) (width 0.1524) (layer TOP-L1) (net 110))
+  (segment (start 157.9625 104.7875) (end 157.2425 104.7875) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 517B2A9C))
+  (segment (start 158.9625 104.7875) (end 157.9625 104.7875) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 517B2A9A))
+  (segment (start 156.1025 104.7875) (end 155.8225 104.5075) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 517F33C4))
+  (segment (start 155.8225 104.5075) (end 155.8225 103.4875) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 517F33C5))
+  (segment (start 155.8225 103.4875) (end 155.6425 103.3075) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 517F33C7))
+  (segment (start 155.6425 103.3075) (end 155.3625 103.3075) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 517F33C8))
+  (segment (start 155.3625 103.3075) (end 155.1725 103.4975) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 517F33C9))
+  (segment (start 155.1725 103.4975) (end 155.1725 104.4575) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 517F33CA))
+  (segment (start 155.1725 104.4575) (end 155.0025 104.6275) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 517F33CB))
+  (segment (start 157.2425 104.7875) (end 156.1025 104.7875) (width 0.1524) (layer TOP-L1) (net 110))
+  (segment (start 153.5025 103.7675) (end 153.5025 103.4775) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 517F3480))
+  (segment (start 153.5025 103.4775) (end 153.3125 103.2875) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 517F33DE))
+  (segment (start 153.3125 103.2875) (end 153.0425 103.2875) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 517F33E0))
+  (segment (start 153.0425 103.2875) (end 152.8225 103.5075) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 517F33E2))
+  (segment (start 152.8225 103.5075) (end 152.8225 103.9375) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 517F33E3))
+  (segment (start 154.7725 104.8575) (end 154.5925 104.8575) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 517F346C))
+  (segment (start 153.5025 104.8575) (end 153.3025 104.8575) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 5199FFCD))
+  (segment (start 153.3025 104.8575) (end 153.1325 104.6875) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 517F3470))
+  (segment (start 153.1325 104.6875) (end 153.1325 104.4175) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 517F3471))
+  (segment (start 153.1325 104.4175) (end 153.5025 104.0475) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 517F3474))
+  (segment (start 153.5025 104.0475) (end 153.5025 103.7675) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 517F347B))
+  (segment (start 149.9875 116.7625) (end 149.9875 116.6375) (width 0.1524) (layer Sig1-L3) (net 110))
+  (segment (start 150.4625 119.3125) (end 149.9875 118.8375) (width 0.1524) (layer Sig1-L3) (net 110) (tstamp 517B8910))
+  (segment (start 149.9875 118.8375) (end 149.9875 116.7625) (width 0.1524) (layer Sig1-L3) (net 110) (tstamp 517B8916))
+  (segment (start 158.9625 126.7875) (end 153.9125 126.7875) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 517B2E1E))
+  (segment (start 153.9125 126.7875) (end 152.4125 125.2875) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 517B2E1F))
+  (segment (start 152.4125 125.2875) (end 151.0725 125.2875) (width 0.1524) (layer TOP-L1) (net 110) (tstamp 517B2E26))
+  (segment (start 159.3625 127.1875) (end 158.9625 126.7875) (width 0.1524) (layer TOP-L1) (net 110))
+  (segment (start 150.4625 126.2575) (end 150.4625 119.3125) (width 0.1524) (layer Sig1-L3) (net 110) (tstamp 517F34E3))
+  (segment (start 149.9875 116.6375) (end 150.3875 116.2375) (width 0.1524) (layer Sig1-L3) (net 110) (tstamp 517B899F))
+  (segment (start 166.6375 115.5875) (end 166.9875 115.5875) (width 0.1524) (layer TOP-L1) (net 111))
+  (segment (start 168.5125 115.5875) (end 168.7375 115.5875) (width 0.1524) (layer TOP-L1) (net 111) (tstamp 518061A2))
+  (segment (start 168.0875 116.0125) (end 168.5125 115.5875) (width 0.1524) (layer TOP-L1) (net 111) (tstamp 518061A1))
+  (segment (start 167.4125 116.0125) (end 168.0875 116.0125) (width 0.1524) (layer TOP-L1) (net 111) (tstamp 518061A0))
+  (segment (start 166.9875 115.5875) (end 167.4125 116.0125) (width 0.1524) (layer TOP-L1) (net 111) (tstamp 5180619F))
+  (segment (start 168.0125 117.2125) (end 168.9375 117.2125) (width 0.1524) (layer TOP-L1) (net 111))
+  (segment (start 161.4375 118.9125) (end 160.6375 119.7125) (width 0.1524) (layer TOP-L1) (net 111) (tstamp 5180604C))
+  (segment (start 160.6375 119.7125) (end 160.6375 120.0375) (width 0.1524) (layer TOP-L1) (net 111) (tstamp 5180600D))
+  (segment (start 160.6375 120.0375) (end 160.7875 120.1875) (width 0.1524) (layer TOP-L1) (net 111) (tstamp 5180600F))
+  (segment (start 160.7875 120.1875) (end 161.2625 120.1875) (width 0.1524) (layer TOP-L1) (net 111) (tstamp 51806012))
+  (via (at 161.2625 120.1875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 111))
+  (via (at 187.9625 119.7875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 111))
+  (segment (start 187.9625 119.7875) (end 187.5625 120.1875) (width 0.1524) (layer Sig1-L3) (net 111) (tstamp 517B3713))
+  (segment (start 161.2625 120.1875) (end 187.5625 120.1875) (width 0.1524) (layer Sig1-L3) (net 111) (tstamp 517B3714))
+  (segment (start 187.9625 119.7875) (end 188.3625 119.3875) (width 0.1524) (layer TOP-L1) (net 111))
+  (segment (start 163.5375 116.8125) (end 163.2125 117.1375) (width 0.1524) (layer TOP-L1) (net 111) (tstamp 51806008))
+  (segment (start 165.2625 116.8125) (end 163.5375 116.8125) (width 0.1524) (layer TOP-L1) (net 111) (tstamp 51806097))
+  (segment (start 161.7125 118.6375) (end 162.5375 118.6375) (width 0.1524) (layer TOP-L1) (net 111) (tstamp 5180604E))
+  (segment (start 162.5375 118.6375) (end 163.0375 118.1375) (width 0.1524) (layer TOP-L1) (net 111) (tstamp 51806050))
+  (segment (start 163.0375 118.1375) (end 163.0375 117.3125) (width 0.1524) (layer TOP-L1) (net 111) (tstamp 51806051))
+  (segment (start 163.0375 117.3125) (end 163.2125 117.1375) (width 0.1524) (layer TOP-L1) (net 111) (tstamp 51806056))
+  (segment (start 161.4375 118.9125) (end 161.7125 118.6375) (width 0.1524) (layer TOP-L1) (net 111))
+  (segment (start 166.7875 116.8125) (end 167.1875 117.2125) (width 0.1524) (layer TOP-L1) (net 111) (tstamp 5180616F))
+  (segment (start 167.1875 117.2125) (end 168.0125 117.2125) (width 0.1524) (layer TOP-L1) (net 111) (tstamp 51806170))
+  (segment (start 165.2625 116.8125) (end 166.7875 116.8125) (width 0.1524) (layer TOP-L1) (net 111))
+  (segment (start 168.9375 117.2125) (end 169.4875 116.6625) (width 0.1524) (layer TOP-L1) (net 111) (tstamp 5180618A))
+  (segment (start 169.4875 116.6625) (end 169.4875 115.8375) (width 0.1524) (layer TOP-L1) (net 111) (tstamp 5180618B))
+  (segment (start 169.4875 115.8375) (end 169.2375 115.5875) (width 0.1524) (layer TOP-L1) (net 111) (tstamp 5180618C))
+  (segment (start 169.2375 115.5875) (end 168.7375 115.5875) (width 0.1524) (layer TOP-L1) (net 111) (tstamp 5180618D))
+  (segment (start 164.3375 115.5875) (end 163.9875 115.9375) (width 0.1524) (layer TOP-L1) (net 111) (tstamp 51806176))
+  (segment (start 166.6375 115.5875) (end 164.3375 115.5875) (width 0.1524) (layer TOP-L1) (net 111) (tstamp 5180619D))
+  (segment (start 158.8625 120.1875) (end 159.6375 120.1875) (width 0.1524) (layer TOP-L1) (net 111))
+  (via (at 157.0875 120.1875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 111))
+  (segment (start 157.0875 120.1875) (end 158.8625 120.1875) (width 0.1524) (layer TOP-L1) (net 111))
+  (segment (start 163.4875 116.0875) (end 163.8375 116.0875) (width 0.1524) (layer TOP-L1) (net 111) (tstamp 5180616C))
+  (segment (start 163.8375 116.0875) (end 163.9875 115.9375) (width 0.1524) (layer TOP-L1) (net 111) (tstamp 51806029))
+  (segment (start 159.6375 120.1875) (end 162.1625 117.6625) (width 0.1524) (layer TOP-L1) (net 111) (tstamp 51806157))
+  (segment (start 162.1625 117.6625) (end 162.1625 116.8875) (width 0.1524) (layer TOP-L1) (net 111) (tstamp 51806159))
+  (segment (start 162.1625 116.8875) (end 162.9625 116.0875) (width 0.1524) (layer TOP-L1) (net 111) (tstamp 51806167))
+  (segment (start 162.9625 116.0875) (end 163.4875 116.0875) (width 0.1524) (layer TOP-L1) (net 111) (tstamp 51806169))
+  (segment (start 156.4625 118.5125) (end 156.4625 119.5625) (width 0.1524) (layer Sig1-L3) (net 111))
+  (segment (start 158.5375 109.9875) (end 158.1375 109.5875) (width 0.1524) (layer TOP-L1) (net 111) (tstamp 51805F41))
+  (segment (start 158.1375 109.5875) (end 156.6625 109.5875) (width 0.1524) (layer TOP-L1) (net 111) (tstamp 51805F43))
+  (segment (start 156.6625 109.5875) (end 156.3875 109.8625) (width 0.1524) (layer TOP-L1) (net 111) (tstamp 51805F45))
+  (via (at 156.3875 109.8625) (size 0.4572) (layers TOP-L1 BOT-L6) (net 111))
+  (segment (start 156.3875 109.8625) (end 156.2625 109.9875) (width 0.1524) (layer Sig1-L3) (net 111) (tstamp 51805F50))
+  (segment (start 156.2625 109.9875) (end 156.2625 111.5125) (width 0.1524) (layer Sig1-L3) (net 111) (tstamp 51805F51))
+  (segment (start 156.2625 111.5125) (end 157.1125 112.3625) (width 0.1524) (layer Sig1-L3) (net 111) (tstamp 51805F52))
+  (segment (start 157.1125 112.3625) (end 157.1125 112.8875) (width 0.1524) (layer Sig1-L3) (net 111) (tstamp 51805F58))
+  (segment (start 157.1125 112.8875) (end 156.5375 113.4625) (width 0.1524) (layer Sig1-L3) (net 111) (tstamp 51805F59))
+  (segment (start 156.5375 113.4625) (end 156.5375 114.1875) (width 0.1524) (layer Sig1-L3) (net 111) (tstamp 51805F63))
+  (segment (start 156.5375 114.1875) (end 157.1125 114.7625) (width 0.1524) (layer Sig1-L3) (net 111) (tstamp 51805F65))
+  (segment (start 157.1125 114.7625) (end 157.1125 115.4875) (width 0.1524) (layer Sig1-L3) (net 111) (tstamp 51805F66))
+  (segment (start 157.1125 115.4875) (end 156.5875 116.0125) (width 0.1524) (layer Sig1-L3) (net 111) (tstamp 51805F67))
+  (segment (start 156.5875 116.0125) (end 156.5875 116.3625) (width 0.1524) (layer Sig1-L3) (net 111) (tstamp 51805F69))
+  (segment (start 156.5875 116.3625) (end 157.0625 116.8375) (width 0.1524) (layer Sig1-L3) (net 111) (tstamp 51805F70))
+  (segment (start 157.0625 116.8375) (end 157.0625 117.9125) (width 0.1524) (layer Sig1-L3) (net 111) (tstamp 51805F72))
+  (segment (start 157.0625 117.9125) (end 156.4625 118.5125) (width 0.1524) (layer Sig1-L3) (net 111) (tstamp 51805F77))
+  (segment (start 158.5625 109.9875) (end 158.5375 109.9875) (width 0.1524) (layer TOP-L1) (net 111))
+  (segment (start 156.4625 119.5625) (end 157.0875 120.1875) (width 0.1524) (layer Sig1-L3) (net 111) (tstamp 51806072))
+  (segment (start 157.0625 120.1875) (end 157.0875 120.1875) (width 0.1524) (layer Sig1-L3) (net 111) (tstamp 51805F87))
+  (segment (start 158.1625 132.3875) (end 158.5625 131.9875) (width 0.1524) (layer TOP-L1) (net 111))
+  (segment (start 156.6375 120.6375) (end 157.0875 120.1875) (width 0.1524) (layer Sig1-L3) (net 111) (tstamp 51805F1A))
+  (segment (start 156.6375 131.9875) (end 156.6375 120.6375) (width 0.1524) (layer Sig1-L3) (net 111) (tstamp 51805F16))
+  (segment (start 157.0375 132.3875) (end 156.6375 131.9875) (width 0.1524) (layer Sig1-L3) (net 111) (tstamp 51805F15))
+  (segment (start 157.1125 132.3875) (end 157.0375 132.3875) (width 0.1524) (layer Sig1-L3) (net 111) (tstamp 51805F14))
+  (via (at 157.1125 132.3875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 111))
+  (segment (start 158.1625 132.3875) (end 157.1125 132.3875) (width 0.1524) (layer TOP-L1) (net 111) (tstamp 51805F06))
+  (segment (start 159.7625 114.9875) (end 158.3875 114.9875) (width 0.1524) (layer Sig1-L3) (net 112))
+  (segment (start 158.3875 114.9875) (end 157.9875 114.9875) (width 0.1524) (layer TOP-L1) (net 112) (tstamp 5191BA32))
+  (via (at 158.3875 114.9875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 112))
+  (segment (start 150.4375 124.4375) (end 150.5375 124.5375) (width 0.1524) (layer Sig2-L4) (net 112))
+  (segment (start 151.1625 124.2875) (end 151.1625 123.6125) (width 0.1524) (layer Sig2-L4) (net 112) (tstamp 517F67CA))
+  (segment (start 150.9125 124.5375) (end 151.1625 124.2875) (width 0.1524) (layer Sig2-L4) (net 112) (tstamp 517F67C7))
+  (segment (start 150.5375 124.5375) (end 150.9125 124.5375) (width 0.1524) (layer Sig2-L4) (net 112) (tstamp 517F67C3))
+  (segment (start 151.5375 115.2625) (end 151.8125 114.9875) (width 0.1524) (layer Sig2-L4) (net 112) (tstamp 517F66EC))
+  (segment (start 150.1375 120.6875) (end 150.1375 120.0875) (width 0.1524) (layer Sig2-L4) (net 112))
+  (segment (start 150.2625 119.6375) (end 150.2625 119.1375) (width 0.1524) (layer Sig2-L4) (net 112) (tstamp 517F6775))
+  (segment (start 150.1375 119.7625) (end 150.2625 119.6375) (width 0.1524) (layer Sig2-L4) (net 112) (tstamp 517F6772))
+  (segment (start 150.1375 120.0875) (end 150.1375 119.7625) (width 0.1524) (layer Sig2-L4) (net 112) (tstamp 517F6771))
+  (segment (start 150.2625 119.1375) (end 149.9375 118.8125) (width 0.1524) (layer Sig2-L4) (net 112) (tstamp 517F6778))
+  (segment (start 150.7125 118.5375) (end 150.7125 118.6875) (width 0.1524) (layer Sig2-L4) (net 112) (tstamp 517F6781))
+  (segment (start 150.4875 118.3125) (end 150.7125 118.5375) (width 0.1524) (layer Sig2-L4) (net 112) (tstamp 517F677E))
+  (segment (start 150.1125 118.3125) (end 150.4875 118.3125) (width 0.1524) (layer Sig2-L4) (net 112) (tstamp 517F677D))
+  (segment (start 149.9375 118.4875) (end 150.1125 118.3125) (width 0.1524) (layer Sig2-L4) (net 112) (tstamp 517F677C))
+  (segment (start 149.9375 118.8125) (end 149.9375 118.4875) (width 0.1524) (layer Sig2-L4) (net 112) (tstamp 517F677A))
+  (segment (start 150.0625 124.8375) (end 149.7375 124.5125) (width 0.1524) (layer Sig2-L4) (net 112))
+  (segment (start 149.7375 121.9375) (end 149.9625 121.7125) (width 0.1524) (layer Sig2-L4) (net 112) (tstamp 517F6762))
+  (segment (start 149.7375 124.5125) (end 149.7375 121.9375) (width 0.1524) (layer Sig2-L4) (net 112) (tstamp 517F675D))
+  (segment (start 150.7125 118.6875) (end 150.7125 120.6625) (width 0.1524) (layer Sig2-L4) (net 112) (tstamp 517F6784))
+  (segment (start 151.6625 125.7875) (end 151.6625 125.6375) (width 0.1524) (layer Sig2-L4) (net 112))
+  (segment (start 151.5375 120.5625) (end 151.5375 115.2625) (width 0.1524) (layer Sig2-L4) (net 112) (tstamp 517F66EB))
+  (segment (start 151.1625 120.9375) (end 151.5375 120.5625) (width 0.1524) (layer Sig2-L4) (net 112) (tstamp 517F66E8))
+  (segment (start 151.1625 123.6125) (end 151.1625 120.9375) (width 0.1524) (layer Sig2-L4) (net 112) (tstamp 517F67CF))
+  (segment (start 150.3125 124.3125) (end 150.4375 124.4375) (width 0.1524) (layer Sig2-L4) (net 112) (tstamp 517F66DC))
+  (segment (start 150.3125 122.1875) (end 150.3125 124.3125) (width 0.1524) (layer Sig2-L4) (net 112) (tstamp 517F66D7))
+  (segment (start 150.7125 121.7875) (end 150.3125 122.1875) (width 0.1524) (layer Sig2-L4) (net 112) (tstamp 517F66D6))
+  (segment (start 150.7125 120.6625) (end 150.7125 121.7875) (width 0.1524) (layer Sig2-L4) (net 112) (tstamp 517F674F))
+  (segment (start 150.1375 121.5375) (end 150.1375 120.6875) (width 0.1524) (layer Sig2-L4) (net 112) (tstamp 517F66C5))
+  (segment (start 149.9625 121.7125) (end 150.1375 121.5375) (width 0.1524) (layer Sig2-L4) (net 112) (tstamp 517F6766))
+  (segment (start 150.4625 125.2375) (end 150.0625 124.8375) (width 0.1524) (layer Sig2-L4) (net 112) (tstamp 517F66A6))
+  (segment (start 151.2625 125.2375) (end 150.4625 125.2375) (width 0.1524) (layer Sig2-L4) (net 112) (tstamp 517F66A4))
+  (segment (start 151.6625 125.6375) (end 151.2625 125.2375) (width 0.1524) (layer Sig2-L4) (net 112) (tstamp 517F66A2))
+  (segment (start 154.7125 102.0375) (end 155.2875 102.0375) (width 0.1524) (layer Sig1-L3) (net 112))
+  (segment (start 158.1625 105.5875) (end 158.5625 105.1875) (width 0.1524) (layer TOP-L1) (net 112))
+  (segment (start 158.1625 105.5875) (end 152.5625 105.5875) (width 0.1524) (layer TOP-L1) (net 112) (tstamp 517B28CA))
+  (segment (start 151.6625 105.4375) (end 151.6625 104.6125) (width 0.1524) (layer Sig1-L3) (net 112) (tstamp 517BAE0E))
+  (segment (start 151.6125 105.4875) (end 151.6625 105.4375) (width 0.1524) (layer Sig1-L3) (net 112) (tstamp 517BAE07))
+  (segment (start 151.6125 114.7875) (end 151.6125 105.4875) (width 0.1524) (layer Sig1-L3) (net 112) (tstamp 517BAE04))
+  (segment (start 151.8125 114.9875) (end 151.6125 114.7875) (width 0.1524) (layer Sig1-L3) (net 112))
+  (segment (start 151.2625 104.6375) (end 151.2625 104.2375) (width 0.1524) (layer TOP-L1) (net 112) (tstamp 517F65B8))
+  (segment (start 151.2625 104.2375) (end 151.7625 103.7375) (width 0.1524) (layer TOP-L1) (net 112) (tstamp 517F65BF))
+  (via (at 151.7625 103.7375) (size 0.4572) (layers TOP-L1 BOT-L6) (net 112))
+  (segment (start 152.7125 103.5625) (end 152.9375 103.7875) (width 0.1524) (layer Sig1-L3) (net 112) (tstamp 517F660E))
+  (segment (start 152.9375 103.7875) (end 153.7375 103.7875) (width 0.1524) (layer Sig1-L3) (net 112) (tstamp 517F65D0))
+  (segment (start 153.7375 103.7875) (end 154.3875 103.1375) (width 0.1524) (layer Sig1-L3) (net 112) (tstamp 517F65D1))
+  (segment (start 154.3875 103.1375) (end 154.3875 102.6375) (width 0.1524) (layer Sig1-L3) (net 112) (tstamp 517F65D4))
+  (segment (start 152.2125 105.5875) (end 151.2625 104.6375) (width 0.1524) (layer TOP-L1) (net 112) (tstamp 517F65B7))
+  (segment (start 152.5625 105.5875) (end 152.2125 105.5875) (width 0.1524) (layer TOP-L1) (net 112))
+  (segment (start 151.7625 103.2875) (end 151.6125 103.1375) (width 0.1524) (layer Sig1-L3) (net 112) (tstamp 517F6601))
+  (segment (start 151.6125 103.1375) (end 151.6125 102.3625) (width 0.1524) (layer Sig1-L3) (net 112) (tstamp 517F6604))
+  (segment (start 151.6125 102.3625) (end 151.7625 102.2125) (width 0.1524) (layer Sig1-L3) (net 112) (tstamp 517F6605))
+  (segment (start 151.7625 102.2125) (end 152.1125 102.2125) (width 0.1524) (layer Sig1-L3) (net 112) (tstamp 517F6606))
+  (segment (start 152.1125 102.2125) (end 152.2875 102.3875) (width 0.1524) (layer Sig1-L3) (net 112) (tstamp 517F6607))
+  (segment (start 152.2875 102.3875) (end 152.2875 103.1375) (width 0.1524) (layer Sig1-L3) (net 112) (tstamp 517F6608))
+  (segment (start 152.2875 103.1375) (end 152.7125 103.5625) (width 0.1524) (layer Sig1-L3) (net 112) (tstamp 517F6609))
+  (segment (start 151.7625 103.7375) (end 151.7625 103.2875) (width 0.1524) (layer Sig1-L3) (net 112))
+  (segment (start 154.3875 102.2625) (end 154.6125 102.0375) (width 0.1524) (layer Sig1-L3) (net 112) (tstamp 517F661F))
+  (segment (start 154.6125 102.0375) (end 154.7125 102.0375) (width 0.1524) (layer Sig1-L3) (net 112) (tstamp 517F6620))
+  (segment (start 154.4625 104.1625) (end 152.7875 104.1625) (width 0.1524) (layer Sig1-L3) (net 112) (tstamp 517F665A))
+  (segment (start 152.7875 104.1625) (end 152.4875 103.8625) (width 0.1524) (layer Sig1-L3) (net 112) (tstamp 517F6631))
+  (segment (start 152.4875 103.8625) (end 152.2875 103.8625) (width 0.1524) (layer Sig1-L3) (net 112) (tstamp 517F6632))
+  (segment (start 152.2875 103.8625) (end 151.6625 104.4875) (width 0.1524) (layer Sig1-L3) (net 112) (tstamp 517F6633))
+  (segment (start 151.6625 104.4875) (end 151.6625 104.6125) (width 0.1524) (layer Sig1-L3) (net 112) (tstamp 517F6636))
+  (segment (start 154.3875 102.6375) (end 154.3875 102.2625) (width 0.1524) (layer Sig1-L3) (net 112))
+  (segment (start 155.2875 102.0375) (end 155.5625 102.3125) (width 0.1524) (layer Sig1-L3) (net 112) (tstamp 517F6645))
+  (segment (start 155.5625 102.3125) (end 155.5625 102.6125) (width 0.1524) (layer Sig1-L3) (net 112) (tstamp 517F6646))
+  (segment (start 155.5625 102.6125) (end 155.3875 102.7875) (width 0.1524) (layer Sig1-L3) (net 112) (tstamp 517F664B))
+  (segment (start 155.3875 102.7875) (end 154.8625 102.7875) (width 0.1524) (layer Sig1-L3) (net 112) (tstamp 517F664C))
+  (segment (start 154.8625 102.7875) (end 154.7375 102.9125) (width 0.1524) (layer Sig1-L3) (net 112) (tstamp 517F664D))
+  (segment (start 154.7375 102.9125) (end 154.7375 103.1375) (width 0.1524) (layer Sig1-L3) (net 112) (tstamp 517F664E))
+  (segment (start 154.7375 103.1375) (end 154.8625 103.2625) (width 0.1524) (layer Sig1-L3) (net 112) (tstamp 517F664F))
+  (segment (start 154.8625 103.2625) (end 155.5375 103.2625) (width 0.1524) (layer Sig1-L3) (net 112) (tstamp 517F6650))
+  (segment (start 155.5375 103.2625) (end 155.6625 103.3875) (width 0.1524) (layer Sig1-L3) (net 112) (tstamp 517F6651))
+  (segment (start 155.6625 103.3875) (end 155.6625 103.8375) (width 0.1524) (layer Sig1-L3) (net 112) (tstamp 517F6653))
+  (segment (start 155.6625 103.8375) (end 155.3375 104.1625) (width 0.1524) (layer Sig1-L3) (net 112) (tstamp 517F6654))
+  (segment (start 155.3375 104.1625) (end 154.4625 104.1625) (width 0.1524) (layer Sig1-L3) (net 112) (tstamp 517F6656))
+  (via (at 187.1625 114.9875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 112))
+  (segment (start 187.1625 114.9875) (end 187.5625 114.5875) (width 0.1524) (layer TOP-L1) (net 112))
+  (segment (start 157.9875 114.9875) (end 151.8125 114.9875) (width 0.1524) (layer TOP-L1) (net 112) (tstamp 5191BA35))
+  (segment (start 187.1625 114.9875) (end 159.7625 114.9875) (width 0.1524) (layer Sig1-L3) (net 112) (tstamp 517BADCA))
+  (via (at 151.8125 114.9875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 112))
+  (segment (start 158.1625 127.5875) (end 152.1625 127.5875) (width 0.1524) (layer TOP-L1) (net 112) (tstamp 517B2DB4))
+  (segment (start 152.1625 127.5875) (end 151.6625 127.0875) (width 0.1524) (layer TOP-L1) (net 112) (tstamp 517B2DB7))
+  (segment (start 151.6625 127.0875) (end 151.6625 125.7875) (width 0.1524) (layer TOP-L1) (net 112) (tstamp 517B2DBC))
+  (via (at 151.6625 125.7875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 112))
+  (segment (start 158.5625 127.1875) (end 158.1625 127.5875) (width 0.1524) (layer TOP-L1) (net 112))
+  (segment (start 158.7125 124.9875) (end 158.9125 124.9875) (width 0.1524) (layer Sig1-L3) (net 113))
+  (segment (start 159.2625 124.6375) (end 159.2625 124.4125) (width 0.1524) (layer Sig1-L3) (net 113) (tstamp 51805EFC))
+  (segment (start 158.9125 124.9875) (end 159.2625 124.6375) (width 0.1524) (layer Sig1-L3) (net 113) (tstamp 51805EFB))
+  (segment (start 157.8875 124.9875) (end 158.7125 124.9875) (width 0.1524) (layer Sig1-L3) (net 113))
+  (segment (start 158.9125 123.7625) (end 158.1375 123.7625) (width 0.1524) (layer Sig1-L3) (net 113) (tstamp 51805EEC))
+  (segment (start 159.2625 124.1125) (end 158.9125 123.7625) (width 0.1524) (layer Sig1-L3) (net 113) (tstamp 51805EEB))
+  (segment (start 159.2625 124.4125) (end 159.2625 124.1125) (width 0.1524) (layer Sig1-L3) (net 113) (tstamp 51805EFF))
+  (segment (start 157.7625 131.9875) (end 157.5125 131.9875) (width 0.1524) (layer TOP-L1) (net 113))
+  (segment (start 157.1125 131.5875) (end 157.1125 131.0125) (width 0.1524) (layer Sig1-L3) (net 113) (tstamp 51805ED7))
+  (segment (start 157.1625 131.6375) (end 157.1125 131.5875) (width 0.1524) (layer Sig1-L3) (net 113) (tstamp 51805ED6))
+  (via (at 157.1625 131.6375) (size 0.4572) (layers TOP-L1 BOT-L6) (net 113))
+  (segment (start 157.5125 131.9875) (end 157.1625 131.6375) (width 0.1524) (layer TOP-L1) (net 113) (tstamp 51805ED0))
+  (segment (start 168.6375 119.6625) (end 169.1375 119.6625) (width 0.1524) (layer TOP-L1) (net 113))
+  (segment (start 170.5625 119.6625) (end 170.7125 119.6625) (width 0.1524) (layer TOP-L1) (net 113) (tstamp 51805DE9))
+  (segment (start 170.1375 119.2375) (end 170.5625 119.6625) (width 0.1524) (layer TOP-L1) (net 113) (tstamp 51805DE8))
+  (segment (start 169.5625 119.2375) (end 170.1375 119.2375) (width 0.1524) (layer TOP-L1) (net 113) (tstamp 51805DE7))
+  (segment (start 169.1375 119.6625) (end 169.5625 119.2375) (width 0.1524) (layer TOP-L1) (net 113) (tstamp 51805DE6))
+  (segment (start 170.7125 119.6625) (end 171.1125 119.6625) (width 0.1524) (layer TOP-L1) (net 113) (tstamp 51805DEC))
+  (segment (start 171.4125 118.0125) (end 170.9625 117.5625) (width 0.1524) (layer TOP-L1) (net 113) (tstamp 51805DD6))
+  (segment (start 171.4125 119.3625) (end 171.4125 118.0125) (width 0.1524) (layer TOP-L1) (net 113) (tstamp 51805DD5))
+  (segment (start 171.1125 119.6625) (end 171.4125 119.3625) (width 0.1524) (layer TOP-L1) (net 113) (tstamp 51805DD4))
+  (segment (start 163.7375 119.6625) (end 163.8375 119.6625) (width 0.1524) (layer TOP-L1) (net 113))
+  (segment (start 165.9875 119.6625) (end 166.1875 119.6625) (width 0.1524) (layer TOP-L1) (net 113) (tstamp 51805DC5))
+  (segment (start 165.4875 119.1625) (end 165.9875 119.6625) (width 0.1524) (layer TOP-L1) (net 113) (tstamp 51805DC4))
+  (segment (start 164.3375 119.1625) (end 165.4875 119.1625) (width 0.1524) (layer TOP-L1) (net 113) (tstamp 51805DC3))
+  (segment (start 163.8375 119.6625) (end 164.3375 119.1625) (width 0.1524) (layer TOP-L1) (net 113) (tstamp 51805DC2))
+  (segment (start 170.8125 117.4125) (end 169.3625 117.4125) (width 0.1524) (layer TOP-L1) (net 113))
+  (segment (start 164.6625 117.3625) (end 164.2125 117.8125) (width 0.1524) (layer TOP-L1) (net 113) (tstamp 51805DB7))
+  (segment (start 166.5125 117.3625) (end 164.6625 117.3625) (width 0.1524) (layer TOP-L1) (net 113) (tstamp 51805DB5))
+  (segment (start 167.4125 118.2625) (end 166.5125 117.3625) (width 0.1524) (layer TOP-L1) (net 113) (tstamp 51805DB3))
+  (segment (start 168.5125 118.2625) (end 167.4125 118.2625) (width 0.1524) (layer TOP-L1) (net 113) (tstamp 51805DB1))
+  (segment (start 169.3625 117.4125) (end 168.5125 118.2625) (width 0.1524) (layer TOP-L1) (net 113) (tstamp 51805DAF))
+  (segment (start 187.5625 121.7875) (end 187.1625 122.1875) (width 0.1524) (layer TOP-L1) (net 113))
+  (via (at 158.0625 122.1875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 113))
+  (segment (start 158.0625 122.1875) (end 158.0625 122.1625) (width 0.1524) (layer Sig1-L3) (net 113))
+  (segment (start 158.1125 122.1875) (end 158.0625 122.1875) (width 0.1524) (layer TOP-L1) (net 113) (tstamp 51805DA1))
+  (segment (start 160.1375 122.1875) (end 158.1125 122.1875) (width 0.1524) (layer TOP-L1) (net 113) (tstamp 51805D97))
+  (segment (start 160.4625 121.8625) (end 160.1375 122.1875) (width 0.1524) (layer TOP-L1) (net 113) (tstamp 51805D96))
+  (segment (start 160.4625 121.5625) (end 160.4625 121.8625) (width 0.1524) (layer TOP-L1) (net 113) (tstamp 51805D95))
+  (segment (start 164.2125 117.8125) (end 160.4625 121.5625) (width 0.1524) (layer TOP-L1) (net 113) (tstamp 51805DBA))
+  (segment (start 170.9625 117.5625) (end 170.8125 117.4125) (width 0.1524) (layer TOP-L1) (net 113) (tstamp 51805DDC))
+  (segment (start 163.2875 119.6625) (end 163.7375 119.6625) (width 0.1524) (layer TOP-L1) (net 113) (tstamp 51805D62))
+  (segment (start 166.1875 119.6625) (end 168.6375 119.6625) (width 0.1524) (layer TOP-L1) (net 113) (tstamp 51805DC8))
+  (segment (start 161.2375 121.7125) (end 163.2875 119.6625) (width 0.1524) (layer TOP-L1) (net 113) (tstamp 51805D60))
+  (segment (start 161.2375 122.0375) (end 161.2375 121.7125) (width 0.1524) (layer TOP-L1) (net 113) (tstamp 51805D5B))
+  (segment (start 161.3875 122.1875) (end 161.2375 122.0375) (width 0.1524) (layer TOP-L1) (net 113) (tstamp 51805D59))
+  (segment (start 161.5375 122.1875) (end 161.3875 122.1875) (width 0.1524) (layer TOP-L1) (net 113) (tstamp 51805D58))
+  (via (at 161.5375 122.1875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 113))
+  (segment (start 187.1625 122.1875) (end 161.5375 122.1875) (width 0.1524) (layer Sig1-L3) (net 113) (tstamp 51805D4C))
+  (via (at 187.1625 122.1875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 113))
+  (segment (start 157.8375 122.4375) (end 157.6125 122.6625) (width 0.1524) (layer Sig1-L3) (net 113))
+  (segment (start 158.0125 123.7625) (end 158.1375 123.7625) (width 0.1524) (layer Sig1-L3) (net 113) (tstamp 51805BAF))
+  (segment (start 157.6125 123.3625) (end 158.0125 123.7625) (width 0.1524) (layer Sig1-L3) (net 113) (tstamp 51805BAC))
+  (segment (start 157.6125 122.6625) (end 157.6125 123.3625) (width 0.1524) (layer Sig1-L3) (net 113) (tstamp 51805BAB))
+  (segment (start 158.0625 122.1625) (end 158.0875 122.1875) (width 0.1524) (layer Sig1-L3) (net 113) (tstamp 51805C40))
+  (segment (start 157.8375 122.4375) (end 158.0875 122.1875) (width 0.1524) (layer Sig1-L3) (net 113) (tstamp 51805BA9))
+  (segment (start 157.1125 125.4125) (end 157.1125 125.7875) (width 0.1524) (layer Sig1-L3) (net 113) (tstamp 51805BBC))
+  (segment (start 157.5375 124.9875) (end 157.1125 125.4125) (width 0.1524) (layer Sig1-L3) (net 113) (tstamp 51805BB9))
+  (segment (start 157.8875 124.9875) (end 157.5375 124.9875) (width 0.1524) (layer Sig1-L3) (net 113) (tstamp 51805EE7))
+  (segment (start 157.7625 109.9875) (end 157.4375 109.9875) (width 0.1524) (layer TOP-L1) (net 113))
+  (segment (start 157.5375 121.6375) (end 158.0625 122.1625) (width 0.1524) (layer Sig1-L3) (net 113) (tstamp 51805B84))
+  (segment (start 157.5375 112.1125) (end 157.5375 121.6375) (width 0.1524) (layer Sig1-L3) (net 113) (tstamp 51805B74))
+  (segment (start 157.0625 111.6375) (end 157.5375 112.1125) (width 0.1524) (layer Sig1-L3) (net 113) (tstamp 51805B6F))
+  (segment (start 157.0625 110.3625) (end 157.0625 111.6375) (width 0.1524) (layer Sig1-L3) (net 113) (tstamp 51805B6E))
+  (via (at 157.0625 110.3625) (size 0.4572) (layers TOP-L1 BOT-L6) (net 113))
+  (segment (start 157.4375 109.9875) (end 157.0625 110.3625) (width 0.1524) (layer TOP-L1) (net 113) (tstamp 51805B69))
+  (segment (start 157.1125 131.0125) (end 157.1125 125.7875) (width 0.1524) (layer Sig1-L3) (net 113) (tstamp 51805EDA))
+  (segment (start 153.0025 117.4175) (end 153.0025 116.7875) (width 0.1524) (layer TOP-L1) (net 114))
+  (segment (start 152.0325 116.9875) (end 151.8925 116.9875) (width 0.1524) (layer TOP-L1) (net 114) (tstamp 519A008B))
+  (segment (start 152.4825 116.5375) (end 152.0325 116.9875) (width 0.1524) (layer TOP-L1) (net 114) (tstamp 519A008A))
+  (segment (start 152.7525 116.5375) (end 152.4825 116.5375) (width 0.1524) (layer TOP-L1) (net 114) (tstamp 519A0089))
+  (segment (start 153.0025 116.7875) (end 152.7525 116.5375) (width 0.1524) (layer TOP-L1) (net 114) (tstamp 519A0088))
+  (segment (start 155.3725 116.9875) (end 154.4325 116.9875) (width 0.1524) (layer TOP-L1) (net 114))
+  (segment (start 153.0025 117.5075) (end 153.0025 117.4175) (width 0.1524) (layer TOP-L1) (net 114) (tstamp 519A0073))
+  (segment (start 153.2725 117.7775) (end 153.0025 117.5075) (width 0.1524) (layer TOP-L1) (net 114) (tstamp 519A006C))
+  (segment (start 153.6425 117.7775) (end 153.2725 117.7775) (width 0.1524) (layer TOP-L1) (net 114) (tstamp 519A0069))
+  (segment (start 154.4325 116.9875) (end 153.6425 117.7775) (width 0.1524) (layer TOP-L1) (net 114) (tstamp 519A0068))
+  (segment (start 150.8625 116.9875) (end 151.8925 116.9875) (width 0.1524) (layer TOP-L1) (net 114))
+  (via (at 150.8625 116.9875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 114))
+  (segment (start 155.3725 116.9875) (end 158.9125 116.9875) (width 0.1524) (layer TOP-L1) (net 114) (tstamp 519A0066))
+  (segment (start 187.9625 116.5875) (end 188.3625 116.1875) (width 0.1524) (layer TOP-L1) (net 114))
+  (via (at 158.9125 116.9875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 114))
+  (segment (start 187.5625 116.9875) (end 158.9125 116.9875) (width 0.1524) (layer Sig1-L3) (net 114) (tstamp 517B8A0A))
+  (segment (start 187.9625 116.5875) (end 187.5625 116.9875) (width 0.1524) (layer Sig1-L3) (net 114) (tstamp 517B8A09))
+  (via (at 187.9625 116.5875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 114))
+  (segment (start 150.7875 116.4875) (end 150.5875 116.6875) (width 0.1524) (layer Sig1-L3) (net 114))
+  (segment (start 150.5875 116.6875) (end 150.5875 116.8125) (width 0.1524) (layer Sig1-L3) (net 114) (tstamp 5180593A))
+  (segment (start 150.6875 116.9875) (end 150.8625 116.9875) (width 0.1524) (layer Sig1-L3) (net 114) (tstamp 51805947))
+  (segment (start 150.5875 116.8875) (end 150.6875 116.9875) (width 0.1524) (layer Sig1-L3) (net 114) (tstamp 5180593F))
+  (segment (start 150.5875 116.8125) (end 150.5875 116.8875) (width 0.1524) (layer Sig1-L3) (net 114) (tstamp 5180593E))
+  (segment (start 150.3125 117.7625) (end 150.3125 117.5375) (width 0.1524) (layer Sig1-L3) (net 114))
+  (segment (start 150.3125 117.5375) (end 150.8625 116.9875) (width 0.1524) (layer Sig1-L3) (net 114) (tstamp 5180592C))
+  (segment (start 147.7025 129.2775) (end 146.8825 129.2775) (width 0.1524) (layer TOP-L1) (net 114))
+  (segment (start 146.9325 130.2175) (end 147.7325 130.2175) (width 0.1524) (layer TOP-L1) (net 114) (tstamp 517F3619))
+  (segment (start 146.7225 130.0075) (end 146.9325 130.2175) (width 0.1524) (layer TOP-L1) (net 114) (tstamp 517F3618))
+  (segment (start 146.7225 129.4375) (end 146.7225 130.0075) (width 0.1524) (layer TOP-L1) (net 114) (tstamp 517F3617))
+  (segment (start 146.8825 129.2775) (end 146.7225 129.4375) (width 0.1524) (layer TOP-L1) (net 114) (tstamp 517F3616))
+  (segment (start 152.5425 128.5375) (end 151.6925 128.5375) (width 0.1524) (layer TOP-L1) (net 114))
+  (segment (start 158.0125 128.5375) (end 152.5425 128.5375) (width 0.1524) (layer TOP-L1) (net 114) (tstamp 517B2DFA))
+  (segment (start 158.5625 127.9875) (end 158.0125 128.5375) (width 0.1524) (layer TOP-L1) (net 114))
+  (segment (start 151.6925 128.5375) (end 150.0125 130.2175) (width 0.1524) (layer TOP-L1) (net 114) (tstamp 517F35D7))
+  (segment (start 150.0125 130.2175) (end 147.7325 130.2175) (width 0.1524) (layer TOP-L1) (net 114) (tstamp 517F35DA))
+  (segment (start 150.8625 126.2475) (end 150.8625 126.0775) (width 0.1524) (layer TOP-L1) (net 114) (tstamp 517F35ED))
+  (segment (start 150.4725 126.6375) (end 150.8625 126.2475) (width 0.1524) (layer TOP-L1) (net 114) (tstamp 517F35E7))
+  (segment (start 150.4725 128.8475) (end 150.4725 126.6375) (width 0.1524) (layer TOP-L1) (net 114) (tstamp 517F35E6))
+  (segment (start 150.0425 129.2775) (end 150.4725 128.8475) (width 0.1524) (layer TOP-L1) (net 114) (tstamp 517F35E3))
+  (segment (start 147.7025 129.2775) (end 150.0425 129.2775) (width 0.1524) (layer TOP-L1) (net 114) (tstamp 517F3614))
+  (segment (start 149.6025 102.1475) (end 149.6025 101.5775) (width 0.1524) (layer Sig1-L3) (net 114))
+  (segment (start 150.8625 101.5575) (end 150.8625 101.9975) (width 0.1524) (layer Sig1-L3) (net 114) (tstamp 517F35A3))
+  (segment (start 150.6425 101.3375) (end 150.8625 101.5575) (width 0.1524) (layer Sig1-L3) (net 114) (tstamp 517F35A2))
+  (segment (start 149.8425 101.3375) (end 150.6425 101.3375) (width 0.1524) (layer Sig1-L3) (net 114) (tstamp 517F35A1))
+  (segment (start 149.6025 101.5775) (end 149.8425 101.3375) (width 0.1524) (layer Sig1-L3) (net 114) (tstamp 517F35A0))
+  (segment (start 150.8625 104.7575) (end 150.8625 104.4575) (width 0.1524) (layer Sig1-L3) (net 114))
+  (segment (start 150.8625 101.9975) (end 150.8625 103.7875) (width 0.1524) (layer Sig1-L3) (net 114) (tstamp 517F35A7))
+  (segment (start 149.6025 102.5775) (end 149.6025 102.1475) (width 0.1524) (layer Sig1-L3) (net 114) (tstamp 517F357D))
+  (segment (start 150.4625 103.4375) (end 149.6025 102.5775) (width 0.1524) (layer Sig1-L3) (net 114) (tstamp 517F3578))
+  (segment (start 150.4625 104.0575) (end 150.4625 103.4375) (width 0.1524) (layer Sig1-L3) (net 114) (tstamp 517F3577))
+  (segment (start 150.8625 104.4575) (end 150.4625 104.0575) (width 0.1524) (layer Sig1-L3) (net 114) (tstamp 517F3576))
+  (segment (start 150.8625 125.7875) (end 150.8625 119.1125) (width 0.1524) (layer Sig1-L3) (net 114))
+  (via (at 150.8625 125.7875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 114))
+  (segment (start 150.8625 126.0775) (end 150.8625 125.7875) (width 0.1524) (layer TOP-L1) (net 114) (tstamp 517F35F0))
+  (segment (start 150.3125 118.5625) (end 150.3125 117.7625) (width 0.1524) (layer Sig1-L3) (net 114) (tstamp 517B8AE4))
+  (segment (start 150.8625 119.1125) (end 150.3125 118.5625) (width 0.1524) (layer Sig1-L3) (net 114) (tstamp 517B8AE0))
+  (segment (start 150.5875 116.6875) (end 150.7875 116.4875) (width 0.1524) (layer Sig1-L3) (net 114) (tstamp 517B8A83))
+  (segment (start 150.7875 116.4875) (end 150.8625 116.4125) (width 0.1524) (layer Sig1-L3) (net 114) (tstamp 51805938))
+  (segment (start 150.8625 116.4125) (end 150.8625 104.7575) (width 0.1524) (layer Sig1-L3) (net 114) (tstamp 517B8A8D))
+  (segment (start 157.9625 106.5875) (end 158.5625 105.9875) (width 0.1524) (layer TOP-L1) (net 114))
+  (via (at 150.8625 103.7875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 114))
+  (segment (start 150.8625 105.3375) (end 150.8625 103.7875) (width 0.1524) (layer TOP-L1) (net 114) (tstamp 517B2B71))
+  (segment (start 152.1125 106.5875) (end 150.8625 105.3375) (width 0.1524) (layer TOP-L1) (net 114) (tstamp 517B2B6A))
+  (segment (start 157.9625 106.5875) (end 152.1125 106.5875) (width 0.1524) (layer TOP-L1) (net 114) (tstamp 517B2B64))
+  (segment (start 145.6125 119.6125) (end 145.6125 119.5375) (width 0.1524) (layer TOP-L1) (net 115))
+  (segment (start 145.8125 119.3375) (end 146.1375 119.3375) (width 0.1524) (layer TOP-L1) (net 115) (tstamp 5191BF23))
+  (segment (start 145.6125 119.5375) (end 145.8125 119.3375) (width 0.1524) (layer TOP-L1) (net 115) (tstamp 5191BF22))
+  (segment (start 147.1375 119.3375) (end 146.1375 119.3375) (width 0.1524) (layer TOP-L1) (net 115))
+  (segment (start 145.9625 119.1625) (end 145.9625 118.6875) (width 0.1524) (layer Sig1-L3) (net 115) (tstamp 5191BF16))
+  (via (at 146.1375 119.3375) (size 0.4572) (layers TOP-L1 BOT-L6) (net 115))
+  (segment (start 146.1375 119.3375) (end 145.9625 119.1625) (width 0.1524) (layer Sig1-L3) (net 115) (tstamp 5191BF15))
+  (segment (start 145.4125 123.8625) (end 145.4125 125.0375) (width 0.1524) (layer TOP-L1) (net 115))
+  (segment (start 146.3875 125.3125) (end 146.5125 125.4375) (width 0.1524) (layer TOP-L1) (net 115) (tstamp 517F29F7))
+  (segment (start 145.6875 125.3125) (end 146.3875 125.3125) (width 0.1524) (layer TOP-L1) (net 115) (tstamp 517F29F6))
+  (segment (start 145.4125 125.0375) (end 145.6875 125.3125) (width 0.1524) (layer TOP-L1) (net 115) (tstamp 517F29F5))
+  (segment (start 145.4125 121.1875) (end 145.4125 120.8625) (width 0.1524) (layer TOP-L1) (net 115))
+  (segment (start 145.5875 120.6875) (end 145.6625 120.6875) (width 0.1524) (layer TOP-L1) (net 115) (tstamp 517F29E6))
+  (segment (start 145.4125 120.8625) (end 145.5875 120.6875) (width 0.1524) (layer TOP-L1) (net 115) (tstamp 517F29E5))
+  (segment (start 145.6625 120.6875) (end 145.7625 120.6875) (width 0.1524) (layer TOP-L1) (net 115) (tstamp 517F29E9))
+  (segment (start 145.6125 119.7375) (end 145.6125 119.6125) (width 0.1524) (layer TOP-L1) (net 115) (tstamp 517F29D8))
+  (segment (start 145.9875 120.1125) (end 145.6125 119.7375) (width 0.1524) (layer TOP-L1) (net 115) (tstamp 517F29D7))
+  (segment (start 145.9875 120.4625) (end 145.9875 120.1125) (width 0.1524) (layer TOP-L1) (net 115) (tstamp 517F29D6))
+  (segment (start 145.7625 120.6875) (end 145.9875 120.4625) (width 0.1524) (layer TOP-L1) (net 115) (tstamp 517F29CE))
+  (segment (start 147.6625 118.9875) (end 147.6375 118.9875) (width 0.1524) (layer TOP-L1) (net 115))
+  (segment (start 147.2875 119.3375) (end 147.1375 119.3375) (width 0.1524) (layer TOP-L1) (net 115) (tstamp 517F29AE))
+  (segment (start 147.6375 118.9875) (end 147.2875 119.3375) (width 0.1524) (layer TOP-L1) (net 115) (tstamp 517F29AD))
+  (segment (start 149.8125 118.9875) (end 149.4875 118.9875) (width 0.1524) (layer TOP-L1) (net 115))
+  (segment (start 148.0625 118.9875) (end 147.6625 118.9875) (width 0.1524) (layer TOP-L1) (net 115) (tstamp 517F299E))
+  (segment (start 148.562598 119.487598) (end 148.0625 118.9875) (width 0.1524) (layer TOP-L1) (net 115) (tstamp 517F299D))
+  (segment (start 148.987402 119.487598) (end 148.562598 119.487598) (width 0.1524) (layer TOP-L1) (net 115) (tstamp 517F299C))
+  (segment (start 149.4875 118.9875) (end 148.987402 119.487598) (width 0.1524) (layer TOP-L1) (net 115) (tstamp 517F299B))
+  (segment (start 145.4125 123.8625) (end 145.4125 121.1875) (width 0.1524) (layer TOP-L1) (net 115) (tstamp 517F29F3))
+  (segment (start 146.8625 125.7875) (end 146.5125 125.4375) (width 0.1524) (layer TOP-L1) (net 115))
+  (segment (start 162.5625 127.9875) (end 162.1375 128.4125) (width 0.1524) (layer TOP-L1) (net 115))
+  (via (at 146.8625 125.7875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 115))
+  (segment (start 146.8625 127.5375) (end 146.8625 125.7875) (width 0.1524) (layer Sig2-L4) (net 115) (tstamp 517B337B))
+  (segment (start 149.0625 129.7375) (end 146.8625 127.5375) (width 0.1524) (layer Sig2-L4) (net 115) (tstamp 517B3376))
+  (segment (start 160.8125 129.7375) (end 149.0625 129.7375) (width 0.1524) (layer Sig2-L4) (net 115) (tstamp 517B3373))
+  (segment (start 162.1375 128.4125) (end 160.8125 129.7375) (width 0.1524) (layer Sig2-L4) (net 115) (tstamp 517B3372))
+  (via (at 162.1375 128.4125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 115))
+  (segment (start 145.9625 118.9875) (end 145.9625 118.6875) (width 0.1524) (layer Sig1-L3) (net 115))
+  (segment (start 145.9625 118.6875) (end 145.9625 108.4875) (width 0.1524) (layer Sig1-L3) (net 115) (tstamp 5191BF19))
+  (segment (start 145.9625 108.4875) (end 146.612794 107.837206) (width 0.1524) (layer Sig2-L4) (net 115))
+  (via (at 145.9625 108.4875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 115))
+  (segment (start 162.1625 106.3875) (end 162.5625 105.9875) (width 0.1524) (layer TOP-L1) (net 115) (tstamp 517B3D90))
+  (via (at 162.1625 106.3875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 115))
+  (segment (start 160.712794 107.837206) (end 162.1625 106.3875) (width 0.1524) (layer Sig2-L4) (net 115) (tstamp 517B3D81))
+  (segment (start 146.612794 107.837206) (end 160.712794 107.837206) (width 0.1524) (layer Sig2-L4) (net 115) (tstamp 517B3D7C))
+  (segment (start 158.0625 118.9875) (end 156.4625 118.9875) (width 0.1524) (layer TOP-L1) (net 115) (tstamp 517B3C72))
+  (segment (start 156.4625 118.9875) (end 149.8125 118.9875) (width 0.1524) (layer TOP-L1) (net 115) (tstamp 517B3C73))
+  (segment (start 187.5625 118.5875) (end 187.1625 118.9875) (width 0.1524) (layer TOP-L1) (net 115))
+  (segment (start 187.1625 118.9875) (end 158.0625 118.9875) (width 0.1524) (layer Sig1-L3) (net 115) (tstamp 517B3659))
+  (via (at 187.1625 118.9875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 115))
+  (via (at 158.0625 118.9875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 115))
+  (segment (start 184.6625 119.487598) (end 185.862402 119.487598) (width 0.1524) (layer TOP-L1) (net 116))
+  (segment (start 185.862402 119.487598) (end 185.9625 119.3875) (width 0.1524) (layer TOP-L1) (net 116) (tstamp 5199FA9E))
+  (segment (start 176.3325 115.9375) (end 176.3325 115.79208) (width 0.1524) (layer TOP-L1) (net 116))
+  (segment (start 176.3325 115.79208) (end 176.5625 115.56208) (width 0.1524) (layer TOP-L1) (net 116) (tstamp 51835E88))
+  (segment (start 176.4425 116.393374) (end 176.3325 116.283374) (width 0.1524) (layer TOP-L1) (net 116))
+  (segment (start 176.3325 116.283374) (end 176.3325 115.9375) (width 0.1524) (layer TOP-L1) (net 116) (tstamp 51825070))
+  (segment (start 176.3325 115.9375) (end 176.3325 115.7775) (width 0.1524) (layer TOP-L1) (net 116) (tstamp 51835E86))
+  (segment (start 179.536724 119.487598) (end 176.5375 116.488374) (width 0.1524) (layer TOP-L1) (net 116) (tstamp 51823886))
+  (segment (start 176.5375 116.488374) (end 176.4425 116.393374) (width 0.1524) (layer TOP-L1) (net 116) (tstamp 51824D0D))
+  (segment (start 184.6625 119.487598) (end 179.536724 119.487598) (width 0.1524) (layer TOP-L1) (net 116) (tstamp 5199FA9C))
+  (segment (start 175.8875 115.9875) (end 175.8875 115.78708) (width 0.1524) (layer TOP-L1) (net 117))
+  (segment (start 175.8875 115.78708) (end 175.6625 115.56208) (width 0.1524) (layer TOP-L1) (net 117) (tstamp 51835E82))
+  (segment (start 176.0625 116.4375) (end 175.8875 116.2625) (width 0.1524) (layer TOP-L1) (net 117))
+  (segment (start 175.8875 116.2625) (end 175.8875 115.9875) (width 0.1524) (layer TOP-L1) (net 117) (tstamp 51823173))
+  (segment (start 175.8875 115.9875) (end 175.8875 115.7625) (width 0.1524) (layer TOP-L1) (net 117) (tstamp 51835E80))
+  (segment (start 186.7625 119.3875) (end 186.3625 119.7875) (width 0.1524) (layer TOP-L1) (net 117))
+  (segment (start 179.4125 119.7875) (end 176.0625 116.4375) (width 0.1524) (layer TOP-L1) (net 117) (tstamp 518224EB))
+  (segment (start 186.3625 119.7875) (end 179.4125 119.7875) (width 0.1524) (layer TOP-L1) (net 117) (tstamp 518224E8))
+  (segment (start 183.1875 129.7125) (end 183.2625 129.7125) (width 0.1524) (layer TOP-L1) (net 118))
+  (segment (start 183.6125 130.0625) (end 183.6125 130.2875) (width 0.1524) (layer TOP-L1) (net 118) (tstamp 5191CA37))
+  (segment (start 183.2625 129.7125) (end 183.6125 130.0625) (width 0.1524) (layer TOP-L1) (net 118) (tstamp 5191CA36))
+  (segment (start 182.7875 129.7125) (end 183.1875 129.7125) (width 0.1524) (layer TOP-L1) (net 118))
+  (segment (start 183.6125 130.2875) (end 183.6125 130.3125) (width 0.1524) (layer TOP-L1) (net 118) (tstamp 5191CA3D))
+  (segment (start 182.2875 129.7125) (end 181.3375 129.7125) (width 0.1524) (layer TOP-L1) (net 118))
+  (segment (start 177.4125 129.3375) (end 177.1125 129.3375) (width 0.1524) (layer TOP-L1) (net 118) (tstamp 5191CA0A))
+  (segment (start 178.9375 130.8625) (end 177.4125 129.3375) (width 0.1524) (layer TOP-L1) (net 118) (tstamp 5191CA05))
+  (segment (start 180.1875 130.8625) (end 178.9375 130.8625) (width 0.1524) (layer TOP-L1) (net 118) (tstamp 5191CA03))
+  (segment (start 181.3375 129.7125) (end 180.1875 130.8625) (width 0.1524) (layer TOP-L1) (net 118) (tstamp 5191C9FD))
+  (segment (start 168.4875 129.8375) (end 167.0625 129.8375) (width 0.1524) (layer TOP-L1) (net 118))
+  (segment (start 166.5625 130.3375) (end 166.5625 131.1875) (width 0.1524) (layer TOP-L1) (net 118) (tstamp 5191C9E6))
+  (segment (start 167.0625 129.8375) (end 166.5625 130.3375) (width 0.1524) (layer TOP-L1) (net 118) (tstamp 5191C9DC))
+  (segment (start 176.1625 129.3375) (end 177.1125 129.3375) (width 0.1524) (layer TOP-L1) (net 118) (tstamp 517C8E4B))
+  (segment (start 175.6625 129.8375) (end 176.1625 129.3375) (width 0.1524) (layer TOP-L1) (net 118) (tstamp 517C8E46))
+  (segment (start 168.4875 129.8375) (end 175.6625 129.8375) (width 0.1524) (layer TOP-L1) (net 118) (tstamp 5191C9DA))
+  (segment (start 185.4375 132.7625) (end 184.5875 132.7625) (width 0.1524) (layer Sig1-L3) (net 118))
+  (segment (start 183.8125 131.9875) (end 183.8125 131.6625) (width 0.1524) (layer Sig1-L3) (net 118) (tstamp 5181E77A))
+  (segment (start 184.5875 132.7625) (end 183.8125 131.9875) (width 0.1524) (layer Sig1-L3) (net 118) (tstamp 5181E778))
+  (segment (start 183.8125 131.6625) (end 183.8125 131.4375) (width 0.1524) (layer Sig1-L3) (net 118) (tstamp 5181E77D))
+  (segment (start 186.4375 132.2875) (end 185.9625 132.7625) (width 0.1524) (layer Sig1-L3) (net 118))
+  (segment (start 185.9625 132.7625) (end 185.4375 132.7625) (width 0.1524) (layer Sig1-L3) (net 118) (tstamp 5181E757))
+  (segment (start 186.9875 131.7375) (end 186.4375 132.2875) (width 0.1524) (layer Sig1-L3) (net 118))
+  (segment (start 189.9625 127.2875) (end 189.9625 128.7625) (width 0.1524) (layer Sig1-L3) (net 118))
+  (segment (start 183.8125 131.4375) (end 183.8125 131.0875) (width 0.1524) (layer Sig1-L3) (net 118) (tstamp 5181E75C))
+  (segment (start 189.9625 128.7625) (end 186.9875 131.7375) (width 0.1524) (layer Sig1-L3) (net 118) (tstamp 5181E731))
+  (segment (start 183.8125 130.5125) (end 183.7375 130.4375) (width 0.1524) (layer Sig1-L3) (net 118) (tstamp 5181E723))
+  (segment (start 183.8125 131.0875) (end 183.8125 130.5125) (width 0.1524) (layer Sig1-L3) (net 118) (tstamp 5181E73E))
+  (segment (start 189.9625 125.0125) (end 189.9625 127.2875) (width 0.1524) (layer Sig1-L3) (net 118))
+  (segment (start 182.7875 129.7125) (end 182.2875 129.7125) (width 0.1524) (layer TOP-L1) (net 118) (tstamp 5191CA1B))
+  (via (at 183.6125 130.3125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 118))
+  (segment (start 183.7375 130.4375) (end 183.6125 130.3125) (width 0.1524) (layer Sig1-L3) (net 118) (tstamp 5181E727))
+  (segment (start 189.9625 125.0125) (end 189.9625 123.8875) (width 0.1524) (layer Sig1-L3) (net 118) (tstamp 5181E4DA))
+  (segment (start 189.9625 123.8875) (end 189.9625 123.3875) (width 0.1524) (layer TOP-L1) (net 118) (tstamp 517C8E88))
+  (via (at 189.9625 123.8875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 118))
+  (segment (start 167.3625 127.9875) (end 166.9625 127.5875) (width 0.1524) (layer TOP-L1) (net 119))
+  (segment (start 165.9425 123.9125) (end 166.1575 123.9125) (width 0.1524) (layer Sig1-L3) (net 119))
+  (segment (start 166.9625 124.7175) (end 166.9625 124.8975) (width 0.1524) (layer Sig1-L3) (net 119) (tstamp 517CF4CC))
+  (via (at 166.9625 125.2625) (size 0.4572) (layers TOP-L1 BOT-L6) (net 119))
+  (segment (start 166.9625 125.2625) (end 166.9625 124.8975) (width 0.1524) (layer Sig1-L3) (net 119) (tstamp 517C80E1))
+  (segment (start 166.9625 127.5875) (end 166.9625 125.2625) (width 0.1524) (layer TOP-L1) (net 119) (tstamp 517C80D7))
+  (segment (start 166.1575 123.9125) (end 166.9625 124.7175) (width 0.1524) (layer Sig1-L3) (net 119) (tstamp 517CF4CB))
+  (segment (start 162.0625 122.9675) (end 162.0625 123.1575) (width 0.1524) (layer TOP-L1) (net 119))
+  (segment (start 165.1825 123.9175) (end 165.1875 123.9125) (width 0.1524) (layer TOP-L1) (net 119) (tstamp 517CF4B2))
+  (segment (start 162.8225 123.9175) (end 165.1825 123.9175) (width 0.1524) (layer TOP-L1) (net 119) (tstamp 517CF4A9))
+  (segment (start 162.0625 123.1575) (end 162.8225 123.9175) (width 0.1524) (layer TOP-L1) (net 119) (tstamp 517CF4A8))
+  (segment (start 163.6525 120.1875) (end 163.5325 120.1875) (width 0.1524) (layer TOP-L1) (net 119))
+  (segment (start 162.0625 121.6575) (end 162.0625 121.7375) (width 0.1524) (layer TOP-L1) (net 119) (tstamp 517CF49C))
+  (segment (start 163.5325 120.1875) (end 162.0625 121.6575) (width 0.1524) (layer TOP-L1) (net 119) (tstamp 517CF497))
+  (segment (start 162.0625 121.7375) (end 162.0625 122.8125) (width 0.1524) (layer TOP-L1) (net 119) (tstamp 517CF49F))
+  (via (at 165.1875 123.9125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 119))
+  (segment (start 165.9425 123.9125) (end 165.1875 123.9125) (width 0.1524) (layer Sig1-L3) (net 119) (tstamp 517CF4C9))
+  (segment (start 162.0625 122.8125) (end 162.0625 122.9675) (width 0.1524) (layer TOP-L1) (net 119))
+  (segment (start 164.1625 120.1875) (end 163.6525 120.1875) (width 0.1524) (layer TOP-L1) (net 119))
+  (segment (start 165.7125 120.1875) (end 164.1625 120.1875) (width 0.1524) (layer TOP-L1) (net 119))
+  (segment (start 183.5625 120.1875) (end 165.7125 120.1875) (width 0.1524) (layer TOP-L1) (net 119))
+  (segment (start 183.5625 120.1875) (end 185.9625 120.1875) (width 0.1524) (layer TOP-L1) (net 119) (tstamp 517BB558))
+  (segment (start 179.0875 110.9375) (end 179.0875 111.6375) (width 0.1524) (layer Sig1-L3) (net 120))
+  (segment (start 179.1875 111.7375) (end 179.1875 112.0625) (width 0.1524) (layer TOP-L1) (net 120) (tstamp 51907BEC))
+  (via (at 179.1875 111.7375) (size 0.4572) (layers TOP-L1 BOT-L6) (net 120))
+  (segment (start 179.0875 111.6375) (end 179.1875 111.7375) (width 0.1524) (layer Sig1-L3) (net 120) (tstamp 51907BEA))
+  (segment (start 175.4375 108.9125) (end 175.4375 108.5125) (width 0.1524) (layer Sig1-L3) (net 120))
+  (segment (start 175.6875 108.2625) (end 176.0375 108.2625) (width 0.1524) (layer Sig1-L3) (net 120) (tstamp 517F1290))
+  (segment (start 175.4375 108.5125) (end 175.6875 108.2625) (width 0.1524) (layer Sig1-L3) (net 120) (tstamp 517F128F))
+  (segment (start 175.1125 109.4625) (end 175.4375 109.1375) (width 0.1524) (layer Sig1-L3) (net 120))
+  (segment (start 176.3125 108.2625) (end 176.4625 108.1125) (width 0.1524) (layer Sig1-L3) (net 120) (tstamp 517F1286))
+  (segment (start 176.0375 108.2625) (end 176.3125 108.2625) (width 0.1524) (layer Sig1-L3) (net 120) (tstamp 517F1293))
+  (segment (start 175.4375 109.1375) (end 175.4375 108.9125) (width 0.1524) (layer Sig1-L3) (net 120) (tstamp 517F1280))
+  (segment (start 167.7625 109.5875) (end 168.5625 109.5875) (width 0.1524) (layer Sig1-L3) (net 120))
+  (segment (start 177.5375 107.0375) (end 177.5375 105.9625) (width 0.1524) (layer Sig1-L3) (net 120) (tstamp 517F125E))
+  (segment (start 174.1875 110.3875) (end 175.1125 109.4625) (width 0.1524) (layer Sig1-L3) (net 120) (tstamp 517F1253))
+  (segment (start 176.4625 108.1125) (end 177.5375 107.0375) (width 0.1524) (layer Sig1-L3) (net 120) (tstamp 517F1289))
+  (segment (start 169.3625 110.3875) (end 174.1875 110.3875) (width 0.1524) (layer Sig1-L3) (net 120) (tstamp 517F1251))
+  (segment (start 168.5625 109.5875) (end 169.3625 110.3875) (width 0.1524) (layer Sig1-L3) (net 120) (tstamp 517F124D))
+  (segment (start 178.1625 105.0375) (end 177.8625 105.0375) (width 0.1524) (layer Sig1-L3) (net 120))
+  (segment (start 186.3625 117.3875) (end 182.7875 117.3875) (width 0.1524) (layer TOP-L1) (net 120) (tstamp 517DDE9B))
+  (segment (start 186.7625 116.9875) (end 186.3625 117.3875) (width 0.1524) (layer TOP-L1) (net 120))
+  (segment (start 179.1875 113.7875) (end 179.1875 112.0625) (width 0.1524) (layer TOP-L1) (net 120) (tstamp 517DDEA1))
+  (segment (start 182.7875 117.3875) (end 179.1875 113.7875) (width 0.1524) (layer TOP-L1) (net 120) (tstamp 517DDE9D))
+  (segment (start 179.0875 110.9375) (end 179.0875 110.4125) (width 0.1524) (layer Sig1-L3) (net 120) (tstamp 51907BE8))
+  (segment (start 179.0875 110.4125) (end 179.5375 109.9625) (width 0.1524) (layer Sig1-L3) (net 120) (tstamp 517DDEAF))
+  (segment (start 179.5375 109.9625) (end 179.5375 105.8375) (width 0.1524) (layer Sig1-L3) (net 120) (tstamp 517DDEB0))
+  (segment (start 178.7375 105.0375) (end 178.1625 105.0375) (width 0.1524) (layer Sig1-L3) (net 120) (tstamp 517DDF95))
+  (segment (start 177.5375 105.6125) (end 177.5375 105.9625) (width 0.1524) (layer Sig1-L3) (net 120) (tstamp 517DE5E6))
+  (segment (start 179.5375 105.8375) (end 179.5375 105.6875) (width 0.1524) (layer Sig1-L3) (net 120))
+  (segment (start 178.7625 105.0375) (end 178.7375 105.0375) (width 0.1524) (layer Sig1-L3) (net 120) (tstamp 517DE5DA))
+  (segment (start 179.5375 105.4375) (end 179.1375 105.0375) (width 0.1524) (layer Sig1-L3) (net 120) (tstamp 517DE5D6))
+  (segment (start 179.1375 105.0375) (end 178.7625 105.0375) (width 0.1524) (layer Sig1-L3) (net 120) (tstamp 517DE5D7))
+  (segment (start 179.5375 105.6875) (end 179.5375 105.4375) (width 0.1524) (layer Sig1-L3) (net 120))
+  (segment (start 177.8625 105.0375) (end 177.5375 105.3625) (width 0.1524) (layer Sig1-L3) (net 120) (tstamp 517DE5E2))
+  (segment (start 177.5375 105.3625) (end 177.5375 105.6125) (width 0.1524) (layer Sig1-L3) (net 120) (tstamp 517DE5E3))
+  (segment (start 167.7625 109.5875) (end 166.9625 109.5875) (width 0.1524) (layer Sig1-L3) (net 120) (tstamp 517F124B))
+  (segment (start 166.9625 109.5875) (end 166.5625 109.1875) (width 0.1524) (layer TOP-L1) (net 120) (tstamp 517DDEE1))
+  (via (at 166.9625 109.5875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 120))
+  (segment (start 172.3375 106.0625) (end 172.3375 106.4875) (width 0.1524) (layer Sig1-L3) (net 121))
+  (segment (start 172.1125 106.7125) (end 171.9625 106.7125) (width 0.1524) (layer Sig1-L3) (net 121) (tstamp 517F1484))
+  (segment (start 172.3375 106.4875) (end 172.1125 106.7125) (width 0.1524) (layer Sig1-L3) (net 121) (tstamp 517F1481))
+  (segment (start 172.3375 101.9125) (end 172.3375 102.0875) (width 0.1524) (layer Sig1-L3) (net 121))
+  (segment (start 172.3375 103.4625) (end 172.3375 103.5625) (width 0.1524) (layer Sig1-L3) (net 121) (tstamp 517F1459))
+  (segment (start 172.7125 103.0875) (end 172.3375 103.4625) (width 0.1524) (layer Sig1-L3) (net 121) (tstamp 517F1456))
+  (segment (start 172.7125 102.4625) (end 172.7125 103.0875) (width 0.1524) (layer Sig1-L3) (net 121) (tstamp 517F1455))
+  (segment (start 172.3375 102.0875) (end 172.7125 102.4625) (width 0.1524) (layer Sig1-L3) (net 121) (tstamp 517F1454))
+  (segment (start 171.9375 100.7875) (end 171.9375 101.3125) (width 0.1524) (layer Sig1-L3) (net 121) (tstamp 517F13EE))
+  (segment (start 172.8875 100.7375) (end 172.6625 100.5125) (width 0.1524) (layer Sig1-L3) (net 121) (tstamp 517F13EA))
+  (segment (start 172.6625 100.5125) (end 172.2125 100.5125) (width 0.1524) (layer Sig1-L3) (net 121) (tstamp 517F13EB))
+  (segment (start 172.2125 100.5125) (end 171.9375 100.7875) (width 0.1524) (layer Sig1-L3) (net 121) (tstamp 517F13EC))
+  (segment (start 185.9625 110.5875) (end 185.3875 110.5875) (width 0.1524) (layer TOP-L1) (net 121))
+  (segment (start 168.212402 106.837402) (end 167.3625 105.9875) (width 0.1524) (layer TOP-L1) (net 121) (tstamp 517F1403))
+  (segment (start 172.3375 101.7125) (end 172.3375 101.9125) (width 0.1524) (layer Sig1-L3) (net 121) (tstamp 517F13F0))
+  (segment (start 172.3375 103.5625) (end 172.3375 106.0625) (width 0.1524) (layer Sig1-L3) (net 121) (tstamp 517F145C))
+  (via (at 171.9625 106.7125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 121))
+  (segment (start 171.9625 106.7125) (end 171.837598 106.837402) (width 0.1524) (layer TOP-L1) (net 121) (tstamp 517F1401))
+  (segment (start 171.837598 106.837402) (end 168.212402 106.837402) (width 0.1524) (layer TOP-L1) (net 121) (tstamp 517F1402))
+  (segment (start 171.9375 101.3125) (end 172.3375 101.7125) (width 0.1524) (layer Sig1-L3) (net 121) (tstamp 517F13EF))
+  (segment (start 172.8875 101.0875) (end 172.8875 100.7375) (width 0.1524) (layer Sig1-L3) (net 121) (tstamp 517F13E6))
+  (segment (start 173.2125 101.4125) (end 172.8875 101.0875) (width 0.1524) (layer Sig1-L3) (net 121) (tstamp 517F13E2))
+  (segment (start 173.4375 101.4125) (end 173.2125 101.4125) (width 0.1524) (layer Sig1-L3) (net 121) (tstamp 517F13E1))
+  (via (at 173.4375 101.4125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 121))
+  (segment (start 173.5375 101.3125) (end 173.4375 101.4125) (width 0.1524) (layer TOP-L1) (net 121) (tstamp 517F13D7))
+  (segment (start 184.2625 101.3125) (end 173.5375 101.3125) (width 0.1524) (layer TOP-L1) (net 121) (tstamp 517F13D6))
+  (segment (start 184.7875 101.8375) (end 184.2625 101.3125) (width 0.1524) (layer TOP-L1) (net 121) (tstamp 517F13D3))
+  (segment (start 184.7875 109.9875) (end 184.7875 101.8375) (width 0.1524) (layer TOP-L1) (net 121) (tstamp 517F13D1))
+  (segment (start 185.3875 110.5875) (end 184.7875 109.9875) (width 0.1524) (layer TOP-L1) (net 121) (tstamp 517F13CF))
+  (segment (start 184.637598 127.7675) (end 184.637598 127.612598) (width 0.1524) (layer Sig1-L3) (net 122))
+  (segment (start 184.3825 127.3575) (end 184.1325 127.3575) (width 0.1524) (layer Sig1-L3) (net 122) (tstamp 51908AAD))
+  (segment (start 184.637598 127.612598) (end 184.3825 127.3575) (width 0.1524) (layer Sig1-L3) (net 122) (tstamp 51908AAC))
+  (segment (start 182.9525 127.3875) (end 183.5025 127.3875) (width 0.1524) (layer TOP-L1) (net 122))
+  (segment (start 165.7625 128.386626) (end 166.538276 129.162402) (width 0.1524) (layer TOP-L1) (net 122) (tstamp 5181ECF9))
+  (segment (start 166.538276 129.162402) (end 175.037598 129.162402) (width 0.1524) (layer TOP-L1) (net 122) (tstamp 5181ECFA))
+  (segment (start 175.037598 129.162402) (end 176.0375 128.1625) (width 0.1524) (layer TOP-L1) (net 122) (tstamp 5181ECFC))
+  (segment (start 176.0375 128.1625) (end 181.9375 128.1625) (width 0.1524) (layer TOP-L1) (net 122) (tstamp 5181ED00))
+  (segment (start 181.9375 128.1625) (end 182.7125 127.3875) (width 0.1524) (layer TOP-L1) (net 122) (tstamp 5181ED04))
+  (segment (start 182.7125 127.3875) (end 182.9525 127.3875) (width 0.1524) (layer TOP-L1) (net 122) (tstamp 5181ED06))
+  (segment (start 165.7625 127.9875) (end 165.7625 128.386626) (width 0.1524) (layer TOP-L1) (net 122))
+  (segment (start 183.5025 127.3875) (end 183.6725 127.5575) (width 0.1524) (layer TOP-L1) (net 122) (tstamp 51908AA0))
+  (via (at 183.6725 127.5575) (size 0.4572) (layers TOP-L1 BOT-L6) (net 122))
+  (segment (start 183.6725 127.5575) (end 183.8625 127.5575) (width 0.1524) (layer Sig1-L3) (net 122) (tstamp 51908AA2))
+  (segment (start 183.8625 127.5575) (end 184.0625 127.3575) (width 0.1524) (layer Sig1-L3) (net 122) (tstamp 51908AA3))
+  (segment (start 184.0625 127.3575) (end 184.1325 127.3575) (width 0.1524) (layer Sig1-L3) (net 122) (tstamp 51908AA4))
+  (segment (start 185.2875 130.586626) (end 185.663276 130.962402) (width 0.1524) (layer Sig1-L3) (net 122))
+  (segment (start 186.711724 130.962402) (end 187.162063 130.512063) (width 0.1524) (layer Sig1-L3) (net 122) (tstamp 5181EE0C))
+  (segment (start 185.663276 130.962402) (end 186.711724 130.962402) (width 0.1524) (layer Sig1-L3) (net 122) (tstamp 5181EE0B))
+  (segment (start 184.637598 129.4625) (end 184.637598 129.936724) (width 0.1524) (layer Sig1-L3) (net 122))
+  (segment (start 184.637598 129.936724) (end 185.2875 130.586626) (width 0.1524) (layer Sig1-L3) (net 122) (tstamp 5181EDC3))
+  (segment (start 189.4625 128.211626) (end 189.4625 124.1625) (width 0.1524) (layer Sig1-L3) (net 122) (tstamp 5181ED21))
+  (segment (start 187.162063 130.512063) (end 189.4625 128.211626) (width 0.1524) (layer Sig1-L3) (net 122) (tstamp 5181EE0F))
+  (segment (start 184.637598 127.7675) (end 184.637598 129.4625) (width 0.1524) (layer Sig1-L3) (net 122) (tstamp 51908AAA))
+  (segment (start 189.5625 122.9875) (end 189.9625 122.5875) (width 0.1524) (layer TOP-L1) (net 122) (tstamp 5181ED36))
+  (via (at 189.5625 122.9875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 122))
+  (segment (start 189.5625 124.0625) (end 189.5625 122.9875) (width 0.1524) (layer Sig1-L3) (net 122) (tstamp 5181ED2E))
+  (segment (start 189.4625 124.1625) (end 189.5625 124.0625) (width 0.1524) (layer Sig1-L3) (net 122) (tstamp 5181ED28))
+  (segment (start 185.5625 130.4375) (end 185.7875 130.6625) (width 0.1524) (layer Sig1-L3) (net 123))
+  (segment (start 186.5875 130.6625) (end 186.7625 130.4875) (width 0.1524) (layer Sig1-L3) (net 123) (tstamp 5181EE02))
+  (segment (start 185.7875 130.6625) (end 186.5875 130.6625) (width 0.1524) (layer Sig1-L3) (net 123) (tstamp 5181EE01))
+  (segment (start 189.1625 124.6375) (end 189.1625 124.5125) (width 0.1524) (layer Sig1-L3) (net 123))
+  (segment (start 188.9125 124.1375) (end 189.1625 123.8875) (width 0.1524) (layer Sig1-L3) (net 123) (tstamp 5181EDEE))
+  (segment (start 188.9125 124.2625) (end 188.9125 124.1375) (width 0.1524) (layer Sig1-L3) (net 123) (tstamp 5181EDED))
+  (segment (start 189.1625 124.5125) (end 188.9125 124.2625) (width 0.1524) (layer Sig1-L3) (net 123) (tstamp 5181EDEC))
+  (segment (start 184.9375 129.5875) (end 184.9375 129.8125) (width 0.1524) (layer Sig1-L3) (net 123))
+  (segment (start 184.9375 129.8125) (end 185.5625 130.4375) (width 0.1524) (layer Sig1-L3) (net 123) (tstamp 5181EDBB))
+  (segment (start 189.1625 128.0875) (end 189.1625 124.6375) (width 0.1524) (layer Sig1-L3) (net 123) (tstamp 5181EC87))
+  (segment (start 184.9375 129.4875) (end 184.9375 129.5875) (width 0.1524) (layer Sig1-L3) (net 123))
+  (segment (start 164.9625 127.9875) (end 165.3625 127.5875) (width 0.1524) (layer TOP-L1) (net 123))
+  (segment (start 186.7625 130.4875) (end 189.1625 128.0875) (width 0.1524) (layer Sig1-L3) (net 123) (tstamp 5181EE05))
+  (segment (start 184.9375 127.4875) (end 184.9375 129.4875) (width 0.1524) (layer Sig1-L3) (net 123) (tstamp 5181EC63))
+  (segment (start 184.5125 127.0625) (end 184.9375 127.4875) (width 0.1524) (layer Sig1-L3) (net 123) (tstamp 5181EC5B))
+  (segment (start 184.0375 127.0625) (end 184.5125 127.0625) (width 0.1524) (layer Sig1-L3) (net 123) (tstamp 5181EC55))
+  (segment (start 183.8875 126.9125) (end 184.0375 127.0625) (width 0.1524) (layer Sig1-L3) (net 123) (tstamp 5181EC52))
+  (segment (start 183.6875 126.9125) (end 183.8875 126.9125) (width 0.1524) (layer Sig1-L3) (net 123) (tstamp 5181EC51))
+  (via (at 183.6875 126.9125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 123))
+  (segment (start 183.5875 126.9125) (end 183.6875 126.9125) (width 0.1524) (layer TOP-L1) (net 123) (tstamp 5181EC4D))
+  (segment (start 183.4125 127.0875) (end 183.5875 126.9125) (width 0.1524) (layer TOP-L1) (net 123) (tstamp 5181EC4C))
+  (segment (start 182.5875 127.0875) (end 183.4125 127.0875) (width 0.1524) (layer TOP-L1) (net 123) (tstamp 5181EC49))
+  (segment (start 181.8125 127.8625) (end 182.5875 127.0875) (width 0.1524) (layer TOP-L1) (net 123) (tstamp 5181EC19))
+  (segment (start 175.9125 127.8625) (end 181.8125 127.8625) (width 0.1524) (layer TOP-L1) (net 123) (tstamp 5181EC0C))
+  (segment (start 174.9125 128.8625) (end 175.9125 127.8625) (width 0.1524) (layer TOP-L1) (net 123) (tstamp 5181EC04))
+  (segment (start 166.6625 128.8625) (end 174.9125 128.8625) (width 0.1524) (layer TOP-L1) (net 123) (tstamp 5181EC02))
+  (segment (start 166.1625 128.3625) (end 166.6625 128.8625) (width 0.1524) (layer TOP-L1) (net 123) (tstamp 5181EBFE))
+  (segment (start 166.1625 127.6625) (end 166.1625 128.3625) (width 0.1524) (layer TOP-L1) (net 123) (tstamp 5181EBFD))
+  (segment (start 166.0875 127.5875) (end 166.1625 127.6625) (width 0.1524) (layer TOP-L1) (net 123) (tstamp 5181EBF9))
+  (segment (start 165.3625 127.5875) (end 166.0875 127.5875) (width 0.1524) (layer TOP-L1) (net 123) (tstamp 5181EBF7))
+  (segment (start 189.1625 123.8875) (end 189.1625 123.3875) (width 0.1524) (layer TOP-L1) (net 123) (tstamp 5181EC98))
+  (via (at 189.1625 123.8875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 123))
+  (segment (start 176.737402 124.2625) (end 176.737402 123.687598) (width 0.1524) (layer Sig1-L3) (net 124))
+  (segment (start 176.6125 123.5625) (end 176.6125 123.4875) (width 0.1524) (layer TOP-L1) (net 124) (tstamp 51908B07))
+  (segment (start 176.7375 123.6875) (end 176.6125 123.5625) (width 0.1524) (layer TOP-L1) (net 124) (tstamp 51908B06))
+  (via (at 176.7375 123.6875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 124))
+  (segment (start 176.737402 123.687598) (end 176.7375 123.6875) (width 0.1524) (layer Sig1-L3) (net 124) (tstamp 51908B04))
+  (segment (start 178.0725 131.337402) (end 176.022402 131.337402) (width 0.1524) (layer Sig1-L3) (net 124))
+  (segment (start 175.1925 130.7875) (end 175.1925 130.787402) (width 0.1524) (layer TOP-L1) (net 124) (tstamp 51908A9A))
+  (segment (start 175.4725 130.7875) (end 175.1925 130.7875) (width 0.1524) (layer TOP-L1) (net 124) (tstamp 51908A98))
+  (segment (start 175.7825 131.0975) (end 175.4725 130.7875) (width 0.1524) (layer TOP-L1) (net 124) (tstamp 51908A97))
+  (via (at 175.7825 131.0975) (size 0.4572) (layers TOP-L1 BOT-L6) (net 124))
+  (segment (start 176.022402 131.337402) (end 175.7825 131.0975) (width 0.1524) (layer Sig1-L3) (net 124) (tstamp 51908A95))
+  (segment (start 176.6125 123.436626) (end 176.6125 123.4875) (width 0.1524) (layer TOP-L1) (net 124) (tstamp 517CFB1B))
+  (segment (start 177.4625 122.5875) (end 176.924563 123.125437) (width 0.1524) (layer TOP-L1) (net 124) (tstamp 517C6C68))
+  (segment (start 185.9625 122.5875) (end 177.4625 122.5875) (width 0.1524) (layer TOP-L1) (net 124))
+  (segment (start 176.924563 123.124563) (end 176.924563 123.125437) (width 0.1524) (layer TOP-L1) (net 124) (tstamp 517C6E6F))
+  (segment (start 176.7025 123.346626) (end 176.924563 123.124563) (width 0.1524) (layer TOP-L1) (net 124) (tstamp 517CFB19))
+  (segment (start 176.7025 123.346626) (end 176.6125 123.436626) (width 0.1524) (layer TOP-L1) (net 124))
+  (segment (start 175.4425 126.4775) (end 175.212402 126.707598) (width 0.1524) (layer Sig1-L3) (net 124))
+  (segment (start 175.419563 127.270437) (end 175.711626 127.5625) (width 0.1524) (layer Sig1-L3) (net 124) (tstamp 517C6F5B))
+  (segment (start 175.711626 127.5625) (end 178.5125 127.5625) (width 0.1524) (layer Sig1-L3) (net 124) (tstamp 517C6CA0))
+  (segment (start 178.3125 131.337402) (end 178.0725 131.337402) (width 0.1524) (layer Sig1-L3) (net 124) (tstamp 517C6D1D))
+  (segment (start 175.1925 130.787402) (end 169.502598 130.787402) (width 0.1524) (layer TOP-L1) (net 124) (tstamp 51908A9B))
+  (segment (start 169.502598 130.787402) (end 169.3625 130.9275) (width 0.1524) (layer TOP-L1) (net 124) (tstamp 517C6CCE))
+  (segment (start 169.3625 130.9275) (end 169.3625 131.4475) (width 0.1524) (layer TOP-L1) (net 124) (tstamp 517C6CE1))
+  (segment (start 169.3625 131.4475) (end 169.2225 131.5875) (width 0.1524) (layer TOP-L1) (net 124) (tstamp 517C6CEC))
+  (segment (start 169.2225 131.5875) (end 168.5625 131.5875) (width 0.1524) (layer TOP-L1) (net 124) (tstamp 517C6CF0))
+  (segment (start 168.5625 131.5875) (end 168.1625 131.1875) (width 0.1524) (layer TOP-L1) (net 124) (tstamp 517C6CF1))
+  (segment (start 179.2625 127.5625) (end 179.7625 128.0625) (width 0.1524) (layer Sig1-L3) (net 124) (tstamp 517C6D10))
+  (segment (start 179.7375 130.8125) (end 179.2125 131.3375) (width 0.1524) (layer Sig1-L3) (net 124) (tstamp 517C6D3D))
+  (segment (start 179.2125 131.3375) (end 178.3125 131.3375) (width 0.1524) (layer Sig1-L3) (net 124) (tstamp 517C6D19))
+  (segment (start 178.3125 131.3375) (end 178.3125 131.337402) (width 0.1524) (layer Sig1-L3) (net 124) (tstamp 517C6D1C))
+  (segment (start 178.5125 127.5625) (end 179.2625 127.5625) (width 0.1524) (layer Sig1-L3) (net 124))
+  (segment (start 179.7625 130.7875) (end 179.7375 130.8125) (width 0.1524) (layer Sig1-L3) (net 124) (tstamp 517C6D50))
+  (segment (start 179.7625 128.0625) (end 179.7875 128.0875) (width 0.1524) (layer Sig1-L3) (net 124))
+  (segment (start 179.8875 130.6625) (end 179.7625 130.7875) (width 0.1524) (layer Sig1-L3) (net 124) (tstamp 517C6D61))
+  (segment (start 179.7875 128.0875) (end 179.9125 128.2125) (width 0.1524) (layer Sig1-L3) (net 124))
+  (segment (start 180.0625 128.3625) (end 180.0625 130.4875) (width 0.1524) (layer Sig1-L3) (net 124) (tstamp 517C6D5A))
+  (segment (start 180.0625 130.4875) (end 179.8875 130.6625) (width 0.1524) (layer Sig1-L3) (net 124) (tstamp 517C6D5B))
+  (segment (start 179.9125 128.2125) (end 180.0625 128.3625) (width 0.1524) (layer Sig1-L3) (net 124))
+  (segment (start 175.9625 126.237402) (end 175.682598 126.237402) (width 0.1524) (layer Sig1-L3) (net 124) (tstamp 517C6EE6))
+  (segment (start 175.682598 126.237402) (end 175.4425 126.4775) (width 0.1524) (layer Sig1-L3) (net 124) (tstamp 517C6C8C))
+  (segment (start 176.737402 124.3375) (end 176.737402 124.2625) (width 0.1524) (layer Sig1-L3) (net 124) (tstamp 517C6EF2))
+  (segment (start 176.036724 126.237402) (end 176.637402 125.636724) (width 0.1524) (layer Sig1-L3) (net 124) (tstamp 517C6EE8))
+  (segment (start 176.637402 125.636724) (end 176.637402 124.562598) (width 0.1524) (layer Sig1-L3) (net 124) (tstamp 517C6EE9))
+  (segment (start 176.637402 124.562598) (end 176.7375 124.4625) (width 0.1524) (layer Sig1-L3) (net 124) (tstamp 517C6EED))
+  (segment (start 176.7375 124.4625) (end 176.7375 124.3375) (width 0.1524) (layer Sig1-L3) (net 124) (tstamp 517C6EEF))
+  (segment (start 176.7375 124.3375) (end 176.737402 124.3375) (width 0.1524) (layer Sig1-L3) (net 124) (tstamp 517C6EF1))
+  (segment (start 175.9625 126.237402) (end 176.036724 126.237402) (width 0.1524) (layer Sig1-L3) (net 124))
+  (segment (start 175.212402 126.707598) (end 175.212402 127.063276) (width 0.1524) (layer Sig1-L3) (net 124) (tstamp 517C6F55))
+  (segment (start 175.212402 127.063276) (end 175.419563 127.270437) (width 0.1524) (layer Sig1-L3) (net 124) (tstamp 517C6F56))
+  (segment (start 168.9625 130.8075) (end 168.9625 130.7175) (width 0.1524) (layer TOP-L1) (net 125))
+  (segment (start 169.1925 130.4875) (end 169.3325 130.4875) (width 0.1524) (layer TOP-L1) (net 125) (tstamp 517CFC09))
+  (segment (start 168.9625 130.7175) (end 169.1925 130.4875) (width 0.1524) (layer TOP-L1) (net 125) (tstamp 517CFC08))
+  (segment (start 168.9625 130.8575) (end 168.9625 130.8075) (width 0.1524) (layer TOP-L1) (net 125))
+  (segment (start 169.3325 130.4875) (end 169.3425 130.4875) (width 0.1524) (layer TOP-L1) (net 125) (tstamp 517CFC0C))
+  (segment (start 176.1125 125.7375) (end 176.2625 125.5875) (width 0.1524) (layer Sig1-L3) (net 125))
+  (segment (start 175.9425 130.4875) (end 169.3425 130.4875) (width 0.1524) (layer TOP-L1) (net 125) (tstamp 517C6C2E))
+  (segment (start 175.587402 127.862402) (end 177.2625 127.862402) (width 0.1524) (layer Sig1-L3) (net 125) (tstamp 517C6BCB))
+  (segment (start 175.1125 127.3875) (end 175.587402 127.862402) (width 0.1524) (layer Sig1-L3) (net 125) (tstamp 517C6F25))
+  (segment (start 175.9425 130.4875) (end 176.2625 130.4875) (width 0.1524) (layer TOP-L1) (net 125))
+  (segment (start 177.6125 131.0375) (end 179.088374 131.0375) (width 0.1524) (layer Sig1-L3) (net 125) (tstamp 517C6DC2))
+  (segment (start 179.088374 131.0375) (end 179.762598 130.363276) (width 0.1524) (layer Sig1-L3) (net 125) (tstamp 517C6D84))
+  (segment (start 179.762598 130.363276) (end 179.762598 128.487598) (width 0.1524) (layer Sig1-L3) (net 125) (tstamp 517C6D85))
+  (segment (start 179.762598 128.487598) (end 179.137402 127.862402) (width 0.1524) (layer Sig1-L3) (net 125) (tstamp 517C6D87))
+  (segment (start 179.137402 127.862402) (end 177.2625 127.862402) (width 0.1524) (layer Sig1-L3) (net 125) (tstamp 517C6D8C))
+  (via (at 176.8875 130.4875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 125))
+  (segment (start 176.8875 130.4875) (end 177.0125 130.6125) (width 0.1524) (layer Sig1-L3) (net 125) (tstamp 517C6DB9))
+  (segment (start 177.5375 131.0375) (end 177.6125 131.0375) (width 0.1524) (layer Sig1-L3) (net 125) (tstamp 517C6E15))
+  (segment (start 176.2625 130.4875) (end 176.8875 130.4875) (width 0.1524) (layer TOP-L1) (net 125))
+  (segment (start 177.0625 130.6625) (end 177.0625 130.7625) (width 0.1524) (layer Sig1-L3) (net 125) (tstamp 517C6E0C))
+  (segment (start 177.4625 131.0375) (end 177.5375 131.0375) (width 0.1524) (layer Sig1-L3) (net 125) (tstamp 517C6F16))
+  (segment (start 177.0125 130.6125) (end 177.0625 130.6625) (width 0.1524) (layer Sig1-L3) (net 125))
+  (segment (start 175.9125 125.9375) (end 176.1125 125.7375) (width 0.1524) (layer Sig1-L3) (net 125) (tstamp 517C6E25))
+  (segment (start 175.8375 125.9375) (end 175.9125 125.9375) (width 0.1524) (layer Sig1-L3) (net 125))
+  (segment (start 185.362598 122.1875) (end 185.2625 122.287598) (width 0.1524) (layer TOP-L1) (net 125) (tstamp 517C6B82))
+  (segment (start 185.2625 122.287598) (end 177.337402 122.287598) (width 0.1524) (layer TOP-L1) (net 125) (tstamp 517C6B99))
+  (segment (start 177.337402 122.287598) (end 176.2125 123.4125) (width 0.1524) (layer TOP-L1) (net 125) (tstamp 517C6BA1))
+  (segment (start 176.2125 123.4125) (end 176.2125 124.0125) (width 0.1524) (layer TOP-L1) (net 125) (tstamp 517C6BA3))
+  (via (at 176.2125 124.0125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 125))
+  (segment (start 186.7625 121.7875) (end 186.3625 122.1875) (width 0.1524) (layer TOP-L1) (net 125))
+  (segment (start 186.3625 122.1875) (end 185.362598 122.1875) (width 0.1524) (layer TOP-L1) (net 125) (tstamp 517C6B7F))
+  (segment (start 175.8375 125.9375) (end 175.5375 125.9375) (width 0.1524) (layer Sig1-L3) (net 125) (tstamp 517C6E23))
+  (segment (start 175.5375 125.9375) (end 175.1625 126.3125) (width 0.1524) (layer Sig1-L3) (net 125) (tstamp 517C6BC3))
+  (segment (start 177.0625 130.7875) (end 177.3125 131.0375) (width 0.1524) (layer Sig1-L3) (net 125) (tstamp 517C6F12))
+  (segment (start 177.3125 131.0375) (end 177.4625 131.0375) (width 0.1524) (layer Sig1-L3) (net 125) (tstamp 517C6F13))
+  (segment (start 177.0625 130.7625) (end 177.0625 130.7875) (width 0.1524) (layer Sig1-L3) (net 125))
+  (segment (start 174.9125 127.1875) (end 174.9125 126.5625) (width 0.1524) (layer Sig1-L3) (net 125) (tstamp 517C6F27))
+  (segment (start 174.9125 126.5625) (end 175.1625 126.3125) (width 0.1524) (layer Sig1-L3) (net 125) (tstamp 517C6F28))
+  (segment (start 175.1125 127.3875) (end 174.9125 127.1875) (width 0.1524) (layer Sig1-L3) (net 125))
+  (segment (start 176.2625 125.5875) (end 176.2625 124.0625) (width 0.1524) (layer Sig1-L3) (net 125) (tstamp 517CF659))
+  (segment (start 176.2625 124.0625) (end 176.2125 124.0125) (width 0.1524) (layer Sig1-L3) (net 125) (tstamp 517CF660))
+  (segment (start 168.9625 130.8575) (end 168.9625 131.1875) (width 0.1524) (layer TOP-L1) (net 125) (tstamp 517CFBEF))
+  (segment (start 179.9925 110.9375) (end 180.2825 110.6475) (width 0.1524) (layer Sig1-L3) (net 126) (tstamp 517DDCB4))
+  (segment (start 179.5825 110.9375) (end 179.9925 110.9375) (width 0.1524) (layer Sig1-L3) (net 126) (tstamp 517DDCB3))
+  (segment (start 178.9225 104.3175) (end 179.476626 104.3175) (width 0.1524) (layer Sig1-L3) (net 126))
+  (segment (start 180.282402 105.5175) (end 180.2825 105.5175) (width 0.1524) (layer Sig1-L3) (net 126) (tstamp 517DDD43))
+  (segment (start 180.282402 105.123276) (end 180.282402 105.5175) (width 0.1524) (layer Sig1-L3) (net 126) (tstamp 517DDD41))
+  (segment (start 179.476626 104.3175) (end 180.282402 105.123276) (width 0.1524) (layer Sig1-L3) (net 126) (tstamp 517DDD40))
+  (segment (start 167.7925 107.4775) (end 167.792402 107.477598) (width 0.1524) (layer TOP-L1) (net 126))
+  (segment (start 165.7625 106.3075) (end 165.7625 105.9875) (width 0.1524) (layer TOP-L1) (net 126) (tstamp 517DDCFE))
+  (segment (start 166.0325 106.5775) (end 165.7625 106.3075) (width 0.1524) (layer TOP-L1) (net 126) (tstamp 517DDCFD))
+  (segment (start 166.3625 106.5775) (end 166.0325 106.5775) (width 0.1524) (layer TOP-L1) (net 126) (tstamp 517DDCFC))
+  (segment (start 166.5225 106.7375) (end 166.3625 106.5775) (width 0.1524) (layer TOP-L1) (net 126) (tstamp 517DDCFA))
+  (segment (start 166.5225 107.123374) (end 166.5225 106.7375) (width 0.1524) (layer TOP-L1) (net 126) (tstamp 517DDCF6))
+  (segment (start 166.876724 107.477598) (end 166.5225 107.123374) (width 0.1524) (layer TOP-L1) (net 126) (tstamp 517DDCF0))
+  (segment (start 167.792402 107.477598) (end 166.876724 107.477598) (width 0.1524) (layer TOP-L1) (net 126) (tstamp 517DDCED))
+  (segment (start 180.4525 114.3875) (end 179.682598 113.617598) (width 0.1524) (layer TOP-L1) (net 126))
+  (segment (start 180.2825 110.6475) (end 180.2825 110.4175) (width 0.1524) (layer Sig1-L3) (net 126) (tstamp 517DDCB9))
+  (segment (start 179.5825 111.0375) (end 179.5825 110.9375) (width 0.1524) (layer Sig1-L3) (net 126) (tstamp 517DDCB2))
+  (via (at 179.5825 111.0375) (size 0.4572) (layers TOP-L1 BOT-L6) (net 126))
+  (segment (start 179.682598 111.137598) (end 179.5825 111.0375) (width 0.1524) (layer TOP-L1) (net 126) (tstamp 517DDCA6))
+  (segment (start 179.682598 113.617598) (end 179.682598 111.137598) (width 0.1524) (layer TOP-L1) (net 126) (tstamp 517DDCA4))
+  (segment (start 180.2825 105.5175) (end 180.2825 110.4175) (width 0.1524) (layer Sig1-L3) (net 126) (tstamp 517DDD44))
+  (segment (start 177.3825 104.3175) (end 178.9225 104.3175) (width 0.1524) (layer Sig1-L3) (net 126) (tstamp 517DDB31))
+  (segment (start 176.7725 104.9275) (end 177.3825 104.3175) (width 0.1524) (layer Sig1-L3) (net 126) (tstamp 517DDB30))
+  (segment (start 176.7725 106.5175) (end 176.7725 104.9275) (width 0.1524) (layer Sig1-L3) (net 126) (tstamp 517DDB2E))
+  (segment (start 176.4125 106.8775) (end 176.7725 106.5175) (width 0.1524) (layer Sig1-L3) (net 126) (tstamp 517DDB2B))
+  (segment (start 176.3425 106.8775) (end 176.4125 106.8775) (width 0.1524) (layer Sig1-L3) (net 126) (tstamp 517DDB29))
+  (segment (start 176.2325 106.9875) (end 176.3425 106.8775) (width 0.1524) (layer Sig1-L3) (net 126) (tstamp 517DDB28))
+  (via (at 176.2325 106.9875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 126))
+  (segment (start 176.2325 107.1775) (end 176.2325 106.9875) (width 0.1524) (layer TOP-L1) (net 126) (tstamp 517DDB25))
+  (segment (start 175.9325 107.4775) (end 176.2325 107.1775) (width 0.1524) (layer TOP-L1) (net 126) (tstamp 517DDB03))
+  (segment (start 167.7925 107.4775) (end 175.9325 107.4775) (width 0.1524) (layer TOP-L1) (net 126) (tstamp 517DDCEB))
+  (segment (start 183.0525 116.9875) (end 185.9625 116.9875) (width 0.1524) (layer TOP-L1) (net 126) (tstamp 517DDBA9))
+  (segment (start 180.4525 114.3875) (end 183.0525 116.9875) (width 0.1524) (layer TOP-L1) (net 126) (tstamp 517DDCA2))
+  (segment (start 179.9825 111.0775) (end 179.9825 110.5675) (width 0.1524) (layer TOP-L1) (net 127))
+  (segment (start 179.9825 110.0275) (end 179.982598 110.0275) (width 0.1524) (layer Sig1-L3) (net 127) (tstamp 5191BEA3))
+  (segment (start 179.9825 110.1975) (end 179.9825 110.0275) (width 0.1524) (layer Sig1-L3) (net 127) (tstamp 5191BEA1))
+  (segment (start 179.8425 110.3375) (end 179.9825 110.1975) (width 0.1524) (layer Sig1-L3) (net 127) (tstamp 5191BE9F))
+  (segment (start 179.8425 110.4275) (end 179.8425 110.3375) (width 0.1524) (layer Sig1-L3) (net 127) (tstamp 5191BE9E))
+  (segment (start 179.8525 110.4375) (end 179.8425 110.4275) (width 0.1524) (layer Sig1-L3) (net 127) (tstamp 5191BE9D))
+  (via (at 179.8525 110.4375) (size 0.4572) (layers TOP-L1 BOT-L6) (net 127))
+  (segment (start 179.9825 110.5675) (end 179.8525 110.4375) (width 0.1524) (layer TOP-L1) (net 127) (tstamp 5191BE93))
+  (segment (start 176.3625 107.5775) (end 176.9725 106.9675) (width 0.1524) (layer TOP-L1) (net 127))
+  (segment (start 177.0725 106.3875) (end 177.072402 106.3875) (width 0.1524) (layer Sig1-L3) (net 127) (tstamp 51908AC9))
+  (segment (start 177.0725 106.8675) (end 177.0725 106.3875) (width 0.1524) (layer Sig1-L3) (net 127) (tstamp 51908AC7))
+  (segment (start 176.9725 106.9675) (end 177.0725 106.8675) (width 0.1524) (layer Sig1-L3) (net 127) (tstamp 51908AC6))
+  (via (at 176.9725 106.9675) (size 0.4572) (layers TOP-L1 BOT-L6) (net 127))
+  (segment (start 178.9225 104.617402) (end 179.352402 104.617402) (width 0.1524) (layer Sig1-L3) (net 127))
+  (segment (start 177.506724 104.617402) (end 177.072402 105.051724) (width 0.1524) (layer Sig1-L3) (net 127) (tstamp 517DDC0E))
+  (segment (start 177.072402 105.051724) (end 177.072402 106.3875) (width 0.1524) (layer Sig1-L3) (net 127) (tstamp 517DDC0F))
+  (segment (start 179.982598 109.7075) (end 179.982598 105.5775) (width 0.1524) (layer Sig1-L3) (net 127) (tstamp 517DDC77))
+  (segment (start 179.982598 109.7075) (end 179.982598 110.0275) (width 0.1524) (layer Sig1-L3) (net 127))
+  (segment (start 178.9225 104.617402) (end 177.506724 104.617402) (width 0.1524) (layer Sig1-L3) (net 127) (tstamp 517DDD32))
+  (segment (start 179.352402 104.617402) (end 179.9825 105.2475) (width 0.1524) (layer Sig1-L3) (net 127) (tstamp 517DDD34))
+  (segment (start 179.9825 105.2475) (end 179.9825 105.5775) (width 0.1524) (layer Sig1-L3) (net 127) (tstamp 517DDD35))
+  (segment (start 179.9825 105.5775) (end 179.982598 105.5775) (width 0.1524) (layer Sig1-L3) (net 127) (tstamp 517DDD38))
+  (segment (start 165.3225 106.3475) (end 166.7525 107.7775) (width 0.1524) (layer TOP-L1) (net 127))
+  (segment (start 166.7525 107.7775) (end 167.3725 107.7775) (width 0.1524) (layer TOP-L1) (net 127) (tstamp 517DDCDD))
+  (segment (start 176.1625 107.7775) (end 167.3725 107.7775) (width 0.1524) (layer TOP-L1) (net 127) (tstamp 517DDC1A))
+  (segment (start 176.3625 107.5775) (end 176.1625 107.7775) (width 0.1524) (layer TOP-L1) (net 127) (tstamp 51908AC2))
+  (segment (start 165.3225 106.3475) (end 164.9625 105.9875) (width 0.1524) (layer TOP-L1) (net 127) (tstamp 517DDCDB))
+  (segment (start 179.9825 113.4875) (end 180.1225 113.6275) (width 0.1524) (layer TOP-L1) (net 127) (tstamp 517DDC8F))
+  (segment (start 179.9825 111.0775) (end 179.9825 113.4875) (width 0.1524) (layer TOP-L1) (net 127) (tstamp 5191BE91))
+  (segment (start 186.7625 116.1875) (end 186.3625 116.5875) (width 0.1524) (layer TOP-L1) (net 127))
+  (segment (start 183.0825 116.5875) (end 180.1225 113.6275) (width 0.1524) (layer TOP-L1) (net 127) (tstamp 517DDBBC))
+  (segment (start 185.552598 116.5875) (end 183.0825 116.5875) (width 0.1524) (layer TOP-L1) (net 127) (tstamp 517DDBB9))
+  (segment (start 186.3625 116.5875) (end 185.552598 116.5875) (width 0.1524) (layer TOP-L1) (net 127) (tstamp 517DDBB3))
+  (segment (start 183.012598 103.7875) (end 183.012598 103.412598) (width 0.1524) (layer TOP-L1) (net 128))
+  (segment (start 182.4125 102.4375) (end 182.3125 102.3375) (width 0.1524) (layer Sig1-L3) (net 128) (tstamp 5191AF56))
+  (segment (start 182.4125 102.8125) (end 182.4125 102.4375) (width 0.1524) (layer Sig1-L3) (net 128) (tstamp 5191AF55))
+  (segment (start 182.5125 102.9125) (end 182.4125 102.8125) (width 0.1524) (layer Sig1-L3) (net 128) (tstamp 5191AF54))
+  (via (at 182.5125 102.9125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 128))
+  (segment (start 183.012598 103.412598) (end 182.5125 102.9125) (width 0.1524) (layer TOP-L1) (net 128) (tstamp 5191AF4B))
+  (segment (start 175.8125 100.936626) (end 176.011626 100.7375) (width 0.1524) (layer Sig1-L3) (net 128))
+  (segment (start 181.7375 101.0875) (end 181.7375 101.086626) (width 0.1524) (layer Sig1-L3) (net 128) (tstamp 517F1605))
+  (segment (start 181.3875 100.7375) (end 181.7375 101.0875) (width 0.1524) (layer Sig1-L3) (net 128) (tstamp 517F15FE))
+  (segment (start 176.011626 100.7375) (end 181.3875 100.7375) (width 0.1524) (layer Sig1-L3) (net 128) (tstamp 517F15FB))
+  (segment (start 169.0625 108.2875) (end 172.5375 108.2875) (width 0.1524) (layer TOP-L1) (net 128) (tstamp 517D0B55))
+  (segment (start 172.5375 108.2875) (end 172.6875 108.4375) (width 0.1524) (layer TOP-L1) (net 128) (tstamp 517D0B57))
+  (via (at 172.6875 108.4375) (size 0.4572) (layers TOP-L1 BOT-L6) (net 128))
+  (segment (start 172.6875 108.4375) (end 172.7125 108.4375) (width 0.1524) (layer Sig1-L3) (net 128) (tstamp 517D0B61))
+  (segment (start 172.7125 108.4375) (end 173.1125 108.8375) (width 0.1524) (layer Sig1-L3) (net 128) (tstamp 517D0B62))
+  (segment (start 173.1125 108.8375) (end 173.4625 108.8375) (width 0.1524) (layer Sig1-L3) (net 128) (tstamp 517D0B63))
+  (segment (start 173.4625 108.8375) (end 173.787402 108.512598) (width 0.1524) (layer Sig1-L3) (net 128) (tstamp 517D0B68))
+  (segment (start 173.787402 108.512598) (end 173.787402 104.337598) (width 0.1524) (layer Sig1-L3) (net 128) (tstamp 517D0B6A))
+  (segment (start 173.787402 104.337598) (end 175.287402 102.837598) (width 0.1524) (layer Sig1-L3) (net 128) (tstamp 517D0B6B))
+  (segment (start 175.287402 102.837598) (end 175.287402 101.7625) (width 0.1524) (layer Sig1-L3) (net 128) (tstamp 517D0B72))
+  (segment (start 175.287402 101.461724) (end 175.8125 100.936626) (width 0.1524) (layer Sig1-L3) (net 128) (tstamp 517D0C77))
+  (segment (start 175.287402 101.7625) (end 175.287402 101.461724) (width 0.1524) (layer Sig1-L3) (net 128))
+  (segment (start 168.1625 109.1875) (end 169.0625 108.2875) (width 0.1524) (layer TOP-L1) (net 128))
+  (segment (start 182.162598 102.187598) (end 182.3125 102.3375) (width 0.1524) (layer Sig1-L3) (net 128) (tstamp 517D0C06))
+  (segment (start 181.862937 101.212063) (end 182.162598 101.511724) (width 0.1524) (layer Sig1-L3) (net 128) (tstamp 517D0C82))
+  (segment (start 182.162598 101.511724) (end 182.162598 102.187598) (width 0.1524) (layer Sig1-L3) (net 128) (tstamp 517D0C04))
+  (segment (start 181.7375 101.086626) (end 181.862937 101.212063) (width 0.1524) (layer Sig1-L3) (net 128) (tstamp 517F1606))
+  (segment (start 183.012598 110.787598) (end 185.2125 112.9875) (width 0.1524) (layer TOP-L1) (net 128) (tstamp 517D0B87))
+  (segment (start 185.2125 112.9875) (end 185.9625 112.9875) (width 0.1524) (layer TOP-L1) (net 128) (tstamp 517D0B8E))
+  (segment (start 183.012598 103.7875) (end 183.012598 110.787598) (width 0.1524) (layer TOP-L1) (net 128) (tstamp 5191AF49))
+  (segment (start 170.0625 108.587402) (end 169.437598 108.587402) (width 0.1524) (layer TOP-L1) (net 129))
+  (segment (start 168.9625 109.0625) (end 168.9625 109.1875) (width 0.1524) (layer TOP-L1) (net 129) (tstamp 517F1660))
+  (segment (start 169.437598 108.587402) (end 168.9625 109.0625) (width 0.1524) (layer TOP-L1) (net 129) (tstamp 517F165F))
+  (segment (start 170.0625 108.587402) (end 172.237402 108.587402) (width 0.1524) (layer TOP-L1) (net 129) (tstamp 517F165D))
+  (segment (start 175.5875 100.7375) (end 175.887402 100.437598) (width 0.1524) (layer Sig1-L3) (net 129))
+  (segment (start 181.512598 100.437598) (end 182.2625 101.1875) (width 0.1524) (layer Sig1-L3) (net 129) (tstamp 517F1618))
+  (segment (start 175.887402 100.437598) (end 181.512598 100.437598) (width 0.1524) (layer Sig1-L3) (net 129) (tstamp 517F1617))
+  (segment (start 172.3875 108.7375) (end 172.5375 108.8875) (width 0.1524) (layer TOP-L1) (net 129))
+  (segment (start 174.9875 101.3375) (end 175.5875 100.7375) (width 0.1524) (layer Sig1-L3) (net 129) (tstamp 517D0C67))
+  (segment (start 182.4625 101.3875) (end 182.4625 102.0625) (width 0.1524) (layer Sig1-L3) (net 129) (tstamp 517D0BDB))
+  (segment (start 182.2625 101.1875) (end 182.4625 101.3875) (width 0.1524) (layer Sig1-L3) (net 129) (tstamp 517F161D))
+  (segment (start 186.3625 112.5875) (end 186.7625 112.1875) (width 0.1524) (layer TOP-L1) (net 129) (tstamp 517D0B15))
+  (segment (start 185.2375 112.5875) (end 186.3625 112.5875) (width 0.1524) (layer TOP-L1) (net 129) (tstamp 517D0B12))
+  (segment (start 183.3125 110.6625) (end 185.2375 112.5875) (width 0.1524) (layer TOP-L1) (net 129) (tstamp 517D0B0A))
+  (segment (start 183.3125 102.9125) (end 183.3125 110.6625) (width 0.1524) (layer TOP-L1) (net 129) (tstamp 517D0B09))
+  (segment (start 183.1875 102.7875) (end 183.3125 102.9125) (width 0.1524) (layer TOP-L1) (net 129) (tstamp 517D0B08))
+  (via (at 183.1875 102.7875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 129))
+  (segment (start 174.9875 102.7125) (end 174.9875 101.7375) (width 0.1524) (layer Sig1-L3) (net 129) (tstamp 517D0AF9))
+  (segment (start 173.4875 104.2125) (end 174.9875 102.7125) (width 0.1524) (layer Sig1-L3) (net 129) (tstamp 517D0AF3))
+  (segment (start 173.4875 108.2125) (end 173.4875 104.2125) (width 0.1524) (layer Sig1-L3) (net 129) (tstamp 517D0AF2))
+  (segment (start 173.2875 108.4125) (end 173.4875 108.2125) (width 0.1524) (layer Sig1-L3) (net 129) (tstamp 517D0AF1))
+  (via (at 173.2875 108.4125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 129))
+  (segment (start 174.9875 101.7375) (end 174.9875 101.3375) (width 0.1524) (layer Sig1-L3) (net 129))
+  (segment (start 173.0625 108.6375) (end 173.2875 108.4125) (width 0.1524) (layer TOP-L1) (net 129) (tstamp 517D0CFD))
+  (segment (start 172.8125 108.8875) (end 173.0625 108.6375) (width 0.1524) (layer TOP-L1) (net 129) (tstamp 517D0CFA))
+  (segment (start 182.4625 102.0625) (end 182.5875 102.1875) (width 0.1524) (layer Sig1-L3) (net 129) (tstamp 517D0BE0))
+  (segment (start 182.5875 102.1875) (end 183.1875 102.7875) (width 0.1524) (layer Sig1-L3) (net 129) (tstamp 517D0BE3))
+  (segment (start 172.5375 108.8875) (end 172.8125 108.8875) (width 0.1524) (layer TOP-L1) (net 129) (tstamp 517D0CF9))
+  (segment (start 172.237402 108.587402) (end 172.3875 108.7375) (width 0.1524) (layer TOP-L1) (net 129) (tstamp 517D0BA4))
+  (segment (start 168.9625 109.1875) (end 168.9375 109.1875) (width 0.1524) (layer TOP-L1) (net 129))
+  (segment (start 163.1625 128.1875) (end 161.7375 129.6125) (width 0.1524) (layer TOP-L1) (net 130))
+  (segment (start 161.7375 129.6125) (end 161.5875 129.7625) (width 0.1524) (layer Sig2-L4) (net 130) (tstamp 51821996))
+  (via (at 161.7375 129.6125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 130))
+  (segment (start 145.5625 118.5875) (end 159.1875 118.5875) (width 0.1524) (layer TOP-L1) (net 130))
+  (via (at 187.9625 118.1875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 130))
+  (segment (start 187.9625 118.1875) (end 187.5625 118.5875) (width 0.1524) (layer Sig1-L3) (net 130) (tstamp 517B3639))
+  (segment (start 187.5625 118.5875) (end 159.1875 118.5875) (width 0.1524) (layer Sig1-L3) (net 130) (tstamp 517B363A))
+  (segment (start 187.9625 118.1875) (end 188.3625 117.7875) (width 0.1524) (layer TOP-L1) (net 130))
+  (via (at 145.5625 118.5875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 130))
+  (via (at 159.1875 118.5875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 130))
+  (segment (start 145.5625 118.5875) (end 145.3125 118.8375) (width 0.1524) (layer Sig1-L3) (net 130))
+  (segment (start 145.3125 126.7875) (end 146.6875 128.1625) (width 0.1524) (layer Sig2-L4) (net 130) (tstamp 517F1A0E))
+  (segment (start 145.3125 126.7625) (end 145.3125 126.7875) (width 0.1524) (layer Sig2-L4) (net 130) (tstamp 517F1A0D))
+  (via (at 145.3125 126.7625) (size 0.4572) (layers TOP-L1 BOT-L6) (net 130))
+  (segment (start 145.3125 118.8375) (end 145.3125 126.7625) (width 0.1524) (layer Sig1-L3) (net 130) (tstamp 517F19DF))
+  (via (at 145.4125 109.9375) (size 0.4572) (layers TOP-L1 BOT-L6) (net 130))
+  (via (at 162.9125 106.4375) (size 0.4572) (layers TOP-L1 BOT-L6) (net 130))
+  (segment (start 162.9125 106.4375) (end 161.2125 108.1375) (width 0.1524) (layer Sig2-L4) (net 130) (tstamp 517B2C99))
+  (segment (start 161.2125 108.1375) (end 149.1625 108.1375) (width 0.1524) (layer Sig2-L4) (net 130) (tstamp 517B2C9A))
+  (segment (start 163.3625 105.9875) (end 162.9125 106.4375) (width 0.1524) (layer TOP-L1) (net 130))
+  (segment (start 149.1625 108.1375) (end 147.2125 108.1375) (width 0.1524) (layer Sig2-L4) (net 130))
+  (segment (start 147.2125 108.1375) (end 145.4125 109.9375) (width 0.1524) (layer Sig2-L4) (net 130) (tstamp 517B39D3))
+  (segment (start 145.4125 118.4375) (end 145.5625 118.5875) (width 0.1524) (layer Sig1-L3) (net 130) (tstamp 517F19A9))
+  (segment (start 145.4125 109.9375) (end 145.4125 118.4375) (width 0.1524) (layer Sig1-L3) (net 130) (tstamp 517F19A8))
+  (segment (start 161.5875 129.7625) (end 161.3125 130.0375) (width 0.1524) (layer Sig2-L4) (net 130) (tstamp 51821999))
+  (segment (start 161.3125 130.0375) (end 148.5625 130.0375) (width 0.1524) (layer Sig2-L4) (net 130) (tstamp 517B338D))
+  (segment (start 148.5625 130.0375) (end 146.6875 128.1625) (width 0.1524) (layer Sig2-L4) (net 130) (tstamp 517B338F))
+  (segment (start 163.3625 127.9875) (end 163.1625 128.1875) (width 0.1524) (layer TOP-L1) (net 130))
+  (segment (start 147.4875 130.7375) (end 145.5875 130.7375) (width 0.1524) (layer Sig1-L3) (net 131))
+  (segment (start 152.2625 130.0625) (end 152.2625 129.5875) (width 0.1524) (layer Sig1-L3) (net 131) (tstamp 517FEE3B))
+  (segment (start 150.8875 131.4375) (end 152.2625 130.0625) (width 0.1524) (layer Sig1-L3) (net 131) (tstamp 517FEE39))
+  (segment (start 145.5625 131.4375) (end 150.8875 131.4375) (width 0.1524) (layer Sig1-L3) (net 131) (tstamp 517FEE38))
+  (segment (start 145.3625 131.2375) (end 145.5625 131.4375) (width 0.1524) (layer Sig1-L3) (net 131) (tstamp 517FEE37))
+  (segment (start 145.3625 130.9625) (end 145.3625 131.2375) (width 0.1524) (layer Sig1-L3) (net 131) (tstamp 517FEE36))
+  (segment (start 145.5875 130.7375) (end 145.3625 130.9625) (width 0.1524) (layer Sig1-L3) (net 131) (tstamp 517FEE35))
+  (segment (start 151.1125 130.5375) (end 150.9125 130.7375) (width 0.1524) (layer Sig1-L3) (net 131))
+  (segment (start 152.2625 129.5875) (end 152.2625 129.5625) (width 0.1524) (layer Sig1-L3) (net 131) (tstamp 517FEE3E))
+  (segment (start 150.9125 130.7375) (end 147.4875 130.7375) (width 0.1524) (layer Sig1-L3) (net 131) (tstamp 517F68CB))
+  (segment (start 152.2625 127.8375) (end 152.2625 129.5625) (width 0.1524) (layer Sig1-L3) (net 131))
+  (segment (start 157.7625 127.1875) (end 152.5875 127.1875) (width 0.1524) (layer TOP-L1) (net 131))
+  (segment (start 152.1125 127.5375) (end 152.2625 127.6875) (width 0.1524) (layer Sig1-L3) (net 131) (tstamp 517F684F))
+  (segment (start 152.2625 127.6875) (end 152.2625 127.8375) (width 0.1524) (layer Sig1-L3) (net 131) (tstamp 517F6821))
+  (via (at 152.3125 127.0375) (size 0.4572) (layers TOP-L1 BOT-L6) (net 131))
+  (segment (start 152.3125 127.0375) (end 152.1125 127.0375) (width 0.1524) (layer Sig1-L3) (net 131) (tstamp 517F6848))
+  (segment (start 152.1125 127.0375) (end 152.0125 127.1375) (width 0.1524) (layer Sig1-L3) (net 131) (tstamp 517F6849))
+  (segment (start 152.0125 127.1375) (end 152.0125 127.4375) (width 0.1524) (layer Sig1-L3) (net 131) (tstamp 517F684A))
+  (segment (start 152.0125 127.4375) (end 152.1125 127.5375) (width 0.1524) (layer Sig1-L3) (net 131) (tstamp 517F684B))
+  (segment (start 152.4625 127.1875) (end 152.3125 127.0375) (width 0.1524) (layer TOP-L1) (net 131) (tstamp 517F6846))
+  (segment (start 152.5875 127.1875) (end 152.4625 127.1875) (width 0.1524) (layer TOP-L1) (net 131))
+  (segment (start 151.6875 129.9625) (end 151.6875 127.9875) (width 0.1524) (layer Sig1-L3) (net 131) (tstamp 517F6899))
+  (segment (start 151.1125 130.5375) (end 151.6875 129.9625) (width 0.1524) (layer Sig1-L3) (net 131) (tstamp 517F68C9))
+  (segment (start 151.6875 127.9875) (end 151.6875 126.8875) (width 0.1524) (layer Sig1-L3) (net 131) (tstamp 517F689F))
+  (segment (start 152.0625 126.5125) (end 152.0625 125.8375) (width 0.1524) (layer Sig1-L3) (net 131) (tstamp 517F686E))
+  (segment (start 151.6875 126.8875) (end 152.0625 126.5125) (width 0.1524) (layer Sig1-L3) (net 131) (tstamp 517F686A))
+  (segment (start 152.2875 122.9875) (end 152.0625 122.7625) (width 0.1524) (layer Sig1-L3) (net 131))
+  (segment (start 152.4625 105.1875) (end 152.0625 104.7875) (width 0.1524) (layer TOP-L1) (net 131) (tstamp 517B289D))
+  (via (at 152.0625 104.7875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 131))
+  (segment (start 152.4625 105.1875) (end 157.7625 105.1875) (width 0.1524) (layer TOP-L1) (net 131))
+  (segment (start 152.0625 114.2875) (end 152.0625 104.7875) (width 0.1524) (layer Sig1-L3) (net 131) (tstamp 517BAE9F))
+  (segment (start 152.3875 114.6125) (end 152.0625 114.2875) (width 0.1524) (layer Sig1-L3) (net 131) (tstamp 517BAE84))
+  (segment (start 152.3875 122.0875) (end 152.3875 114.6125) (width 0.1524) (layer Sig1-L3) (net 131) (tstamp 517BAE82))
+  (segment (start 152.0625 122.4125) (end 152.3875 122.0875) (width 0.1524) (layer Sig1-L3) (net 131) (tstamp 517BAE81))
+  (segment (start 152.0625 122.7625) (end 152.0625 122.4125) (width 0.1524) (layer Sig1-L3) (net 131) (tstamp 517BAE80))
+  (segment (start 152.0625 125.8375) (end 152.0625 123.2125) (width 0.1524) (layer Sig1-L3) (net 131) (tstamp 517F6872))
+  (via (at 152.2875 122.9875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 131))
+  (segment (start 188.7625 122.9875) (end 188.1125 122.9875) (width 0.1524) (layer Sig1-L3) (net 131))
+  (segment (start 189.5625 122.1875) (end 189.9625 121.7875) (width 0.1524) (layer TOP-L1) (net 131))
+  (via (at 189.5625 122.1875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 131))
+  (segment (start 189.5625 122.1875) (end 188.7625 122.9875) (width 0.1524) (layer Sig1-L3) (net 131))
+  (segment (start 158.1125 122.9875) (end 188.1125 122.9875) (width 0.1524) (layer Sig1-L3) (net 131) (tstamp 517AB4F6))
+  (via (at 158.1125 122.9875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 131))
+  (segment (start 152.2875 122.9875) (end 158.1125 122.9875) (width 0.1524) (layer TOP-L1) (net 131))
+  (segment (start 152.0625 123.2125) (end 152.2875 122.9875) (width 0.1524) (layer Sig1-L3) (net 131) (tstamp 517BAE5C))
+  (segment (start 148.4125 117.6675) (end 148.4125 117.6575) (width 0.1524) (layer TOP-L1) (net 132))
+  (segment (start 147.6225 117.7975) (end 147.6125 117.7975) (width 0.1524) (layer TOP-L1) (net 132) (tstamp 519A01DE))
+  (segment (start 147.6225 117.6675) (end 147.6225 117.7975) (width 0.1524) (layer TOP-L1) (net 132) (tstamp 519A01DC))
+  (segment (start 147.7525 117.5375) (end 147.6225 117.6675) (width 0.1524) (layer TOP-L1) (net 132) (tstamp 519A01DB))
+  (segment (start 148.2925 117.5375) (end 147.7525 117.5375) (width 0.1524) (layer TOP-L1) (net 132) (tstamp 519A01DA))
+  (segment (start 148.4125 117.6575) (end 148.2925 117.5375) (width 0.1524) (layer TOP-L1) (net 132) (tstamp 519A01D9))
+  (segment (start 148.4125 117.6675) (end 148.4125 117.9875) (width 0.1524) (layer TOP-L1) (net 132) (tstamp 519A01D7))
+  (segment (start 148.0125 127.8375) (end 147.4625 127.2875) (width 0.1524) (layer Sig2-L4) (net 132))
+  (segment (start 147.4625 125.3625) (end 147.3875 125.2875) (width 0.1524) (layer Sig1-L3) (net 132) (tstamp 5191B62F))
+  (segment (start 147.4625 126.4875) (end 147.4625 125.3625) (width 0.1524) (layer Sig1-L3) (net 132) (tstamp 5191B62E))
+  (via (at 147.4625 126.4875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 132))
+  (segment (start 147.4625 127.2875) (end 147.4625 126.4875) (width 0.1524) (layer Sig2-L4) (net 132) (tstamp 5191B624))
+  (segment (start 147.2375 118.1875) (end 147.4125 118.1875) (width 0.1524) (layer TOP-L1) (net 132))
+  (segment (start 147.6125 117.9875) (end 147.6125 117.7975) (width 0.1524) (layer TOP-L1) (net 132) (tstamp 517F2B59))
+  (segment (start 147.4125 118.1875) (end 147.6125 117.9875) (width 0.1524) (layer TOP-L1) (net 132) (tstamp 517F2B57))
+  (segment (start 147.2375 118.1875) (end 146.9625 118.1875) (width 0.1524) (layer TOP-L1) (net 132) (tstamp 517F2B55))
+  (via (at 146.9625 118.1875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 132))
+  (segment (start 148.6125 118.1875) (end 148.7375 118.1875) (width 0.1524) (layer TOP-L1) (net 132) (tstamp 517F2B64))
+  (segment (start 148.4125 117.9875) (end 148.6125 118.1875) (width 0.1524) (layer TOP-L1) (net 132) (tstamp 517F2B62))
+  (segment (start 148.7875 106.3125) (end 148.2625 105.7875) (width 0.1524) (layer Sig2-L4) (net 132))
+  (segment (start 148.2625 105.7875) (end 146.8625 105.7875) (width 0.1524) (layer Sig2-L4) (net 132) (tstamp 517F2B04))
+  (segment (start 148.8375 106.3625) (end 148.7875 106.3125) (width 0.1524) (layer Sig2-L4) (net 132))
+  (segment (start 146.4625 106.1875) (end 146.4625 106.6375) (width 0.1524) (layer Sig1-L3) (net 132) (tstamp 517F2AF5))
+  (segment (start 146.4625 117.8625) (end 146.7625 118.1625) (width 0.1524) (layer Sig1-L3) (net 132) (tstamp 517B7D89))
+  (segment (start 146.7625 118.1625) (end 146.9625 118.1625) (width 0.1524) (layer Sig1-L3) (net 132) (tstamp 517B7D8E))
+  (segment (start 146.9625 118.1625) (end 146.9625 118.1875) (width 0.1524) (layer Sig1-L3) (net 132) (tstamp 517B7D93))
+  (segment (start 146.4625 106.6375) (end 146.4625 117.8625) (width 0.1524) (layer Sig1-L3) (net 132) (tstamp 517F2AF9))
+  (via (at 146.8625 105.7875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 132))
+  (segment (start 146.8625 105.7875) (end 146.4625 106.1875) (width 0.1524) (layer Sig1-L3) (net 132) (tstamp 517F2AF4))
+  (segment (start 146.2625 122.1375) (end 146.2625 122.0375) (width 0.1524) (layer Sig1-L3) (net 132) (tstamp 517B7DF6))
+  (segment (start 146.3375 122.2125) (end 146.2625 122.1375) (width 0.1524) (layer Sig1-L3) (net 132) (tstamp 517B7DF5))
+  (segment (start 146.7875 122.2125) (end 146.3375 122.2125) (width 0.1524) (layer Sig1-L3) (net 132) (tstamp 517B7DF4))
+  (segment (start 146.9375 122.3625) (end 146.7875 122.2125) (width 0.1524) (layer Sig1-L3) (net 132) (tstamp 517B7DF3))
+  (segment (start 146.9375 122.6375) (end 146.9375 122.3625) (width 0.1524) (layer Sig1-L3) (net 132) (tstamp 517B7DF2))
+  (segment (start 146.7875 122.7875) (end 146.9375 122.6375) (width 0.1524) (layer Sig1-L3) (net 132) (tstamp 517B7DF1))
+  (segment (start 146.4875 122.7875) (end 146.7875 122.7875) (width 0.1524) (layer Sig1-L3) (net 132) (tstamp 517B7DF0))
+  (segment (start 146.2625 123.0125) (end 146.4875 122.7875) (width 0.1524) (layer Sig1-L3) (net 132) (tstamp 517B7DEF))
+  (segment (start 146.2625 123.1125) (end 146.2625 123.0125) (width 0.1524) (layer Sig1-L3) (net 132))
+  (segment (start 146.2625 122.0375) (end 146.2625 120.5125) (width 0.1524) (layer Sig1-L3) (net 132) (tstamp 517B7DF9))
+  (segment (start 146.2625 123.4375) (end 146.2625 123.3375) (width 0.1524) (layer Sig1-L3) (net 132) (tstamp 517B7DE4))
+  (segment (start 146.3875 123.5625) (end 146.2625 123.4375) (width 0.1524) (layer Sig1-L3) (net 132) (tstamp 517B7DE3))
+  (segment (start 146.9125 123.5625) (end 146.3875 123.5625) (width 0.1524) (layer Sig1-L3) (net 132) (tstamp 517B7DE2))
+  (segment (start 147.1125 123.7625) (end 146.9125 123.5625) (width 0.1524) (layer Sig1-L3) (net 132) (tstamp 517B7DE1))
+  (segment (start 147.1125 124.0125) (end 147.1125 123.7625) (width 0.1524) (layer Sig1-L3) (net 132) (tstamp 517B7DE0))
+  (segment (start 146.9625 124.1625) (end 147.1125 124.0125) (width 0.1524) (layer Sig1-L3) (net 132) (tstamp 517B7DDF))
+  (segment (start 146.5125 124.1625) (end 146.9625 124.1625) (width 0.1524) (layer Sig1-L3) (net 132) (tstamp 517B7DDE))
+  (segment (start 146.2625 124.4125) (end 146.5125 124.1625) (width 0.1524) (layer Sig1-L3) (net 132) (tstamp 517B7DDD))
+  (segment (start 146.2625 124.5125) (end 146.2625 124.4125) (width 0.1524) (layer Sig1-L3) (net 132))
+  (segment (start 146.2625 123.3375) (end 146.2625 123.1125) (width 0.1524) (layer Sig1-L3) (net 132) (tstamp 517B7DE7))
+  (segment (start 146.9125 119.8625) (end 146.9125 119.6875) (width 0.1524) (layer Sig1-L3) (net 132) (tstamp 517B7DCF))
+  (segment (start 146.2625 120.5125) (end 146.9125 119.8625) (width 0.1524) (layer Sig1-L3) (net 132) (tstamp 517B7DCB))
+  (segment (start 146.2625 124.8625) (end 146.2625 124.5125) (width 0.1524) (layer Sig1-L3) (net 132) (tstamp 517B7DC3))
+  (segment (start 146.5125 125.1125) (end 146.2625 124.8625) (width 0.1524) (layer Sig1-L3) (net 132) (tstamp 517B7DC2))
+  (segment (start 147.2125 125.1125) (end 146.5125 125.1125) (width 0.1524) (layer Sig1-L3) (net 132) (tstamp 517B7DC1))
+  (segment (start 147.3875 125.2875) (end 147.2125 125.1125) (width 0.1524) (layer Sig1-L3) (net 132) (tstamp 5191B634))
+  (segment (start 146.9125 119.6875) (end 146.9125 119.2625) (width 0.1524) (layer Sig1-L3) (net 132) (tstamp 517B7DD4))
+  (via (at 161.3625 106.3875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 132))
+  (segment (start 161.3625 106.3875) (end 160.212696 107.537304) (width 0.1524) (layer Sig2-L4) (net 132) (tstamp 517B2C38))
+  (segment (start 160.212696 107.537304) (end 150.012304 107.537304) (width 0.1524) (layer Sig2-L4) (net 132) (tstamp 517B2C39))
+  (segment (start 150.012304 107.537304) (end 148.8375 106.3625) (width 0.1524) (layer Sig2-L4) (net 132) (tstamp 517B2C3B))
+  (segment (start 161.7625 105.9875) (end 161.3625 106.3875) (width 0.1524) (layer TOP-L1) (net 132))
+  (via (at 158.0625 118.1875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 132))
+  (via (at 187.1625 118.1875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 132))
+  (segment (start 187.1625 118.1875) (end 158.0625 118.1875) (width 0.1524) (layer Sig1-L3) (net 132) (tstamp 517B3613))
+  (segment (start 187.5625 117.7875) (end 187.1625 118.1875) (width 0.1524) (layer TOP-L1) (net 132))
+  (segment (start 158.0625 118.1875) (end 148.7375 118.1875) (width 0.1524) (layer TOP-L1) (net 132) (tstamp 517B7CF0))
+  (segment (start 146.9125 119.2625) (end 146.5625 118.9125) (width 0.1524) (layer Sig1-L3) (net 132) (tstamp 517B7CC4))
+  (segment (start 146.5625 118.9125) (end 146.5625 118.5875) (width 0.1524) (layer Sig1-L3) (net 132) (tstamp 517B7CC5))
+  (segment (start 146.5625 118.5875) (end 146.9625 118.1875) (width 0.1524) (layer Sig1-L3) (net 132) (tstamp 517B7CC8))
+  (segment (start 146.9625 118.1875) (end 146.9625 118.1875) (width 0.1524) (layer Sig1-L3) (net 132) (tstamp 517B7D94))
+  (segment (start 161.3625 128.3875) (end 161.7625 127.9875) (width 0.1524) (layer TOP-L1) (net 132))
+  (segment (start 149.612206 129.437206) (end 148.0125 127.8375) (width 0.1524) (layer Sig2-L4) (net 132) (tstamp 517B333E))
+  (segment (start 160.312794 129.437206) (end 149.612206 129.437206) (width 0.1524) (layer Sig2-L4) (net 132) (tstamp 517B333C))
+  (segment (start 161.3625 128.3875) (end 160.312794 129.437206) (width 0.1524) (layer Sig2-L4) (net 132) (tstamp 517B333B))
+  (via (at 161.3625 128.3875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 132))
+  (segment (start 214.7651 101.82082) (end 214.7651 102.5349) (width 0.1524) (layer TOP-L1) (net 133))
+  (segment (start 198.7375 104.1625) (end 198.0125 104.8875) (width 0.1524) (layer Sig1-L3) (net 133) (tstamp 5188E32F))
+  (segment (start 211.3125 104.1625) (end 198.7375 104.1625) (width 0.1524) (layer Sig1-L3) (net 133) (tstamp 5188E324))
+  (segment (start 212.9125 102.5625) (end 211.3125 104.1625) (width 0.1524) (layer Sig1-L3) (net 133) (tstamp 5188E321))
+  (segment (start 213.1875 102.5625) (end 212.9125 102.5625) (width 0.1524) (layer Sig1-L3) (net 133) (tstamp 5188E31F))
+  (segment (start 214.7375 102.5625) (end 213.1875 102.5625) (width 0.1524) (layer Sig1-L3) (net 133) (tstamp 5188E31E))
+  (via (at 214.7375 102.5625) (size 0.4572) (layers TOP-L1 BOT-L6) (net 133))
+  (segment (start 214.7651 102.5349) (end 214.7375 102.5625) (width 0.1524) (layer TOP-L1) (net 133) (tstamp 5188E312))
+  (segment (start 197.1625 105.7875) (end 197.1625 104.56208) (width 0.1524) (layer TOP-L1) (net 133))
+  (segment (start 197.1625 105.7375) (end 197.1625 105.7875) (width 0.1524) (layer TOP-L1) (net 133) (tstamp 51889AB0))
+  (segment (start 197.5625 105.3375) (end 197.1625 105.7375) (width 0.1524) (layer TOP-L1) (net 133) (tstamp 51889AAF))
+  (via (at 197.5625 105.3375) (size 0.4572) (layers TOP-L1 BOT-L6) (net 133))
+  (segment (start 198.0125 104.8875) (end 197.5625 105.3375) (width 0.1524) (layer Sig1-L3) (net 133) (tstamp 5188E334))
+  (segment (start 214.26472 101.82082) (end 214.26472 102.03528) (width 0.1524) (layer TOP-L1) (net 134))
+  (segment (start 197.5625 106.1875) (end 197.1625 106.5875) (width 0.1524) (layer TOP-L1) (net 134) (tstamp 5188E352))
+  (via (at 197.5625 106.1875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 134))
+  (segment (start 199.1875 104.5625) (end 197.5625 106.1875) (width 0.1524) (layer Sig1-L3) (net 134) (tstamp 5188E34A))
+  (segment (start 211.7375 104.5625) (end 199.1875 104.5625) (width 0.1524) (layer Sig1-L3) (net 134) (tstamp 5188E342))
+  (segment (start 213.2125 103.0875) (end 211.7375 104.5625) (width 0.1524) (layer Sig1-L3) (net 134) (tstamp 5188E341))
+  (via (at 213.2125 103.0875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 134))
+  (segment (start 214.26472 102.03528) (end 213.2125 103.0875) (width 0.1524) (layer TOP-L1) (net 134) (tstamp 5188E33C))
+  (segment (start 197.1625 106.5875) (end 196.7625 106.1875) (width 0.1524) (layer TOP-L1) (net 134))
+  (segment (start 196.2375 104.8875) (end 196.2375 104.56208) (width 0.1524) (layer TOP-L1) (net 134) (tstamp 5188A002))
+  (segment (start 196.7625 105.4125) (end 196.2375 104.8875) (width 0.1524) (layer TOP-L1) (net 134) (tstamp 5188A001))
+  (segment (start 196.7625 106.1875) (end 196.7625 105.4125) (width 0.1524) (layer TOP-L1) (net 134) (tstamp 5188A000))
+  (segment (start 191.1625 104.2625) (end 191.1625 94.5125) (width 0.1524) (layer TOP-L1) (net 135))
+  (segment (start 186.2525 89.6025) (end 186.2525 87.0825) (width 0.1524) (layer TOP-L1) (net 135) (tstamp 51837799))
+  (segment (start 191.1625 94.5125) (end 186.2525 89.6025) (width 0.1524) (layer TOP-L1) (net 135) (tstamp 51837796))
+  (segment (start 190.7625 106.5875) (end 190.7875 106.5875) (width 0.1524) (layer TOP-L1) (net 135))
+  (segment (start 191.1625 106.2125) (end 191.1625 104.2625) (width 0.1524) (layer TOP-L1) (net 135) (tstamp 5180BD8E))
+  (segment (start 190.7875 106.5875) (end 191.1625 106.2125) (width 0.1524) (layer TOP-L1) (net 135) (tstamp 5180BD8B))
+  (segment (start 186.5125 90.6625) (end 184.3875 88.5375) (width 0.1524) (layer TOP-L1) (net 136))
+  (segment (start 184.8075 84.5425) (end 186.2525 84.5425) (width 0.1524) (layer TOP-L1) (net 136) (tstamp 51837783))
+  (segment (start 184.3875 84.9625) (end 184.8075 84.5425) (width 0.1524) (layer TOP-L1) (net 136) (tstamp 51837782))
+  (segment (start 184.3875 88.5375) (end 184.3875 84.9625) (width 0.1524) (layer TOP-L1) (net 136) (tstamp 51837779))
+  (segment (start 190.7625 104.0125) (end 190.7625 94.9125) (width 0.1524) (layer TOP-L1) (net 136))
+  (segment (start 190.7625 94.9125) (end 186.5125 90.6625) (width 0.1524) (layer TOP-L1) (net 136) (tstamp 51837756))
+  (segment (start 190.7625 105.7875) (end 190.7625 104.0125) (width 0.1524) (layer TOP-L1) (net 136))
+  (segment (start 184.4725 79.3575) (end 184.4725 80.4775) (width 0.1524) (layer TOP-L1) (net 137))
+  (segment (start 190.3625 95.8375) (end 190.3625 100.6125) (width 0.1524) (layer TOP-L1) (net 137) (tstamp 5183774C))
+  (segment (start 183.8125 89.2875) (end 190.3625 95.8375) (width 0.1524) (layer TOP-L1) (net 137) (tstamp 51837748))
+  (segment (start 183.8125 81.1375) (end 183.8125 89.2875) (width 0.1524) (layer TOP-L1) (net 137) (tstamp 51837746))
+  (segment (start 184.4725 80.4775) (end 183.8125 81.1375) (width 0.1524) (layer TOP-L1) (net 137) (tstamp 51837745))
+  (segment (start 190.3625 100.6125) (end 190.3625 103.7875) (width 0.1524) (layer TOP-L1) (net 137) (tstamp 51837751))
+  (segment (start 189.9625 106.5875) (end 190.3625 106.1875) (width 0.1524) (layer TOP-L1) (net 137))
+  (segment (start 190.3625 106.1875) (end 190.3625 103.7875) (width 0.1524) (layer TOP-L1) (net 137) (tstamp 5180BD9F))
+  (segment (start 183.3375 80.0375) (end 183.3375 89.7625) (width 0.1524) (layer TOP-L1) (net 138))
+  (segment (start 189.9625 104.6375) (end 189.9625 104.9375) (width 0.1524) (layer TOP-L1) (net 138) (tstamp 51837740))
+  (segment (start 189.7125 104.3875) (end 189.9625 104.6375) (width 0.1524) (layer TOP-L1) (net 138) (tstamp 5183773D))
+  (segment (start 189.7125 96.1375) (end 189.7125 104.3875) (width 0.1524) (layer TOP-L1) (net 138) (tstamp 51837738))
+  (segment (start 183.3375 89.7625) (end 189.7125 96.1375) (width 0.1524) (layer TOP-L1) (net 138) (tstamp 51837735))
+  (segment (start 184.4725 76.8175) (end 183.3375 77.9525) (width 0.1524) (layer TOP-L1) (net 138))
+  (segment (start 183.3375 77.9525) (end 183.3375 80.0375) (width 0.1524) (layer TOP-L1) (net 138) (tstamp 51836781))
+  (segment (start 189.9625 105.7875) (end 189.9625 104.9375) (width 0.1524) (layer TOP-L1) (net 138))
+  (segment (start 181.9325 81.0125) (end 181.9325 82.8325) (width 0.1524) (layer TOP-L1) (net 139))
+  (segment (start 188.7625 96.4875) (end 188.7625 97.1125) (width 0.1524) (layer TOP-L1) (net 139) (tstamp 51837713))
+  (segment (start 182.3375 90.0625) (end 188.7625 96.4875) (width 0.1524) (layer TOP-L1) (net 139) (tstamp 5183770F))
+  (segment (start 182.3375 83.2375) (end 182.3375 90.0625) (width 0.1524) (layer TOP-L1) (net 139) (tstamp 5183770E))
+  (segment (start 181.9325 82.8325) (end 182.3375 83.2375) (width 0.1524) (layer TOP-L1) (net 139) (tstamp 5183770D))
+  (segment (start 181.9325 79.3575) (end 181.9325 81.0125) (width 0.1524) (layer TOP-L1) (net 139))
+  (segment (start 189.1625 107.3875) (end 188.7625 106.9875) (width 0.1524) (layer TOP-L1) (net 139))
+  (segment (start 188.7625 106.9875) (end 188.7625 97.1125) (width 0.1524) (layer TOP-L1) (net 139) (tstamp 5180E8E5))
+  (segment (start 182.9875 80.1125) (end 182.9875 82.8125) (width 0.1524) (layer TOP-L1) (net 140))
+  (segment (start 189.5625 105.0375) (end 189.5625 105.4375) (width 0.1524) (layer TOP-L1) (net 140) (tstamp 5183772C))
+  (segment (start 189.2375 104.7125) (end 189.5625 105.0375) (width 0.1524) (layer TOP-L1) (net 140) (tstamp 5183772B))
+  (segment (start 189.2375 96.3375) (end 189.2375 104.7125) (width 0.1524) (layer TOP-L1) (net 140) (tstamp 51837723))
+  (segment (start 182.7375 89.8375) (end 189.2375 96.3375) (width 0.1524) (layer TOP-L1) (net 140) (tstamp 5183771F))
+  (segment (start 182.7375 83.0625) (end 182.7375 89.8375) (width 0.1524) (layer TOP-L1) (net 140) (tstamp 5183771E))
+  (segment (start 182.9875 82.8125) (end 182.7375 83.0625) (width 0.1524) (layer TOP-L1) (net 140) (tstamp 5183771D))
+  (segment (start 182.9875 77.8725) (end 181.9325 76.8175) (width 0.1524) (layer TOP-L1) (net 140) (tstamp 5183677D))
+  (segment (start 182.9875 80.1125) (end 182.9875 77.8725) (width 0.1524) (layer TOP-L1) (net 140) (tstamp 5183771B))
+  (segment (start 189.5625 106.1875) (end 189.1625 106.5875) (width 0.1524) (layer TOP-L1) (net 140) (tstamp 5180E905))
+  (segment (start 189.5625 105.4375) (end 189.5625 106.1875) (width 0.1524) (layer TOP-L1) (net 140) (tstamp 5183772F))
+  (segment (start 181.4125 88.3875) (end 181.4125 90.3625) (width 0.1524) (layer TOP-L1) (net 141))
+  (segment (start 187.9625 96.9125) (end 187.9625 97.7625) (width 0.1524) (layer TOP-L1) (net 141) (tstamp 518376F8))
+  (segment (start 181.4125 90.3625) (end 187.9625 96.9125) (width 0.1524) (layer TOP-L1) (net 141) (tstamp 518376F6))
+  (segment (start 187.9625 97.9625) (end 187.9625 97.7625) (width 0.1524) (layer TOP-L1) (net 141))
+  (segment (start 179.3875 80.5375) (end 179.3925 80.5375) (width 0.1524) (layer TOP-L1) (net 141) (tstamp 518376E0))
+  (segment (start 179.3875 81.7875) (end 179.3875 80.5375) (width 0.1524) (layer TOP-L1) (net 141) (tstamp 518376DD))
+  (segment (start 181.4125 83.8125) (end 179.3875 81.7875) (width 0.1524) (layer TOP-L1) (net 141) (tstamp 518376DB))
+  (segment (start 181.4125 88.3875) (end 181.4125 83.8125) (width 0.1524) (layer TOP-L1) (net 141) (tstamp 518376F4))
+  (segment (start 179.3925 79.3575) (end 179.3925 80.5375) (width 0.1524) (layer TOP-L1) (net 141))
+  (segment (start 188.3625 106.5875) (end 188.3375 106.5875) (width 0.1524) (layer TOP-L1) (net 141))
+  (segment (start 187.9625 106.2125) (end 187.9625 97.9625) (width 0.1524) (layer TOP-L1) (net 141) (tstamp 5180E87A))
+  (segment (start 188.3375 106.5875) (end 187.9625 106.2125) (width 0.1524) (layer TOP-L1) (net 141) (tstamp 5180E879))
+  (segment (start 181.8625 88.2375) (end 181.8625 90.1875) (width 0.1524) (layer TOP-L1) (net 142))
+  (segment (start 188.3625 96.6875) (end 188.3625 97.9375) (width 0.1524) (layer TOP-L1) (net 142) (tstamp 51837702))
+  (segment (start 181.8625 90.1875) (end 188.3625 96.6875) (width 0.1524) (layer TOP-L1) (net 142) (tstamp 51837701))
+  (segment (start 180.7375 80.2125) (end 180.7375 82.4625) (width 0.1524) (layer TOP-L1) (net 142))
+  (segment (start 181.8625 83.5875) (end 181.8625 88.2375) (width 0.1524) (layer TOP-L1) (net 142) (tstamp 518376E8))
+  (segment (start 180.7375 82.4625) (end 181.8625 83.5875) (width 0.1524) (layer TOP-L1) (net 142) (tstamp 518376E6))
+  (segment (start 179.3925 76.8175) (end 179.3925 76.9675) (width 0.1524) (layer TOP-L1) (net 142))
+  (segment (start 180.7375 78.3125) (end 180.7375 80.2125) (width 0.1524) (layer TOP-L1) (net 142) (tstamp 518366AE))
+  (segment (start 179.3925 76.9675) (end 180.7375 78.3125) (width 0.1524) (layer TOP-L1) (net 142) (tstamp 518366AB))
+  (segment (start 188.3625 105.7875) (end 188.3625 97.9375) (width 0.1524) (layer TOP-L1) (net 142))
+  (segment (start 207.812598 126.3875) (end 207.812598 127.412598) (width 0.1524) (layer Sig2-L4) (net 143))
+  (segment (start 213.437598 146.762598) (end 213.9875 147.3125) (width 0.1524) (layer Sig2-L4) (net 143) (tstamp 5183288A))
+  (segment (start 213.437598 142.286724) (end 213.437598 146.762598) (width 0.1524) (layer Sig2-L4) (net 143) (tstamp 51832889))
+  (segment (start 210.487598 139.336724) (end 213.437598 142.286724) (width 0.1524) (layer Sig2-L4) (net 143) (tstamp 51832884))
+  (segment (start 210.487598 130.087598) (end 210.487598 139.336724) (width 0.1524) (layer Sig2-L4) (net 143) (tstamp 51832882))
+  (segment (start 207.812598 127.412598) (end 210.487598 130.087598) (width 0.1524) (layer Sig2-L4) (net 143) (tstamp 51832880))
+  (segment (start 203.0125 116.062402) (end 202.687598 116.062402) (width 0.1524) (layer Sig2-L4) (net 143))
+  (segment (start 201.5625 115.7875) (end 201.1625 116.1875) (width 0.1524) (layer TOP-L1) (net 143) (tstamp 5183270C))
+  (via (at 201.5625 115.7875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 143))
+  (segment (start 201.7625 115.7875) (end 201.5625 115.7875) (width 0.1524) (layer Sig2-L4) (net 143) (tstamp 51832704))
+  (segment (start 202.1625 116.1875) (end 201.7625 115.7875) (width 0.1524) (layer Sig2-L4) (net 143) (tstamp 51832701))
+  (segment (start 202.5625 116.1875) (end 202.1625 116.1875) (width 0.1524) (layer Sig2-L4) (net 143) (tstamp 51832700))
+  (segment (start 202.687598 116.062402) (end 202.5625 116.1875) (width 0.1524) (layer Sig2-L4) (net 143) (tstamp 518326FF))
+  (segment (start 216.2125 149.5375) (end 217.4875 149.5375) (width 0.1524) (layer Sig2-L4) (net 143) (tstamp 51832665))
+  (segment (start 213.9875 147.3125) (end 216.2125 149.5375) (width 0.1524) (layer Sig2-L4) (net 143) (tstamp 5183288F))
+  (segment (start 205.787402 116.062402) (end 203.0125 116.062402) (width 0.1524) (layer Sig2-L4) (net 143) (tstamp 51832604))
+  (segment (start 207.812598 118.087598) (end 205.787402 116.062402) (width 0.1524) (layer Sig2-L4) (net 143) (tstamp 518325FC))
+  (segment (start 207.812598 126.3875) (end 207.812598 118.087598) (width 0.1524) (layer Sig2-L4) (net 143) (tstamp 5183287E))
+  (segment (start 208.1125 126.7875) (end 208.1125 127.2875) (width 0.1524) (layer Sig2-L4) (net 144))
+  (segment (start 213.7375 146.638374) (end 213.887063 146.787937) (width 0.1524) (layer Sig2-L4) (net 144) (tstamp 51832874))
+  (segment (start 213.7375 142.1625) (end 213.7375 146.638374) (width 0.1524) (layer Sig2-L4) (net 144) (tstamp 51832872))
+  (segment (start 210.7875 139.2125) (end 213.7375 142.1625) (width 0.1524) (layer Sig2-L4) (net 144) (tstamp 5183286C))
+  (segment (start 210.7875 129.9625) (end 210.7875 139.2125) (width 0.1524) (layer Sig2-L4) (net 144) (tstamp 51832869))
+  (segment (start 208.1125 127.2875) (end 210.7875 129.9625) (width 0.1524) (layer Sig2-L4) (net 144) (tstamp 51832864))
+  (segment (start 216.5125 147.7375) (end 216.7625 147.4875) (width 0.1524) (layer Sig2-L4) (net 144) (tstamp 518326C4))
+  (segment (start 216.7625 147.4875) (end 217.4625 147.4875) (width 0.1524) (layer Sig2-L4) (net 144) (tstamp 518326C5))
+  (segment (start 216.5125 148.0375) (end 216.5125 147.7375) (width 0.1524) (layer Sig2-L4) (net 144))
+  (segment (start 216.5125 148.4875) (end 216.5125 148.0375) (width 0.1524) (layer Sig2-L4) (net 144))
+  (segment (start 216.5125 148.7875) (end 216.5125 148.4875) (width 0.1524) (layer Sig2-L4) (net 144) (tstamp 51832673))
+  (segment (start 216.3625 148.9375) (end 216.5125 148.7875) (width 0.1524) (layer Sig2-L4) (net 144) (tstamp 51832672))
+  (segment (start 216.036626 148.9375) (end 216.3625 148.9375) (width 0.1524) (layer Sig2-L4) (net 144) (tstamp 5183266E))
+  (segment (start 213.887063 146.787937) (end 216.036626 148.9375) (width 0.1524) (layer Sig2-L4) (net 144) (tstamp 51832878))
+  (segment (start 201.9625 116.1875) (end 202.3625 115.7875) (width 0.1524) (layer TOP-L1) (net 144))
+  (segment (start 208.1125 117.9625) (end 208.1125 126.7875) (width 0.1524) (layer Sig2-L4) (net 144) (tstamp 5183257D))
+  (segment (start 205.9125 115.7625) (end 208.1125 117.9625) (width 0.1524) (layer Sig2-L4) (net 144) (tstamp 51832576))
+  (segment (start 202.3875 115.7625) (end 205.9125 115.7625) (width 0.1524) (layer Sig2-L4) (net 144) (tstamp 5183256D))
+  (segment (start 202.3625 115.7875) (end 202.3875 115.7625) (width 0.1524) (layer Sig2-L4) (net 144) (tstamp 5183256C))
+  (via (at 202.3625 115.7875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 144))
+  (segment (start 213.812402 154.3175) (end 213.812402 155.557402) (width 0.1524) (layer Sig2-L4) (net 145))
+  (segment (start 225.2925 149.9875) (end 225.2925 149.991626) (width 0.1524) (layer Sig2-L4) (net 145) (tstamp 519F3B9E))
+  (segment (start 226.0025 150.6975) (end 225.2925 149.9875) (width 0.1524) (layer Sig2-L4) (net 145) (tstamp 519F3B9B))
+  (segment (start 226.0025 155.7375) (end 226.0025 150.6975) (width 0.1524) (layer Sig2-L4) (net 145) (tstamp 519F3B99))
+  (segment (start 224.4425 157.2975) (end 226.0025 155.7375) (width 0.1524) (layer Sig2-L4) (net 145) (tstamp 519F3B97))
+  (segment (start 215.5525 157.2975) (end 224.4425 157.2975) (width 0.1524) (layer Sig2-L4) (net 145) (tstamp 519F3B95))
+  (segment (start 213.812402 155.557402) (end 215.5525 157.2975) (width 0.1524) (layer Sig2-L4) (net 145) (tstamp 519F3B93))
+  (segment (start 223.988374 148.6875) (end 220.9875 148.6875) (width 0.1524) (layer Sig2-L4) (net 145) (tstamp 51832A2E))
+  (segment (start 220.9875 148.6875) (end 220.1625 149.5125) (width 0.1524) (layer Sig2-L4) (net 145) (tstamp 51832A2F))
+  (segment (start 224.2625 148.961626) (end 223.988374 148.6875) (width 0.1524) (layer Sig2-L4) (net 145))
+  (segment (start 224.2375 148.936626) (end 224.2625 148.961626) (width 0.1524) (layer Sig2-L4) (net 145))
+  (segment (start 201.1625 116.9875) (end 201.5625 116.5875) (width 0.1524) (layer TOP-L1) (net 145))
+  (segment (start 225.2925 149.991626) (end 224.2375 148.936626) (width 0.1524) (layer Sig2-L4) (net 145) (tstamp 519F3B9F))
+  (segment (start 213.812402 149.037402) (end 213.812402 154.3175) (width 0.1524) (layer Sig2-L4) (net 145) (tstamp 518329A9))
+  (segment (start 212.4875 147.7125) (end 213.812402 149.037402) (width 0.1524) (layer Sig2-L4) (net 145) (tstamp 518329A2))
+  (segment (start 212.4875 142.513374) (end 212.4875 147.7125) (width 0.1524) (layer Sig2-L4) (net 145) (tstamp 518329A0))
+  (segment (start 209.6875 139.713374) (end 212.4875 142.513374) (width 0.1524) (layer Sig2-L4) (net 145) (tstamp 5183299D))
+  (segment (start 209.6875 130.238374) (end 209.6875 139.713374) (width 0.1524) (layer Sig2-L4) (net 145) (tstamp 5183299B))
+  (segment (start 207.1875 127.738374) (end 209.6875 130.238374) (width 0.1524) (layer Sig2-L4) (net 145) (tstamp 51832998))
+  (segment (start 207.1875 118.6875) (end 207.1875 127.738374) (width 0.1524) (layer Sig2-L4) (net 145) (tstamp 51832996))
+  (segment (start 205.587598 117.087598) (end 207.1875 118.6875) (width 0.1524) (layer Sig2-L4) (net 145) (tstamp 51832992))
+  (segment (start 202.662598 117.087598) (end 205.587598 117.087598) (width 0.1524) (layer Sig2-L4) (net 145) (tstamp 5183298E))
+  (segment (start 202.1625 116.5875) (end 202.662598 117.087598) (width 0.1524) (layer Sig2-L4) (net 145) (tstamp 51832984))
+  (segment (start 201.5625 116.5875) (end 202.1625 116.5875) (width 0.1524) (layer Sig2-L4) (net 145) (tstamp 51832983))
+  (via (at 201.5625 116.5875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 145))
+  (segment (start 213.5125 154.9675) (end 213.5125 155.6775) (width 0.1524) (layer Sig2-L4) (net 146))
+  (segment (start 225.9025 150.1675) (end 225.9025 150.1775) (width 0.1524) (layer Sig2-L4) (net 146) (tstamp 519F3BAD))
+  (segment (start 226.3125 150.5775) (end 225.9025 150.1675) (width 0.1524) (layer Sig2-L4) (net 146) (tstamp 519F3BAB))
+  (segment (start 226.3125 155.8675) (end 226.3125 150.5775) (width 0.1524) (layer Sig2-L4) (net 146) (tstamp 519F3BA9))
+  (segment (start 224.5925 157.5875) (end 226.3125 155.8675) (width 0.1524) (layer Sig2-L4) (net 146) (tstamp 519F3BA7))
+  (segment (start 215.4225 157.5875) (end 224.5925 157.5875) (width 0.1524) (layer Sig2-L4) (net 146) (tstamp 519F3BA5))
+  (segment (start 213.5125 155.6775) (end 215.4225 157.5875) (width 0.1524) (layer Sig2-L4) (net 146) (tstamp 519F3BA3))
+  (segment (start 220.1725 147.4825) (end 223.2075 147.4825) (width 0.1524) (layer Sig2-L4) (net 146))
+  (segment (start 223.2075 147.4825) (end 225.9025 150.1775) (width 0.1524) (layer Sig2-L4) (net 146) (tstamp 5183292A))
+  (segment (start 202.3625 117.3875) (end 201.9625 116.9875) (width 0.1524) (layer TOP-L1) (net 146) (tstamp 51832959))
+  (via (at 202.3625 117.3875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 146))
+  (segment (start 205.4625 117.3875) (end 202.3625 117.3875) (width 0.1524) (layer Sig2-L4) (net 146) (tstamp 51832952))
+  (segment (start 206.8875 118.8125) (end 205.4625 117.3875) (width 0.1524) (layer Sig2-L4) (net 146) (tstamp 51832943))
+  (segment (start 206.8875 127.8625) (end 206.8875 118.8125) (width 0.1524) (layer Sig2-L4) (net 146) (tstamp 51832941))
+  (segment (start 209.3875 130.3625) (end 206.8875 127.8625) (width 0.1524) (layer Sig2-L4) (net 146) (tstamp 5183293F))
+  (segment (start 209.3875 139.8375) (end 209.3875 130.3625) (width 0.1524) (layer Sig2-L4) (net 146) (tstamp 5183293D))
+  (segment (start 212.1875 142.6375) (end 209.3875 139.8375) (width 0.1524) (layer Sig2-L4) (net 146) (tstamp 5183293B))
+  (segment (start 212.1875 147.8625) (end 212.1875 142.6375) (width 0.1524) (layer Sig2-L4) (net 146) (tstamp 51832939))
+  (segment (start 213.5125 149.1875) (end 212.1875 147.8625) (width 0.1524) (layer Sig2-L4) (net 146) (tstamp 51832937))
+  (segment (start 213.5125 154.9675) (end 213.5125 149.1875) (width 0.1524) (layer Sig2-L4) (net 146) (tstamp 519F3BA1))
+  (segment (start 197.9625 122.5875) (end 197.5625 122.9875) (width 0.1524) (layer TOP-L1) (net 147))
+  (segment (start 169.8504 146.6875) (end 167.3208 149.2171) (width 0.1524) (layer TOP-L1) (net 147) (tstamp 5187B333))
+  (segment (start 193.1125 146.6875) (end 169.8504 146.6875) (width 0.1524) (layer TOP-L1) (net 147) (tstamp 5187B331))
+  (segment (start 198.0875 141.7125) (end 193.1125 146.6875) (width 0.1524) (layer TOP-L1) (net 147) (tstamp 5187B32F))
+  (segment (start 198.0875 124.6625) (end 198.0875 141.7125) (width 0.1524) (layer TOP-L1) (net 147) (tstamp 5187B32E))
+  (segment (start 197.5625 124.1375) (end 198.0875 124.6625) (width 0.1524) (layer TOP-L1) (net 147) (tstamp 5187B32C))
+  (segment (start 197.5625 122.9875) (end 197.5625 124.1375) (width 0.1524) (layer TOP-L1) (net 147) (tstamp 5187B32B))
+  (segment (start 169.6068 149.2171) (end 171.7114 147.1125) (width 0.1524) (layer TOP-L1) (net 148))
+  (segment (start 197.9625 123.9125) (end 197.9625 123.3875) (width 0.1524) (layer TOP-L1) (net 148) (tstamp 5187B328))
+  (segment (start 198.4125 124.3625) (end 197.9625 123.9125) (width 0.1524) (layer TOP-L1) (net 148) (tstamp 5187B327))
+  (segment (start 198.4125 142.0625) (end 198.4125 124.3625) (width 0.1524) (layer TOP-L1) (net 148) (tstamp 5187B325))
+  (segment (start 193.3625 147.1125) (end 198.4125 142.0625) (width 0.1524) (layer TOP-L1) (net 148) (tstamp 5187B323))
+  (segment (start 171.7114 147.1125) (end 193.3625 147.1125) (width 0.1524) (layer TOP-L1) (net 148) (tstamp 5187B321))
+  (segment (start 198.7625 123.3875) (end 198.7625 142.3375) (width 0.1524) (layer TOP-L1) (net 149))
+  (segment (start 173.57748 147.5375) (end 171.89788 149.2171) (width 0.1524) (layer TOP-L1) (net 149) (tstamp 5187B31D))
+  (segment (start 193.5625 147.5375) (end 173.57748 147.5375) (width 0.1524) (layer TOP-L1) (net 149) (tstamp 5187B31B))
+  (segment (start 198.7625 142.3375) (end 193.5625 147.5375) (width 0.1524) (layer TOP-L1) (net 149) (tstamp 5187B319))
+  (segment (start 201.9625 121.7875) (end 202.3525 122.1775) (width 0.1524) (layer TOP-L1) (net 150))
+  (segment (start 204.0525 130.7475) (end 202.9025 131.8975) (width 0.1524) (layer Sig1-L3) (net 150) (tstamp 5185B76C))
+  (segment (start 204.0525 123.8775) (end 204.0525 130.7475) (width 0.1524) (layer Sig1-L3) (net 150) (tstamp 5185B767))
+  (segment (start 202.3525 122.1775) (end 204.0525 123.8775) (width 0.1524) (layer Sig1-L3) (net 150) (tstamp 5185B766))
+  (via (at 202.3525 122.1775) (size 0.4572) (layers TOP-L1 BOT-L6) (net 150))
+  (segment (start 200.3625 134.4375) (end 200.3625 134.2375) (width 0.1524) (layer Sig1-L3) (net 151))
+  (segment (start 200.7625 120.5875) (end 201.1625 120.1875) (width 0.1524) (layer TOP-L1) (net 151) (tstamp 5185B78B))
+  (via (at 200.7625 120.5875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 151))
+  (segment (start 200.7625 123.6075) (end 200.7625 120.5875) (width 0.1524) (layer Sig1-L3) (net 151) (tstamp 5185B782))
+  (segment (start 199.0125 125.3575) (end 200.7625 123.6075) (width 0.1524) (layer Sig1-L3) (net 151) (tstamp 5185B77C))
+  (segment (start 199.0125 132.8875) (end 199.0125 125.3575) (width 0.1524) (layer Sig1-L3) (net 151) (tstamp 5185B778))
+  (segment (start 200.3625 134.2375) (end 199.0125 132.8875) (width 0.1524) (layer Sig1-L3) (net 151) (tstamp 5185B776))
+  (segment (start 201.1625 119.3875) (end 201.5625 119.7875) (width 0.1524) (layer TOP-L1) (net 152))
+  (segment (start 201.5625 133.0975) (end 202.9025 134.4375) (width 0.1524) (layer Sig1-L3) (net 152) (tstamp 5185B81F))
+  (segment (start 201.5625 124.8375) (end 201.5625 133.0975) (width 0.1524) (layer Sig1-L3) (net 152) (tstamp 5185B817))
+  (segment (start 201.5625 119.7875) (end 201.5625 124.8375) (width 0.1524) (layer Sig1-L3) (net 152) (tstamp 5185B816))
+  (via (at 201.5625 119.7875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 152))
+  (segment (start 200.3625 136.9775) (end 200.5225 136.9775) (width 0.1524) (layer Sig1-L3) (net 153))
+  (segment (start 202.3625 120.5875) (end 201.9625 120.1875) (width 0.1524) (layer TOP-L1) (net 153) (tstamp 5185B7DC))
+  (via (at 202.3625 120.5875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 153))
+  (segment (start 204.4725 122.6975) (end 202.3625 120.5875) (width 0.1524) (layer Sig1-L3) (net 153) (tstamp 5185B7D4))
+  (segment (start 204.4725 134.8275) (end 204.4725 122.6975) (width 0.1524) (layer Sig1-L3) (net 153) (tstamp 5185B7C1))
+  (segment (start 203.5725 135.7275) (end 204.4725 134.8275) (width 0.1524) (layer Sig1-L3) (net 153) (tstamp 5185B7C0))
+  (segment (start 201.7725 135.7275) (end 203.5725 135.7275) (width 0.1524) (layer Sig1-L3) (net 153) (tstamp 5185B7BE))
+  (segment (start 200.5225 136.9775) (end 201.7725 135.7275) (width 0.1524) (layer Sig1-L3) (net 153) (tstamp 5185B7BB))
+  (segment (start 165.88794 92.41332) (end 164.1025 94.19876) (width 0.3) (layer TOP-L1) (net 154))
+  (segment (start 164.1025 94.19876) (end 164.1025 96.15) (width 0.3) (layer TOP-L1) (net 154) (tstamp 51A55FFB))
+  (segment (start 163.65 98.413) (end 164.1025 97.9605) (width 0.3) (layer TOP-L1) (net 154))
+  (segment (start 164.1025 97.9605) (end 164.1025 96.15) (width 0.3) (layer TOP-L1) (net 154) (tstamp 51A55FF7))
+  (segment (start 174.08706 88.41282) (end 172.93782 88.41282) (width 0.2) (layer TOP-L1) (net 155))
+  (segment (start 162.52458 83.44958) (end 162.52458 82.75) (width 0.2) (layer TOP-L1) (net 155) (tstamp 51A55F83))
+  (segment (start 162.575 83.5) (end 162.52458 83.44958) (width 0.2) (layer TOP-L1) (net 155) (tstamp 51A55F82))
+  (via (at 162.575 83.5) (size 0.4572) (layers TOP-L1 BOT-L6) (net 155))
+  (segment (start 162.575 87.25) (end 162.575 83.5) (width 0.2) (layer Sig1-L3) (net 155) (tstamp 51A55F7F))
+  (segment (start 164.65 89.325) (end 162.575 87.25) (width 0.2) (layer Sig1-L3) (net 155) (tstamp 51A55F7D))
+  (segment (start 171.45 89.325) (end 164.65 89.325) (width 0.2) (layer Sig1-L3) (net 155) (tstamp 51A55F7B))
+  (segment (start 172.65 88.125) (end 171.45 89.325) (width 0.2) (layer Sig1-L3) (net 155) (tstamp 51A55F7A))
+  (via (at 172.65 88.125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 155))
+  (segment (start 172.93782 88.41282) (end 172.65 88.125) (width 0.2) (layer TOP-L1) (net 155) (tstamp 51A55F78))
+  (segment (start 162.54958 81.7) (end 162.54958 82.725) (width 0.2) (layer TOP-L1) (net 155))
+  (segment (start 162.54958 82.725) (end 162.52458 82.75) (width 0.2) (layer TOP-L1) (net 155) (tstamp 51A55F66))
+  (segment (start 173.01292 83.1625) (end 173.01292 81.51292) (width 0.2) (layer TOP-L1) (net 156))
+  (segment (start 148.58 78.6) (end 145.555 81.625) (width 0.2) (layer BOT-L6) (net 156) (tstamp 51A55F74))
+  (segment (start 161.375 78.6) (end 148.58 78.6) (width 0.2) (layer BOT-L6) (net 156) (tstamp 51A55F72))
+  (segment (start 163.925 81.15) (end 161.375 78.6) (width 0.2) (layer BOT-L6) (net 156) (tstamp 51A55F70))
+  (segment (start 170.05 81.15) (end 163.925 81.15) (width 0.2) (layer BOT-L6) (net 156) (tstamp 51A55F6F))
+  (segment (start 170.775 81.875) (end 170.05 81.15) (width 0.2) (layer BOT-L6) (net 156) (tstamp 51A55F6E))
+  (via (at 170.775 81.875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 156))
+  (segment (start 171.625 81.025) (end 170.775 81.875) (width 0.2) (layer TOP-L1) (net 156) (tstamp 51A55F6B))
+  (segment (start 172.525 81.025) (end 171.625 81.025) (width 0.2) (layer TOP-L1) (net 156) (tstamp 51A55F6A))
+  (segment (start 173.01292 81.51292) (end 172.525 81.025) (width 0.2) (layer TOP-L1) (net 156) (tstamp 51A55F69))
+  (segment (start 171.73756 84.2125) (end 171.73756 83.88744) (width 0.1524) (layer TOP-L1) (net 156))
+  (segment (start 172.4625 83.1625) (end 173.01292 83.1625) (width 0.1524) (layer TOP-L1) (net 156) (tstamp 5183587C))
+  (segment (start 171.73756 83.88744) (end 172.4625 83.1625) (width 0.1524) (layer TOP-L1) (net 156) (tstamp 5183587B))
+  (segment (start 171.73756 85.56294) (end 171.73756 84.2125) (width 0.1524) (layer TOP-L1) (net 156))
+  (segment (start 151.545 92.875) (end 151.545 92.505) (width 0.3) (layer BOT-L6) (net 157))
+  (segment (start 164.4382 90.4118) (end 165.88794 90.4118) (width 0.3) (layer TOP-L1) (net 157) (tstamp 51A560B2))
+  (segment (start 164.425 90.425) (end 164.4382 90.4118) (width 0.3) (layer TOP-L1) (net 157) (tstamp 51A560B1))
+  (via (at 164.425 90.425) (size 0.4572) (layers TOP-L1 BOT-L6) (net 157))
+  (segment (start 163.35 91.5) (end 164.425 90.425) (width 0.3) (layer BOT-L6) (net 157) (tstamp 51A560AE))
+  (segment (start 152.55 91.5) (end 163.35 91.5) (width 0.3) (layer BOT-L6) (net 157) (tstamp 51A560AD))
+  (segment (start 151.545 92.505) (end 152.55 91.5) (width 0.3) (layer BOT-L6) (net 157) (tstamp 51A560AC))
+  (segment (start 152.815 95.415) (end 152.815 94.145) (width 0.3) (layer BOT-L6) (net 157))
+  (segment (start 152.815 94.145) (end 151.545 92.875) (width 0.3) (layer BOT-L6) (net 157) (tstamp 51A560A6))
+  (segment (start 164.965 83.875) (end 164.965 83.685) (width 0.3) (layer TOP-L1) (net 157))
+  (segment (start 173.375 79.75) (end 174.575 80.95) (width 0.3) (layer TOP-L1) (net 157) (tstamp 51A55E72))
+  (segment (start 168.9 79.75) (end 173.375 79.75) (width 0.3) (layer TOP-L1) (net 157) (tstamp 51A55E71))
+  (segment (start 164.965 83.685) (end 168.9 79.75) (width 0.3) (layer TOP-L1) (net 157) (tstamp 51A55E70))
+  (segment (start 164.965 85.0625) (end 164.965 83.875) (width 0.3) (layer TOP-L1) (net 157))
+  (segment (start 177.8875 84.2625) (end 177.8875 85.6755) (width 0.3) (layer TOP-L1) (net 157) (tstamp 5199FA4E))
+  (segment (start 174.575 80.95) (end 177.8875 84.2625) (width 0.3) (layer TOP-L1) (net 157) (tstamp 51A55E76))
+  (segment (start 167.23792 90.4125) (end 167.23792 86.91292) (width 0.1524) (layer TOP-L1) (net 157))
+  (segment (start 166.015 86.1125) (end 164.965 85.0625) (width 0.1524) (layer TOP-L1) (net 157) (tstamp 5185B959))
+  (segment (start 166.4375 86.1125) (end 166.015 86.1125) (width 0.1524) (layer TOP-L1) (net 157) (tstamp 5185B955))
+  (segment (start 167.23792 86.91292) (end 166.4375 86.1125) (width 0.1524) (layer TOP-L1) (net 157) (tstamp 5185B94E))
+  (segment (start 165.88794 90.4118) (end 167.23722 90.4118) (width 0.1524) (layer TOP-L1) (net 157))
+  (segment (start 167.23722 90.4118) (end 167.23792 90.4125) (width 0.1524) (layer TOP-L1) (net 157) (tstamp 5185B94B))
+  (segment (start 165.88794 89.91142) (end 164.86142 89.91142) (width 0.1524) (layer TOP-L1) (net 158))
+  (segment (start 155.56 91.4) (end 154.085 92.875) (width 0.1524) (layer TOP-L1) (net 158) (tstamp 51A56087))
+  (segment (start 161.35 91.4) (end 155.56 91.4) (width 0.1524) (layer TOP-L1) (net 158) (tstamp 51A56085))
+  (segment (start 163 89.75) (end 161.35 91.4) (width 0.1524) (layer TOP-L1) (net 158) (tstamp 51A56082))
+  (segment (start 164.7 89.75) (end 163 89.75) (width 0.1524) (layer TOP-L1) (net 158) (tstamp 51A56081))
+  (segment (start 164.86142 89.91142) (end 164.7 89.75) (width 0.1524) (layer TOP-L1) (net 158) (tstamp 51A5607F))
+  (segment (start 165.88794 89.41358) (end 162.83642 89.41358) (width 0.1524) (layer TOP-L1) (net 159))
+  (segment (start 150.275 92.325) (end 150.275 95.415) (width 0.1524) (layer TOP-L1) (net 159) (tstamp 51A56069))
+  (segment (start 151.525 91.075) (end 150.275 92.325) (width 0.1524) (layer TOP-L1) (net 159) (tstamp 51A56062))
+  (segment (start 161.175 91.075) (end 151.525 91.075) (width 0.1524) (layer TOP-L1) (net 159) (tstamp 51A56060))
+  (segment (start 162.83642 89.41358) (end 161.175 91.075) (width 0.1524) (layer TOP-L1) (net 159) (tstamp 51A5605D))
+  (segment (start 165.88794 91.41256) (end 163.01244 91.41256) (width 0.1524) (layer TOP-L1) (net 160))
+  (segment (start 161.55 92.875) (end 156.625 92.875) (width 0.1524) (layer TOP-L1) (net 160) (tstamp 51A56012))
+  (segment (start 163.01244 91.41256) (end 161.55 92.875) (width 0.1524) (layer TOP-L1) (net 160) (tstamp 51A56004))
+  (segment (start 165.88794 90.91218) (end 164.91282 90.91218) (width 0.1524) (layer TOP-L1) (net 161))
+  (segment (start 155.355 92.32) (end 155.355 95.415) (width 0.1524) (layer TOP-L1) (net 161) (tstamp 51A56027))
+  (segment (start 155.975 91.7) (end 155.355 92.32) (width 0.1524) (layer TOP-L1) (net 161) (tstamp 51A56026))
+  (segment (start 157.45 91.7) (end 155.975 91.7) (width 0.1524) (layer TOP-L1) (net 161) (tstamp 51A56024))
+  (segment (start 158.3 92.55) (end 157.45 91.7) (width 0.1524) (layer TOP-L1) (net 161) (tstamp 51A5601E))
+  (segment (start 161.4 92.55) (end 158.3 92.55) (width 0.1524) (layer TOP-L1) (net 161) (tstamp 51A56019))
+  (segment (start 162.875 91.075) (end 161.4 92.55) (width 0.1524) (layer TOP-L1) (net 161) (tstamp 51A56018))
+  (segment (start 164.75 91.075) (end 162.875 91.075) (width 0.1524) (layer TOP-L1) (net 161) (tstamp 51A56017))
+  (segment (start 164.91282 90.91218) (end 164.75 91.075) (width 0.1524) (layer TOP-L1) (net 161) (tstamp 51A56016))
+  (segment (start 219.6155 107.0875) (end 219.6155 110.5905) (width 2) (layer TOP-L1) (net 162))
+  (segment (start 219.6155 110.5905) (end 220.8625 111.8375) (width 2) (layer TOP-L1) (net 162) (tstamp 5191EE08))
+  (segment (start 220.8625 111.8375) (end 209.6125 111.8375) (width 2) (layer BOT-L6) (net 162))
+  (segment (start 207.2625 109.4875) (end 204.6625 109.4875) (width 2) (layer BOT-L6) (net 162) (tstamp 5191EDA8))
+  (segment (start 209.6125 111.8375) (end 207.2625 109.4875) (width 2) (layer BOT-L6) (net 162) (tstamp 5191EDA0))
+  (segment (start 194.3625 111.7875) (end 195.6875 111.7875) (width 0.8) (layer BOT-L6) (net 162))
+  (segment (start 195.6875 111.7875) (end 195.9125 111.5625) (width 0.8) (layer BOT-L6) (net 162) (tstamp 51907560))
+  (segment (start 195.9125 111.5625) (end 195.9125 110.2375) (width 0.8) (layer BOT-L6) (net 162) (tstamp 51907564))
+  (segment (start 195.9125 110.2375) (end 196.7375 109.4125) (width 0.8) (layer BOT-L6) (net 162) (tstamp 51907567))
+  (segment (start 196.7375 109.4125) (end 204.6625 109.4125) (width 0.8) (layer BOT-L6) (net 162) (tstamp 51907568))
+  (segment (start 219.6155 110.5905) (end 220.8625 111.8375) (width 0.8) (layer TOP-L1) (net 162) (tstamp 519074FD))
+  (segment (start 218.78792 104.8125) (end 218.7656 104.79018) (width 0.3) (layer TOP-L1) (net 162))
+  (segment (start 218.7656 104.79018) (end 218.7656 101.82082) (width 0.3) (layer TOP-L1) (net 162) (tstamp 5188E87D))
+  (segment (start 219.6155 107.0875) (end 218.78792 106.25992) (width 0.3) (layer TOP-L1) (net 162))
+  (segment (start 218.78792 106.25992) (end 218.78792 104.8125) (width 0.3) (layer TOP-L1) (net 162) (tstamp 5188E871))
+  (segment (start 221.485 108.2375) (end 220.7655 108.2375) (width 0.8) (layer TOP-L1) (net 162))
+  (segment (start 220.7655 108.2375) (end 219.6155 107.0875) (width 0.8) (layer TOP-L1) (net 162) (tstamp 5188DA2A))
+  (segment (start 221.485 106.3875) (end 220.3155 106.3875) (width 0.8) (layer TOP-L1) (net 162))
+  (segment (start 220.3155 106.3875) (end 219.6155 107.0875) (width 0.1524) (layer TOP-L1) (net 162) (tstamp 5188DA27))
+  (segment (start 221.485 106.3875) (end 221.485 108.2375) (width 0.1524) (layer TOP-L1) (net 162))
+  (segment (start 195.1625 111.7875) (end 195.1625 112.1125) (width 0.1524) (layer BOT-L6) (net 162))
+  (segment (start 195.1625 112.1125) (end 195.1625 112.0125) (width 0.1524) (layer BOT-L6) (net 162) (tstamp 5188C015))
+  (segment (start 194.4125 112.0125) (end 194.3625 112.0125) (width 0.1524) (layer BOT-L6) (net 162) (tstamp 518874A6))
+  (segment (start 195.1625 112.0125) (end 194.4125 112.0125) (width 0.1524) (layer BOT-L6) (net 162) (tstamp 5188C016))
+  (segment (start 196.2625 112.46292) (end 196.2625 112.0875) (width 0.1524) (layer BOT-L6) (net 162))
+  (via (at 195.9625 111.7875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 162))
+  (segment (start 196.2625 112.0875) (end 195.9625 111.7875) (width 0.1524) (layer BOT-L6) (net 162) (tstamp 5188749B))
+  (segment (start 196.3625 111.3875) (end 195.9625 111.7875) (width 0.1524) (layer TOP-L1) (net 162))
+  (segment (start 195.9625 111.7875) (end 195.5625 111.3875) (width 0.1524) (layer TOP-L1) (net 162))
+  (segment (start 195.3125 112.46292) (end 195.3125 111.9375) (width 0.1524) (layer BOT-L6) (net 162))
+  (via (at 195.1625 111.7875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 162))
+  (segment (start 195.3125 111.9375) (end 195.1625 111.7875) (width 0.1524) (layer BOT-L6) (net 162) (tstamp 51887492))
+  (segment (start 195.5625 112.1875) (end 195.1625 111.7875) (width 0.1524) (layer TOP-L1) (net 162))
+  (segment (start 195.1625 111.7875) (end 194.7625 112.1875) (width 0.1524) (layer TOP-L1) (net 162))
+  (segment (start 194.7625 111.3875) (end 194.3625 111.7875) (width 0.1524) (layer TOP-L1) (net 162))
+  (segment (start 193.9625 111.3875) (end 194.3625 111.7875) (width 0.1524) (layer TOP-L1) (net 162))
+  (segment (start 194.3625 111.7875) (end 194.3625 111.7875) (width 0.1524) (layer BOT-L6) (net 162) (tstamp 5188743E))
+  (segment (start 194.3625 111.7875) (end 194.3625 112.0125) (width 0.1524) (layer BOT-L6) (net 162) (tstamp 5190755E))
+  (via (at 194.3625 111.7875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 162))
+  (segment (start 194.3625 112.0125) (end 194.3625 112.46292) (width 0.1524) (layer BOT-L6) (net 162) (tstamp 518874A7))
+  (segment (start 198.4875 109.3375) (end 197.0875 109.3875) (width 0.1524) (layer BOT-L6) (net 162) (tstamp 5188749E))
+  (segment (start 222.6875 98.53792) (end 225.38208 98.53792) (width 0.5) (layer TOP-L1) (net 163))
+  (segment (start 225.38208 98.53792) (end 226.5375 97.3825) (width 0.5) (layer TOP-L1) (net 163) (tstamp 519DF6EC))
+  (segment (start 220.41406 99.1716) (end 221.0284 99.1716) (width 0.3) (layer TOP-L1) (net 163))
+  (segment (start 222.11208 98.53792) (end 222.6875 98.53792) (width 0.3) (layer TOP-L1) (net 163) (tstamp 519DF6E9))
+  (segment (start 221.8875 98.7625) (end 222.11208 98.53792) (width 0.3) (layer TOP-L1) (net 163) (tstamp 519DF6E8))
+  (segment (start 221.4375 98.7625) (end 221.8875 98.7625) (width 0.3) (layer TOP-L1) (net 163) (tstamp 519DF6E7))
+  (segment (start 221.0284 99.1716) (end 221.4375 98.7625) (width 0.3) (layer TOP-L1) (net 163) (tstamp 519DF6E6))
+  (segment (start 196.7875 117.28708) (end 196.7875 117.5375) (width 0.1524) (layer BOT-L6) (net 163))
+  (segment (start 196.7875 117.5375) (end 196.7875 117.4875) (width 0.1524) (layer BOT-L6) (net 163) (tstamp 51920B90))
+  (segment (start 192.7625 117.3875) (end 193.3625 117.3875) (width 0.1524) (layer BOT-L6) (net 163))
+  (segment (start 193.4125 117.4375) (end 193.4125 117.4875) (width 0.1524) (layer BOT-L6) (net 163) (tstamp 51920B8C))
+  (segment (start 193.3625 117.3875) (end 193.4125 117.4375) (width 0.1524) (layer BOT-L6) (net 163) (tstamp 51920B8A))
+  (segment (start 191.9625 113.3875) (end 192.18792 113.3875) (width 0.1524) (layer BOT-L6) (net 163))
+  (via (at 191.9625 113.3875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 163))
+  (segment (start 192.3625 112.9875) (end 191.9625 113.3875) (width 0.1524) (layer TOP-L1) (net 163))
+  (segment (start 192.18792 113.3875) (end 192.58792 112.9875) (width 0.1524) (layer BOT-L6) (net 163) (tstamp 51920B87))
+  (segment (start 191.9625 114.1875) (end 192.28792 114.1875) (width 0.1524) (layer BOT-L6) (net 163))
+  (via (at 191.9625 114.1875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 163))
+  (segment (start 192.28792 114.1875) (end 192.58792 113.8875) (width 0.1524) (layer BOT-L6) (net 163) (tstamp 51920B84))
+  (segment (start 202.8125 118.8625) (end 201.4125 118.8625) (width 0.8) (layer BOT-L6) (net 163))
+  (segment (start 200.0375 117.4875) (end 196.7875 117.4875) (width 0.8) (layer BOT-L6) (net 163) (tstamp 519202AB))
+  (segment (start 201.4125 118.8625) (end 200.0375 117.4875) (width 0.8) (layer BOT-L6) (net 163) (tstamp 519202AA))
+  (segment (start 196.7875 117.4875) (end 193.4125 117.4875) (width 0.8) (layer BOT-L6) (net 163) (tstamp 51920B91))
+  (segment (start 192.5875 116.1125) (end 192.58792 116.1125) (width 0.8) (layer BOT-L6) (net 163) (tstamp 519202AF))
+  (segment (start 193.4125 117.4875) (end 192.7625 117.4875) (width 0.8) (layer BOT-L6) (net 163) (tstamp 51920B8D))
+  (segment (start 192.7625 117.4875) (end 192.5875 117.3125) (width 0.8) (layer BOT-L6) (net 163) (tstamp 519202AC))
+  (segment (start 192.5875 117.3125) (end 192.5875 116.1125) (width 0.8) (layer BOT-L6) (net 163) (tstamp 519202AD))
+  (segment (start 196.7875 117.28708) (end 197.6875 117.28708) (width 0.1524) (layer BOT-L6) (net 163))
+  (segment (start 192.58792 112.9875) (end 192.58792 116.1125) (width 0.8) (layer BOT-L6) (net 163))
+  (segment (start 192.58792 116.1125) (end 192.58792 116.5375) (width 0.8) (layer BOT-L6) (net 163) (tstamp 519202B0))
+  (segment (start 192.3625 115.3875) (end 191.9625 114.9875) (width 0.1524) (layer TOP-L1) (net 163))
+  (segment (start 191.5625 114.5875) (end 191.5625 113.7875) (width 0.1524) (layer TOP-L1) (net 163))
+  (segment (start 192.58792 114.7625) (end 192.1875 114.7625) (width 0.1524) (layer BOT-L6) (net 163))
+  (segment (start 192.1875 114.7625) (end 191.9625 114.9875) (width 0.1524) (layer BOT-L6) (net 163) (tstamp 5191FB7C))
+  (via (at 191.9625 114.9875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 163))
+  (segment (start 191.9625 114.9875) (end 191.5625 114.5875) (width 0.1524) (layer TOP-L1) (net 163))
+  (segment (start 192.3625 113.7875) (end 191.9625 114.1875) (width 0.1524) (layer TOP-L1) (net 163))
+  (segment (start 191.9625 114.1875) (end 191.5625 113.7875) (width 0.1524) (layer TOP-L1) (net 163))
+  (segment (start 192.3625 112.9875) (end 193.1625 112.9875) (width 0.1524) (layer TOP-L1) (net 163))
+  (segment (start 192.58792 115.6875) (end 192.0625 115.6875) (width 0.1524) (layer BOT-L6) (net 163))
+  (segment (start 192.0625 115.6875) (end 191.9625 115.7875) (width 0.1524) (layer BOT-L6) (net 163) (tstamp 5191F8B0))
+  (segment (start 191.9875 117.3875) (end 191.9875 117.23792) (width 0.1524) (layer BOT-L6) (net 163))
+  (segment (start 191.9875 117.23792) (end 192.63792 116.5875) (width 0.1524) (layer BOT-L6) (net 163) (tstamp 5191F8A9))
+  (segment (start 192.7625 117.3875) (end 192.7625 116.71208) (width 0.1524) (layer BOT-L6) (net 163))
+  (segment (start 192.7625 116.71208) (end 192.63792 116.5875) (width 0.1524) (layer BOT-L6) (net 163) (tstamp 5191F8A4))
+  (segment (start 191.9875 117.3875) (end 191.9625 117.3875) (width 0.3) (layer BOT-L6) (net 163) (tstamp 5191F8A7))
+  (segment (start 192.7625 117.3875) (end 192.7625 117.3875) (width 0.5) (layer BOT-L6) (net 163))
+  (segment (start 202.9375 118.8625) (end 202.8125 118.8625) (width 0.5) (layer BOT-L6) (net 163))
+  (segment (start 202.8125 118.8625) (end 202.8625 118.8625) (width 0.5) (layer BOT-L6) (net 163) (tstamp 519202A8))
+  (segment (start 202.8625 118.8625) (end 202.6875 118.8625) (width 0.5) (layer BOT-L6) (net 163) (tstamp 5191ED7D))
+  (segment (start 202.6875 118.8625) (end 198.78792 114.96292) (width 0.5) (layer BOT-L6) (net 163) (tstamp 5191ED57))
+  (segment (start 198.78792 114.96292) (end 198.78792 113.8125) (width 0.5) (layer BOT-L6) (net 163) (tstamp 5191ED63))
+  (segment (start 225.4925 112.3775) (end 225.4875 112.3825) (width 2) (layer BOT-L6) (net 163))
+  (segment (start 206.8125 118.8625) (end 202.9375 118.8625) (width 2) (layer BOT-L6) (net 163) (tstamp 5191ED37))
+  (segment (start 202.9375 118.8625) (end 203.2125 118.8625) (width 2) (layer BOT-L6) (net 163) (tstamp 5191ED55))
+  (segment (start 210.6875 114.9875) (end 206.8125 118.8625) (width 2) (layer BOT-L6) (net 163) (tstamp 5191ED32))
+  (segment (start 224.0875 114.9875) (end 210.6875 114.9875) (width 2) (layer BOT-L6) (net 163) (tstamp 5191ED2C))
+  (segment (start 225.4875 113.5875) (end 224.0875 114.9875) (width 2) (layer BOT-L6) (net 163) (tstamp 5191ED27))
+  (segment (start 225.4875 112.3825) (end 225.4875 113.5875) (width 2) (layer BOT-L6) (net 163) (tstamp 5191ED1B))
+  (segment (start 229.39 103.8125) (end 229.39 109.76) (width 0.8) (layer TOP-L1) (net 163))
+  (segment (start 226.7725 112.3775) (end 225.4925 112.3775) (width 0.8) (layer TOP-L1) (net 163) (tstamp 51907441))
+  (segment (start 229.39 109.76) (end 226.7725 112.3775) (width 0.8) (layer TOP-L1) (net 163) (tstamp 5190743F))
+  (segment (start 227.4875 95.515) (end 227.4875 96.5595) (width 0.8) (layer TOP-L1) (net 163))
+  (segment (start 227.4875 96.5595) (end 226.5375 97.5095) (width 0.8) (layer TOP-L1) (net 163) (tstamp 51907439))
+  (segment (start 226.5375 97.5095) (end 228.8845 97.5095) (width 0.8) (layer TOP-L1) (net 163))
+  (segment (start 228.8845 97.5095) (end 229.39 98.015) (width 0.8) (layer TOP-L1) (net 163) (tstamp 5190742C))
+  (segment (start 229.39 98.015) (end 229.39 103.8125) (width 0.8) (layer TOP-L1) (net 163) (tstamp 5190742F))
+  (segment (start 192.3625 116.9875) (end 192.7625 117.3875) (width 0.1524) (layer TOP-L1) (net 163))
+  (segment (start 193.1625 116.9875) (end 192.7625 117.3875) (width 0.1524) (layer TOP-L1) (net 163))
+  (via (at 192.7625 117.3875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 163))
+  (segment (start 198.78792 113.8125) (end 198.7625 113.78708) (width 0.1524) (layer BOT-L6) (net 163))
+  (segment (start 198.7625 113.78708) (end 198.7625 113.1875) (width 0.1524) (layer BOT-L6) (net 163) (tstamp 5188A7C3))
+  (segment (start 197.9625 112.1875) (end 198.3875 112.1875) (width 0.1524) (layer TOP-L1) (net 163))
+  (segment (start 198.3875 112.1875) (end 198.7625 111.8125) (width 0.1524) (layer TOP-L1) (net 163) (tstamp 51887202))
+  (segment (start 197.1625 112.9875) (end 197.9625 112.9875) (width 0.1524) (layer TOP-L1) (net 163))
+  (segment (start 197.9625 112.9875) (end 198.1625 113.1875) (width 0.1524) (layer TOP-L1) (net 163) (tstamp 51887178))
+  (segment (start 198.1625 113.1875) (end 198.7625 113.1875) (width 0.1524) (layer TOP-L1) (net 163) (tstamp 5188717A))
+  (via (at 198.7625 113.1875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 163))
+  (via (at 198.7625 111.8125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 163))
+  (segment (start 198.7625 113.1875) (end 198.7625 111.8125) (width 0.1524) (layer BOT-L6) (net 163) (tstamp 51887182))
+  (segment (start 197.9625 112.1875) (end 197.1625 112.1875) (width 0.1524) (layer TOP-L1) (net 163) (tstamp 51887173))
+  (segment (start 192.3625 116.9875) (end 192.3625 115.3875) (width 0.1524) (layer TOP-L1) (net 163))
+  (via (at 191.9625 117.3875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 163))
+  (segment (start 191.5625 117.7875) (end 191.9625 117.3875) (width 0.1524) (layer TOP-L1) (net 163))
+  (segment (start 191.9625 117.3875) (end 192.3625 116.9875) (width 0.1524) (layer TOP-L1) (net 163))
+  (via (at 191.9625 115.7875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 163))
+  (segment (start 192.3625 116.1875) (end 191.9625 115.7875) (width 0.1524) (layer TOP-L1) (net 163))
+  (segment (start 191.9625 115.7875) (end 192.3625 115.3875) (width 0.1524) (layer TOP-L1) (net 163))
+  (segment (start 163.65 99.937) (end 164.788 99.937) (width 0.3) (layer TOP-L1) (net 164))
+  (segment (start 164.8 99.925) (end 164.8 99.9375) (width 0.3) (layer TOP-L1) (net 164) (tstamp 51A55FE5))
+  (segment (start 164.788 99.937) (end 164.8 99.925) (width 0.3) (layer TOP-L1) (net 164) (tstamp 51A55FE3))
+  (segment (start 166.18792 99.9375) (end 164.8 99.9375) (width 0.3) (layer TOP-L1) (net 164))
+  (segment (start 164.8 99.9375) (end 164.7875 99.9375) (width 0.3) (layer TOP-L1) (net 164) (tstamp 51A55FE6))
+  (segment (start 164.775 99.925) (end 164.75 99.925) (width 0.3) (layer TOP-L1) (net 164) (tstamp 51A55FC7))
+  (segment (start 164.7875 99.9375) (end 164.775 99.925) (width 0.3) (layer TOP-L1) (net 164) (tstamp 51A55FC4))
+  (segment (start 166.18792 95.1625) (end 165.3125 95.1625) (width 0.3) (layer TOP-L1) (net 164))
+  (segment (start 165.3 95.15) (end 165.275 95.15) (width 0.3) (layer TOP-L1) (net 164) (tstamp 51A55FB7))
+  (segment (start 165.3125 95.1625) (end 165.3 95.15) (width 0.3) (layer TOP-L1) (net 164) (tstamp 51A55FB5))
+  (segment (start 166.18792 101.8625) (end 165.0625 101.8625) (width 0.3) (layer TOP-L1) (net 164))
+  (segment (start 165.0625 101.8625) (end 164.75 101.55) (width 0.3) (layer TOP-L1) (net 164) (tstamp 51A55F9C))
+  (segment (start 164.75 101.55) (end 164.75 99.925) (width 0.3) (layer TOP-L1) (net 164) (tstamp 51A55FA2))
+  (segment (start 164.75 99.925) (end 164.75 97.85) (width 0.3) (layer TOP-L1) (net 164) (tstamp 51A55FC8))
+  (segment (start 164.75 97.85) (end 165.275 97.325) (width 0.3) (layer TOP-L1) (net 164) (tstamp 51A55FA5))
+  (segment (start 165.275 97.325) (end 165.275 95.15) (width 0.3) (layer TOP-L1) (net 164) (tstamp 51A55FAC))
+  (segment (start 165.525 94.225) (end 165.5375 94.225) (width 0.3) (layer TOP-L1) (net 164) (tstamp 51A55FB1))
+  (segment (start 165.5375 94.2375) (end 165.5375 94.225) (width 0.1524) (layer TOP-L1) (net 164) (tstamp 51889F6F))
+  (via (at 165.5375 94.2375) (size 0.4572) (layers TOP-L1 BOT-L6) (net 164))
+  (segment (start 165.5625 94.2375) (end 165.5125 94.2375) (width 0.1524) (layer BOT-L6) (net 164) (tstamp 5191DA5C))
+  (segment (start 166.5875 94.2375) (end 170.5625 90.2625) (width 0.5) (layer BOT-L6) (net 164) (tstamp 5188E73E))
+  (segment (start 170.5625 90.2625) (end 170.5625 88.2375) (width 0.5) (layer BOT-L6) (net 164) (tstamp 5188E748))
+  (segment (start 165.5125 94.2375) (end 166.5875 94.2375) (width 0.5) (layer BOT-L6) (net 164) (tstamp 5188E7B0))
+  (segment (start 165.5375 94.2375) (end 165.5625 94.2375) (width 0.1524) (layer BOT-L6) (net 164))
+  (segment (start 165.275 95.15) (end 165.275 94.475) (width 0.3) (layer TOP-L1) (net 164) (tstamp 51A55FB8))
+  (segment (start 165.275 94.475) (end 165.525 94.225) (width 0.3) (layer TOP-L1) (net 164) (tstamp 51A55FAD))
+  (segment (start 158.805 81.625) (end 162.55 81.625) (width 0.5) (layer BOT-L6) (net 164))
+  (segment (start 170.55 88.25) (end 170.5375 88.25) (width 0.5) (layer BOT-L6) (net 164) (tstamp 51A55F63))
+  (segment (start 169.175 88.25) (end 170.55 88.25) (width 0.5) (layer BOT-L6) (net 164) (tstamp 51A55F61))
+  (segment (start 162.55 81.625) (end 169.175 88.25) (width 0.5) (layer BOT-L6) (net 164) (tstamp 51A55F5F))
+  (segment (start 161.65042 80.5) (end 161.65042 81.7) (width 0.3) (layer TOP-L1) (net 164))
+  (segment (start 161.65042 81.7) (end 158.88 81.7) (width 0.3) (layer TOP-L1) (net 164))
+  (segment (start 158.88 81.7) (end 158.805 81.625) (width 0.3) (layer TOP-L1) (net 164) (tstamp 51A55ECA))
+  (segment (start 194.5375 109.9625) (end 194.5625 109.9375) (width 0.3) (layer BOT-L6) (net 164))
+  (segment (start 196.8625 109.9375) (end 197.5625 110.6375) (width 0.3) (layer TOP-L1) (net 164) (tstamp 5191FE4C))
+  (segment (start 194.5625 109.9375) (end 196.8625 109.9375) (width 0.3) (layer TOP-L1) (net 164) (tstamp 5191FE4B))
+  (via (at 194.5625 109.9375) (size 0.4572) (layers TOP-L1 BOT-L6) (net 164))
+  (segment (start 191.9375 111.13708) (end 192.8625 111.13708) (width 0.3) (layer BOT-L6) (net 164))
+  (segment (start 192.8625 111.13708) (end 193.53792 111.13708) (width 0.3) (layer BOT-L6) (net 164) (tstamp 5191FE3E))
+  (segment (start 193.53792 111.13708) (end 193.53792 110.96208) (width 0.5) (layer BOT-L6) (net 164) (tstamp 5191FE3F))
+  (segment (start 193.53792 110.96208) (end 194.5375 109.9625) (width 0.5) (layer BOT-L6) (net 164) (tstamp 5191FE40))
+  (segment (start 194.5375 109.9625) (end 197.1625 107.3375) (width 0.5) (layer BOT-L6) (net 164) (tstamp 5191FE47))
+  (segment (start 197.1625 107.3375) (end 197.1625 107.3625) (width 0.5) (layer BOT-L6) (net 164) (tstamp 5191FE42))
+  (segment (start 193.5375 110.7625) (end 193.5375 110.7375) (width 0.3) (layer BOT-L6) (net 164) (tstamp 5191FDFE))
+  (segment (start 192.3625 112.1875) (end 192.7625 111.7875) (width 0.1524) (layer TOP-L1) (net 164))
+  (via (at 192.7625 111.7875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 164))
+  (segment (start 191.9625 111.7875) (end 192.8375 111.7875) (width 0.3) (layer BOT-L6) (net 164))
+  (via (at 191.9625 111.7875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 164))
+  (segment (start 193.8625 110.7625) (end 193.8625 110.4125) (width 0.3) (layer BOT-L6) (net 164) (tstamp 5191FE0A))
+  (segment (start 192.8375 111.7875) (end 193.8625 110.7625) (width 0.3) (layer BOT-L6) (net 164) (tstamp 5191FE08))
+  (segment (start 191.9625 111.7875) (end 192.3625 111.3875) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 205.315 89.3125) (end 205.315 90.06) (width 0.5) (layer TOP-L1) (net 164))
+  (segment (start 204.3625 91.0125) (end 199.285 91.0125) (width 0.5) (layer TOP-L1) (net 164) (tstamp 51908ECD))
+  (segment (start 205.315 90.06) (end 204.3625 91.0125) (width 0.5) (layer TOP-L1) (net 164) (tstamp 51908EC7))
+  (segment (start 199.285 91.0125) (end 197.7625 89.49) (width 0.5) (layer TOP-L1) (net 164) (tstamp 51908ECE))
+  (segment (start 170.5375 88.2375) (end 170.5375 88.25) (width 0.5) (layer BOT-L6) (net 164))
+  (segment (start 170.5375 88.25) (end 170.5375 88.2625) (width 0.5) (layer BOT-L6) (net 164) (tstamp 51A55F64))
+  (segment (start 170.5375 88.2625) (end 171.3625 89.0875) (width 0.5) (layer BOT-L6) (net 164) (tstamp 5190792D))
+  (segment (start 171.3625 89.0875) (end 193.7875 89.0875) (width 0.5) (layer BOT-L6) (net 164) (tstamp 5190792E))
+  (segment (start 193.7875 89.0875) (end 194.4125 89.7125) (width 0.5) (layer BOT-L6) (net 164) (tstamp 51907933))
+  (via (at 194.4125 89.7125) (size 1.016) (drill 0.8128) (layers TOP-L1 BOT-L6) (net 164))
+  (segment (start 194.4125 89.7125) (end 194.635 89.49) (width 0.5) (layer TOP-L1) (net 164) (tstamp 5190793D))
+  (segment (start 194.635 89.49) (end 197.7625 89.49) (width 0.5) (layer TOP-L1) (net 164) (tstamp 5190793E))
+  (segment (start 208.0625 91.7175) (end 206.3175 91.7175) (width 0.8) (layer TOP-L1) (net 164))
+  (segment (start 205.315 90.715) (end 205.315 89.3125) (width 0.8) (layer TOP-L1) (net 164) (tstamp 519078EE))
+  (segment (start 206.3175 91.7175) (end 205.315 90.715) (width 0.8) (layer TOP-L1) (net 164) (tstamp 519078ED))
+  (segment (start 208.0625 91.7175) (end 208.0625 89.2405) (width 0.8) (layer TOP-L1) (net 164))
+  (segment (start 208.0625 89.2405) (end 208.2125 89.0905) (width 0.5) (layer TOP-L1) (net 164) (tstamp 519078BA))
+  (segment (start 217.8875 121.5625) (end 218.5125 121.5625) (width 0.3) (layer Sig2-L4) (net 164))
+  (segment (start 207.2625 92.5175) (end 208.0625 91.7175) (width 0.5) (layer Sig2-L4) (net 164) (tstamp 51907881))
+  (segment (start 207.2625 104.7625) (end 207.2625 92.5175) (width 0.5) (layer Sig2-L4) (net 164) (tstamp 5190787D))
+  (segment (start 219.4375 116.9375) (end 207.2625 104.7625) (width 0.5) (layer Sig2-L4) (net 164) (tstamp 51907877))
+  (segment (start 219.4375 120.6375) (end 219.4375 116.9375) (width 0.5) (layer Sig2-L4) (net 164) (tstamp 51907865))
+  (segment (start 218.5125 121.5625) (end 219.4375 120.6375) (width 0.5) (layer Sig2-L4) (net 164) (tstamp 51907862))
+  (segment (start 196.2375 103.66292) (end 195.48792 103.66292) (width 0.3) (layer TOP-L1) (net 164))
+  (segment (start 196.8875 107.3375) (end 196.8875 107.3625) (width 0.3) (layer BOT-L6) (net 164) (tstamp 51907614))
+  (segment (start 195.2875 105.7375) (end 196.8875 107.3375) (width 0.3) (layer BOT-L6) (net 164) (tstamp 5190760F))
+  (segment (start 195.2875 103.4625) (end 195.2875 105.7375) (width 0.3) (layer BOT-L6) (net 164) (tstamp 51907609))
+  (segment (start 195.1125 103.2875) (end 195.2875 103.4625) (width 0.3) (layer BOT-L6) (net 164) (tstamp 51907608))
+  (via (at 195.1125 103.2875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 164))
+  (segment (start 195.48792 103.66292) (end 195.1125 103.2875) (width 0.3) (layer TOP-L1) (net 164) (tstamp 51907604))
+  (segment (start 193.5875 110.6875) (end 193.8625 110.4125) (width 0.3) (layer BOT-L6) (net 164) (tstamp 5190759F))
+  (segment (start 193.8625 110.4125) (end 193.5375 110.7375) (width 0.3) (layer BOT-L6) (net 164) (tstamp 5191FE0B))
+  (segment (start 193.5375 110.7375) (end 196.9125 107.3625) (width 0.3) (layer BOT-L6) (net 164) (tstamp 5191FDFF))
+  (segment (start 196.9125 107.3625) (end 196.8875 107.3625) (width 0.3) (layer BOT-L6) (net 164) (tstamp 519075A5))
+  (segment (start 206.6375 93.1425) (end 208.0625 91.7175) (width 0.8) (layer BOT-L6) (net 164) (tstamp 519075B4))
+  (segment (start 206.6375 101.4625) (end 206.6375 93.1425) (width 0.8) (layer BOT-L6) (net 164) (tstamp 519075B0))
+  (segment (start 200.7375 107.3625) (end 206.6375 101.4625) (width 0.8) (layer BOT-L6) (net 164) (tstamp 519075A9))
+  (segment (start 196.8875 107.3625) (end 197.1625 107.3625) (width 0.8) (layer BOT-L6) (net 164) (tstamp 51907615))
+  (segment (start 197.1625 107.3625) (end 200.7375 107.3625) (width 0.8) (layer BOT-L6) (net 164) (tstamp 5191FE43))
+  (segment (start 170.5375 88.2375) (end 170.5375 88.2375) (width 0.1524) (layer BOT-L6) (net 164) (tstamp 5188E79C))
+  (segment (start 170.5375 88.2375) (end 170.5625 88.2375) (width 0.1524) (layer BOT-L6) (net 164) (tstamp 5190792B))
+  (segment (start 170.5625 88.2375) (end 170.5625 88.2375) (width 0.1524) (layer BOT-L6) (net 164) (tstamp 5188E755))
+  (segment (start 170.5625 88.2375) (end 170.5625 88.2875) (width 0.1524) (layer BOT-L6) (net 164) (tstamp 5188E79D))
+  (via (at 170.5625 88.2875) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 164))
+  (segment (start 199.8375 89.61208) (end 199.8375 90.8875) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 199.8625 90.9125) (end 199.8625 91.0125) (width 0.1524) (layer TOP-L1) (net 164) (tstamp 5188CF66))
+  (segment (start 199.8375 90.8875) (end 199.8625 90.9125) (width 0.1524) (layer TOP-L1) (net 164) (tstamp 5188CF62))
+  (segment (start 200.9125 89.61208) (end 200.9125 90.9125) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 200.9125 90.9125) (end 200.9125 91.0125) (width 0.1524) (layer TOP-L1) (net 164) (tstamp 5188CF5F))
+  (segment (start 201.9125 89.61208) (end 201.9125 90.9875) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 201.9125 90.9875) (end 201.9125 91.0125) (width 0.1524) (layer TOP-L1) (net 164) (tstamp 5188CF5B))
+  (segment (start 199.285 91.0125) (end 197.7625 89.49) (width 0.1524) (layer TOP-L1) (net 164) (tstamp 5188CF44))
+  (segment (start 199.8625 91.0125) (end 199.285 91.0125) (width 0.1524) (layer TOP-L1) (net 164) (tstamp 5188CF67))
+  (segment (start 200.9125 91.0125) (end 199.8625 91.0125) (width 0.1524) (layer TOP-L1) (net 164) (tstamp 5188CF60))
+  (segment (start 201.9125 91.0125) (end 200.9125 91.0125) (width 0.1524) (layer TOP-L1) (net 164) (tstamp 5188CF5C))
+  (segment (start 208.2125 89.0905) (end 205.537 89.0905) (width 0.5) (layer TOP-L1) (net 164))
+  (segment (start 205.537 89.0905) (end 205.315 89.3125) (width 0.1524) (layer TOP-L1) (net 164) (tstamp 5188CF3A))
+  (segment (start 200.9125 89.61208) (end 201.9125 89.61208) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 199.8375 89.61208) (end 200.9125 89.61208) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 197.1625 120.1875) (end 197.5625 120.5875) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 212.16208 121.5625) (end 213.84896 121.5625) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 213.84896 121.5625) (end 213.85832 121.57186) (width 0.1524) (layer TOP-L1) (net 164) (tstamp 5188C3A7))
+  (segment (start 173.78792 93.7875) (end 172.76376 93.7875) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 172.76376 93.7875) (end 172.73832 93.76206) (width 0.1524) (layer TOP-L1) (net 164) (tstamp 5188C333))
+  (segment (start 196.3625 120.01208) (end 195.5125 120.01208) (width 0.1524) (layer BOT-L6) (net 164))
+  (segment (start 195.9625 120.5875) (end 195.9625 120.0625) (width 0.1524) (layer BOT-L6) (net 164))
+  (segment (start 195.9625 120.0625) (end 195.9625 120.01208) (width 0.1524) (layer BOT-L6) (net 164) (tstamp 5188C115))
+  (segment (start 195.5125 120.01208) (end 194.96292 120.01208) (width 0.1524) (layer BOT-L6) (net 164))
+  (segment (start 194.96292 120.01208) (end 194.3875 120.5875) (width 0.1524) (layer BOT-L6) (net 164) (tstamp 5188C0F2))
+  (segment (start 194.3875 120.5875) (end 193.83708 120.5875) (width 0.1524) (layer BOT-L6) (net 164) (tstamp 5188C0FA))
+  (segment (start 195.5625 120.1875) (end 195.9625 120.5875) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 195.5125 120.1375) (end 195.5125 120.01208) (width 0.1524) (layer BOT-L6) (net 164) (tstamp 5188C0D6))
+  (segment (start 195.9625 120.5875) (end 195.5125 120.1375) (width 0.1524) (layer BOT-L6) (net 164) (tstamp 5188C0D5))
+  (via (at 195.9625 120.5875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 164))
+  (segment (start 199.5625 108.9875) (end 199.5625 109.5875) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 199.5625 109.5875) (end 199.5625 109.7875) (width 0.1524) (layer TOP-L1) (net 164) (tstamp 5188A7F9))
+  (segment (start 196.2375 103.66292) (end 197.1625 103.66292) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 172.73832 93.76206) (end 172.73832 90.48832) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 172.73832 90.48832) (end 170.5375 88.2875) (width 0.1524) (layer TOP-L1) (net 164) (tstamp 51889F61))
+  (segment (start 170.5375 88.2875) (end 170.5625 88.2875) (width 0.1524) (layer TOP-L1) (net 164) (tstamp 51889F64))
+  (segment (start 172.23794 85.56294) (end 172.23794 86.61206) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 172.23794 86.61206) (end 170.5625 88.2875) (width 0.1524) (layer TOP-L1) (net 164) (tstamp 51889F51))
+  (segment (start 193.1625 112.1875) (end 192.3625 112.1875) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 192.3625 112.1875) (end 191.5625 112.1875) (width 0.1524) (layer TOP-L1) (net 164) (tstamp 51889491))
+  (segment (start 200.3625 109.7875) (end 199.5625 109.7875) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 199.5625 109.7875) (end 198.4125 109.7875) (width 0.1524) (layer TOP-L1) (net 164) (tstamp 5188A7FA))
+  (segment (start 198.4125 109.7875) (end 197.5625 110.6375) (width 0.1524) (layer TOP-L1) (net 164) (tstamp 518893A8))
+  (segment (start 217.8875 121.5625) (end 216.9125 121.5625) (width 0.3) (layer BOT-L6) (net 164))
+  (segment (start 216.9125 121.5625) (end 216.93708 121.5625) (width 0.3) (layer BOT-L6) (net 164) (tstamp 51889303))
+  (segment (start 213.85832 121.57186) (end 217.87814 121.57186) (width 0.3) (layer TOP-L1) (net 164))
+  (via (at 217.8875 121.5625) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 164))
+  (segment (start 217.87814 121.57186) (end 217.8875 121.5625) (width 0.3) (layer TOP-L1) (net 164) (tstamp 518892D1))
+  (segment (start 216.93708 122.6875) (end 216.93708 121.5625) (width 0.3) (layer BOT-L6) (net 164))
+  (segment (start 216.93708 121.5625) (end 216.93708 120.5625) (width 0.3) (layer BOT-L6) (net 164) (tstamp 51889304))
+  (segment (start 216.93708 120.5625) (end 216.86208 120.4875) (width 0.3) (layer BOT-L6) (net 164) (tstamp 518892FC))
+  (segment (start 216.86208 120.4875) (end 216.86208 119.3875) (width 0.1524) (layer BOT-L6) (net 164) (tstamp 518892FD))
+  (segment (start 216.86208 119.3875) (end 216.83708 119.3625) (width 0.1524) (layer BOT-L6) (net 164) (tstamp 518892FE))
+  (segment (start 216.83708 119.3625) (end 216.83708 118.2625) (width 0.1524) (layer BOT-L6) (net 164) (tstamp 518892FF))
+  (segment (start 216.93708 125.9625) (end 216.93708 124.8875) (width 0.1524) (layer BOT-L6) (net 164))
+  (segment (start 216.93708 124.8875) (end 216.93708 122.6875) (width 0.3) (layer BOT-L6) (net 164) (tstamp 518892F9))
+  (segment (start 200.13708 124.4625) (end 200.13708 123.96208) (width 0.1524) (layer BOT-L6) (net 164))
+  (segment (start 199.9625 123.7875) (end 200.3625 123.3875) (width 0.1524) (layer TOP-L1) (net 164) (tstamp 518886CC))
+  (via (at 199.9625 123.7875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 164))
+  (segment (start 200.13708 123.96208) (end 199.9625 123.7875) (width 0.1524) (layer BOT-L6) (net 164) (tstamp 518886CA))
+  (segment (start 200.3625 123.3875) (end 199.9625 122.9875) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 199.3625 119.2875) (end 198.5375 119.2875) (width 0.1524) (layer TOP-L1) (net 164) (tstamp 5188868E))
+  (segment (start 199.9625 119.8875) (end 199.3625 119.2875) (width 0.1524) (layer TOP-L1) (net 164) (tstamp 5188868D))
+  (segment (start 199.9625 122.9875) (end 199.9625 119.8875) (width 0.1524) (layer TOP-L1) (net 164) (tstamp 5188868C))
+  (segment (start 196.7625 120.5875) (end 196.7625 120.31208) (width 0.1524) (layer BOT-L6) (net 164))
+  (via (at 196.7625 120.5875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 164))
+  (segment (start 196.7625 120.31208) (end 196.4375 119.98708) (width 0.1524) (layer BOT-L6) (net 164) (tstamp 51888675))
+  (segment (start 197.1625 120.1875) (end 196.7625 120.5875) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 196.7625 120.5875) (end 196.7625 120.5625) (width 0.1524) (layer TOP-L1) (net 164) (tstamp 51888670))
+  (segment (start 196.7625 120.5625) (end 196.7625 120.5875) (width 0.1524) (layer TOP-L1) (net 164) (tstamp 51888672))
+  (segment (start 196.3625 120.1875) (end 196.7625 120.5875) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 196.7625 120.5875) (end 196.7625 120.5875) (width 0.1524) (layer TOP-L1) (net 164) (tstamp 51888673))
+  (segment (start 197.9625 120.1875) (end 197.5625 120.5875) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 197.9625 120.9875) (end 197.5625 120.5875) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 197.3875 120.4125) (end 197.3875 119.98708) (width 0.1524) (layer BOT-L6) (net 164) (tstamp 51888667))
+  (segment (start 197.5625 120.5875) (end 197.3875 120.4125) (width 0.1524) (layer BOT-L6) (net 164) (tstamp 51888666))
+  (via (at 197.5625 120.5875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 164))
+  (segment (start 197.9625 120.9875) (end 197.9625 120.1875) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 198.5375 119.3125) (end 198.5375 119.2875) (width 0.1524) (layer TOP-L1) (net 164))
+  (via (at 198.5375 119.3125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 164))
+  (segment (start 197.83792 120.01208) (end 198.5375 119.3125) (width 0.1524) (layer BOT-L6) (net 164) (tstamp 5188837E))
+  (segment (start 195.9625 120.01208) (end 196.3625 120.01208) (width 0.1524) (layer BOT-L6) (net 164) (tstamp 5188C116))
+  (segment (start 196.3625 120.01208) (end 197.83792 120.01208) (width 0.1524) (layer BOT-L6) (net 164) (tstamp 5188C2C9))
+  (segment (start 195.5125 120.01208) (end 195.9625 120.01208) (width 0.1524) (layer BOT-L6) (net 164))
+  (segment (start 198.5375 119.2875) (end 198.5375 119.2625) (width 0.1524) (layer TOP-L1) (net 164) (tstamp 51888692))
+  (segment (start 198.5375 119.2625) (end 198.9375 118.8625) (width 0.1524) (layer TOP-L1) (net 164) (tstamp 51888384))
+  (segment (start 197.5625 114.5375) (end 197.5625 113.5375) (width 0.1524) (layer BOT-L6) (net 164))
+  (segment (start 198.9375 116.3125) (end 198.9375 118.8625) (width 0.1524) (layer TOP-L1) (net 164) (tstamp 51888075))
+  (segment (start 198.9375 118.8625) (end 198.9375 118.7875) (width 0.1524) (layer TOP-L1) (net 164) (tstamp 51888387))
+  (segment (start 198.9125 116.2875) (end 198.9375 116.3125) (width 0.1524) (layer TOP-L1) (net 164) (tstamp 51888074))
+  (via (at 198.9125 116.2875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 164))
+  (segment (start 198.9125 115.8875) (end 198.9125 116.2875) (width 0.1524) (layer BOT-L6) (net 164) (tstamp 51888072))
+  (segment (start 197.5625 114.5375) (end 198.9125 115.8875) (width 0.1524) (layer BOT-L6) (net 164) (tstamp 51888071))
+  (segment (start 193.83708 120.5875) (end 194.3625 120.5875) (width 0.1524) (layer BOT-L6) (net 164))
+  (segment (start 194.3625 120.5875) (end 194.7625 120.1875) (width 0.1524) (layer TOP-L1) (net 164))
+  (via (at 194.3625 120.5875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 164))
+  (segment (start 194.7625 120.1875) (end 195.5625 120.1875) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 195.5625 117.7875) (end 195.5625 120.1875) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 200.3625 115.3875) (end 200.0625 115.3875) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 198.4625 113.7875) (end 197.9625 113.7875) (width 0.1524) (layer TOP-L1) (net 164) (tstamp 51888063))
+  (segment (start 200.0625 115.3875) (end 198.4625 113.7875) (width 0.1524) (layer TOP-L1) (net 164) (tstamp 51888062))
+  (segment (start 200.3625 111.3875) (end 200.7625 111.3875) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 201.33792 111.4875) (end 201.03792 111.7875) (width 0.1524) (layer BOT-L6) (net 164))
+  (via (at 200.7625 111.7875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 164))
+  (segment (start 201.03792 111.7875) (end 200.7625 111.7875) (width 0.1524) (layer BOT-L6) (net 164) (tstamp 51888052))
+  (segment (start 201.33792 110.4625) (end 201.06292 110.1875) (width 0.1524) (layer BOT-L6) (net 164))
+  (via (at 200.7625 110.1875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 164))
+  (segment (start 201.06292 110.1875) (end 200.7625 110.1875) (width 0.1524) (layer BOT-L6) (net 164) (tstamp 5188804E))
+  (segment (start 200.7625 111.7875) (end 200.7625 111.3875) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 200.7625 111.3875) (end 200.7625 110.1875) (width 0.1524) (layer TOP-L1) (net 164) (tstamp 51888057))
+  (segment (start 200.3625 109.7875) (end 200.7625 110.1875) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 200.7625 111.7875) (end 200.3625 112.1875) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 197.5625 110.6375) (end 197.7375 110.4625) (width 0.1524) (layer BOT-L6) (net 164))
+  (segment (start 197.7375 110.4625) (end 198.31292 110.4625) (width 0.1524) (layer BOT-L6) (net 164) (tstamp 518879F9))
+  (segment (start 197.9625 116.1875) (end 197.5625 115.7875) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 197.5625 115.7875) (end 197.5625 114.1875) (width 0.1524) (layer TOP-L1) (net 164) (tstamp 518879B8))
+  (segment (start 191.5625 112.1875) (end 191.9625 111.7875) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 191.9625 111.7875) (end 191.5625 111.3875) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 192.3625 112.1875) (end 192.3625 111.3875) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 193.1625 112.1875) (end 193.1625 111.3875) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 197.1625 111.3875) (end 197.5875 110.9625) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 197.5875 110.6375) (end 197.5625 110.6375) (width 0.1524) (layer TOP-L1) (net 164) (tstamp 518878AA))
+  (segment (start 197.5875 110.9625) (end 197.5875 110.6375) (width 0.1524) (layer TOP-L1) (net 164) (tstamp 518878A5))
+  (segment (start 197.31208 114.18792) (end 197.5625 113.9375) (width 0.1524) (layer BOT-L6) (net 164) (tstamp 518876DE))
+  (segment (start 197.31208 114.18792) (end 196.8875 114.18792) (width 0.1524) (layer BOT-L6) (net 164))
+  (via (at 197.5625 110.6375) (size 0.4572) (layers TOP-L1 BOT-L6) (net 164))
+  (segment (start 197.5625 113.9375) (end 197.5625 113.5375) (width 0.1524) (layer BOT-L6) (net 164) (tstamp 518876E6))
+  (segment (start 197.5625 113.5375) (end 197.5625 110.6375) (width 0.1524) (layer BOT-L6) (net 164) (tstamp 5188806F))
+  (segment (start 197.5625 114.1875) (end 197.1625 113.7875) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 196.8875 114.18792) (end 197.56208 114.18792) (width 0.1524) (layer BOT-L6) (net 164))
+  (segment (start 197.56208 114.18792) (end 197.5625 114.1875) (width 0.1524) (layer BOT-L6) (net 164) (tstamp 5188764A))
+  (via (at 197.5625 114.1875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 164))
+  (segment (start 197.5625 114.1875) (end 197.9625 113.7875) (width 0.1524) (layer TOP-L1) (net 164) (tstamp 5188764F))
+  (segment (start 197.1625 111.3875) (end 196.7625 111.7875) (width 0.1524) (layer TOP-L1) (net 164))
+  (via (at 196.7625 111.7875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 164))
+  (segment (start 196.7625 111.7875) (end 196.3625 112.1875) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 166.18792 97.0625) (end 166.18792 98.0125) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 166.18792 97.0625) (end 166.18792 96.1125) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 166.18792 95.1625) (end 166.18792 96.1125) (width 0.1524) (layer TOP-L1) (net 164))
+  (segment (start 188.0875 151.2625) (end 188.0875 149.5825) (width 0.5) (layer BOT-L6) (net 165))
+  (segment (start 153.2525 140.1575) (end 153.2525 140.1625) (width 0.5) (layer TOP-L1) (net 165) (tstamp 519F3FCE))
+  (segment (start 150.1925 140.1575) (end 153.2525 140.1575) (width 0.5) (layer TOP-L1) (net 165) (tstamp 519F3FCB))
+  (segment (start 149.4125 140.9375) (end 150.1925 140.1575) (width 0.5) (layer TOP-L1) (net 165) (tstamp 519F3FCA))
+  (via (at 149.4125 140.9375) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 165))
+  (segment (start 149.4125 143.7075) (end 149.4125 140.9375) (width 0.5) (layer BOT-L6) (net 165) (tstamp 519F3FC5))
+  (segment (start 151.8325 146.1275) (end 149.4125 143.7075) (width 0.5) (layer BOT-L6) (net 165) (tstamp 519F3FB4))
+  (segment (start 184.6325 146.1275) (end 151.8325 146.1275) (width 0.5) (layer BOT-L6) (net 165) (tstamp 519F3FB2))
+  (segment (start 188.0875 149.5825) (end 184.6325 146.1275) (width 0.5) (layer BOT-L6) (net 165) (tstamp 519F3FAE))
+  (segment (start 202.3475 154.5175) (end 202.3475 154.7425) (width 0.2) (layer TOP-L1) (net 165))
+  (segment (start 201.1125 155.5275) (end 201.1125 155.5175) (width 0.2) (layer TOP-L1) (net 165) (tstamp 519F3E6B))
+  (segment (start 201.5625 155.5275) (end 201.1125 155.5275) (width 0.2) (layer TOP-L1) (net 165) (tstamp 519F3E69))
+  (segment (start 202.3475 154.7425) (end 201.5625 155.5275) (width 0.2) (layer TOP-L1) (net 165) (tstamp 519F3E68))
+  (segment (start 202.3475 153.7925) (end 202.3475 154.5175) (width 0.2) (layer TOP-L1) (net 165))
+  (segment (start 196.7125 154.2875) (end 196.72292 154.2875) (width 0.2) (layer TOP-L1) (net 165) (tstamp 519F3E48))
+  (segment (start 197.9425 155.5175) (end 196.7125 154.2875) (width 0.2) (layer TOP-L1) (net 165) (tstamp 519F3E42))
+  (segment (start 201.1125 155.5175) (end 197.9425 155.5175) (width 0.2) (layer TOP-L1) (net 165) (tstamp 519F3E6C))
+  (segment (start 196.7325 154.2975) (end 196.7325 153.2975) (width 0.5) (layer BOT-L6) (net 165))
+  (segment (start 214.0935 152.0685) (end 217.4625 152.0685) (width 0.5) (layer BOT-L6) (net 165) (tstamp 519F3E27))
+  (segment (start 211.7525 149.7275) (end 214.0935 152.0685) (width 0.5) (layer BOT-L6) (net 165) (tstamp 519F3E23))
+  (segment (start 200.3025 149.7275) (end 211.7525 149.7275) (width 0.5) (layer BOT-L6) (net 165) (tstamp 519F3E20))
+  (segment (start 196.7325 153.2975) (end 200.3025 149.7275) (width 0.5) (layer BOT-L6) (net 165) (tstamp 519F3E1B))
+  (segment (start 196.72292 148.7575) (end 196.72292 154.2875) (width 0.3) (layer TOP-L1) (net 165))
+  (segment (start 196.72292 154.2875) (end 196.72292 154.27792) (width 0.3) (layer TOP-L1) (net 165) (tstamp 519F3E49))
+  (segment (start 196.7325 154.2875) (end 196.7325 154.2975) (width 0.3) (layer BOT-L6) (net 165) (tstamp 519F3310))
+  (segment (start 196.7325 154.2975) (end 196.7325 154.4125) (width 0.3) (layer BOT-L6) (net 165) (tstamp 519F3E19))
+  (via (at 196.7325 154.2875) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 165))
+  (segment (start 196.72292 154.27792) (end 196.7325 154.2875) (width 0.3) (layer TOP-L1) (net 165) (tstamp 519F3304))
+  (segment (start 196.72292 147.7675) (end 196.72292 148.7575) (width 0.3) (layer TOP-L1) (net 165))
+  (segment (start 227.6375 82.8625) (end 224.7775 82.8625) (width 0.8) (layer BOT-L6) (net 165))
+  (segment (start 205.2048 76.0675) (end 201.6714 79.6009) (width 0.8) (layer BOT-L6) (net 165) (tstamp 519EDCFF))
+  (segment (start 221.2725 76.0675) (end 205.2048 76.0675) (width 0.8) (layer BOT-L6) (net 165) (tstamp 519EDCFE))
+  (segment (start 222.5225 77.3175) (end 221.2725 76.0675) (width 0.8) (layer BOT-L6) (net 165) (tstamp 519EDCFD))
+  (segment (start 222.5225 80.6075) (end 222.5225 77.3175) (width 0.8) (layer BOT-L6) (net 165) (tstamp 519EDCFC))
+  (segment (start 224.7775 82.8625) (end 222.5225 80.6075) (width 0.8) (layer BOT-L6) (net 165) (tstamp 519EDCFB))
+  (segment (start 227.6375 82.8625) (end 230.1475 82.8625) (width 0.8) (layer BOT-L6) (net 165))
+  (segment (start 227.8675 135.1125) (end 219.15728 135.1125) (width 0.8) (layer BOT-L6) (net 165) (tstamp 519EDCEF))
+  (segment (start 231.0525 131.9275) (end 227.8675 135.1125) (width 0.8) (layer BOT-L6) (net 165) (tstamp 519EDCEE))
+  (segment (start 231.0525 83.7675) (end 231.0525 131.9275) (width 0.8) (layer BOT-L6) (net 165) (tstamp 519EDCED))
+  (segment (start 230.1475 82.8625) (end 231.0525 83.7675) (width 0.8) (layer BOT-L6) (net 165) (tstamp 519EDCEC))
+  (segment (start 227.6375 82.8625) (end 225.6375 82.8625) (width 0.8) (layer TOP-L1) (net 165))
+  (segment (start 225.6025 82.8975) (end 225.6025 82.8625) (width 0.8) (layer TOP-L1) (net 165) (tstamp 519EDCCF))
+  (segment (start 225.6375 82.8625) (end 225.6025 82.8975) (width 0.8) (layer TOP-L1) (net 165) (tstamp 519EDCCD))
+  (segment (start 215.965 88.2625) (end 215.965 86.805) (width 0.8) (layer TOP-L1) (net 165))
+  (segment (start 216.0025 86.7675) (end 216.0125 86.7675) (width 0.8) (layer TOP-L1) (net 165) (tstamp 519EDCCA))
+  (segment (start 215.965 86.805) (end 216.0025 86.7675) (width 0.8) (layer TOP-L1) (net 165) (tstamp 519EDCC8))
+  (segment (start 231.0375 86.9475) (end 231.0375 83.8625) (width 0.8) (layer TOP-L1) (net 165))
+  (segment (start 222.5125 80.5875) (end 222.5125 79.0175) (width 0.8) (layer TOP-L1) (net 165) (tstamp 519EDCC1))
+  (segment (start 224.7875 82.8625) (end 222.5125 80.5875) (width 0.8) (layer TOP-L1) (net 165) (tstamp 519EDCC0))
+  (segment (start 230.0375 82.8625) (end 225.6025 82.8625) (width 0.8) (layer TOP-L1) (net 165) (tstamp 519EDCBF))
+  (segment (start 225.6025 82.8625) (end 224.7875 82.8625) (width 0.8) (layer TOP-L1) (net 165) (tstamp 519EDCD0))
+  (segment (start 231.0375 83.8625) (end 230.0375 82.8625) (width 0.8) (layer TOP-L1) (net 165) (tstamp 519EDCBE))
+  (segment (start 193.8725 84.5425) (end 194.9575 84.5425) (width 0.8) (layer TOP-L1) (net 165))
+  (segment (start 196.6125 82.8875) (end 196.6375 82.8875) (width 0.8) (layer TOP-L1) (net 165) (tstamp 51921655))
+  (segment (start 194.9575 84.5425) (end 196.6125 82.8875) (width 0.8) (layer TOP-L1) (net 165) (tstamp 51921653))
+  (segment (start 196.40598 81.00298) (end 196.40598 82.68098) (width 0.3) (layer TOP-L1) (net 165))
+  (segment (start 196.6375 82.9125) (end 196.6375 82.8875) (width 0.3) (layer TOP-L1) (net 165) (tstamp 51921650))
+  (segment (start 196.40598 82.68098) (end 196.6375 82.9125) (width 0.3) (layer TOP-L1) (net 165) (tstamp 5192164E))
+  (segment (start 196.6375 82.8875) (end 196.6375 82.9156) (width 0.3) (layer TOP-L1) (net 165) (tstamp 51921656))
+  (segment (start 188.0875 151.2625) (end 191.0375 151.2625) (width 0.8) (layer BOT-L6) (net 165) (tstamp 5191E95B))
+  (segment (start 191.0375 151.2625) (end 194.1875 154.4125) (width 0.8) (layer BOT-L6) (net 165) (tstamp 5191E95C))
+  (segment (start 194.1875 154.4125) (end 196.7325 154.4125) (width 0.8) (layer BOT-L6) (net 165) (tstamp 5191E95D))
+  (segment (start 158.2575 143.5315) (end 158.2575 140.2425) (width 0.3) (layer TOP-L1) (net 165))
+  (segment (start 158.2625 140.2375) (end 158.2625 140.1625) (width 0.3) (layer TOP-L1) (net 165) (tstamp 5191C77D))
+  (segment (start 158.2575 140.2425) (end 158.2625 140.2375) (width 0.3) (layer TOP-L1) (net 165) (tstamp 5191C77B))
+  (segment (start 158.86292 145.3875) (end 158.7375 145.3875) (width 0.3) (layer TOP-L1) (net 165))
+  (segment (start 158.2575 144.9075) (end 158.2575 143.5315) (width 0.3) (layer TOP-L1) (net 165) (tstamp 5191C778))
+  (segment (start 158.7375 145.3875) (end 158.2575 144.9075) (width 0.3) (layer TOP-L1) (net 165) (tstamp 5191C773))
+  (segment (start 165.4625 139.3875) (end 164.6875 140.1625) (width 0.8) (layer TOP-L1) (net 165))
+  (segment (start 164.6875 140.1625) (end 158.2625 140.1625) (width 0.8) (layer TOP-L1) (net 165) (tstamp 5191C752))
+  (segment (start 153.1875 140.1625) (end 153.2525 140.1625) (width 0.8) (layer TOP-L1) (net 165) (tstamp 5191C76C))
+  (segment (start 158.2625 140.1625) (end 153.1875 140.1625) (width 0.8) (layer TOP-L1) (net 165) (tstamp 5191C77E))
+  (segment (start 153.2525 140.1625) (end 153.1775 140.1625) (width 0.8) (layer TOP-L1) (net 165) (tstamp 519F3FCF))
+  (segment (start 213.96292 156.2875) (end 213.96292 155.2375) (width 0.3) (layer TOP-L1) (net 165))
+  (segment (start 213.96292 155.2375) (end 213.91292 155.1875) (width 0.3) (layer TOP-L1) (net 165) (tstamp 5190765F))
+  (segment (start 215.965 88.2625) (end 215.965 89.365) (width 0.8) (layer TOP-L1) (net 165))
+  (segment (start 215.9875 89.3875) (end 216.0125 89.3875) (width 0.8) (layer TOP-L1) (net 165) (tstamp 5188D22E))
+  (segment (start 215.965 89.365) (end 215.9875 89.3875) (width 0.8) (layer TOP-L1) (net 165) (tstamp 5188D22C))
+  (segment (start 216.26116 93.53574) (end 216.26116 95.02124) (width 0.1524) (layer TOP-L1) (net 165) (tstamp 5188D0B2))
+  (segment (start 216.0125 93.8375) (end 216.0125 89.3875) (width 0.8) (layer TOP-L1) (net 165))
+  (segment (start 216.0125 89.3875) (end 216.0125 86.7675) (width 0.8) (layer TOP-L1) (net 165) (tstamp 5188D22F))
+  (segment (start 216.0125 86.7675) (end 216.0125 85.8875) (width 0.8) (layer TOP-L1) (net 165) (tstamp 519EDCCB))
+  (segment (start 222.5125 81.8625) (end 222.5125 79.0175) (width 0.8) (layer TOP-L1) (net 165) (tstamp 5188D020))
+  (segment (start 222.5125 83.0375) (end 222.5125 81.8625) (width 0.8) (layer TOP-L1) (net 165) (tstamp 5188D013))
+  (segment (start 220.6125 84.9375) (end 222.5125 83.0375) (width 0.8) (layer TOP-L1) (net 165) (tstamp 5188D012))
+  (segment (start 216.9625 84.9375) (end 220.6125 84.9375) (width 0.8) (layer TOP-L1) (net 165) (tstamp 5188D011))
+  (segment (start 216.0125 85.8875) (end 216.9625 84.9375) (width 0.8) (layer TOP-L1) (net 165) (tstamp 5188D010))
+  (segment (start 221.8375 76.6375) (end 221.8375 76.6625) (width 0.8) (layer TOP-L1) (net 165) (tstamp 5188D016))
+  (segment (start 222.5125 77.3125) (end 221.8375 76.6375) (width 0.8) (layer TOP-L1) (net 165) (tstamp 5188D014))
+  (segment (start 222.5125 79.0175) (end 222.5125 77.3125) (width 0.8) (layer TOP-L1) (net 165) (tstamp 519EDCC4))
+  (segment (start 211.3875 76.0957) (end 221.2707 76.0957) (width 0.8) (layer TOP-L1) (net 165))
+  (segment (start 221.2707 76.0957) (end 221.8375 76.6625) (width 0.8) (layer TOP-L1) (net 165) (tstamp 5188CBB5))
+  (segment (start 215.76078 95.02378) (end 215.76078 94.11422) (width 0.1524) (layer TOP-L1) (net 165))
+  (segment (start 216.26116 94.08616) (end 216.26116 95.02124) (width 0.1524) (layer TOP-L1) (net 165) (tstamp 5188D00B))
+  (segment (start 215.9625 93.9125) (end 216.0875 93.9125) (width 0.5) (layer TOP-L1) (net 165) (tstamp 5188D009))
+  (segment (start 215.76078 94.11422) (end 215.9625 93.9125) (width 0.1524) (layer TOP-L1) (net 165) (tstamp 5188D008))
+  (segment (start 202.1425 85.81) (end 201.7625 85.81) (width 0.5) (layer TOP-L1) (net 165))
+  (segment (start 201.7625 85.81) (end 200.565 84.6125) (width 0.5) (layer TOP-L1) (net 165) (tstamp 5188CF34))
+  (segment (start 200.565 84.6125) (end 200.565 80.7073) (width 0.8) (layer TOP-L1) (net 165))
+  (segment (start 200.565 80.7073) (end 201.6714 79.6009) (width 0.8) (layer TOP-L1) (net 165) (tstamp 5188CF30))
+  (segment (start 213.91292 155.1875) (end 213.91292 153.71208) (width 0.8) (layer TOP-L1) (net 165))
+  (segment (start 213.91292 153.71208) (end 215.5565 152.0685) (width 0.8) (layer TOP-L1) (net 165) (tstamp 5188BE30))
+  (segment (start 215.5565 152.0685) (end 217.4625 152.0685) (width 0.8) (layer TOP-L1) (net 165) (tstamp 5188BE35))
+  (segment (start 231.0375 95.1375) (end 231.0375 86.9475) (width 0.8) (layer TOP-L1) (net 165))
+  (segment (start 227.5625 135.1125) (end 231.0375 131.6375) (width 2) (layer TOP-L1) (net 165) (tstamp 51888AE9))
+  (segment (start 231.0375 131.6375) (end 231.0375 95.1375) (width 0.8) (layer TOP-L1) (net 165) (tstamp 51888AEA))
+  (segment (start 227.4125 135.1625) (end 227.5625 135.1125) (width 0.8) (layer TOP-L1) (net 165))
+  (segment (start 215.48708 138.9875) (end 215.48708 138.7827) (width 0.3) (layer TOP-L1) (net 165))
+  (segment (start 215.48708 138.7827) (end 219.15728 135.1125) (width 0.3) (layer TOP-L1) (net 165) (tstamp 5188BD91))
+  (segment (start 153.1775 143.5315) (end 153.1775 141.5625) (width 0.8) (layer TOP-L1) (net 165))
+  (segment (start 153.1775 141.5625) (end 153.1775 140.1625) (width 0.8) (layer TOP-L1) (net 165) (tstamp 5191E922))
+  (segment (start 153.1775 140.1625) (end 153.1775 139.7125) (width 0.8) (layer TOP-L1) (net 165) (tstamp 5191C76D))
+  (segment (start 153.1775 139.7125) (end 153.1775 138.1975) (width 0.8) (layer TOP-L1) (net 165) (tstamp 51888F97))
+  (segment (start 217.4625 152.0685) (end 220.1565 152.0685) (width 2) (layer TOP-L1) (net 165))
+  (segment (start 228.5875 152.0625) (end 230.9125 149.7375) (width 2) (layer TOP-L1) (net 165) (tstamp 51888ADF))
+  (segment (start 230.9125 149.7375) (end 230.9125 137.9625) (width 2) (layer TOP-L1) (net 165) (tstamp 51888AE0))
+  (segment (start 230.9125 137.9625) (end 228.0625 135.1125) (width 2) (layer TOP-L1) (net 165) (tstamp 51888AE1))
+  (segment (start 228.0625 135.1125) (end 227.4125 135.1625) (width 0.8) (layer TOP-L1) (net 165) (tstamp 51888AE2))
+  (segment (start 220.1625 152.0625) (end 228.5875 152.0625) (width 2) (layer TOP-L1) (net 165) (tstamp 51888ADE))
+  (segment (start 220.1565 152.0685) (end 220.1625 152.0625) (width 0.8) (layer TOP-L1) (net 165) (tstamp 51888ADD))
+  (segment (start 227.4125 135.1625) (end 219.15728 135.1125) (width 2) (layer TOP-L1) (net 165) (tstamp 51888AE7))
+  (segment (start 176.8525 76.8175) (end 176.8525 76.6975) (width 0.8) (layer TOP-L1) (net 165))
+  (segment (start 193.4675 84.5425) (end 193.8725 84.5425) (width 0.8) (layer TOP-L1) (net 165) (tstamp 5185BCCB))
+  (segment (start 188.8875 79.9625) (end 193.4675 84.5425) (width 0.8) (layer TOP-L1) (net 165) (tstamp 5185BCC9))
+  (segment (start 188.8875 77.6625) (end 188.8875 79.9625) (width 0.8) (layer TOP-L1) (net 165) (tstamp 5185BCC7))
+  (segment (start 186.6125 75.3875) (end 188.8875 77.6625) (width 0.8) (layer TOP-L1) (net 165) (tstamp 5185BCC6))
+  (segment (start 178.1625 75.3875) (end 186.6125 75.3875) (width 0.8) (layer TOP-L1) (net 165) (tstamp 5185BCC3))
+  (segment (start 176.8525 76.6975) (end 178.1625 75.3875) (width 0.8) (layer TOP-L1) (net 165) (tstamp 5185BCC2))
+  (segment (start 204.2114 77.0609) (end 204.1606 77.0609) (width 0.8) (layer TOP-L1) (net 165))
+  (segment (start 204.2114 76.96438) (end 205.08516 76.09062) (width 0.8) (layer TOP-L1) (net 165) (tstamp 5162FAAA))
+  (segment (start 205.08516 76.09062) (end 210.13722 76.09062) (width 0.8) (layer TOP-L1) (net 165) (tstamp 5162FAAB))
+  (segment (start 210.13722 76.09062) (end 210.15246 76.09062) (width 0.8) (layer TOP-L1) (net 165) (tstamp 5162FAAD))
+  (segment (start 204.2114 77.0609) (end 204.2114 76.96438) (width 0.8) (layer TOP-L1) (net 165))
+  (segment (start 204.1606 77.0609) (end 200.5157 80.7058) (width 0.8) (layer TOP-L1) (net 165) (tstamp 5162FAB5))
+  (segment (start 200.5157 80.7058) (end 200.5157 82.1155) (width 0.8) (layer TOP-L1) (net 165) (tstamp 5162FAB6))
+  (segment (start 200.5157 82.1155) (end 199.7156 82.9156) (width 0.8) (layer TOP-L1) (net 165) (tstamp 5162FAB7))
+  (segment (start 198.5125 82.9156) (end 196.6375 82.9156) (width 0.8) (layer TOP-L1) (net 165) (tstamp 5188CC2A))
+  (segment (start 196.6375 82.9156) (end 196.64474 82.9156) (width 0.8) (layer TOP-L1) (net 165) (tstamp 51921651))
+  (segment (start 199.7156 82.9156) (end 198.5125 82.9156) (width 0.8) (layer TOP-L1) (net 165) (tstamp 5162FAB8))
+  (segment (start 210.15246 76.5656) (end 210.15246 76.09062) (width 0.8) (layer TOP-L1) (net 165))
+  (segment (start 210.15246 76.09062) (end 210.15246 76.1465) (width 0.8) (layer TOP-L1) (net 165) (tstamp 5162FAAE))
+  (segment (start 210.1169 76.11094) (end 210.1169 76.0957) (width 0.8) (layer TOP-L1) (net 165) (tstamp 5162DE27))
+  (segment (start 210.15246 76.1465) (end 210.1169 76.11094) (width 0.8) (layer TOP-L1) (net 165) (tstamp 5162DE25))
+  (segment (start 212.19716 77.9245) (end 212.19716 76.0957) (width 0.8) (layer TOP-L1) (net 165))
+  (segment (start 212.19462 76.09316) (end 212.19462 76.0957) (width 0.8) (layer TOP-L1) (net 165) (tstamp 5162DE21))
+  (segment (start 212.19716 76.0957) (end 212.19462 76.09316) (width 0.8) (layer TOP-L1) (net 165) (tstamp 5162DE1F))
+  (segment (start 208.70466 76.0957) (end 210.1169 76.0957) (width 0.8) (layer TOP-L1) (net 165) (tstamp 5162DC01))
+  (segment (start 210.1169 76.0957) (end 211.3875 76.0957) (width 0.8) (layer TOP-L1) (net 165) (tstamp 5162DE28))
+  (segment (start 211.3875 76.0957) (end 212.19462 76.0957) (width 0.8) (layer TOP-L1) (net 165) (tstamp 5188CBB3))
+  (segment (start 200.3625 117.7875) (end 200.7625 117.3875) (width 0.1524) (layer TOP-L1) (net 166))
+  (segment (start 200.2375 114.9875) (end 200.2375 114.8625) (width 0.1524) (layer Sig1-L3) (net 166) (tstamp 51920076))
+  (segment (start 200.7625 115.5125) (end 200.2375 114.9875) (width 0.1524) (layer Sig1-L3) (net 166) (tstamp 51920075))
+  (segment (start 200.7625 115.7625) (end 200.7625 115.5125) (width 0.1524) (layer Sig1-L3) (net 166) (tstamp 51920074))
+  (via (at 200.7625 115.7625) (size 0.4572) (layers TOP-L1 BOT-L6) (net 166))
+  (segment (start 200.7625 117.3875) (end 200.7625 115.7625) (width 0.1524) (layer TOP-L1) (net 166) (tstamp 51920071))
+  (segment (start 208.0375 106.8875) (end 208.0375 106.3625) (width 0.1524) (layer Sig1-L3) (net 166))
+  (segment (start 200.2375 112.6075) (end 200.2375 114.8625) (width 0.1524) (layer Sig1-L3) (net 166) (tstamp 5189ADE5))
+  (segment (start 200.2375 111.5225) (end 202.4925 109.2675) (width 0.1524) (layer Sig1-L3) (net 166) (tstamp 5189ADE7))
+  (segment (start 202.4925 109.2675) (end 203.4625 109.2675) (width 0.1524) (layer Sig1-L3) (net 166) (tstamp 5189ADF0))
+  (segment (start 200.2375 112.6075) (end 200.2375 111.5225) (width 0.1524) (layer Sig1-L3) (net 166))
+  (segment (start 207.4325 109.2675) (end 208.0375 108.6625) (width 0.1524) (layer Sig1-L3) (net 166) (tstamp 5189B0F2))
+  (segment (start 208.0375 108.6625) (end 208.0375 106.8875) (width 0.1524) (layer Sig1-L3) (net 166) (tstamp 5189B0FA))
+  (segment (start 203.4625 109.2675) (end 207.4325 109.2675) (width 0.1524) (layer Sig1-L3) (net 166))
+  (segment (start 219.0625 104.3125) (end 221.3125 102.0625) (width 0.1524) (layer Sig1-L3) (net 166) (tstamp 5191FD8A))
+  (segment (start 208.0375 106.3625) (end 208.7375 105.6625) (width 0.1524) (layer Sig1-L3) (net 166) (tstamp 5191FD83))
+  (segment (start 208.7375 105.6625) (end 217.7125 105.6625) (width 0.1524) (layer Sig1-L3) (net 166) (tstamp 5191FD84))
+  (segment (start 217.7125 105.6625) (end 219.0625 104.3125) (width 0.1524) (layer Sig1-L3) (net 166) (tstamp 5191FD86))
+  (segment (start 215.6625 79.8125) (end 215.6625 79.8375) (width 0.1524) (layer Sig1-L3) (net 166))
+  (segment (start 223.2125 94.7875) (end 223.2125 98.4625) (width 0.1524) (layer Sig1-L3) (net 166) (tstamp 5188E7E2))
+  (segment (start 218.5625 90.1375) (end 223.2125 94.7875) (width 0.1524) (layer Sig1-L3) (net 166) (tstamp 5188E7E0))
+  (segment (start 218.5625 82.7375) (end 218.5625 90.1375) (width 0.1524) (layer Sig1-L3) (net 166) (tstamp 5188E7D9))
+  (segment (start 215.6625 79.8375) (end 218.5625 82.7375) (width 0.1524) (layer Sig1-L3) (net 166) (tstamp 5188E7CF))
+  (segment (start 214.73716 79.8295) (end 215.6455 79.8295) (width 0.1524) (layer TOP-L1) (net 166))
+  (segment (start 215.6455 79.8295) (end 215.6625 79.8125) (width 0.1524) (layer TOP-L1) (net 166) (tstamp 5188E455))
+  (via (at 215.6625 79.8125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 166))
+  (segment (start 223.2125 98.4625) (end 223.2125 101.1875) (width 0.1524) (layer Sig1-L3) (net 166) (tstamp 5188E7E9))
+  (segment (start 223.2125 101.1875) (end 222.3625 102.0375) (width 0.1524) (layer Sig1-L3) (net 166) (tstamp 5188E464))
+  (segment (start 222.3625 102.0375) (end 221.2875 102.0375) (width 0.1524) (layer Sig1-L3) (net 166) (tstamp 5188E467))
+  (segment (start 221.2875 102.0375) (end 221.3125 102.0375) (width 0.3) (layer Sig1-L3) (net 166) (tstamp 5188E534))
+  (segment (start 221.3125 102.0375) (end 221.3125 102.0625) (width 0.3) (layer Sig1-L3) (net 166) (tstamp 5188E46C))
+  (via (at 221.3125 102.0625) (size 0.4572) (layers TOP-L1 BOT-L6) (net 166))
+  (segment (start 221.07082 101.82082) (end 219.76382 101.82082) (width 0.3) (layer TOP-L1) (net 166))
+  (segment (start 221.07082 101.82082) (end 221.3125 102.0625) (width 0.3) (layer TOP-L1) (net 166) (tstamp 5188D703))
+  (segment (start 220.5125 102.93792) (end 221.79992 102.93792) (width 0.1524) (layer TOP-L1) (net 166))
+  (segment (start 221.79992 102.93792) (end 221.8625 103.0005) (width 0.1524) (layer TOP-L1) (net 166) (tstamp 5188D70E))
+  (segment (start 219.76382 101.82082) (end 219.76382 102.18924) (width 0.1524) (layer TOP-L1) (net 166))
+  (segment (start 219.76382 102.18924) (end 220.5125 102.93792) (width 0.1524) (layer TOP-L1) (net 166) (tstamp 5188D708))
+  (segment (start 158.7625 142.4625) (end 158.7625 141.0875) (width 2) (layer BOT-L6) (net 167))
+  (segment (start 155.8875 137.2375) (end 155.9875 137.2375) (width 2) (layer BOT-L6) (net 167) (tstamp 51932716))
+  (segment (start 155.8875 138.2125) (end 155.8875 137.2375) (width 2) (layer BOT-L6) (net 167) (tstamp 5193270E))
+  (segment (start 158.7625 141.0875) (end 155.8875 138.2125) (width 2) (layer BOT-L6) (net 167) (tstamp 5193270D))
+  (segment (start 195.8375 140.8875) (end 195.8375 136.0125) (width 2) (layer BOT-L6) (net 167))
+  (segment (start 195.1125 131.1625) (end 195.1125 130.9375) (width 2) (layer BOT-L6) (net 167) (tstamp 519326FD))
+  (segment (start 195.1125 135.2875) (end 195.1125 131.1625) (width 2) (layer BOT-L6) (net 167) (tstamp 519326F8))
+  (segment (start 195.8375 136.0125) (end 195.1125 135.2875) (width 2) (layer BOT-L6) (net 167) (tstamp 519326F7))
+  (segment (start 163.8625 125.9125) (end 162.1125 125.9125) (width 0.3) (layer BOT-L6) (net 167))
+  (segment (start 156.2625 127.2375) (end 156.2125 127.2375) (width 0.3) (layer BOT-L6) (net 167) (tstamp 51920E51))
+  (segment (start 160.7875 127.2375) (end 156.2625 127.2375) (width 0.3) (layer BOT-L6) (net 167) (tstamp 51920E48))
+  (segment (start 162.1125 125.9125) (end 160.7875 127.2375) (width 0.3) (layer BOT-L6) (net 167) (tstamp 51920E44))
+  (segment (start 196.11292 133.4625) (end 195.8375 133.4625) (width 0.3) (layer BOT-L6) (net 167))
+  (segment (start 195.8375 133.4625) (end 195.8125 133.4625) (width 0.3) (layer BOT-L6) (net 167) (tstamp 51920879))
+  (segment (start 189.5625 121.3875) (end 189.61292 121.33708) (width 0.1524) (layer BOT-L6) (net 167))
+  (segment (start 189.61292 121.33708) (end 189.61292 120.6375) (width 0.1524) (layer BOT-L6) (net 167) (tstamp 519207E8))
+  (segment (start 191.1625 121.3875) (end 189.5375 121.3875) (width 0.1524) (layer BOT-L6) (net 167))
+  (segment (start 189.5625 121.3875) (end 189.1625 120.9875) (width 0.1524) (layer TOP-L1) (net 167) (tstamp 519207D3))
+  (via (at 189.5625 121.3875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 167))
+  (segment (start 189.5375 121.3875) (end 189.5625 121.3875) (width 0.1524) (layer BOT-L6) (net 167) (tstamp 519207BF))
+  (segment (start 158.6625 136.86208) (end 158.6125 136.91208) (width 0.3) (layer BOT-L6) (net 167))
+  (segment (start 158.6125 136.91208) (end 157.3125 136.91208) (width 0.3) (layer BOT-L6) (net 167) (tstamp 5191EC80))
+  (segment (start 186.4625 121.5375) (end 186.4625 127.5125) (width 0.3) (layer Sig2-L4) (net 167))
+  (segment (start 186.4625 127.9875) (end 186.4625 128.1125) (width 0.3) (layer BOT-L6) (net 167) (tstamp 5191EBCF))
+  (segment (start 186.4625 127.5125) (end 186.4625 127.9875) (width 0.3) (layer BOT-L6) (net 167) (tstamp 5191EBC7))
+  (via (at 186.4625 127.5125) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 167))
+  (segment (start 187.1625 111.7875) (end 186.4625 112.4875) (width 0.3) (layer Sig2-L4) (net 167))
+  (segment (start 186.4625 112.4875) (end 186.4625 121.5375) (width 0.3) (layer Sig2-L4) (net 167) (tstamp 5191EB5E))
+  (segment (start 186.4625 121.5375) (end 186.4625 121.6625) (width 0.3) (layer Sig2-L4) (net 167) (tstamp 5191EBAE))
+  (segment (start 186.4625 124.8375) (end 186.4625 125.5875) (width 0.3) (layer BOT-L6) (net 167))
+  (segment (start 186.4625 124.8375) (end 186.4625 124.8875) (width 0.3) (layer BOT-L6) (net 167) (tstamp 5191EAB3))
+  (segment (start 186.4625 125.5875) (end 186.4625 128.1125) (width 0.3) (layer BOT-L6) (net 167) (tstamp 5191EAB5))
+  (segment (start 195.7875 130.9375) (end 195.8125 130.9375) (width 0.3) (layer BOT-L6) (net 167) (tstamp 5191EAC6))
+  (segment (start 195.1125 130.9375) (end 195.7875 130.9375) (width 2) (layer BOT-L6) (net 167) (tstamp 519326FE))
+  (segment (start 186.4625 128.1125) (end 189.2875 130.9375) (width 2) (layer BOT-L6) (net 167) (tstamp 5191EBD0))
+  (segment (start 189.2875 130.9375) (end 195.1125 130.9375) (width 2) (layer BOT-L6) (net 167) (tstamp 5191EABE))
+  (segment (start 186.4625 128.1125) (end 186.4625 128.1125) (width 0.3) (layer BOT-L6) (net 167) (tstamp 5191EAB7))
+  (segment (start 170.7125 142.4625) (end 173.0375 142.4625) (width 0.3) (layer BOT-L6) (net 167))
+  (segment (start 173.0625 142.4375) (end 173.0625 142.4625) (width 0.3) (layer BOT-L6) (net 167) (tstamp 5191E91A))
+  (segment (start 173.0375 142.4625) (end 173.0625 142.4375) (width 0.3) (layer BOT-L6) (net 167) (tstamp 5191E918))
+  (segment (start 191.1625 121.3875) (end 191.1625 121.8375) (width 0.3) (layer BOT-L6) (net 167))
+  (segment (start 191.1625 121.8375) (end 192.3625 123.0375) (width 0.3) (layer BOT-L6) (net 167) (tstamp 5191E8C7))
+  (segment (start 155.9875 136.5875) (end 155.9875 137.2375) (width 0.8) (layer BOT-L6) (net 167))
+  (segment (start 158.8125 142.4625) (end 158.7625 142.4625) (width 2) (layer BOT-L6) (net 167) (tstamp 5191E893))
+  (segment (start 158.7625 142.4625) (end 173.0625 142.4625) (width 2) (layer BOT-L6) (net 167) (tstamp 5193270B))
+  (segment (start 173.0625 142.4625) (end 194.2625 142.4625) (width 2) (layer BOT-L6) (net 167) (tstamp 5191E91B))
+  (segment (start 195.8125 133.4625) (end 195.8125 130.9375) (width 0.8) (layer BOT-L6) (net 167) (tstamp 5192087A))
+  (segment (start 194.2625 142.4625) (end 195.8375 140.8875) (width 2) (layer BOT-L6) (net 167) (tstamp 5191E89B))
+  (segment (start 195.8375 140.8875) (end 195.8125 140.9125) (width 2) (layer BOT-L6) (net 167) (tstamp 519326F5))
+  (segment (start 195.8125 130.9375) (end 195.8125 126.9375) (width 2) (layer BOT-L6) (net 167) (tstamp 5191EAC7))
+  (segment (start 192.3625 123.4875) (end 192.3625 123.0375) (width 0.8) (layer BOT-L6) (net 167) (tstamp 5191E8B9))
+  (segment (start 195.8125 126.9375) (end 192.3625 123.4875) (width 0.8) (layer BOT-L6) (net 167) (tstamp 5191E8A4))
+  (segment (start 192.3625 123.0375) (end 192.3625 123.1375) (width 0.8) (layer BOT-L6) (net 167) (tstamp 5191E8D0))
+  (segment (start 157.3125 136.91208) (end 156.08708 136.91208) (width 0.3) (layer BOT-L6) (net 167))
+  (segment (start 156.08708 136.91208) (end 155.9875 136.8125) (width 0.3) (layer BOT-L6) (net 167) (tstamp 5191E7FF))
+  (segment (start 156.2125 128.9375) (end 156.2125 128.4875) (width 0.3) (layer BOT-L6) (net 167) (tstamp 5191E6F9))
+  (segment (start 155.9875 136.5375) (end 155.9875 136.5875) (width 0.5) (layer BOT-L6) (net 167) (tstamp 5191E6F4))
+  (segment (start 155.9875 136.5875) (end 155.9875 136.8125) (width 0.5) (layer BOT-L6) (net 167) (tstamp 5191E88C))
+  (segment (start 155.9875 136.8125) (end 155.9875 136.7125) (width 0.5) (layer BOT-L6) (net 167) (tstamp 5191E805))
+  (segment (start 154.8625 130.2875) (end 156.2125 128.9375) (width 0.5) (layer BOT-L6) (net 167) (tstamp 5191E6F7))
+  (segment (start 154.8625 132.5125) (end 154.8625 130.2875) (width 0.5) (layer BOT-L6) (net 167) (tstamp 5191E6F6))
+  (segment (start 155.9875 133.6375) (end 154.8625 132.5125) (width 0.5) (layer BOT-L6) (net 167) (tstamp 5191E6F5))
+  (segment (start 155.9875 136.7375) (end 155.9875 133.6375) (width 0.5) (layer BOT-L6) (net 167) (tstamp 5191E7F1))
+  (segment (start 155.9875 136.7125) (end 155.9875 136.7375) (width 0.5) (layer BOT-L6) (net 167) (tstamp 5191E78A))
+  (segment (start 159.83792 137.0625) (end 158.86292 137.0625) (width 0.3) (layer BOT-L6) (net 167))
+  (segment (start 158.86292 137.0625) (end 158.6625 136.86208) (width 0.5) (layer BOT-L6) (net 167) (tstamp 5191E765))
+  (segment (start 155.6375 109.6625) (end 155.6375 105.8375) (width 0.5) (layer BOT-L6) (net 167))
+  (segment (start 164.1625 104.8125) (end 164.1625 103.8875) (width 0.5) (layer BOT-L6) (net 167) (tstamp 5191E11E))
+  (segment (start 163.4375 105.5375) (end 164.1625 104.8125) (width 0.5) (layer BOT-L6) (net 167) (tstamp 5191E11C))
+  (segment (start 157.9625 105.5375) (end 163.4375 105.5375) (width 0.5) (layer BOT-L6) (net 167) (tstamp 5191E116))
+  (segment (start 157.7625 105.3375) (end 157.9625 105.5375) (width 0.5) (layer BOT-L6) (net 167) (tstamp 5191E114))
+  (segment (start 156.1375 105.3375) (end 157.7625 105.3375) (width 0.5) (layer BOT-L6) (net 167) (tstamp 5191E111))
+  (segment (start 155.6375 105.8375) (end 156.1375 105.3375) (width 0.5) (layer BOT-L6) (net 167) (tstamp 5191E10B))
+  (segment (start 163.3625 103.26292) (end 163.96292 103.26292) (width 0.1524) (layer BOT-L6) (net 167))
+  (segment (start 163.96292 103.26292) (end 164.1625 103.4625) (width 0.1524) (layer BOT-L6) (net 167) (tstamp 5191E0C1))
+  (segment (start 164.1625 103.4625) (end 164.1625 103.8875) (width 0.1524) (layer BOT-L6) (net 167) (tstamp 5191E0C2))
+  (segment (start 163.8625 125.8875) (end 163.8625 125.9125) (width 0.3) (layer BOT-L6) (net 167))
+  (segment (start 163.8625 125.9125) (end 163.8625 125.61292) (width 0.3) (layer BOT-L6) (net 167) (tstamp 51920E42))
+  (segment (start 163.8625 125.61292) (end 163.48708 125.2375) (width 0.3) (layer BOT-L6) (net 167) (tstamp 5191C536))
+  (segment (start 159.91208 131.7875) (end 160.3625 131.7875) (width 0.3) (layer BOT-L6) (net 167))
+  (segment (start 160.3625 131.7875) (end 160.5625 131.5875) (width 0.3) (layer BOT-L6) (net 167) (tstamp 5191C516))
+  (segment (start 161.4875 108.98708) (end 158.86208 108.98708) (width 0.3) (layer BOT-L6) (net 167))
+  (segment (start 158.86208 108.98708) (end 158.0125 108.1375) (width 0.3) (layer BOT-L6) (net 167) (tstamp 5191C48D))
+  (segment (start 158.0125 108.1375) (end 156.5875 108.1375) (width 0.3) (layer BOT-L6) (net 167) (tstamp 5191C4A1))
+  (segment (start 156.5875 108.1375) (end 155.6375 109.0875) (width 0.3) (layer BOT-L6) (net 167) (tstamp 5191C4A2))
+  (segment (start 155.6375 109.0875) (end 155.6375 109.6625) (width 0.5) (layer BOT-L6) (net 167) (tstamp 5191C4A3))
+  (segment (start 155.6375 109.6625) (end 155.6375 119.5125) (width 0.5) (layer BOT-L6) (net 167) (tstamp 5191E109))
+  (segment (start 155.6375 119.5125) (end 156.2125 120.0875) (width 0.5) (layer BOT-L6) (net 167) (tstamp 5191C4A5))
+  (segment (start 156.2125 120.0875) (end 156.2125 123.9375) (width 0.5) (layer BOT-L6) (net 167) (tstamp 5191C4B9))
+  (segment (start 160.5625 130.9375) (end 160.5625 131.5875) (width 0.5) (layer BOT-L6) (net 167) (tstamp 5191C4F2))
+  (segment (start 156.2125 123.9375) (end 156.2125 127.2375) (width 0.5) (layer BOT-L6) (net 167) (tstamp 5191C549))
+  (segment (start 156.2125 127.2375) (end 156.2125 128.4875) (width 0.5) (layer BOT-L6) (net 167) (tstamp 51920E52))
+  (segment (start 156.2125 128.4875) (end 156.2125 129.9375) (width 0.5) (layer BOT-L6) (net 167) (tstamp 5191E6FC))
+  (segment (start 156.2125 129.9375) (end 156.8125 130.5375) (width 0.5) (layer BOT-L6) (net 167) (tstamp 5191C4C1))
+  (segment (start 156.8125 130.5375) (end 160.1625 130.5375) (width 0.5) (layer BOT-L6) (net 167) (tstamp 5191C4C8))
+  (segment (start 160.1625 130.5375) (end 160.5625 130.9375) (width 0.5) (layer BOT-L6) (net 167) (tstamp 5191C4CC))
+  (segment (start 161.3625 109.6125) (end 161.3625 109.11208) (width 0.3) (layer BOT-L6) (net 167))
+  (segment (start 161.3625 109.11208) (end 161.4875 108.98708) (width 0.3) (layer BOT-L6) (net 167) (tstamp 5191C48A))
+  (segment (start 164.1625 103.9125) (end 164.1625 103.8875) (width 0.1524) (layer BOT-L6) (net 167) (tstamp 5188C464))
+  (segment (start 164.13708 103.88708) (end 164.1625 103.9125) (width 0.1524) (layer BOT-L6) (net 167) (tstamp 5188C45F))
+  (via (at 191.1625 121.3875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 167))
+  (segment (start 191.5625 121.7875) (end 191.1625 121.3875) (width 0.1524) (layer TOP-L1) (net 167))
+  (segment (start 188.0125 111.73792) (end 188.0125 111.0375) (width 0.1524) (layer BOT-L6) (net 167))
+  (via (at 187.9625 110.9875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 167))
+  (segment (start 187.9625 110.9875) (end 188.3625 111.3875) (width 0.1524) (layer TOP-L1) (net 167))
+  (segment (start 188.0125 111.0375) (end 187.9625 110.9875) (width 0.1524) (layer BOT-L6) (net 167) (tstamp 5187737A))
+  (segment (start 186.5125 111.91208) (end 187.01292 111.91208) (width 0.1524) (layer BOT-L6) (net 167))
+  (segment (start 187.01292 111.91208) (end 187.1375 111.7875) (width 0.1524) (layer BOT-L6) (net 167) (tstamp 5187731D))
+  (segment (start 187.1375 111.7875) (end 187.1625 111.7625) (width 0.1524) (layer BOT-L6) (net 167) (tstamp 5191EA51))
+  (segment (start 187.1625 111.7625) (end 187.1625 111.7875) (width 0.1524) (layer Sig2-L4) (net 167))
+  (segment (start 187.1625 111.7875) (end 187.1625 111.7625) (width 0.1524) (layer Sig2-L4) (net 167) (tstamp 5191EB5C))
+  (segment (start 187.5375 111.3875) (end 187.1625 111.7625) (width 0.1524) (layer TOP-L1) (net 167) (tstamp 5185C178))
+  (via (at 187.1625 111.7625) (size 0.4572) (layers TOP-L1 BOT-L6) (net 167))
+  (segment (start 187.5625 111.3875) (end 187.5375 111.3875) (width 0.1524) (layer TOP-L1) (net 167))
+  (segment (start 161.3625 109.6125) (end 161.3625 109.5875) (width 0.1524) (layer BOT-L6) (net 167) (tstamp 5191C488))
+  (segment (start 160.9625 109.9875) (end 161.3625 109.5875) (width 0.1524) (layer TOP-L1) (net 167))
+  (segment (start 161.3625 109.5875) (end 161.3625 109.5875) (width 0.1524) (layer BOT-L6) (net 167) (tstamp 51866BF6))
+  (via (at 161.3625 109.5875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 167))
+  (segment (start 164.1625 126.3875) (end 164.1625 126.1875) (width 0.1524) (layer TOP-L1) (net 167))
+  (via (at 164.1625 103.8875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 167))
+  (segment (start 164.1625 103.8875) (end 164.1625 104.3875) (width 0.1524) (layer TOP-L1) (net 167))
+  (segment (start 163.8625 125.8875) (end 163.8625 125.8875) (width 0.1524) (layer BOT-L6) (net 167) (tstamp 51866C24))
+  (via (at 163.8625 125.8875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 167))
+  (segment (start 164.1625 126.1875) (end 163.8625 125.8875) (width 0.1524) (layer TOP-L1) (net 167) (tstamp 51866BCE))
+  (segment (start 187.5625 111.3875) (end 188.3625 111.3875) (width 0.1524) (layer TOP-L1) (net 167))
+  (segment (start 160.5625 131.5875) (end 160.9625 131.9875) (width 0.1524) (layer TOP-L1) (net 167) (tstamp 51821965))
+  (via (at 160.5625 131.5875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 167))
+  (segment (start 218.1375 82.1425) (end 216.2875 82.1425) (width 0.5) (layer TOP-L1) (net 168))
+  (segment (start 212.1425 83.2075) (end 212.1125 83.2075) (width 0.5) (layer TOP-L1) (net 168) (tstamp 519E8050))
+  (segment (start 215.2225 83.2075) (end 212.1425 83.2075) (width 0.5) (layer TOP-L1) (net 168) (tstamp 519E804E))
+  (segment (start 216.2875 82.1425) (end 215.2225 83.2075) (width 0.5) (layer TOP-L1) (net 168) (tstamp 519E804D))
+  (segment (start 215.54 81.6375) (end 217.6325 81.6375) (width 0.5) (layer TOP-L1) (net 168))
+  (segment (start 217.6325 81.6375) (end 218.1375 82.1425) (width 0.5) (layer TOP-L1) (net 168) (tstamp 519E804A))
+  (segment (start 160.17292 125.3575) (end 160.17292 123.70208) (width 0.3) (layer BOT-L6) (net 168))
+  (segment (start 165.1625 121.2625) (end 165.1625 121.3125) (width 0.3) (layer BOT-L6) (net 168) (tstamp 51920E72))
+  (segment (start 163.5375 122.8875) (end 165.1625 121.2625) (width 0.3) (layer BOT-L6) (net 168) (tstamp 51920E6B))
+  (segment (start 160.9875 122.8875) (end 163.5375 122.8875) (width 0.3) (layer BOT-L6) (net 168) (tstamp 51920E6A))
+  (segment (start 160.17292 123.70208) (end 160.9875 122.8875) (width 0.3) (layer BOT-L6) (net 168) (tstamp 51920E69))
+  (segment (start 158.52292 125.3475) (end 158.52292 124.27708) (width 0.3) (layer BOT-L6) (net 168))
+  (segment (start 158.52292 124.27708) (end 161.4875 121.3125) (width 0.3) (layer BOT-L6) (net 168) (tstamp 51920E57))
+  (segment (start 161.4875 121.3125) (end 165.1625 121.3125) (width 0.3) (layer BOT-L6) (net 168) (tstamp 51920E5E))
+  (segment (start 165.8125 121.3125) (end 165.8375 121.3125) (width 0.3) (layer BOT-L6) (net 168) (tstamp 51920E66))
+  (segment (start 165.1625 121.3125) (end 165.8125 121.3125) (width 0.3) (layer BOT-L6) (net 168) (tstamp 51920E73))
+  (segment (start 162.1625 127.5875) (end 162.8375 127.5875) (width 0.3) (layer BOT-L6) (net 168))
+  (segment (start 162.8375 127.5875) (end 162.83792 127.5875) (width 0.3) (layer BOT-L6) (net 168) (tstamp 51920E2F))
+  (segment (start 162.83792 126.8875) (end 162.83792 127.5875) (width 0.3) (layer BOT-L6) (net 168))
+  (segment (start 162.83792 127.5875) (end 162.83792 129.16292) (width 0.3) (layer BOT-L6) (net 168) (tstamp 51920E30))
+  (segment (start 162.9125 129.2875) (end 162.9125 129.3125) (width 0.3) (layer BOT-L6) (net 168) (tstamp 51920E28))
+  (segment (start 162.83792 129.16292) (end 162.9625 129.2875) (width 0.3) (layer BOT-L6) (net 168) (tstamp 51920E1B))
+  (segment (start 162.9625 129.2875) (end 162.9125 129.2875) (width 0.3) (layer BOT-L6) (net 168) (tstamp 51920E27))
+  (segment (start 162.5625 127.1875) (end 162.5625 127.2125) (width 0.1524) (layer TOP-L1) (net 168))
+  (via (at 162.1625 127.5875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 162.1625 127.6125) (end 162.1625 127.5875) (width 0.1524) (layer TOP-L1) (net 168) (tstamp 51920D9C))
+  (segment (start 162.5625 127.2125) (end 162.1625 127.6125) (width 0.1524) (layer TOP-L1) (net 168) (tstamp 51920D8E))
+  (segment (start 189.76292 112.3625) (end 189.76292 111.4625) (width 0.1524) (layer BOT-L6) (net 168))
+  (segment (start 189.78792 113.2875) (end 189.5625 113.2875) (width 0.1524) (layer BOT-L6) (net 168))
+  (segment (start 189.5625 113.2875) (end 189.5875 113.2875) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 51920BAA))
+  (segment (start 189.76292 111.4625) (end 189.5625 111.4625) (width 0.1524) (layer BOT-L6) (net 168))
+  (segment (start 189.5625 111.4625) (end 189.5875 111.4625) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 51920BA6))
+  (segment (start 188.7875 111.7625) (end 189.46292 111.7625) (width 0.1524) (layer BOT-L6) (net 168))
+  (segment (start 189.46292 111.7625) (end 189.76292 111.4625) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 51920B98))
+  (segment (start 189.78792 114.2125) (end 189.5625 114.2125) (width 0.1524) (layer BOT-L6) (net 168))
+  (segment (start 189.5625 114.2125) (end 189.5375 114.1875) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 51920B93))
+  (segment (start 188.7625 117.3875) (end 189.5625 117.3875) (width 0.1524) (layer BOT-L6) (net 168))
+  (segment (start 189.5625 117.3875) (end 189.5875 117.4125) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 51920B69))
+  (segment (start 188.7625 114.1875) (end 189.5375 114.1875) (width 0.1524) (layer BOT-L6) (net 168))
+  (segment (start 189.5375 114.1875) (end 189.5875 114.1875) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 51920B96))
+  (segment (start 188.7625 113.3875) (end 189.5875 113.3875) (width 0.1524) (layer BOT-L6) (net 168))
+  (segment (start 188.7875 111.7625) (end 189.5625 111.7625) (width 0.1524) (layer BOT-L6) (net 168))
+  (via (at 188.7875 111.7625) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 189.1625 111.3875) (end 188.7875 111.7625) (width 0.1524) (layer TOP-L1) (net 168))
+  (segment (start 189.5625 111.7625) (end 189.5875 111.7625) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 51920B5B))
+  (segment (start 189.78792 115.7875) (end 189.78792 115.13792) (width 0.3) (layer BOT-L6) (net 168))
+  (segment (start 189.78792 115.13792) (end 189.6375 114.9875) (width 0.3) (layer BOT-L6) (net 168) (tstamp 51920905))
+  (segment (start 189.1625 114.5875) (end 189.2375 114.5875) (width 0.3) (layer TOP-L1) (net 168))
+  (segment (start 189.6375 114.9875) (end 189.5875 114.9875) (width 0.3) (layer BOT-L6) (net 168) (tstamp 51920900))
+  (via (at 189.6375 114.9875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 189.2375 114.5875) (end 189.6375 114.9875) (width 0.3) (layer TOP-L1) (net 168) (tstamp 519208F8))
+  (segment (start 189.78792 118.1375) (end 189.5625 118.1375) (width 0.3) (layer BOT-L6) (net 168))
+  (segment (start 189.5625 118.1375) (end 189.5875 118.1375) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5192088A))
+  (segment (start 189.1625 120.1875) (end 188.7375 119.7625) (width 0.1524) (layer TOP-L1) (net 168))
+  (segment (start 189.5375 119.0875) (end 189.5375 119.1125) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 51920752))
+  (segment (start 188.8625 119.7625) (end 189.5375 119.0875) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 5192074A))
+  (segment (start 188.7375 119.7625) (end 188.8625 119.7625) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 51920749))
+  (via (at 188.7375 119.7625) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 190.3625 119.8125) (end 190.66292 119.8125) (width 0.1524) (layer BOT-L6) (net 168))
+  (segment (start 190.66292 119.8125) (end 191.03792 119.4375) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 519206BA))
+  (segment (start 188.7625 110.9875) (end 189.5625 110.9875) (width 0.1524) (layer BOT-L6) (net 168))
+  (segment (start 189.5625 110.9875) (end 189.5875 110.9625) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 5192063B))
+  (segment (start 189.1625 116.9875) (end 189.5875 117.4125) (width 0.1524) (layer TOP-L1) (net 168))
+  (via (at 189.5875 117.4125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 184.7125 109.0375) (end 187.8875 109.0375) (width 0.8) (layer BOT-L6) (net 168))
+  (segment (start 187.8875 109.0375) (end 189.5875 110.7375) (width 0.8) (layer BOT-L6) (net 168) (tstamp 51920587))
+  (segment (start 189.5875 110.7375) (end 189.5875 110.9625) (width 0.8) (layer BOT-L6) (net 168) (tstamp 51920588))
+  (segment (start 190.3625 119.8125) (end 190.3625 119.7875) (width 0.2) (layer TOP-L1) (net 168) (tstamp 519205B8))
+  (via (at 190.3625 119.8125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 190.2375 119.8125) (end 190.3625 119.8125) (width 0.2) (layer BOT-L6) (net 168) (tstamp 519205A9))
+  (segment (start 189.5375 119.1125) (end 190.2375 119.8125) (width 0.5) (layer BOT-L6) (net 168) (tstamp 51920753))
+  (segment (start 189.5875 119.1625) (end 189.5375 119.1125) (width 0.5) (layer BOT-L6) (net 168) (tstamp 5192059B))
+  (segment (start 189.5875 117.4125) (end 189.5875 118.1375) (width 0.8) (layer BOT-L6) (net 168) (tstamp 51920608))
+  (segment (start 189.5875 118.1375) (end 189.5875 119.1625) (width 0.8) (layer BOT-L6) (net 168) (tstamp 5192088B))
+  (segment (start 189.5875 110.9625) (end 189.5875 111.4625) (width 0.8) (layer BOT-L6) (net 168) (tstamp 51920640))
+  (segment (start 189.5875 111.4625) (end 189.5875 111.7625) (width 0.8) (layer BOT-L6) (net 168) (tstamp 51920BA7))
+  (segment (start 189.5875 111.7625) (end 189.5875 113.2875) (width 0.8) (layer BOT-L6) (net 168) (tstamp 51920B5C))
+  (segment (start 189.5875 113.2875) (end 189.5875 113.3875) (width 0.8) (layer BOT-L6) (net 168) (tstamp 51920BAB))
+  (segment (start 189.5875 113.3875) (end 189.5875 114.1875) (width 0.8) (layer BOT-L6) (net 168) (tstamp 51920B60))
+  (segment (start 189.5875 114.1875) (end 189.5875 114.9875) (width 0.8) (layer BOT-L6) (net 168) (tstamp 51920B64))
+  (segment (start 189.5875 114.9875) (end 189.5875 117.4125) (width 0.8) (layer BOT-L6) (net 168) (tstamp 51920901))
+  (segment (start 162.1625 104.7875) (end 162.4625 104.4875) (width 0.3) (layer BOT-L6) (net 168))
+  (segment (start 162.4625 101.6375) (end 162.4625 101.6875) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5191ECA3))
+  (segment (start 162.4625 104.4875) (end 162.4625 101.6375) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5191EC9E))
+  (segment (start 156.8375 134.98708) (end 156.98792 135.1375) (width 0.3) (layer BOT-L6) (net 168))
+  (segment (start 158.5125 135.1375) (end 158.5125 135.1875) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5191EB07))
+  (segment (start 156.98792 135.1375) (end 158.5125 135.1375) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5191EAFE))
+  (segment (start 170.0375 103.96208) (end 170.0375 104.1125) (width 0.3) (layer BOT-L6) (net 168))
+  (segment (start 170.0375 104.1125) (end 169.3625 104.7875) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5191E9E3))
+  (segment (start 157.3125 136.01292) (end 157.3125 135.7125) (width 0.3) (layer BOT-L6) (net 168))
+  (segment (start 158.6875 135.1875) (end 158.6625 135.1875) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5191E80E))
+  (segment (start 157.8375 135.1875) (end 158.5125 135.1875) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5191E80B))
+  (segment (start 158.5125 135.1875) (end 158.6875 135.1875) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5191EB08))
+  (segment (start 157.3125 135.7125) (end 157.8375 135.1875) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5191E80A))
+  (segment (start 158.6625 135.96292) (end 157.3625 135.96292) (width 0.3) (layer BOT-L6) (net 168))
+  (segment (start 157.3625 135.96292) (end 157.3125 136.01292) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5191E807))
+  (segment (start 158.6625 135.96292) (end 158.6625 135.1875) (width 0.2) (layer BOT-L6) (net 168))
+  (segment (start 158.6625 135.1875) (end 158.6625 135.2375) (width 0.2) (layer BOT-L6) (net 168) (tstamp 5191E80F))
+  (segment (start 158.6625 135.2375) (end 158.6125 135.1875) (width 0.2) (layer BOT-L6) (net 168) (tstamp 5191E6EE))
+  (segment (start 158.6125 135.1875) (end 158.53708 135.1875) (width 0.2) (layer BOT-L6) (net 168) (tstamp 5191E6F0))
+  (segment (start 162.5375 136.1505) (end 162.4875 136.1005) (width 0.3) (layer BOT-L6) (net 168))
+  (segment (start 162.4875 136.1005) (end 162.4875 135.1875) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5191E622))
+  (segment (start 163.8125 136.26292) (end 163.8125 135.2125) (width 0.3) (layer BOT-L6) (net 168))
+  (segment (start 163.8125 135.2125) (end 163.8125 135.1875) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5191E610))
+  (segment (start 170.03708 130.9625) (end 171.0375 130.9625) (width 0.3) (layer BOT-L6) (net 168))
+  (segment (start 171.0375 130.9625) (end 171.0125 130.9625) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5191E54F))
+  (segment (start 167.78792 133.9875) (end 167.78792 135.23708) (width 0.3) (layer BOT-L6) (net 168))
+  (segment (start 167.7875 135.2375) (end 167.7875 135.1875) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5191E549))
+  (segment (start 167.78792 135.23708) (end 167.7875 135.2375) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5191E546))
+  (segment (start 166.66208 133.9625) (end 166.66208 135.18708) (width 0.3) (layer BOT-L6) (net 168))
+  (segment (start 166.66208 135.18708) (end 166.6625 135.1875) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5191E53F))
+  (segment (start 164.4375 133.0125) (end 164.4375 132.23792) (width 0.3) (layer BOT-L6) (net 168))
+  (segment (start 164.4375 132.23792) (end 164.43708 132.2375) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5191E53C))
+  (segment (start 164.43708 133.01292) (end 164.4375 133.0125) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5191E527))
+  (segment (start 164.4375 133.0125) (end 163.5625 133.8875) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5191E53A))
+  (segment (start 163.5625 135.1125) (end 163.5625 135.1875) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5191E531))
+  (segment (start 163.5625 133.8875) (end 163.5625 135.1125) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5191E52A))
+  (segment (start 162.06208 132.3875) (end 162.1375 132.3875) (width 0.3) (layer BOT-L6) (net 168))
+  (segment (start 162.1375 132.3875) (end 162.9875 133.2375) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5191E517))
+  (segment (start 162.9875 135.2375) (end 162.9875 135.1875) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5191E51C))
+  (segment (start 162.9875 133.2375) (end 162.9875 135.2375) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5191E51A))
+  (segment (start 160.21208 134.0125) (end 160.21208 135.16208) (width 0.3) (layer BOT-L6) (net 168))
+  (segment (start 160.2125 135.1625) (end 160.2125 135.1875) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5191E50F))
+  (segment (start 160.21208 135.16208) (end 160.2125 135.1625) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5191E50D))
+  (segment (start 158.53708 133.9875) (end 158.53708 135.1875) (width 0.3) (layer BOT-L6) (net 168))
+  (segment (start 158.53708 135.1875) (end 158.53708 135.13708) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5191E6F1))
+  (segment (start 158.5625 135.1625) (end 158.5625 135.1875) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5191E50A))
+  (segment (start 158.53708 135.13708) (end 158.5625 135.1625) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5191E507))
+  (segment (start 168.13792 101.6875) (end 168.1625 101.6875) (width 2) (layer BOT-L6) (net 168))
+  (segment (start 168.1625 101.6875) (end 170.3375 99.5125) (width 2) (layer BOT-L6) (net 168) (tstamp 5191E4C5))
+  (segment (start 185.8125 99.5125) (end 186.5625 98.7625) (width 2) (layer BOT-L6) (net 168) (tstamp 5191E4C7))
+  (segment (start 170.3375 99.5125) (end 185.8125 99.5125) (width 2) (layer BOT-L6) (net 168) (tstamp 5191E4C6))
+  (segment (start 164.67208 128.2275) (end 164.67208 129.30292) (width 0.3) (layer BOT-L6) (net 168))
+  (segment (start 164.67208 129.30292) (end 164.6625 129.3125) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5191E4B4))
+  (segment (start 162.1625 131.5875) (end 162.1625 130.0875) (width 0.3) (layer BOT-L6) (net 168))
+  (segment (start 162.1625 130.0875) (end 162.9125 129.3375) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5191E420))
+  (segment (start 162.9125 129.3375) (end 162.9125 129.3125) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5191E426))
+  (segment (start 170.13208 125.2875) (end 172.3125 125.2875) (width 0.3) (layer BOT-L6) (net 168))
+  (segment (start 168.45208 125.2175) (end 168.4625 125.20708) (width 0.3) (layer BOT-L6) (net 168))
+  (segment (start 169.0875 121.4375) (end 169.0875 121.3375) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5191E3F4))
+  (segment (start 169.0875 123.7625) (end 169.0875 121.4375) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5191E3ED))
+  (segment (start 168.4625 124.3875) (end 169.0875 123.7625) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5191E3E7))
+  (segment (start 168.4625 125.20708) (end 168.4625 124.3875) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5191E3E3))
+  (segment (start 165.92208 125.2475) (end 165.8375 125.16292) (width 0.3) (layer BOT-L6) (net 168))
+  (segment (start 165.8375 125.16292) (end 165.8375 121.3125) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5191E3D1))
+  (segment (start 165.8375 121.3125) (end 165.8375 121.3125) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5191E3DB))
+  (segment (start 165.8375 121.3125) (end 165.8375 121.3375) (width 0.3) (layer BOT-L6) (net 168) (tstamp 51920E67))
+  (segment (start 164.1625 111.3125) (end 164.33792 111.13708) (width 0.3) (layer BOT-L6) (net 168))
+  (segment (start 164.33792 111.13708) (end 164.33792 110.5625) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5191E3C8))
+  (segment (start 164.1625 111.3125) (end 163.5375 111.9375) (width 0.5) (layer BOT-L6) (net 168))
+  (segment (start 163.5375 111.9375) (end 163.5375 114.2375) (width 0.5) (layer BOT-L6) (net 168) (tstamp 5191E3C2))
+  (segment (start 170.2875 109.9875) (end 170.2375 110.0375) (width 0.3) (layer BOT-L6) (net 168))
+  (segment (start 170.2375 110.0375) (end 169.63708 110.0375) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5191E35B))
+  (segment (start 170.2875 110.0125) (end 170.2875 113.9125) (width 0.3) (layer BOT-L6) (net 168))
+  (segment (start 170.2875 113.9125) (end 170.2875 114.2375) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5191E357))
+  (segment (start 168.18792 111.9625) (end 168.2375 112.01208) (width 0.3) (layer BOT-L6) (net 168))
+  (segment (start 168.2375 114.2125) (end 168.2375 114.2375) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5191E30B))
+  (segment (start 168.2375 112.01208) (end 168.2375 114.2125) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5191E309))
+  (segment (start 166.48708 112.0875) (end 166.4875 112.08792) (width 0.3) (layer BOT-L6) (net 168))
+  (segment (start 166.4875 113.9125) (end 166.4875 114.2375) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5191E306))
+  (segment (start 166.4875 112.08792) (end 166.4875 113.9125) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5191E2FE))
+  (segment (start 180.9875 115.1625) (end 180.0625 114.2375) (width 2) (layer BOT-L6) (net 168))
+  (segment (start 183.6875 115.1625) (end 180.9875 115.1625) (width 2) (layer BOT-L6) (net 168) (tstamp 5191E20E))
+  (segment (start 184.7375 114.1125) (end 184.7625 114.0875) (width 2) (layer BOT-L6) (net 168) (tstamp 5191EC15))
+  (segment (start 184.7625 114.0875) (end 183.6875 115.1625) (width 2) (layer BOT-L6) (net 168) (tstamp 5191EC20))
+  (segment (start 180.0625 114.2375) (end 170.2875 114.2375) (width 2) (layer BOT-L6) (net 168) (tstamp 5191E2EB))
+  (segment (start 184.5625 114.2875) (end 184.7375 114.1125) (width 2) (layer BOT-L6) (net 168) (tstamp 5191E20A))
+  (segment (start 184.5625 114.2875) (end 184.7125 114.2875) (width 2) (layer BOT-L6) (net 168))
+  (segment (start 170.2875 114.2375) (end 168.2375 114.2375) (width 2) (layer BOT-L6) (net 168) (tstamp 5191E358))
+  (segment (start 161.5625 113.5875) (end 161.5625 113.4375) (width 2) (layer BOT-L6) (net 168) (tstamp 5191E2F3))
+  (segment (start 163.5375 114.2375) (end 162.2125 114.2375) (width 2) (layer BOT-L6) (net 168) (tstamp 5191E3C5))
+  (segment (start 162.2125 114.2375) (end 161.5625 113.5875) (width 2) (layer BOT-L6) (net 168) (tstamp 5191E2EC))
+  (segment (start 168.2375 114.2375) (end 166.4875 114.2375) (width 2) (layer BOT-L6) (net 168) (tstamp 5191E30C))
+  (segment (start 166.4875 114.2375) (end 163.5375 114.2375) (width 2) (layer BOT-L6) (net 168) (tstamp 5191E307))
+  (segment (start 162.48708 111.0125) (end 162.48708 112.53792) (width 0.3) (layer BOT-L6) (net 168))
+  (segment (start 162.48708 112.53792) (end 161.5875 113.4375) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5191E254))
+  (segment (start 161.5875 113.4375) (end 161.5625 113.4375) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5191E259))
+  (segment (start 161.5625 113.4375) (end 161.5625 113.4375) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5191E2F4))
+  (segment (start 160.18708 111.9375) (end 160.18708 112.08708) (width 0.3) (layer BOT-L6) (net 168))
+  (segment (start 161.5625 113.4625) (end 161.5625 113.4375) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5191E24B))
+  (segment (start 160.18708 112.08708) (end 161.5625 113.4625) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5191E241))
+  (segment (start 161.5625 113.4375) (end 161.5625 113.6375) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5191E25A))
+  (segment (start 158.46208 111.9375) (end 158.46208 112.56208) (width 0.3) (layer BOT-L6) (net 168))
+  (segment (start 158.46208 112.56208) (end 158.6375 112.7375) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5191E22B))
+  (segment (start 158.6375 112.7375) (end 160.6625 112.7375) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5191E231))
+  (segment (start 160.6625 112.7375) (end 161.6125 113.6875) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5191E233))
+  (segment (start 161.5625 113.6375) (end 161.6125 113.6875) (width 2) (layer BOT-L6) (net 168) (tstamp 5191E24C))
+  (via (at 164.1625 111.3125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 164.1625 111.3125) (end 164.1625 110.7875) (width 0.1524) (layer TOP-L1) (net 168))
+  (segment (start 170.2875 109.9875) (end 170.2875 110.0125) (width 0.3) (layer BOT-L6) (net 168))
+  (segment (start 170.2875 110.0125) (end 170.2875 110.36292) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5191E350))
+  (segment (start 170.2875 109.9875) (end 170.2875 109.9875) (width 0.3) (layer BOT-L6) (net 168))
+  (segment (start 170.2875 109.9875) (end 170.2875 107.5875) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5191E19C))
+  (segment (start 170.2625 107.5625) (end 170.2625 107.5875) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5191E199))
+  (segment (start 170.2875 107.5875) (end 170.2625 107.5625) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5191E195))
+  (segment (start 164.98792 108.0125) (end 164.98792 106.88708) (width 0.3) (layer BOT-L6) (net 168))
+  (segment (start 165.1375 106.7375) (end 165.11292 106.7375) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5191E180))
+  (segment (start 164.98792 106.88708) (end 165.1375 106.7375) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5191E17D))
+  (segment (start 184.7125 107.9375) (end 174.6125 107.9375) (width 0.8) (layer BOT-L6) (net 168))
+  (segment (start 174.2625 107.5875) (end 170.2625 107.5875) (width 0.8) (layer BOT-L6) (net 168) (tstamp 5191E154))
+  (segment (start 174.6125 107.9375) (end 174.2625 107.5875) (width 0.8) (layer BOT-L6) (net 168) (tstamp 5191E14A))
+  (segment (start 170.2625 107.5875) (end 168.9375 107.5875) (width 0.8) (layer BOT-L6) (net 168) (tstamp 5191E19A))
+  (segment (start 165.11292 106.71292) (end 165.11292 106.7375) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5191E176))
+  (segment (start 165.1875 106.7875) (end 165.11292 106.71292) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5191E166))
+  (segment (start 168.1375 106.7875) (end 165.1875 106.7875) (width 0.8) (layer BOT-L6) (net 168) (tstamp 5191E162))
+  (segment (start 168.9375 107.5875) (end 168.1375 106.7875) (width 0.8) (layer BOT-L6) (net 168) (tstamp 5191E159))
+  (segment (start 165.11292 106.7375) (end 165.11292 105.5125) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5191E181))
+  (segment (start 162.1625 104.7875) (end 162.1625 104.7875) (width 0.1524) (layer BOT-L6) (net 168))
+  (segment (start 162.1625 104.7875) (end 162.2625 104.7875) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 5191EC9C))
+  (via (at 162.1625 104.7875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 162.5625 105.1875) (end 162.1625 104.7875) (width 0.1524) (layer TOP-L1) (net 168))
+  (segment (start 162.46208 104.58792) (end 162.46208 104.0625) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 5191E078))
+  (segment (start 162.2625 104.7875) (end 162.46208 104.58792) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 5191E073))
+  (segment (start 165.7625 103.9125) (end 165.7625 103.8875) (width 0.3) (layer BOT-L6) (net 168))
+  (segment (start 165.9875 103.6625) (end 165.9875 101.6875) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5191DFF2))
+  (segment (start 165.7625 103.8875) (end 165.9875 103.6625) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5191DFF0))
+  (segment (start 168.13792 103.2625) (end 168.13792 101.6875) (width 0.3) (layer BOT-L6) (net 168))
+  (segment (start 160.21208 104.5125) (end 160.21208 101.71292) (width 0.3) (layer BOT-L6) (net 168))
+  (segment (start 160.2125 101.7125) (end 160.2125 101.6875) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5191DAEC))
+  (segment (start 160.21208 101.71292) (end 160.2125 101.7125) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5191DAE8))
+  (segment (start 159.14 101.6875) (end 160.2125 101.6875) (width 0.8) (layer BOT-L6) (net 168))
+  (segment (start 160.2125 101.6875) (end 162.4625 101.6875) (width 0.8) (layer BOT-L6) (net 168) (tstamp 5191DAED))
+  (segment (start 162.4625 101.6875) (end 165.9875 101.6875) (width 0.8) (layer BOT-L6) (net 168) (tstamp 5191ECA4))
+  (segment (start 165.9875 101.6875) (end 168.1625 101.6875) (width 0.8) (layer BOT-L6) (net 168) (tstamp 5191DFF8))
+  (segment (start 158.66208 103.0625) (end 159.14 102.58458) (width 0.3) (layer BOT-L6) (net 168))
+  (segment (start 159.14 102.58458) (end 159.14 101.6875) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5191DA19))
+  (segment (start 158.5625 103.8875) (end 158.66208 103.78792) (width 0.3) (layer BOT-L6) (net 168))
+  (segment (start 158.66208 103.78792) (end 158.66208 103.0625) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5191D9F8))
+  (segment (start 170.9125 104.73792) (end 170.0125 104.73792) (width 0.3) (layer BOT-L6) (net 168))
+  (segment (start 171.0125 129.3125) (end 171.0125 130.9625) (width 0.8) (layer BOT-L6) (net 168))
+  (segment (start 171.0125 130.9625) (end 171.0125 134.3375) (width 0.8) (layer BOT-L6) (net 168) (tstamp 5191E550))
+  (segment (start 171.0125 134.3375) (end 170.1625 135.1875) (width 0.8) (layer BOT-L6) (net 168) (tstamp 5191CB09))
+  (segment (start 170.1625 135.1875) (end 167.7875 135.1875) (width 0.8) (layer BOT-L6) (net 168) (tstamp 5191CB10))
+  (segment (start 167.7875 135.1875) (end 166.6625 135.1875) (width 0.8) (layer BOT-L6) (net 168) (tstamp 5191E54A))
+  (segment (start 166.6625 135.1875) (end 163.8125 135.1875) (width 0.8) (layer BOT-L6) (net 168) (tstamp 5191E542))
+  (segment (start 163.8125 135.1875) (end 163.5625 135.1875) (width 0.8) (layer BOT-L6) (net 168) (tstamp 5191E611))
+  (segment (start 163.5625 135.1875) (end 162.9875 135.1875) (width 0.8) (layer BOT-L6) (net 168) (tstamp 5191E532))
+  (segment (start 162.9875 135.1875) (end 162.4875 135.1875) (width 0.8) (layer BOT-L6) (net 168) (tstamp 5191E51D))
+  (segment (start 162.4875 135.1875) (end 160.2125 135.1875) (width 0.8) (layer BOT-L6) (net 168) (tstamp 5191E625))
+  (segment (start 160.2125 135.1875) (end 158.5625 135.1875) (width 0.8) (layer BOT-L6) (net 168) (tstamp 5191E510))
+  (segment (start 158.5625 135.1875) (end 158.5875 135.1875) (width 0.8) (layer BOT-L6) (net 168) (tstamp 5191E50B))
+  (segment (start 170.03708 130.9625) (end 170.03708 131.58708) (width 0.2) (layer BOT-L6) (net 168))
+  (segment (start 170.1125 131.6625) (end 170.1125 131.6675) (width 0.2) (layer BOT-L6) (net 168) (tstamp 5191C984))
+  (segment (start 170.03708 131.58708) (end 170.1125 131.6625) (width 0.2) (layer BOT-L6) (net 168) (tstamp 5191C97B))
+  (segment (start 172.3125 121.3375) (end 172.3125 125.2875) (width 0.8) (layer BOT-L6) (net 168))
+  (segment (start 172.3125 125.2875) (end 172.3125 128.0625) (width 0.8) (layer BOT-L6) (net 168) (tstamp 5191E404))
+  (segment (start 172.3125 128.0625) (end 171.0625 129.3125) (width 0.8) (layer BOT-L6) (net 168) (tstamp 5191C911))
+  (segment (start 171.0625 129.3125) (end 171.0125 129.3125) (width 0.8) (layer BOT-L6) (net 168) (tstamp 5191C922))
+  (segment (start 171.0125 129.3125) (end 164.6625 129.3125) (width 0.8) (layer BOT-L6) (net 168) (tstamp 5191CB07))
+  (segment (start 164.6625 129.3125) (end 162.9125 129.3125) (width 0.8) (layer BOT-L6) (net 168) (tstamp 5191E4B7))
+  (segment (start 162.9125 129.3125) (end 162.9125 129.3125) (width 0.8) (layer BOT-L6) (net 168) (tstamp 5191E427))
+  (segment (start 167.78792 133.9875) (end 167.78792 133.68708) (width 0.3) (layer BOT-L6) (net 168))
+  (segment (start 168.1875 133.2875) (end 168.1875 133.2625) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5191C65B))
+  (segment (start 167.78792 133.68708) (end 168.1875 133.2875) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5191C655))
+  (segment (start 167.78792 133.9875) (end 167.6125 133.9875) (width 0.3) (layer BOT-L6) (net 168))
+  (segment (start 167.3625 133.7375) (end 167.3625 133.2875) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5191C650))
+  (segment (start 167.6125 133.9875) (end 167.3625 133.7375) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5191C645))
+  (segment (start 168.1375 133.2625) (end 168.1875 133.2625) (width 0.3) (layer BOT-L6) (net 168))
+  (via (at 168.1625 133.2875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 168.1625 133.2875) (end 168.1625 132.7875) (width 0.1524) (layer TOP-L1) (net 168))
+  (segment (start 168.1375 133.2625) (end 168.1625 133.2875) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 5191C616))
+  (segment (start 167.3625 133.2625) (end 167.3375 133.2875) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5191C618))
+  (segment (start 167.3375 133.2875) (end 167.3625 133.2875) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5191C61A))
+  (segment (start 166.66208 133.9625) (end 166.66208 133.38708) (width 0.3) (layer BOT-L6) (net 168))
+  (via (at 166.5625 133.2875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 166.5625 133.2875) (end 166.5625 132.7875) (width 0.1524) (layer TOP-L1) (net 168))
+  (segment (start 166.66208 133.38708) (end 166.5625 133.2875) (width 0.3) (layer BOT-L6) (net 168) (tstamp 5191C5FD))
+  (segment (start 212.5625 84.8875) (end 210.7625 84.8875) (width 2) (layer BOT-L6) (net 168))
+  (segment (start 210.7625 84.8875) (end 202.3375 93.3125) (width 2) (layer BOT-L6) (net 168) (tstamp 5191C2E1))
+  (segment (start 202.3375 93.3125) (end 192.0125 93.3125) (width 2) (layer BOT-L6) (net 168) (tstamp 5191C2ED))
+  (segment (start 192.0125 93.3125) (end 186.5625 98.7625) (width 2) (layer BOT-L6) (net 168) (tstamp 5191C2F4))
+  (segment (start 186.5625 98.7625) (end 185.2875 100.0375) (width 2) (layer BOT-L6) (net 168) (tstamp 5191E4CA))
+  (segment (start 185.2875 100.0375) (end 185.2875 100.2875) (width 2) (layer BOT-L6) (net 168) (tstamp 5191C2F6))
+  (segment (start 181.0875 121.3375) (end 172.3125 121.3375) (width 2) (layer BOT-L6) (net 168) (tstamp 5191C315))
+  (segment (start 184.7125 117.7125) (end 181.0875 121.3375) (width 2) (layer BOT-L6) (net 168) (tstamp 5191C307))
+  (segment (start 184.7125 116.9875) (end 184.7125 117.7125) (width 2) (layer BOT-L6) (net 168) (tstamp 5191EC42))
+  (segment (start 184.7125 114.2875) (end 184.7125 116.9875) (width 2) (layer BOT-L6) (net 168) (tstamp 5191E208))
+  (segment (start 172.3125 121.3375) (end 169.0875 121.3375) (width 2) (layer BOT-L6) (net 168) (tstamp 5191C90F))
+  (segment (start 169.0875 121.3375) (end 165.8375 121.3375) (width 2) (layer BOT-L6) (net 168) (tstamp 5191E3F5))
+  (segment (start 165.8375 121.3375) (end 165.0625 121.3375) (width 2) (layer BOT-L6) (net 168) (tstamp 5191E3DC))
+  (segment (start 184.7125 105.3875) (end 184.7125 107.9375) (width 2) (layer BOT-L6) (net 168) (tstamp 5191C302))
+  (segment (start 184.7125 107.9375) (end 184.7125 107.0875) (width 2) (layer BOT-L6) (net 168) (tstamp 5191E148))
+  (segment (start 185.2875 100.2875) (end 185.2875 104.8125) (width 2) (layer BOT-L6) (net 168) (tstamp 5191DAAE))
+  (segment (start 185.2875 104.8125) (end 184.7125 105.3875) (width 2) (layer BOT-L6) (net 168) (tstamp 5191C301))
+  (segment (start 184.7125 107.0875) (end 184.7125 109.0375) (width 2) (layer BOT-L6) (net 168) (tstamp 5191C33C))
+  (segment (start 184.7125 109.0375) (end 184.7125 114.2875) (width 2) (layer BOT-L6) (net 168) (tstamp 51920585))
+  (segment (start 212.5625 84.8875) (end 212.5625 83.6625) (width 0.8) (layer TOP-L1) (net 168))
+  (segment (start 212.5625 83.6625) (end 212.1125 83.2125) (width 0.8) (layer TOP-L1) (net 168) (tstamp 5191C20E))
+  (segment (start 212.1125 83.2125) (end 212.1125 83.2075) (width 0.8) (layer TOP-L1) (net 168) (tstamp 5191C214))
+  (segment (start 212.1125 81.9625) (end 212.10318 81.7726) (width 0.3) (layer TOP-L1) (net 168) (tstamp 5191C217))
+  (segment (start 212.1125 83.2075) (end 212.1125 81.9625) (width 0.8) (layer TOP-L1) (net 168) (tstamp 519E8051))
+  (segment (start 188.7625 110.9875) (end 188.7625 110.1625) (width 0.1524) (layer BOT-L6) (net 168))
+  (segment (start 167.3125 111.83792) (end 166.3625 111.83792) (width 0.1524) (layer BOT-L6) (net 168))
+  (segment (start 190.7625 120.1875) (end 190.3625 119.7875) (width 0.1524) (layer TOP-L1) (net 168))
+  (segment (start 190.3625 119.7875) (end 190.3625 119.7875) (width 0.1524) (layer TOP-L1) (net 168) (tstamp 519205B9))
+  (segment (start 164.1625 133.2875) (end 164.43708 133.01292) (width 0.1524) (layer BOT-L6) (net 168))
+  (segment (start 164.1625 132.7875) (end 164.1625 133.2875) (width 0.1524) (layer TOP-L1) (net 168))
+  (via (at 164.1625 133.2875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 164.43708 132.2375) (end 164.43708 131.11166) (width 0.1524) (layer BOT-L6) (net 168))
+  (segment (start 164.43708 131.11166) (end 164.26292 130.9375) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 5188E9A7))
+  (segment (start 158.52292 125.9625) (end 158.52292 126.29792) (width 0.1524) (layer BOT-L6) (net 168))
+  (segment (start 159.7375 126.4125) (end 160.1625 125.9875) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 5188E98C))
+  (segment (start 160.1625 125.9875) (end 160.1625 125.9875) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 5188E98F))
+  (segment (start 158.6375 126.4125) (end 159.7375 126.4125) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 5188E98B))
+  (segment (start 158.52292 126.29792) (end 158.6375 126.4125) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 5188E98A))
+  (segment (start 167.7625 127.5875) (end 166.5125 126.3375) (width 0.1524) (layer BOT-L6) (net 168))
+  (segment (start 166.5125 126.3375) (end 166.1625 126.3375) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 5188E97E))
+  (segment (start 166.1625 126.3375) (end 165.7625 125.9375) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 5188E97F))
+  (segment (start 167.20208 127.4575) (end 167.1875 127.44292) (width 0.1524) (layer BOT-L6) (net 168))
+  (segment (start 168.1375 125.9125) (end 168.1625 125.9125) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 5188E97B))
+  (segment (start 167.7125 126.3375) (end 168.1375 125.9125) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 5188E979))
+  (segment (start 167.4625 126.3375) (end 167.7125 126.3375) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 5188E978))
+  (segment (start 167.1875 126.6125) (end 167.4625 126.3375) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 5188E977))
+  (segment (start 167.1875 127.44292) (end 167.1875 126.6125) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 5188E976))
+  (segment (start 168.5375 126.8075) (end 168.1625 126.4325) (width 0.1524) (layer BOT-L6) (net 168))
+  (segment (start 168.1625 126.4325) (end 168.1625 125.9125) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 5188E972))
+  (segment (start 168.1625 125.9125) (end 168.1625 125.9125) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 5188E97C))
+  (segment (start 169.7625 125.9375) (end 169.13292 126.56708) (width 0.1524) (layer BOT-L6) (net 168))
+  (segment (start 169.13292 126.56708) (end 169.13292 126.8075) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 5188E968))
+  (segment (start 211.12274 81.7726) (end 210.15198 81.7726) (width 0.1524) (layer TOP-L1) (net 168))
+  (segment (start 210.15198 81.7726) (end 210.1375 81.78708) (width 0.1524) (layer TOP-L1) (net 168) (tstamp 5188CBA7))
+  (segment (start 212.10318 81.7726) (end 210.15198 81.7726) (width 0.5) (layer TOP-L1) (net 168))
+  (segment (start 210.15198 81.7726) (end 210.1375 81.78708) (width 0.1524) (layer TOP-L1) (net 168) (tstamp 5188CB4F))
+  (segment (start 168.1625 126.3875) (end 168.1625 125.9125) (width 0.1524) (layer TOP-L1) (net 168))
+  (via (at 168.1625 125.9125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 168.45208 125.62292) (end 168.45208 125.2175) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 51888CC3))
+  (segment (start 168.1625 125.9125) (end 168.45208 125.62292) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 51888CC2))
+  (segment (start 189.9625 120.9875) (end 190.7625 120.1875) (width 0.1524) (layer TOP-L1) (net 168))
+  (segment (start 189.9625 120.1875) (end 190.3625 119.7875) (width 0.1524) (layer TOP-L1) (net 168))
+  (segment (start 188.7625 117.3875) (end 188.7625 117.3875) (width 0.1524) (layer BOT-L6) (net 168))
+  (via (at 188.7625 117.3875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 189.1625 117.7875) (end 188.7625 117.3875) (width 0.1524) (layer TOP-L1) (net 168))
+  (via (at 188.7625 114.1875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 188.7625 114.1875) (end 189.1625 114.5875) (width 0.1524) (layer TOP-L1) (net 168))
+  (via (at 188.7625 113.3875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 188.7625 113.3875) (end 189.1625 113.7875) (width 0.1524) (layer TOP-L1) (net 168))
+  (via (at 188.7625 110.9875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 188.7625 110.9875) (end 189.1625 111.3875) (width 0.1524) (layer TOP-L1) (net 168))
+  (segment (start 188.7625 110.1875) (end 188.7625 110.1625) (width 0.1524) (layer BOT-L6) (net 168))
+  (segment (start 189.1625 110.5875) (end 188.7625 110.1875) (width 0.1524) (layer TOP-L1) (net 168))
+  (via (at 188.7625 110.1875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 164.26292 130.9375) (end 164.26292 131.28792) (width 0.1524) (layer BOT-L6) (net 168))
+  (segment (start 164.26292 131.28792) (end 164.5625 131.5875) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 51877293))
+  (segment (start 160.1625 133.2875) (end 160.1625 133.96292) (width 0.1524) (layer BOT-L6) (net 168))
+  (segment (start 160.1625 133.96292) (end 160.21208 134.0125) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 51877271))
+  (segment (start 160.1625 132.7875) (end 160.1625 133.2875) (width 0.1524) (layer TOP-L1) (net 168))
+  (via (at 160.1625 133.2875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 158.53708 133.9875) (end 158.53708 133.36292) (width 0.1524) (layer BOT-L6) (net 168))
+  (via (at 158.5625 133.3125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 158.5625 133.3125) (end 158.5625 132.7875) (width 0.1524) (layer TOP-L1) (net 168))
+  (segment (start 158.5625 133.3375) (end 158.5625 133.3125) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 5187726B))
+  (segment (start 158.53708 133.36292) (end 158.5625 133.3375) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 51877269))
+  (segment (start 162.06208 132.3875) (end 162.06208 131.68792) (width 0.1524) (layer BOT-L6) (net 168))
+  (via (at 162.1625 131.5875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 162.1625 131.5875) (end 162.5625 131.9875) (width 0.1524) (layer TOP-L1) (net 168))
+  (segment (start 162.06208 131.68792) (end 162.1625 131.5875) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 5187714C))
+  (segment (start 164.5625 131.5875) (end 164.5625 132.11208) (width 0.1524) (layer BOT-L6) (net 168))
+  (segment (start 164.5625 132.11208) (end 164.43708 132.2375) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 5187713A))
+  (via (at 164.5625 131.5875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 164.9625 131.1875) (end 164.5625 131.5875) (width 0.1524) (layer TOP-L1) (net 168))
+  (segment (start 167.3625 133.2875) (end 167.3625 133.2625) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 5191C61B))
+  (segment (start 170.1125 131.6675) (end 170.1025 131.6575) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 5191C985))
+  (segment (start 170.1025 131.6575) (end 170.1125 131.6575) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 51876DD6))
+  (segment (start 169.7825 131.9875) (end 170.1125 131.6575) (width 0.1524) (layer TOP-L1) (net 168) (tstamp 51876D53))
+  (segment (start 169.7825 131.9875) (end 169.7625 131.9875) (width 0.1524) (layer TOP-L1) (net 168))
+  (via (at 170.1125 131.6575) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 168.18792 111.9625) (end 168.18792 111.2625) (width 0.1524) (layer BOT-L6) (net 168))
+  (segment (start 168.18792 111.2625) (end 168.18792 111.30292) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 5188EB47))
+  (segment (start 168.18792 111.30292) (end 168.1525 111.2675) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 51876C9E))
+  (segment (start 168.1525 111.2675) (end 168.1625 111.2875) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 51876CA0))
+  (segment (start 168.1625 111.2875) (end 168.1625 110.7875) (width 0.1524) (layer TOP-L1) (net 168))
+  (via (at 168.1625 111.2875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 164.67208 128.2275) (end 164.67208 127.70708) (width 0.1524) (layer BOT-L6) (net 168))
+  (segment (start 164.5625 127.5975) (end 164.5625 127.5875) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 51876C9A))
+  (segment (start 164.67208 127.70708) (end 164.5625 127.5975) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 51876C98))
+  (via (at 164.5625 127.5875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 164.5625 127.5875) (end 164.1625 127.1875) (width 0.1524) (layer TOP-L1) (net 168))
+  (segment (start 167.20208 127.4575) (end 167.6325 127.4575) (width 0.1524) (layer BOT-L6) (net 168))
+  (via (at 167.7625 127.5875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 167.7625 127.5875) (end 167.3625 127.1875) (width 0.1524) (layer TOP-L1) (net 168))
+  (segment (start 167.7525 127.5775) (end 167.7625 127.5875) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 51876BFB))
+  (segment (start 167.6325 127.4575) (end 167.7525 127.5775) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 51876BF9))
+  (segment (start 169.13292 126.8075) (end 168.5375 126.8075) (width 0.1524) (layer BOT-L6) (net 168))
+  (segment (start 168.5375 126.8075) (end 168.5725 126.8075) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 5188E970))
+  (segment (start 168.5725 126.8075) (end 168.5525 126.7875) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 51876BE7))
+  (segment (start 168.5525 126.7875) (end 168.5625 126.7875) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 51876BE9))
+  (segment (start 168.5625 126.7875) (end 168.9625 127.1875) (width 0.1524) (layer TOP-L1) (net 168))
+  (via (at 168.5625 126.7875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 170.13208 125.2875) (end 170.13208 125.56792) (width 0.1524) (layer BOT-L6) (net 168))
+  (via (at 169.7625 125.9375) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 169.7625 125.9375) (end 169.7625 126.3875) (width 0.1524) (layer TOP-L1) (net 168))
+  (segment (start 169.7525 125.9475) (end 169.7625 125.9375) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 51876BD7))
+  (segment (start 170.13208 125.56792) (end 169.7525 125.9475) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 51876BD5))
+  (segment (start 165.7625 125.9375) (end 165.7625 125.40708) (width 0.1524) (layer BOT-L6) (net 168))
+  (segment (start 165.7625 125.40708) (end 165.92208 125.2475) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 518769E9))
+  (via (at 165.7625 125.9375) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 165.7625 126.3875) (end 165.7625 125.9375) (width 0.1524) (layer TOP-L1) (net 168))
+  (segment (start 160.1625 125.9875) (end 160.1625 125.36792) (width 0.1524) (layer BOT-L6) (net 168))
+  (segment (start 160.1625 125.36792) (end 160.17292 125.3575) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 51876956))
+  (via (at 160.1625 125.9875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 160.1625 126.3875) (end 160.1625 125.9875) (width 0.1524) (layer TOP-L1) (net 168))
+  (segment (start 158.52292 125.3475) (end 158.52292 125.9625) (width 0.1524) (layer BOT-L6) (net 168))
+  (segment (start 158.52292 125.9625) (end 158.52292 125.93792) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 5188E988))
+  (via (at 158.5625 125.9875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 158.5625 125.9875) (end 158.5625 126.3875) (width 0.1524) (layer TOP-L1) (net 168))
+  (segment (start 158.5625 125.9775) (end 158.5625 125.9875) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 518767C6))
+  (segment (start 158.52292 125.93792) (end 158.5625 125.9775) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 518767C1))
+  (segment (start 167.3625 110.7875) (end 166.5625 110.7875) (width 0.1524) (layer TOP-L1) (net 168))
+  (segment (start 168.1625 110.7875) (end 167.3625 110.7875) (width 0.1524) (layer TOP-L1) (net 168))
+  (via (at 170.2875 109.9875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 170.2875 109.9875) (end 169.7625 109.9875) (width 0.1524) (layer TOP-L1) (net 168))
+  (segment (start 167.3125 111.83792) (end 167.3125 111.3375) (width 0.1524) (layer BOT-L6) (net 168))
+  (via (at 167.3625 111.2875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 167.3625 111.2875) (end 167.3625 110.7875) (width 0.1524) (layer TOP-L1) (net 168))
+  (segment (start 167.3125 111.3375) (end 167.3625 111.2875) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 5187638C))
+  (segment (start 166.3625 111.83792) (end 166.3625 111.4875) (width 0.1524) (layer BOT-L6) (net 168))
+  (via (at 166.5625 111.2875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 166.5625 111.2875) (end 166.5625 110.7875) (width 0.1524) (layer TOP-L1) (net 168))
+  (segment (start 166.3625 111.4875) (end 166.5625 111.2875) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 51876353))
+  (segment (start 164.9625 108.6875) (end 164.9625 108.6625) (width 0.1524) (layer BOT-L6) (net 168))
+  (segment (start 164.9625 109.1875) (end 164.9625 108.6875) (width 0.1524) (layer TOP-L1) (net 168))
+  (via (at 164.9625 108.6875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 164.9625 108.6625) (end 164.9625 108.03792) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 5188EC4A))
+  (segment (start 164.9625 108.03792) (end 164.98792 108.0125) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 518762FD))
+  (segment (start 165.11292 105.5125) (end 164.5375 105.5125) (width 0.1524) (layer BOT-L6) (net 168))
+  (segment (start 164.5875 105.5125) (end 164.5375 105.5625) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 51876073))
+  (segment (start 164.5375 105.5625) (end 164.1625 105.1875) (width 0.1524) (layer TOP-L1) (net 168))
+  (via (at 164.5375 105.5625) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 164.5375 105.5125) (end 164.5875 105.5125) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 5188EC2E))
+  (segment (start 162.48708 111.0125) (end 162.48708 110.86292) (width 0.1524) (layer BOT-L6) (net 168))
+  (segment (start 162.48708 110.86292) (end 162.9625 110.3875) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 51866219))
+  (segment (start 162.9625 110.3875) (end 162.5625 109.9875) (width 0.1524) (layer TOP-L1) (net 168))
+  (via (at 162.9625 110.3875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 160.18708 111.9375) (end 160.1625 111.91292) (width 0.1524) (layer BOT-L6) (net 168))
+  (segment (start 160.1625 111.91292) (end 160.1625 111.2875) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 5185CF09))
+  (via (at 160.1625 111.2875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 160.1625 111.2875) (end 160.1625 110.7875) (width 0.1524) (layer TOP-L1) (net 168))
+  (segment (start 158.46208 111.9375) (end 158.5625 111.83708) (width 0.1524) (layer BOT-L6) (net 168))
+  (via (at 158.5625 111.2875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 158.5625 111.2875) (end 158.5625 110.7875) (width 0.1524) (layer TOP-L1) (net 168))
+  (segment (start 158.5625 111.83708) (end 158.5625 111.2875) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 5185CEE1))
+  (segment (start 158.5625 103.8875) (end 158.5625 103.8875) (width 0.1524) (layer BOT-L6) (net 168))
+  (segment (start 167.78708 105.4375) (end 168.6875 105.4375) (width 0.1524) (layer BOT-L6) (net 168))
+  (segment (start 169.38708 104.73792) (end 170.0125 104.73792) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 5185CB2D))
+  (segment (start 168.6875 105.4375) (end 169.38708 104.73792) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 5185CB21))
+  (segment (start 168.1625 103.9125) (end 168.2125 103.9125) (width 0.1524) (layer BOT-L6) (net 168))
+  (segment (start 168.9625 104.3875) (end 169.3625 104.7875) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 5185CB0D))
+  (segment (start 168.6875 104.3875) (end 168.9625 104.3875) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 5185CB0C))
+  (segment (start 168.2125 103.9125) (end 168.6875 104.3875) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 5185CB0B))
+  (segment (start 165.7625 103.9125) (end 165.7625 104.3875) (width 0.1524) (layer TOP-L1) (net 168))
+  (via (at 165.7625 103.9125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 170.0125 104.73792) (end 169.41208 104.73792) (width 0.1524) (layer BOT-L6) (net 168))
+  (segment (start 169.41208 104.73792) (end 169.3625 104.7875) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 5185CA68))
+  (via (at 169.3625 104.7875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 169.7625 104.3875) (end 169.3625 104.7875) (width 0.1524) (layer TOP-L1) (net 168))
+  (segment (start 169.3625 104.7875) (end 168.9625 105.1875) (width 0.1524) (layer TOP-L1) (net 168))
+  (segment (start 168.1625 103.9125) (end 168.1625 103.28708) (width 0.1524) (layer BOT-L6) (net 168))
+  (segment (start 168.1625 103.28708) (end 168.13792 103.2625) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 5185C822))
+  (segment (start 168.1625 104.3875) (end 168.1625 103.9125) (width 0.1524) (layer TOP-L1) (net 168))
+  (via (at 168.1625 103.9125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 167.78708 105.4375) (end 167.78708 104.81208) (width 0.1524) (layer BOT-L6) (net 168))
+  (via (at 167.7625 104.7875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 167.7625 104.7875) (end 167.3625 105.1875) (width 0.1524) (layer TOP-L1) (net 168))
+  (segment (start 167.78708 104.81208) (end 167.7625 104.7875) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 5185C7E5))
+  (segment (start 160.1625 103.8875) (end 160.1625 103.8625) (width 0.1524) (layer BOT-L6) (net 168))
+  (segment (start 160.1625 103.8625) (end 160.1625 103.8625) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 5191D9FC))
+  (segment (start 160.1625 103.8625) (end 160.1625 104.46292) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 5188ECF3))
+  (segment (start 160.1625 104.46292) (end 160.21208 104.5125) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 5185C573))
+  (segment (start 160.1625 104.3875) (end 160.1625 103.8875) (width 0.1524) (layer TOP-L1) (net 168))
+  (segment (start 158.56208 104.5125) (end 158.56208 103.88792) (width 0.1524) (layer BOT-L6) (net 168))
+  (segment (start 158.56208 103.88792) (end 158.5625 103.8875) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 5185C55C))
+  (via (at 158.5625 103.8875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 158.5625 103.8875) (end 158.5625 104.3875) (width 0.1524) (layer TOP-L1) (net 168))
+  (segment (start 167.3625 133.2875) (end 167.3625 133.2625) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 51806FCE))
+  (segment (start 167.3625 133.2625) (end 167.3625 133.2875) (width 0.1524) (layer BOT-L6) (net 168) (tstamp 51876ECE))
+  (segment (start 167.3625 133.2875) (end 167.3625 132.7875) (width 0.1524) (layer TOP-L1) (net 168))
+  (via (at 167.3625 133.2875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (via (at 160.1625 103.8875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 168))
+  (segment (start 179.7125 93.9125) (end 179.2375 93.9125) (width 0.1524) (layer TOP-L1) (net 169))
+  (segment (start 177.1375 93.5625) (end 176.7875 93.2125) (width 0.1524) (layer TOP-L1) (net 169) (tstamp 5185BA8A))
+  (segment (start 178.8875 93.5625) (end 177.1375 93.5625) (width 0.1524) (layer TOP-L1) (net 169) (tstamp 5185BA89))
+  (segment (start 179.2375 93.9125) (end 178.8875 93.5625) (width 0.1524) (layer TOP-L1) (net 169) (tstamp 5185BA88))
+  (segment (start 182.9875 94.0875) (end 182.3625 93.4625) (width 0.1524) (layer Sig1-L3) (net 169))
+  (segment (start 180.3125 93.9125) (end 180.1375 93.9125) (width 0.1524) (layer TOP-L1) (net 169) (tstamp 51837663))
+  (segment (start 180.7625 93.4625) (end 180.3125 93.9125) (width 0.1524) (layer TOP-L1) (net 169) (tstamp 51837662))
+  (segment (start 181.4125 93.4625) (end 180.7625 93.4625) (width 0.1524) (layer TOP-L1) (net 169) (tstamp 51837661))
+  (via (at 181.4125 93.4625) (size 0.4572) (layers TOP-L1 BOT-L6) (net 169))
+  (segment (start 182.3625 93.4625) (end 181.4125 93.4625) (width 0.1524) (layer Sig1-L3) (net 169) (tstamp 5183765A))
+  (segment (start 184.6625 95.7625) (end 182.9875 94.0875) (width 0.1524) (layer Sig1-L3) (net 169))
+  (segment (start 195.5625 108.1875) (end 195.1625 107.7875) (width 0.1524) (layer TOP-L1) (net 169))
+  (segment (start 175.98832 92.41332) (end 174.08706 92.41332) (width 0.1524) (layer TOP-L1) (net 169) (tstamp 5180C359))
+  (segment (start 176.7875 93.2125) (end 175.98832 92.41332) (width 0.1524) (layer TOP-L1) (net 169) (tstamp 5185BA8E))
+  (segment (start 180.1375 93.9125) (end 179.7125 93.9125) (width 0.1524) (layer TOP-L1) (net 169) (tstamp 51837666))
+  (segment (start 194.7625 105.8625) (end 184.6625 95.7625) (width 0.1524) (layer Sig1-L3) (net 169) (tstamp 5180C337))
+  (segment (start 194.7625 107.3875) (end 194.7625 105.8625) (width 0.1524) (layer Sig1-L3) (net 169) (tstamp 5180C333))
+  (segment (start 195.1625 107.7875) (end 194.7625 107.3875) (width 0.1524) (layer Sig1-L3) (net 169) (tstamp 5180C332))
+  (via (at 195.1625 107.7875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 169))
+  (segment (start 184.4125 96.3875) (end 183.8375 95.8125) (width 0.1524) (layer Sig1-L3) (net 170))
+  (segment (start 180.9875 95.0875) (end 180.9875 92.4625) (width 0.1524) (layer Sig1-L3) (net 170) (tstamp 5180C39D))
+  (segment (start 181.7125 95.8125) (end 180.9875 95.0875) (width 0.1524) (layer Sig1-L3) (net 170) (tstamp 5180C39A))
+  (segment (start 183.8375 95.8125) (end 181.7125 95.8125) (width 0.1524) (layer Sig1-L3) (net 170) (tstamp 5180C399))
+  (segment (start 194.7625 108.9875) (end 194.3625 108.5875) (width 0.1524) (layer TOP-L1) (net 170))
+  (segment (start 167.73706 82.03794) (end 167.73706 85.56294) (width 0.1524) (layer TOP-L1) (net 170) (tstamp 5180C2CB))
+  (segment (start 169.4375 80.3375) (end 167.73706 82.03794) (width 0.1524) (layer TOP-L1) (net 170) (tstamp 5180C2CA))
+  (via (at 169.4375 80.3375) (size 0.4572) (layers TOP-L1 BOT-L6) (net 170))
+  (segment (start 175.1625 80.3375) (end 169.4375 80.3375) (width 0.1524) (layer Sig1-L3) (net 170) (tstamp 5180C2C1))
+  (segment (start 180.9875 86.1625) (end 175.1625 80.3375) (width 0.1524) (layer Sig1-L3) (net 170) (tstamp 5180C2BC))
+  (segment (start 180.9875 92.4625) (end 180.9875 86.1625) (width 0.1524) (layer Sig1-L3) (net 170) (tstamp 5180C3A4))
+  (segment (start 193.9625 105.9375) (end 184.4125 96.3875) (width 0.1524) (layer Sig1-L3) (net 170) (tstamp 5180C29F))
+  (segment (start 193.9625 108.1875) (end 193.9625 105.9375) (width 0.1524) (layer Sig1-L3) (net 170) (tstamp 5180C29E))
+  (segment (start 194.3625 108.5875) (end 193.9625 108.1875) (width 0.1524) (layer Sig1-L3) (net 170) (tstamp 5180C29D))
+  (via (at 194.3625 108.5875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 170))
+  (segment (start 165.9875 83.3875) (end 164.4125 84.9625) (width 0.1524) (layer Sig1-L3) (net 171))
+  (segment (start 164.9875 86.9125) (end 164.9875 86.91168) (width 0.1524) (layer TOP-L1) (net 171) (tstamp 5185B935))
+  (segment (start 164.5625 86.9125) (end 164.9875 86.9125) (width 0.1524) (layer TOP-L1) (net 171) (tstamp 5185B933))
+  (segment (start 164.4125 86.7625) (end 164.5625 86.9125) (width 0.1524) (layer TOP-L1) (net 171) (tstamp 5185B932))
+  (via (at 164.4125 86.7625) (size 0.4572) (layers TOP-L1 BOT-L6) (net 171))
+  (segment (start 164.4125 84.9625) (end 164.4125 86.7625) (width 0.1524) (layer Sig1-L3) (net 171) (tstamp 5185B925))
+  (segment (start 193.1625 108.9875) (end 192.7625 108.5875) (width 0.1524) (layer TOP-L1) (net 171))
+  (segment (start 164.9875 86.91168) (end 165.88794 86.91168) (width 0.1524) (layer TOP-L1) (net 171) (tstamp 5185B936))
+  (segment (start 168.0625 81.3125) (end 165.9875 83.3875) (width 0.1524) (layer Sig1-L3) (net 171) (tstamp 5180C1B9))
+  (segment (start 174.9375 81.3125) (end 168.0625 81.3125) (width 0.1524) (layer Sig1-L3) (net 171) (tstamp 5180C1B7))
+  (segment (start 179.3375 85.7125) (end 174.9375 81.3125) (width 0.1524) (layer Sig1-L3) (net 171) (tstamp 5180C1B5))
+  (segment (start 179.3375 95.4625) (end 179.3375 85.7125) (width 0.1524) (layer Sig1-L3) (net 171) (tstamp 5180C1B3))
+  (segment (start 180.5125 96.6375) (end 179.3375 95.4625) (width 0.1524) (layer Sig1-L3) (net 171) (tstamp 5180C1B1))
+  (segment (start 183.1625 96.6375) (end 180.5125 96.6375) (width 0.1524) (layer Sig1-L3) (net 171) (tstamp 5180C1AC))
+  (segment (start 192.3625 105.8375) (end 183.1625 96.6375) (width 0.1524) (layer Sig1-L3) (net 171) (tstamp 5180C1A9))
+  (segment (start 192.3625 108.1875) (end 192.3625 105.8375) (width 0.1524) (layer Sig1-L3) (net 171) (tstamp 5180C1A7))
+  (segment (start 192.7625 108.5875) (end 192.3625 108.1875) (width 0.1524) (layer Sig1-L3) (net 171) (tstamp 5180C1A6))
+  (via (at 192.7625 108.5875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 171))
+  (segment (start 181.1875 96.2375) (end 181.1125 96.2375) (width 0.1524) (layer Sig2-L4) (net 172))
+  (segment (start 180.4125 95.5375) (end 180.4125 95.2875) (width 0.1524) (layer Sig2-L4) (net 172) (tstamp 5180C37B))
+  (segment (start 181.1125 96.2375) (end 180.4125 95.5375) (width 0.1524) (layer Sig2-L4) (net 172) (tstamp 5180C37A))
+  (segment (start 180.4125 92.9875) (end 180.4125 92.6625) (width 0.1524) (layer Sig2-L4) (net 172))
+  (segment (start 179.8125 85.6375) (end 179.2875 85.1125) (width 0.1524) (layer Sig2-L4) (net 172) (tstamp 5180C303))
+  (segment (start 179.8125 92.0625) (end 179.8125 85.6375) (width 0.1524) (layer Sig2-L4) (net 172) (tstamp 5180C302))
+  (segment (start 180.4125 92.6625) (end 179.8125 92.0625) (width 0.1524) (layer Sig2-L4) (net 172) (tstamp 5180C301))
+  (segment (start 193.9625 108.1875) (end 193.5625 107.7875) (width 0.1524) (layer TOP-L1) (net 172))
+  (segment (start 164.03794 87.41206) (end 165.88794 87.41206) (width 0.1524) (layer TOP-L1) (net 172) (tstamp 5180C284))
+  (segment (start 164.0375 87.4125) (end 164.03794 87.41206) (width 0.1524) (layer TOP-L1) (net 172) (tstamp 5180C283))
+  (via (at 164.0375 87.4125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 172))
+  (segment (start 163.7625 87.1375) (end 164.0375 87.4125) (width 0.1524) (layer Sig2-L4) (net 172) (tstamp 5180C27F))
+  (segment (start 163.7625 84.5125) (end 163.7625 87.1375) (width 0.1524) (layer Sig2-L4) (net 172) (tstamp 5180C279))
+  (segment (start 167.3875 80.8875) (end 163.7625 84.5125) (width 0.1524) (layer Sig2-L4) (net 172) (tstamp 5180C277))
+  (segment (start 175.0625 80.8875) (end 167.3875 80.8875) (width 0.1524) (layer Sig2-L4) (net 172) (tstamp 5180C272))
+  (segment (start 179.2875 85.1125) (end 175.0625 80.8875) (width 0.1524) (layer Sig2-L4) (net 172) (tstamp 5180C307))
+  (segment (start 180.4125 95.2875) (end 180.4125 92.9875) (width 0.1524) (layer Sig2-L4) (net 172) (tstamp 5180C37E))
+  (segment (start 183.5875 96.2375) (end 181.1875 96.2375) (width 0.1524) (layer Sig2-L4) (net 172) (tstamp 5180C266))
+  (segment (start 193.1625 105.8125) (end 183.5875 96.2375) (width 0.1524) (layer Sig2-L4) (net 172) (tstamp 5180C260))
+  (segment (start 193.1625 107.3875) (end 193.1625 105.8125) (width 0.1524) (layer Sig2-L4) (net 172) (tstamp 5180C25F))
+  (segment (start 193.5625 107.7875) (end 193.1625 107.3875) (width 0.1524) (layer Sig2-L4) (net 172) (tstamp 5180C25E))
+  (via (at 193.5625 107.7875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 172))
+  (segment (start 192.3625 108.1875) (end 191.9625 107.7875) (width 0.1524) (layer TOP-L1) (net 173))
+  (segment (start 171.23718 82.56282) (end 171.23718 85.56294) (width 0.1524) (layer TOP-L1) (net 173) (tstamp 5180C171))
+  (segment (start 171.9875 81.8125) (end 171.23718 82.56282) (width 0.1524) (layer TOP-L1) (net 173) (tstamp 5180C170))
+  (via (at 171.9875 81.8125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 173))
+  (segment (start 174.7625 81.8125) (end 171.9875 81.8125) (width 0.1524) (layer Sig2-L4) (net 173) (tstamp 5180C164))
+  (segment (start 177.4875 84.5375) (end 174.7625 81.8125) (width 0.1524) (layer Sig2-L4) (net 173) (tstamp 5180C162))
+  (segment (start 177.4875 88.7125) (end 177.4875 84.5375) (width 0.1524) (layer Sig2-L4) (net 173) (tstamp 5180C15F))
+  (segment (start 178.9375 90.1625) (end 177.4875 88.7125) (width 0.1524) (layer Sig2-L4) (net 173) (tstamp 5180C15D))
+  (segment (start 178.9375 95.6375) (end 178.9375 90.1625) (width 0.1524) (layer Sig2-L4) (net 173) (tstamp 5180C15B))
+  (segment (start 180.3625 97.0625) (end 178.9375 95.6375) (width 0.1524) (layer Sig2-L4) (net 173) (tstamp 5180C159))
+  (segment (start 183.0125 97.0625) (end 180.3625 97.0625) (width 0.1524) (layer Sig2-L4) (net 173) (tstamp 5180C156))
+  (segment (start 191.5625 105.6125) (end 183.0125 97.0625) (width 0.1524) (layer Sig2-L4) (net 173) (tstamp 5180C14A))
+  (segment (start 191.5625 107.3875) (end 191.5625 105.6125) (width 0.1524) (layer Sig2-L4) (net 173) (tstamp 5180C149))
+  (segment (start 191.9625 107.7875) (end 191.5625 107.3875) (width 0.1524) (layer Sig2-L4) (net 173) (tstamp 5180C148))
+  (via (at 191.9625 107.7875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 173))
+  (segment (start 168.73782 84.2375) (end 168.73782 83.33718) (width 0.1524) (layer TOP-L1) (net 174))
+  (segment (start 169.1125 82.9625) (end 169.5375 82.9625) (width 0.1524) (layer Sig1-L3) (net 174) (tstamp 5191B93A))
+  (segment (start 168.8875 83.1875) (end 169.1125 82.9625) (width 0.1524) (layer Sig1-L3) (net 174) (tstamp 5191B939))
+  (via (at 168.8875 83.1875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 174))
+  (segment (start 168.73782 83.33718) (end 168.8875 83.1875) (width 0.1524) (layer TOP-L1) (net 174) (tstamp 5191B936))
+  (segment (start 189.9625 108.9875) (end 189.5625 108.5875) (width 0.1524) (layer TOP-L1) (net 174))
+  (segment (start 175.2375 82.9625) (end 169.5375 82.9625) (width 0.1524) (layer Sig1-L3) (net 174) (tstamp 5180BFBE))
+  (segment (start 177.0375 84.7625) (end 175.2375 82.9625) (width 0.1524) (layer Sig1-L3) (net 174) (tstamp 5180BFBA))
+  (segment (start 177.0375 95.7125) (end 177.0375 84.7625) (width 0.1524) (layer Sig1-L3) (net 174) (tstamp 5180BFB7))
+  (segment (start 179.7875 98.4625) (end 177.0375 95.7125) (width 0.1524) (layer Sig1-L3) (net 174) (tstamp 5180BFB4))
+  (segment (start 182.6375 98.4625) (end 179.7875 98.4625) (width 0.1524) (layer Sig1-L3) (net 174) (tstamp 5180BFB0))
+  (segment (start 189.1625 104.9875) (end 182.6375 98.4625) (width 0.1524) (layer Sig1-L3) (net 174) (tstamp 5180BFAB))
+  (segment (start 189.1625 108.1875) (end 189.1625 104.9875) (width 0.1524) (layer Sig1-L3) (net 174) (tstamp 5180BFA8))
+  (segment (start 189.5625 108.5875) (end 189.1625 108.1875) (width 0.1524) (layer Sig1-L3) (net 174) (tstamp 5180BFA7))
+  (via (at 189.5625 108.5875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 174))
+  (segment (start 168.73782 84.2375) (end 168.73782 85.56294) (width 0.1524) (layer TOP-L1) (net 174) (tstamp 5191B934))
+  (segment (start 169.2375 84.0375) (end 169.2375 83.6375) (width 0.1524) (layer Sig2-L4) (net 175))
+  (segment (start 169.9125 82.9625) (end 170.0125 82.9625) (width 0.1524) (layer Sig2-L4) (net 175) (tstamp 5191B944))
+  (segment (start 169.2375 83.6375) (end 169.9125 82.9625) (width 0.1524) (layer Sig2-L4) (net 175) (tstamp 5191B943))
+  (segment (start 169.2382 84.7875) (end 169.2382 84.4132) (width 0.1524) (layer TOP-L1) (net 175))
+  (segment (start 169.2375 83.8125) (end 169.2375 84.0375) (width 0.1524) (layer Sig2-L4) (net 175) (tstamp 5191B8D3))
+  (segment (start 169.2375 84.4125) (end 169.2375 83.8125) (width 0.1524) (layer Sig2-L4) (net 175) (tstamp 5191B8D1))
+  (via (at 169.2375 84.4125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 175))
+  (segment (start 169.2382 84.4132) (end 169.2375 84.4125) (width 0.1524) (layer TOP-L1) (net 175) (tstamp 5191B8C3))
+  (segment (start 189.9625 108.1875) (end 189.5625 107.7875) (width 0.1524) (layer TOP-L1) (net 175))
+  (segment (start 175.2375 82.9625) (end 170.0125 82.9625) (width 0.1524) (layer Sig2-L4) (net 175) (tstamp 5180BFEA))
+  (segment (start 177.0375 84.7625) (end 175.2375 82.9625) (width 0.1524) (layer Sig2-L4) (net 175) (tstamp 5180BFE7))
+  (segment (start 177.0375 95.7125) (end 177.0375 84.7625) (width 0.1524) (layer Sig2-L4) (net 175) (tstamp 5180BFE1))
+  (segment (start 179.7875 98.4625) (end 177.0375 95.7125) (width 0.1524) (layer Sig2-L4) (net 175) (tstamp 5180BFDF))
+  (segment (start 182.6375 98.4625) (end 179.7875 98.4625) (width 0.1524) (layer Sig2-L4) (net 175) (tstamp 5180BFD9))
+  (segment (start 189.1625 104.9875) (end 182.6375 98.4625) (width 0.1524) (layer Sig2-L4) (net 175) (tstamp 5180BFD5))
+  (segment (start 189.1625 107.3875) (end 189.1625 104.9875) (width 0.1524) (layer Sig2-L4) (net 175) (tstamp 5180BFD4))
+  (segment (start 189.5625 107.7875) (end 189.1625 107.3875) (width 0.1524) (layer Sig2-L4) (net 175) (tstamp 5180BFD3))
+  (via (at 189.5625 107.7875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 175))
+  (segment (start 169.2382 84.7875) (end 169.2382 85.56294) (width 0.1524) (layer TOP-L1) (net 175) (tstamp 5191B8C1))
+  (segment (start 170.7375 83.4375) (end 170.1875 83.4375) (width 0.1524) (layer Sig1-L3) (net 176))
+  (segment (start 169.7375 84.1625) (end 169.73858 84.1625) (width 0.1524) (layer TOP-L1) (net 176) (tstamp 5191B92B))
+  (segment (start 169.7375 83.8875) (end 169.7375 84.1625) (width 0.1524) (layer TOP-L1) (net 176) (tstamp 5191B929))
+  (segment (start 170.1875 83.4375) (end 169.7375 83.8875) (width 0.1524) (layer TOP-L1) (net 176) (tstamp 5191B928))
+  (via (at 170.1875 83.4375) (size 0.4572) (layers TOP-L1 BOT-L6) (net 176))
+  (segment (start 189.1625 108.9875) (end 188.7625 108.5875) (width 0.1524) (layer TOP-L1) (net 176))
+  (via (at 188.7625 108.5875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 176))
+  (segment (start 188.7625 108.5875) (end 188.3625 108.1875) (width 0.1524) (layer Sig1-L3) (net 176) (tstamp 5180BF40))
+  (segment (start 188.3625 108.1875) (end 188.3625 104.8125) (width 0.1524) (layer Sig1-L3) (net 176) (tstamp 5180BF41))
+  (segment (start 188.3625 104.8125) (end 182.3875 98.8375) (width 0.1524) (layer Sig1-L3) (net 176) (tstamp 5180BF44))
+  (segment (start 182.3875 98.8375) (end 179.5625 98.8375) (width 0.1524) (layer Sig1-L3) (net 176) (tstamp 5180BF4D))
+  (segment (start 179.5625 98.8375) (end 176.6125 95.8875) (width 0.1524) (layer Sig1-L3) (net 176) (tstamp 5180BF52))
+  (segment (start 176.6125 95.8875) (end 176.6125 84.7625) (width 0.1524) (layer Sig1-L3) (net 176) (tstamp 5180BF54))
+  (segment (start 176.6125 84.7625) (end 175.2875 83.4375) (width 0.1524) (layer Sig1-L3) (net 176) (tstamp 5180BF56))
+  (segment (start 175.2875 83.4375) (end 170.7375 83.4375) (width 0.1524) (layer Sig1-L3) (net 176) (tstamp 5180BF58))
+  (segment (start 169.73858 84.1625) (end 169.73858 85.56294) (width 0.1524) (layer TOP-L1) (net 176) (tstamp 5191B92C))
+  (segment (start 170.23642 84.6625) (end 170.23642 84.48858) (width 0.1524) (layer TOP-L1) (net 177))
+  (segment (start 171.2875 83.4375) (end 171.5625 83.4375) (width 0.1524) (layer Sig2-L4) (net 177) (tstamp 5191B908))
+  (segment (start 170.4125 84.3125) (end 171.2875 83.4375) (width 0.1524) (layer Sig2-L4) (net 177) (tstamp 5191B907))
+  (via (at 170.4125 84.3125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 177))
+  (segment (start 170.23642 84.48858) (end 170.4125 84.3125) (width 0.1524) (layer TOP-L1) (net 177) (tstamp 5191B900))
+  (segment (start 189.1625 108.1875) (end 188.7625 107.7875) (width 0.1524) (layer TOP-L1) (net 177))
+  (segment (start 175.2875 83.4375) (end 171.5625 83.4375) (width 0.1524) (layer Sig2-L4) (net 177) (tstamp 5180BF8B))
+  (segment (start 176.6125 84.7625) (end 175.2875 83.4375) (width 0.1524) (layer Sig2-L4) (net 177) (tstamp 5180BF87))
+  (segment (start 176.6125 95.8875) (end 176.6125 84.7625) (width 0.1524) (layer Sig2-L4) (net 177) (tstamp 5180BF85))
+  (segment (start 179.5625 98.8375) (end 176.6125 95.8875) (width 0.1524) (layer Sig2-L4) (net 177) (tstamp 5180BF82))
+  (segment (start 182.3875 98.8375) (end 179.5625 98.8375) (width 0.1524) (layer Sig2-L4) (net 177) (tstamp 5180BF7F))
+  (segment (start 188.3625 104.8125) (end 182.3875 98.8375) (width 0.1524) (layer Sig2-L4) (net 177) (tstamp 5180BF7B))
+  (segment (start 188.3625 107.3875) (end 188.3625 104.8125) (width 0.1524) (layer Sig2-L4) (net 177) (tstamp 5180BF7A))
+  (segment (start 188.7625 107.7875) (end 188.3625 107.3875) (width 0.1524) (layer Sig2-L4) (net 177) (tstamp 5180BF79))
+  (via (at 188.7625 107.7875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 177))
+  (segment (start 170.23642 84.6625) (end 170.23642 85.56294) (width 0.1524) (layer TOP-L1) (net 177) (tstamp 5191B8FE))
+  (segment (start 193.1625 108.1875) (end 192.7625 107.7875) (width 0.1524) (layer TOP-L1) (net 178))
+  (segment (start 168.23744 84.03756) (end 168.23744 85.56294) (width 0.1524) (layer TOP-L1) (net 178) (tstamp 5180C223))
+  (segment (start 168.2375 84.0375) (end 168.23744 84.03756) (width 0.1524) (layer TOP-L1) (net 178) (tstamp 5180C222))
+  (via (at 168.2375 84.0375) (size 0.4572) (layers TOP-L1 BOT-L6) (net 178))
+  (segment (start 168.2375 83.0875) (end 168.2375 84.0375) (width 0.1524) (layer Sig2-L4) (net 178) (tstamp 5180C21B))
+  (segment (start 170.0125 81.3125) (end 168.2375 83.0875) (width 0.1524) (layer Sig2-L4) (net 178) (tstamp 5180C20D))
+  (segment (start 174.9375 81.3125) (end 170.0125 81.3125) (width 0.1524) (layer Sig2-L4) (net 178) (tstamp 5180C20A))
+  (segment (start 179.3375 85.7125) (end 174.9375 81.3125) (width 0.1524) (layer Sig2-L4) (net 178) (tstamp 5180C207))
+  (segment (start 179.3375 95.4625) (end 179.3375 85.7125) (width 0.1524) (layer Sig2-L4) (net 178) (tstamp 5180C205))
+  (segment (start 180.5125 96.6375) (end 179.3375 95.4625) (width 0.1524) (layer Sig2-L4) (net 178) (tstamp 5180C203))
+  (segment (start 183.1625 96.6375) (end 180.5125 96.6375) (width 0.1524) (layer Sig2-L4) (net 178) (tstamp 5180C201))
+  (segment (start 192.3625 105.8375) (end 183.1625 96.6375) (width 0.1524) (layer Sig2-L4) (net 178) (tstamp 5180C1FE))
+  (segment (start 192.3625 107.3875) (end 192.3625 105.8375) (width 0.1524) (layer Sig2-L4) (net 178) (tstamp 5180C1FD))
+  (segment (start 192.7625 107.7875) (end 192.3625 107.3875) (width 0.1524) (layer Sig2-L4) (net 178) (tstamp 5180C1FC))
+  (via (at 192.7625 107.7875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 178))
+  (segment (start 192.3625 108.9875) (end 191.9875 108.6125) (width 0.1524) (layer TOP-L1) (net 179))
+  (segment (start 167.23668 83.36332) (end 167.23668 85.56294) (width 0.1524) (layer TOP-L1) (net 179) (tstamp 5180C0D3))
+  (segment (start 167.2375 83.3625) (end 167.23668 83.36332) (width 0.1524) (layer TOP-L1) (net 179) (tstamp 5180C0D2))
+  (via (at 167.2375 83.3625) (size 0.4572) (layers TOP-L1 BOT-L6) (net 179))
+  (segment (start 168.0875 82.5125) (end 167.2375 83.3625) (width 0.1524) (layer Sig1-L3) (net 179) (tstamp 5180C0CB))
+  (segment (start 175.4625 82.5125) (end 168.0875 82.5125) (width 0.1524) (layer Sig1-L3) (net 179) (tstamp 5180C0C9))
+  (segment (start 177.4875 84.5375) (end 175.4625 82.5125) (width 0.1524) (layer Sig1-L3) (net 179) (tstamp 5180C0C7))
+  (segment (start 177.4875 88.7125) (end 177.4875 84.5375) (width 0.1524) (layer Sig1-L3) (net 179) (tstamp 5180C0C4))
+  (segment (start 178.9375 90.1625) (end 177.4875 88.7125) (width 0.1524) (layer Sig1-L3) (net 179) (tstamp 5180C0BC))
+  (segment (start 178.9375 95.6375) (end 178.9375 90.1625) (width 0.1524) (layer Sig1-L3) (net 179) (tstamp 5180C0BA))
+  (segment (start 180.3625 97.0625) (end 178.9375 95.6375) (width 0.1524) (layer Sig1-L3) (net 179) (tstamp 5180C0B8))
+  (segment (start 183.0125 97.0625) (end 180.3625 97.0625) (width 0.1524) (layer Sig1-L3) (net 179) (tstamp 5180C0B6))
+  (segment (start 191.5625 105.6125) (end 183.0125 97.0625) (width 0.1524) (layer Sig1-L3) (net 179) (tstamp 5180C0B2))
+  (segment (start 191.5625 108.1875) (end 191.5625 105.6125) (width 0.1524) (layer Sig1-L3) (net 179) (tstamp 5180C0B1))
+  (segment (start 191.9875 108.6125) (end 191.5625 108.1875) (width 0.1524) (layer Sig1-L3) (net 179) (tstamp 5180C0B0))
+  (via (at 191.9875 108.6125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 179))
+  (segment (start 184.0125 95.9875) (end 183.8375 95.8125) (width 0.1524) (layer Sig2-L4) (net 180))
+  (segment (start 180.9875 95.0875) (end 180.9875 92.5625) (width 0.1524) (layer Sig2-L4) (net 180) (tstamp 5180C38A))
+  (segment (start 181.7125 95.8125) (end 180.9875 95.0875) (width 0.1524) (layer Sig2-L4) (net 180) (tstamp 5180C386))
+  (segment (start 183.8375 95.8125) (end 181.7125 95.8125) (width 0.1524) (layer Sig2-L4) (net 180) (tstamp 5180C385))
+  (segment (start 194.7625 108.1875) (end 194.3625 107.7875) (width 0.1524) (layer TOP-L1) (net 180))
+  (segment (start 179.48858 89.41358) (end 174.08706 89.41358) (width 0.1524) (layer TOP-L1) (net 180) (tstamp 5180C324))
+  (segment (start 180.4125 90.3375) (end 179.48858 89.41358) (width 0.1524) (layer TOP-L1) (net 180) (tstamp 5180C323))
+  (via (at 180.4125 90.3375) (size 0.4572) (layers TOP-L1 BOT-L6) (net 180))
+  (segment (start 180.9875 90.9125) (end 180.4125 90.3375) (width 0.1524) (layer Sig2-L4) (net 180) (tstamp 5180C31D))
+  (segment (start 180.9875 92.5625) (end 180.9875 90.9125) (width 0.1524) (layer Sig2-L4) (net 180) (tstamp 5180C392))
+  (segment (start 193.9625 105.9375) (end 184.0125 95.9875) (width 0.1524) (layer Sig2-L4) (net 180) (tstamp 5180C313))
+  (segment (start 193.9625 107.3875) (end 193.9625 105.9375) (width 0.1524) (layer Sig2-L4) (net 180) (tstamp 5180C312))
+  (segment (start 194.3625 107.7875) (end 193.9625 107.3875) (width 0.1524) (layer Sig2-L4) (net 180) (tstamp 5180C311))
+  (via (at 194.3625 107.7875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 180))
+  (segment (start 191.5625 108.9875) (end 191.1625 108.5875) (width 0.1524) (layer TOP-L1) (net 181))
+  (segment (start 177.66142 89.91142) (end 174.08706 89.91142) (width 0.1524) (layer TOP-L1) (net 181) (tstamp 5180C081))
+  (segment (start 177.6625 89.9125) (end 177.66142 89.91142) (width 0.1524) (layer TOP-L1) (net 181) (tstamp 5180C080))
+  (via (at 177.6625 89.9125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 181))
+  (segment (start 178.4875 90.7375) (end 177.6625 89.9125) (width 0.1524) (layer Sig1-L3) (net 181) (tstamp 5180C079))
+  (segment (start 178.4875 95.8375) (end 178.4875 90.7375) (width 0.1524) (layer Sig1-L3) (net 181) (tstamp 5180C076))
+  (segment (start 180.1375 97.4875) (end 178.4875 95.8375) (width 0.1524) (layer Sig1-L3) (net 181) (tstamp 5180C074))
+  (segment (start 182.8125 97.4875) (end 180.1375 97.4875) (width 0.1524) (layer Sig1-L3) (net 181) (tstamp 5180C070))
+  (segment (start 190.7625 105.4375) (end 182.8125 97.4875) (width 0.1524) (layer Sig1-L3) (net 181) (tstamp 5180C06D))
+  (segment (start 190.7625 108.1875) (end 190.7625 105.4375) (width 0.1524) (layer Sig1-L3) (net 181) (tstamp 5180C06A))
+  (segment (start 191.1625 108.5875) (end 190.7625 108.1875) (width 0.1524) (layer Sig1-L3) (net 181) (tstamp 5180C069))
+  (via (at 191.1625 108.5875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 181))
+  (segment (start 191.5625 108.1875) (end 191.1625 107.7875) (width 0.1524) (layer TOP-L1) (net 182))
+  (segment (start 177.2868 90.4118) (end 174.08706 90.4118) (width 0.1524) (layer TOP-L1) (net 182) (tstamp 5180C0A6))
+  (segment (start 177.5875 90.7125) (end 177.2868 90.4118) (width 0.1524) (layer TOP-L1) (net 182) (tstamp 5180C0A5))
+  (via (at 177.5875 90.7125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 182))
+  (segment (start 178.4875 91.6125) (end 177.5875 90.7125) (width 0.1524) (layer Sig2-L4) (net 182) (tstamp 5180C09D))
+  (segment (start 178.4875 95.8375) (end 178.4875 91.6125) (width 0.1524) (layer Sig2-L4) (net 182) (tstamp 5180C098))
+  (segment (start 180.1375 97.4875) (end 178.4875 95.8375) (width 0.1524) (layer Sig2-L4) (net 182) (tstamp 5180C096))
+  (segment (start 182.8125 97.4875) (end 180.1375 97.4875) (width 0.1524) (layer Sig2-L4) (net 182) (tstamp 5180C090))
+  (segment (start 190.7625 105.4375) (end 182.8125 97.4875) (width 0.1524) (layer Sig2-L4) (net 182) (tstamp 5180C08E))
+  (segment (start 190.7625 107.3875) (end 190.7625 105.4375) (width 0.1524) (layer Sig2-L4) (net 182) (tstamp 5180C08D))
+  (segment (start 191.1625 107.7875) (end 190.7625 107.3875) (width 0.1524) (layer Sig2-L4) (net 182) (tstamp 5180C08C))
+  (via (at 191.1625 107.7875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 182))
+  (segment (start 190.7625 108.9875) (end 190.3625 108.5875) (width 0.1524) (layer TOP-L1) (net 183))
+  (segment (start 176.78718 90.91218) (end 174.08706 90.91218) (width 0.1524) (layer TOP-L1) (net 183) (tstamp 5180C02E))
+  (segment (start 177.4875 91.6125) (end 176.78718 90.91218) (width 0.1524) (layer TOP-L1) (net 183) (tstamp 5180C02D))
+  (via (at 177.4875 91.6125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 183))
+  (segment (start 177.4875 95.5625) (end 177.4875 91.6125) (width 0.1524) (layer Sig1-L3) (net 183) (tstamp 5180C016))
+  (segment (start 179.9125 97.9875) (end 177.4875 95.5625) (width 0.1524) (layer Sig1-L3) (net 183) (tstamp 5180C014))
+  (segment (start 182.7125 97.9875) (end 179.9125 97.9875) (width 0.1524) (layer Sig1-L3) (net 183) (tstamp 5180C00F))
+  (segment (start 189.9625 105.2375) (end 182.7125 97.9875) (width 0.1524) (layer Sig1-L3) (net 183) (tstamp 5180C00B))
+  (segment (start 189.9625 108.1875) (end 189.9625 105.2375) (width 0.1524) (layer Sig1-L3) (net 183) (tstamp 5180C009))
+  (segment (start 190.3625 108.5875) (end 189.9625 108.1875) (width 0.1524) (layer Sig1-L3) (net 183) (tstamp 5180C008))
+  (via (at 190.3625 108.5875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 183))
+  (segment (start 190.7625 108.1875) (end 190.3625 107.7875) (width 0.1524) (layer TOP-L1) (net 184))
+  (segment (start 176.48756 91.41256) (end 174.08706 91.41256) (width 0.1524) (layer TOP-L1) (net 184) (tstamp 5180C056))
+  (segment (start 177.2375 92.1625) (end 176.48756 91.41256) (width 0.1524) (layer TOP-L1) (net 184) (tstamp 5180C054))
+  (segment (start 177.6125 92.1625) (end 177.2375 92.1625) (width 0.1524) (layer TOP-L1) (net 184) (tstamp 5180C053))
+  (segment (start 177.9375 92.4875) (end 177.6125 92.1625) (width 0.1524) (layer TOP-L1) (net 184) (tstamp 5180C052))
+  (via (at 177.9375 92.4875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 184))
+  (segment (start 177.9375 96.0125) (end 177.9375 92.4875) (width 0.1524) (layer Sig2-L4) (net 184) (tstamp 5180C044))
+  (segment (start 179.9125 97.9875) (end 177.9375 96.0125) (width 0.1524) (layer Sig2-L4) (net 184) (tstamp 5180C042))
+  (segment (start 182.7125 97.9875) (end 179.9125 97.9875) (width 0.1524) (layer Sig2-L4) (net 184) (tstamp 5180C040))
+  (segment (start 189.9625 105.2375) (end 182.7125 97.9875) (width 0.1524) (layer Sig2-L4) (net 184) (tstamp 5180C03C))
+  (segment (start 189.9625 107.3875) (end 189.9625 105.2375) (width 0.1524) (layer Sig2-L4) (net 184) (tstamp 5180C03B))
+  (segment (start 190.3625 107.7875) (end 189.9625 107.3875) (width 0.1524) (layer Sig2-L4) (net 184) (tstamp 5180C03A))
+  (via (at 190.3625 107.7875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 184))
+  (segment (start 193.9625 108.9875) (end 193.5625 108.5875) (width 0.1524) (layer TOP-L1) (net 185))
+  (segment (start 176.31294 91.91294) (end 174.08706 91.91294) (width 0.1524) (layer TOP-L1) (net 185) (tstamp 5180C255))
+  (segment (start 177.4875 93.0875) (end 176.31294 91.91294) (width 0.1524) (layer TOP-L1) (net 185) (tstamp 5180C253))
+  (segment (start 179.5375 93.0875) (end 177.4875 93.0875) (width 0.1524) (layer TOP-L1) (net 185) (tstamp 5180C252))
+  (segment (start 179.8875 93.4375) (end 179.5375 93.0875) (width 0.1524) (layer TOP-L1) (net 185) (tstamp 5180C251))
+  (via (at 179.8875 93.4375) (size 0.4572) (layers TOP-L1 BOT-L6) (net 185))
+  (segment (start 179.8875 95.4375) (end 179.8875 93.4375) (width 0.1524) (layer Sig1-L3) (net 185) (tstamp 5180C240))
+  (segment (start 180.6875 96.2375) (end 179.8875 95.4375) (width 0.1524) (layer Sig1-L3) (net 185) (tstamp 5180C23E))
+  (segment (start 183.5875 96.2375) (end 180.6875 96.2375) (width 0.1524) (layer Sig1-L3) (net 185) (tstamp 5180C23B))
+  (segment (start 193.1625 105.8125) (end 183.5875 96.2375) (width 0.1524) (layer Sig1-L3) (net 185) (tstamp 5180C233))
+  (segment (start 193.1625 108.1875) (end 193.1625 105.8125) (width 0.1524) (layer Sig1-L3) (net 185) (tstamp 5180C22F))
+  (segment (start 193.5625 108.5875) (end 193.1625 108.1875) (width 0.1524) (layer Sig1-L3) (net 185) (tstamp 5180C22E))
+  (via (at 193.5625 108.5875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 185))
+  (segment (start 175.5 93.9125) (end 170.7875 93.9125) (width 0.1524) (layer Sig1-L3) (net 186))
+  (segment (start 169.725 94.975) (end 169.725 94.9625) (width 0.1524) (layer Sig1-L3) (net 186) (tstamp 51A6D87F))
+  (segment (start 170.7875 93.9125) (end 169.725 94.975) (width 0.1524) (layer Sig1-L3) (net 186) (tstamp 51A6D87B))
+  (segment (start 167.08708 98.9625) (end 167.08708 99.9375) (width 0.1524) (layer TOP-L1) (net 186))
+  (segment (start 175.8125 93.9125) (end 175.5 93.9125) (width 0.1524) (layer Sig1-L3) (net 186))
+  (segment (start 169.725 94.9625) (end 169.7375 94.9625) (width 0.1524) (layer Sig1-L3) (net 186) (tstamp 51A6D880))
+  (segment (start 168.4875 98.6375) (end 168.1625 98.9625) (width 0.1524) (layer TOP-L1) (net 186))
+  (segment (start 168.1625 98.9625) (end 167.08708 98.9625) (width 0.1524) (layer TOP-L1) (net 186) (tstamp 5185BC1F))
+  (segment (start 179.6125 94.3375) (end 178.7375 94.3375) (width 0.1524) (layer TOP-L1) (net 186))
+  (via (at 175.8125 93.9125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 186))
+  (segment (start 178.3125 93.9125) (end 175.8125 93.9125) (width 0.1524) (layer TOP-L1) (net 186) (tstamp 5185BA93))
+  (segment (start 178.7375 94.3375) (end 178.3125 93.9125) (width 0.1524) (layer TOP-L1) (net 186) (tstamp 5185BA92))
+  (segment (start 169.7375 94.9625) (end 169.73858 94.9625) (width 0.1524) (layer TOP-L1) (net 186) (tstamp 5185BA7C))
+  (via (at 169.7375 94.9625) (size 0.4572) (layers TOP-L1 BOT-L6) (net 186))
+  (segment (start 183.3625 94.4625) (end 182.8125 93.9125) (width 0.1524) (layer Sig2-L4) (net 186))
+  (segment (start 180.6875 94.3375) (end 180.5625 94.3375) (width 0.1524) (layer TOP-L1) (net 186) (tstamp 51837692))
+  (segment (start 181.1125 93.9125) (end 180.6875 94.3375) (width 0.1524) (layer TOP-L1) (net 186) (tstamp 5183768F))
+  (segment (start 182.0375 93.9125) (end 181.1125 93.9125) (width 0.1524) (layer TOP-L1) (net 186) (tstamp 5183768E))
+  (via (at 182.0375 93.9125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 186))
+  (segment (start 182.8125 93.9125) (end 182.0375 93.9125) (width 0.1524) (layer Sig2-L4) (net 186) (tstamp 51837688))
+  (segment (start 169.73858 93.76206) (end 169.73858 94.9625) (width 0.1524) (layer TOP-L1) (net 186))
+  (segment (start 169.73858 94.9625) (end 169.73858 97.38642) (width 0.1524) (layer TOP-L1) (net 186) (tstamp 5185BA7D))
+  (segment (start 169.73858 97.38642) (end 168.4875 98.6375) (width 0.1524) (layer TOP-L1) (net 186) (tstamp 5181DAAE))
+  (segment (start 180.5625 94.3375) (end 179.6125 94.3375) (width 0.1524) (layer TOP-L1) (net 186) (tstamp 51837697))
+  (segment (start 184.1625 95.2625) (end 183.3625 94.4625) (width 0.1524) (layer Sig2-L4) (net 186))
+  (segment (start 195.5625 107.3875) (end 195.1625 106.9875) (width 0.1524) (layer TOP-L1) (net 186))
+  (segment (start 194.7625 105.8625) (end 184.1625 95.2625) (width 0.1524) (layer Sig2-L4) (net 186) (tstamp 5180C3C8))
+  (segment (start 194.7625 106.5875) (end 194.7625 105.8625) (width 0.1524) (layer Sig2-L4) (net 186) (tstamp 5180C3C6))
+  (segment (start 195.1625 106.9875) (end 194.7625 106.5875) (width 0.1524) (layer Sig2-L4) (net 186) (tstamp 5180C3C5))
+  (via (at 195.1625 106.9875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 186))
+  (segment (start 173.525 96.925) (end 173.8 96.925) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 175.5 98.625) (end 175.725 98.625) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51A6D8C9))
+  (segment (start 173.8 96.925) (end 175.5 98.625) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51A6D8C4))
+  (segment (start 175.725 98.625) (end 176.6 98.625) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 176.6 98.625) (end 177.275 97.95) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51A6D8BB))
+  (via (at 177.275 97.95) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 176.45 94.62542) (end 176.45 94.4) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 176.45 94.4) (end 176.45 94.475) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51A6D8B1))
+  (segment (start 175.425 94.52542) (end 175.425 94.775) (width 0.1524) (layer TOP-L1) (net 187))
+  (via (at 175.225 94.975) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 175.425 94.775) (end 175.225 94.975) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51A6D89C))
+  (segment (start 173.525 96.925) (end 173.525 95.725) (width 0.1524) (layer TOP-L1) (net 187))
+  (via (at 173.5 95.7) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 173.525 95.725) (end 173.5 95.7) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51A6D882))
+  (segment (start 171.23718 93.76206) (end 171.23718 96.31324) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 171.23718 96.31324) (end 171.05042 96.5) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51A6D846))
+  (segment (start 181.025 95.6775) (end 180.4525 95.6775) (width 0.3) (layer TOP-L1) (net 187))
+  (segment (start 175.37458 94.475) (end 175.425 94.52542) (width 0.3) (layer TOP-L1) (net 187) (tstamp 51A6D788))
+  (segment (start 175.425 94.52542) (end 174.68708 93.7875) (width 0.3) (layer TOP-L1) (net 187) (tstamp 51A6D89A))
+  (segment (start 177.55 94.475) (end 176.45 94.475) (width 0.3) (layer TOP-L1) (net 187) (tstamp 51A6D787))
+  (segment (start 176.45 94.475) (end 175.37458 94.475) (width 0.3) (layer TOP-L1) (net 187) (tstamp 51A6D8B2))
+  (segment (start 177.925 94.85) (end 177.55 94.475) (width 0.3) (layer TOP-L1) (net 187) (tstamp 51A6D785))
+  (segment (start 179.625 94.85) (end 177.925 94.85) (width 0.3) (layer TOP-L1) (net 187) (tstamp 51A6D782))
+  (segment (start 180.4525 95.6775) (end 179.625 94.85) (width 0.3) (layer TOP-L1) (net 187) (tstamp 51A6D77F))
+  (segment (start 147.785 95.415) (end 147.785 96.435) (width 0.5) (layer TOP-L1) (net 187))
+  (segment (start 161.175 96.15) (end 162.1975 96.15) (width 0.5) (layer TOP-L1) (net 187) (tstamp 51A560D0))
+  (segment (start 159.625 97.7) (end 161.175 96.15) (width 0.5) (layer TOP-L1) (net 187) (tstamp 51A560CF))
+  (segment (start 149.05 97.7) (end 159.625 97.7) (width 0.5) (layer TOP-L1) (net 187) (tstamp 51A560CE))
+  (segment (start 147.785 96.435) (end 149.05 97.7) (width 0.5) (layer TOP-L1) (net 187) (tstamp 51A560C9))
+  (segment (start 144.395 89.575) (end 144.395 92.025) (width 0.3) (layer TOP-L1) (net 187))
+  (segment (start 144.395 92.025) (end 147.785 95.415) (width 0.3) (layer TOP-L1) (net 187) (tstamp 51A560C5))
+  (segment (start 163.9625 88.96208) (end 160.53792 88.96208) (width 0.3) (layer TOP-L1) (net 187))
+  (segment (start 160.53792 88.96208) (end 159.925 89.575) (width 0.3) (layer TOP-L1) (net 187) (tstamp 51A560BF))
+  (segment (start 163.06 85.0625) (end 163.06 86.44) (width 0.3) (layer TOP-L1) (net 187))
+  (segment (start 163.06 86.44) (end 159.925 89.575) (width 0.3) (layer TOP-L1) (net 187) (tstamp 51A560B9))
+  (segment (start 200.9025 157.2175) (end 207.0525 157.2175) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 205.3425 153.1175) (end 206.3025 153.1175) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 206.3025 153.1175) (end 207.0525 153.8675) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 519F3EF1))
+  (segment (start 207.0525 153.8675) (end 207.0525 157.2175) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 200.8518 154.3499) (end 200.8518 153.9282) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 200.8518 153.9282) (end 201.6625 153.1175) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 5191AE55))
+  (segment (start 204.9568 152.4499) (end 204.9568 150.9732) (width 0.1524) (layer TOP-L1) (net 187))
+  (via (at 205.0875 150.8425) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 204.9568 150.9732) (end 205.0875 150.8425) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51888F61))
+  (segment (start 202.3125 153.1175) (end 201.6625 153.1175) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 201.6625 153.1175) (end 201.6875 153.1175) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 5191AE6B))
+  (segment (start 202.8125 153.1175) (end 202.3125 153.1175) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 205.5518 153.7999) (end 205.5518 153.1318) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 205.5375 153.1175) (end 205.3425 153.1175) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51833FAB))
+  (segment (start 205.3425 153.1175) (end 205.3875 153.1175) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 519F3EEF))
+  (segment (start 205.3875 153.1175) (end 204.6375 153.1175) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 5185BD26))
+  (segment (start 205.5518 153.1318) (end 205.5375 153.1175) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51833FAA))
+  (segment (start 203.1625 153.1175) (end 203.1625 153.7892) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 203.1625 153.7892) (end 203.1518 153.7999) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51833FA7))
+  (segment (start 203.7625 153.1175) (end 203.7625 152.4556) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 203.7625 152.4556) (end 203.7568 152.4499) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51833FA2))
+  (segment (start 204.5375 153.1175) (end 203.7625 153.1175) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 203.7625 153.1175) (end 203.1625 153.1175) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51833FA0))
+  (segment (start 203.1625 153.1175) (end 202.8125 153.1175) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51833FA5))
+  (segment (start 202.8125 153.1175) (end 202.6125 153.1175) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 5185BD19))
+  (segment (start 202.5568 153.0618) (end 202.5568 152.4499) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51833F9D))
+  (segment (start 202.6125 153.1175) (end 202.5568 153.0618) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51833F9B))
+  (segment (start 204.3518 153.7999) (end 204.3518 153.1532) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 204.9568 153.0232) (end 204.9568 152.4499) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51833F96))
+  (segment (start 204.8625 153.1175) (end 204.9568 153.0232) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51833F95))
+  (segment (start 204.3875 153.1175) (end 204.5375 153.1175) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51833F94))
+  (segment (start 204.5375 153.1175) (end 204.6375 153.1175) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51833F99))
+  (segment (start 204.6375 153.1175) (end 204.8625 153.1175) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51833FAE))
+  (segment (start 204.3518 153.1532) (end 204.3875 153.1175) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51833F92))
+  (segment (start 222.5125 155.0625) (end 216.9625 155.0625) (width 0.5) (layer TOP-L1) (net 187))
+  (segment (start 216.9625 155.0625) (end 216.8375 155.1875) (width 0.5) (layer TOP-L1) (net 187) (tstamp 519E16BD))
+  (segment (start 220.0625 144.9625) (end 220.0625 144.3125) (width 0.3) (layer TOP-L1) (net 187))
+  (segment (start 220.0625 144.3125) (end 222.4625 141.9125) (width 0.3) (layer TOP-L1) (net 187) (tstamp 519E15DE))
+  (segment (start 185.2925 95.6775) (end 185.2925 95.2975) (width 0.3) (layer TOP-L1) (net 187))
+  (via (at 185.2825 95.2875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 185.2925 95.2975) (end 185.2825 95.2875) (width 0.3) (layer TOP-L1) (net 187) (tstamp 519EDCAD))
+  (segment (start 182.6325 95.6775) (end 182.6325 95.1875) (width 0.3) (layer TOP-L1) (net 187))
+  (via (at 182.6225 95.1775) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 182.6325 95.1875) (end 182.6225 95.1775) (width 0.3) (layer TOP-L1) (net 187) (tstamp 519EDCA5))
+  (segment (start 181.44292 96.6275) (end 181.44292 95.68792) (width 0.3) (layer TOP-L1) (net 187))
+  (segment (start 181.44292 95.68792) (end 181.4325 95.6775) (width 0.3) (layer TOP-L1) (net 187) (tstamp 519EDC99))
+  (segment (start 186.20208 96.6575) (end 186.20208 96.23708) (width 0.3) (layer TOP-L1) (net 187))
+  (segment (start 185.6425 95.6775) (end 185.2925 95.6775) (width 0.3) (layer TOP-L1) (net 187) (tstamp 519EDC93))
+  (segment (start 185.2925 95.6775) (end 182.6325 95.6775) (width 0.3) (layer TOP-L1) (net 187) (tstamp 519EDCAB))
+  (segment (start 182.6325 95.6775) (end 181.4325 95.6775) (width 0.3) (layer TOP-L1) (net 187) (tstamp 519EDCA3))
+  (segment (start 181.4325 95.6775) (end 181.025 95.6775) (width 0.3) (layer TOP-L1) (net 187) (tstamp 519EDC9C))
+  (segment (start 186.20208 96.23708) (end 185.6425 95.6775) (width 0.3) (layer TOP-L1) (net 187) (tstamp 519EDC92))
+  (segment (start 206.0225 108.63792) (end 206.0225 108.0275) (width 0.1524) (layer TOP-L1) (net 187))
+  (via (at 206.0225 108.0275) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 211.8725 116.14708) (end 211.8725 116.8275) (width 0.2) (layer TOP-L1) (net 187))
+  (via (at 211.8725 116.8275) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 220.41406 99.67198) (end 221.50302 99.67198) (width 0.3) (layer TOP-L1) (net 187))
+  (segment (start 221.73792 99.43708) (end 222.6875 99.43708) (width 0.3) (layer TOP-L1) (net 187) (tstamp 519DF6E3))
+  (segment (start 221.50302 99.67198) (end 221.73792 99.43708) (width 0.3) (layer TOP-L1) (net 187) (tstamp 519DF6E1))
+  (segment (start 169.13792 130.9625) (end 168.7875 130.9625) (width 0.1524) (layer BOT-L6) (net 187))
+  (via (at 168.5125 130.6875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 168.7875 130.9625) (end 168.5125 130.6875) (width 0.1524) (layer BOT-L6) (net 187) (tstamp 51921640))
+  (segment (start 169.13792 130.9625) (end 168.8125 130.9625) (width 0.1524) (layer BOT-L6) (net 187))
+  (segment (start 190.66208 110.5375) (end 190.66208 109.81292) (width 0.3) (layer BOT-L6) (net 187))
+  (via (at 190.6625 109.8125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 190.66208 109.81292) (end 190.6625 109.8125) (width 0.3) (layer BOT-L6) (net 187) (tstamp 5192130C))
+  (segment (start 163.73708 126.8875) (end 163.73708 127.56208) (width 0.1524) (layer BOT-L6) (net 187))
+  (segment (start 163.73708 127.56208) (end 163.7625 127.5875) (width 0.1524) (layer BOT-L6) (net 187) (tstamp 51920DFF))
+  (segment (start 193.9625 114.5875) (end 194.3625 114.9875) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 193.1625 114.5875) (end 193.9625 114.5875) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 193.53708 116.5875) (end 193.48708 116.5375) (width 0.3) (layer BOT-L6) (net 187))
+  (segment (start 193.48708 116.5375) (end 193.48708 115.6875) (width 0.3) (layer BOT-L6) (net 187) (tstamp 51920CB4))
+  (segment (start 193.48708 114.7625) (end 193.48708 115.6875) (width 0.3) (layer BOT-L6) (net 187))
+  (segment (start 193.48708 113.8875) (end 193.48708 114.7625) (width 0.3) (layer BOT-L6) (net 187))
+  (segment (start 194.3625 113.36208) (end 194.36208 113.3625) (width 0.3) (layer BOT-L6) (net 187))
+  (segment (start 193.6125 113.3625) (end 193.48708 113.3625) (width 0.3) (layer BOT-L6) (net 187) (tstamp 51920CA1))
+  (segment (start 194.36208 113.3625) (end 193.6125 113.3625) (width 0.3) (layer BOT-L6) (net 187) (tstamp 51920C9D))
+  (segment (start 196.2625 113.36208) (end 195.3125 113.36208) (width 0.3) (layer BOT-L6) (net 187))
+  (segment (start 194.3625 113.36208) (end 195.3125 113.36208) (width 0.3) (layer BOT-L6) (net 187))
+  (segment (start 193.48708 112.9875) (end 193.48708 113.3625) (width 0.3) (layer BOT-L6) (net 187))
+  (segment (start 193.48708 113.3625) (end 193.48708 113.8875) (width 0.3) (layer BOT-L6) (net 187) (tstamp 51920CA2))
+  (segment (start 190.66208 110.5375) (end 190.96166 110.23792) (width 0.3) (layer BOT-L6) (net 187))
+  (segment (start 190.96166 110.23792) (end 191.9375 110.23792) (width 0.3) (layer BOT-L6) (net 187) (tstamp 51920C29))
+  (segment (start 190.68708 115.7875) (end 190.6875 115.78792) (width 0.1524) (layer BOT-L6) (net 187))
+  (via (at 190.6875 116.6125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 190.6875 115.78792) (end 190.6875 116.6125) (width 0.1524) (layer BOT-L6) (net 187) (tstamp 51920C24))
+  (segment (start 190.68708 113.2875) (end 190.68708 114.2125) (width 0.1524) (layer BOT-L6) (net 187))
+  (segment (start 193.9625 113.7875) (end 194.3625 114.1875) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 194.3625 115.7875) (end 194.2625 115.6875) (width 0.1524) (layer BOT-L6) (net 187))
+  (via (at 194.3625 115.7875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 194.2625 115.6875) (end 193.48708 115.6875) (width 0.1524) (layer BOT-L6) (net 187) (tstamp 51920BFE))
+  (segment (start 194.3625 114.9875) (end 194.1375 114.7625) (width 0.1524) (layer BOT-L6) (net 187))
+  (via (at 194.3625 114.9875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 194.1375 114.7625) (end 193.48708 114.7625) (width 0.1524) (layer BOT-L6) (net 187) (tstamp 51920BFB))
+  (segment (start 194.3625 114.1875) (end 194.0625 113.8875) (width 0.1524) (layer BOT-L6) (net 187))
+  (segment (start 194.0625 113.8875) (end 193.48708 113.8875) (width 0.1524) (layer BOT-L6) (net 187) (tstamp 51920BF8))
+  (segment (start 193.1625 113.7875) (end 193.9625 113.7875) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 194.7625 116.9875) (end 194.7625 117.7875) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 193.9625 116.9875) (end 193.9625 117.7875) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 225.5425 87.2375) (end 222.7325 87.2375) (width 0.3) (layer TOP-L1) (net 187))
+  (segment (start 222.7325 87.2375) (end 222.4325 86.9375) (width 0.3) (layer TOP-L1) (net 187) (tstamp 51920BD7))
+  (segment (start 189.1625 112.1875) (end 188.7625 112.5875) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 190.66208 110.5375) (end 190.66208 111.4625) (width 0.3) (layer BOT-L6) (net 187))
+  (segment (start 190.66208 111.4625) (end 190.66208 112.3625) (width 0.3) (layer BOT-L6) (net 187) (tstamp 51920B9B))
+  (segment (start 190.66208 112.3625) (end 190.68708 112.3875) (width 0.3) (layer BOT-L6) (net 187) (tstamp 51920B9C))
+  (segment (start 190.68708 112.3875) (end 190.68708 114.2125) (width 0.3) (layer BOT-L6) (net 187) (tstamp 51920B9D))
+  (segment (start 190.68708 114.2125) (end 190.6875 114.21292) (width 0.3) (layer BOT-L6) (net 187) (tstamp 51920B9E))
+  (segment (start 190.6875 114.21292) (end 190.6875 114.9625) (width 0.3) (layer BOT-L6) (net 187) (tstamp 51920B9F))
+  (segment (start 190.68708 114.9625) (end 190.6875 114.9625) (width 0.3) (layer BOT-L6) (net 187))
+  (via (at 190.6875 114.9625) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 190.68708 114.2125) (end 190.68708 114.9625) (width 0.3) (layer BOT-L6) (net 187))
+  (segment (start 190.68708 114.9625) (end 190.68708 115.7875) (width 0.3) (layer BOT-L6) (net 187) (tstamp 51920941))
+  (segment (start 197.01208 133.4625) (end 198.7975 133.4625) (width 0.3) (layer BOT-L6) (net 187))
+  (segment (start 198.7975 133.4625) (end 200.3625 131.8975) (width 0.3) (layer BOT-L6) (net 187) (tstamp 51920874))
+  (segment (start 191.4875 118.5125) (end 191.93708 118.96208) (width 0.1524) (layer BOT-L6) (net 187))
+  (segment (start 191.93708 118.96208) (end 191.93708 119.4375) (width 0.1524) (layer BOT-L6) (net 187) (tstamp 5192082A))
+  (segment (start 190.71208 118.2125) (end 191.01208 118.5125) (width 0.1524) (layer BOT-L6) (net 187))
+  (segment (start 191.6375 118.5125) (end 192.3625 117.7875) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51920822))
+  (segment (start 191.4875 118.5125) (end 191.6375 118.5125) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51920821))
+  (via (at 191.4875 118.5125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 191.01208 118.5125) (end 191.4875 118.5125) (width 0.1524) (layer BOT-L6) (net 187) (tstamp 5192081A))
+  (segment (start 190.51208 120.6375) (end 190.56208 120.5875) (width 0.1524) (layer BOT-L6) (net 187))
+  (via (at 191.1625 120.5875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 190.56208 120.5875) (end 191.1625 120.5875) (width 0.1524) (layer BOT-L6) (net 187) (tstamp 519207A2))
+  (segment (start 191.93708 119.4375) (end 192.5375 119.4375) (width 0.1524) (layer BOT-L6) (net 187))
+  (segment (start 192.5375 119.4375) (end 192.7625 119.6625) (width 0.1524) (layer BOT-L6) (net 187) (tstamp 519206B4))
+  (via (at 192.7625 119.6625) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 192.7625 119.6625) (end 192.3625 120.0625) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 519206B6))
+  (segment (start 192.3625 120.0625) (end 192.3625 120.1875) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 519206B7))
+  (segment (start 189.1625 116.1875) (end 188.7625 116.5875) (width 0.1524) (layer TOP-L1) (net 187))
+  (via (at 188.7625 116.5875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 189.1625 119.3875) (end 188.7375 118.9625) (width 0.1524) (layer TOP-L1) (net 187))
+  (via (at 188.7625 118.9875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 188.7375 118.9625) (end 188.7625 118.9875) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 519205DA))
+  (segment (start 198.41208 131.9875) (end 200.2725 131.9875) (width 0.1524) (layer BOT-L6) (net 187))
+  (segment (start 200.2725 131.9875) (end 200.3625 131.8975) (width 0.1524) (layer BOT-L6) (net 187) (tstamp 5192039F))
+  (segment (start 197.6875 116.38792) (end 196.7875 116.38792) (width 0.1524) (layer BOT-L6) (net 187))
+  (segment (start 196.7875 116.38792) (end 196.7875 115.8125) (width 0.1524) (layer BOT-L6) (net 187))
+  (segment (start 196.7875 115.8125) (end 196.7875 115.7625) (width 0.1524) (layer BOT-L6) (net 187) (tstamp 519202B3))
+  (segment (start 200.3625 118.5875) (end 200.3625 119.3875) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 200.3625 119.3875) (end 200.7625 119.7875) (width 0.1524) (layer TOP-L1) (net 187))
+  (via (at 200.7625 119.7875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 191.9375 110.23792) (end 191.9375 109.5625) (width 0.3) (layer BOT-L6) (net 187))
+  (via (at 191.9375 109.5625) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 192.8625 110.23792) (end 192.8375 110.21292) (width 0.3) (layer BOT-L6) (net 187))
+  (via (at 192.8375 109.5625) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 192.8375 110.21292) (end 192.8375 109.5625) (width 0.3) (layer BOT-L6) (net 187) (tstamp 5191FE4F))
+  (segment (start 192.8625 110.23792) (end 191.9375 110.23792) (width 0.3) (layer BOT-L6) (net 187))
+  (segment (start 192.3625 114.5875) (end 193.1625 114.5875) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 193.1625 115.3875) (end 193.9625 115.3875) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 193.53708 116.5875) (end 194.3625 116.5875) (width 0.1524) (layer BOT-L6) (net 187))
+  (via (at 194.3625 116.5875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 193.9625 116.1875) (end 193.9625 116.1625) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 194.3625 115.7875) (end 194.3625 115.7875) (width 0.3) (layer TOP-L1) (net 187) (tstamp 5191F8C3))
+  (segment (start 194.7625 115.3875) (end 194.3625 115.7875) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 194.3625 115.7625) (end 194.3625 115.7875) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 5191F8C2))
+  (segment (start 193.9625 116.1625) (end 194.3625 115.7625) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 5191F8C0))
+  (segment (start 193.1625 116.1875) (end 193.9625 116.1875) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 193.9625 115.3875) (end 194.3625 114.9875) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 194.3625 114.9875) (end 194.7625 114.5875) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 170.9125 105.63708) (end 170.0125 105.63708) (width 0.1524) (layer BOT-L6) (net 187))
+  (segment (start 164.9625 132.7875) (end 165.3625 132.3875) (width 0.1524) (layer TOP-L1) (net 187))
+  (via (at 165.3625 132.3875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 165.3625 132.3875) (end 165.7625 132.7875) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 157.63792 133.9875) (end 156.93792 133.9875) (width 0.3) (layer BOT-L6) (net 187))
+  (segment (start 156.93792 133.9875) (end 156.8375 134.08792) (width 0.3) (layer BOT-L6) (net 187) (tstamp 5191EAFB))
+  (segment (start 187.8875 126.88708) (end 187.8625 126.91208) (width 0.1524) (layer BOT-L6) (net 187))
+  (via (at 187.8625 127.5375) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 187.8625 126.91208) (end 187.8625 127.5375) (width 0.1524) (layer BOT-L6) (net 187) (tstamp 5191EAA3))
+  (segment (start 170.0375 103.06292) (end 169.23666 103.06292) (width 0.3) (layer BOT-L6) (net 187))
+  (segment (start 169.23666 103.06292) (end 169.03708 103.2625) (width 0.3) (layer BOT-L6) (net 187) (tstamp 5191E9DC))
+  (segment (start 162.5375 137.6745) (end 161.34908 137.6745) (width 0.5) (layer BOT-L6) (net 187))
+  (segment (start 161.34908 137.6745) (end 160.73708 137.0625) (width 0.3) (layer BOT-L6) (net 187) (tstamp 5191E769))
+  (segment (start 162.5375 137.6745) (end 165.1755 137.6745) (width 0.5) (layer BOT-L6) (net 187))
+  (segment (start 165.1755 137.6745) (end 165.4625 137.3875) (width 0.5) (layer BOT-L6) (net 187) (tstamp 5191E627))
+  (segment (start 163.8125 137.16208) (end 164.03792 137.3875) (width 0.3) (layer BOT-L6) (net 187))
+  (segment (start 164.03792 137.3875) (end 165.4625 137.3875) (width 0.3) (layer BOT-L6) (net 187) (tstamp 5191E613))
+  (segment (start 161.3625 135.36208) (end 163.38792 137.3875) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 163.38792 137.3875) (end 165.4625 137.3875) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 5191E5ED))
+  (segment (start 168.9625 111.2875) (end 168.73792 111.06292) (width 0.3) (layer BOT-L6) (net 187))
+  (segment (start 168.73792 111.06292) (end 168.73792 110.0375) (width 0.3) (layer BOT-L6) (net 187) (tstamp 5191E36B))
+  (segment (start 167.7625 110.3875) (end 168.1125 110.0375) (width 0.3) (layer BOT-L6) (net 187))
+  (segment (start 168.1125 110.0375) (end 168.73792 110.0375) (width 0.3) (layer BOT-L6) (net 187) (tstamp 5191E362))
+  (segment (start 167.3625 109.9875) (end 167.7625 110.3875) (width 0.1524) (layer TOP-L1) (net 187))
+  (via (at 167.7625 110.3875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 165.58792 112.0875) (end 165.58792 111.46292) (width 0.3) (layer BOT-L6) (net 187))
+  (segment (start 165.23708 111.11208) (end 165.23708 110.5625) (width 0.3) (layer BOT-L6) (net 187) (tstamp 5191E1E0))
+  (segment (start 165.58792 111.46292) (end 165.23708 111.11208) (width 0.3) (layer BOT-L6) (net 187) (tstamp 5191E1DE))
+  (segment (start 163.3625 104.16208) (end 163.3625 104.3875) (width 0.1524) (layer BOT-L6) (net 187))
+  (via (at 162.9625 104.7875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 162.9625 104.7875) (end 163.3625 105.1875) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 163.3625 104.3875) (end 162.9625 104.7875) (width 0.1524) (layer BOT-L6) (net 187) (tstamp 5191E0AF))
+  (segment (start 157.76292 103.0625) (end 157.235 102.53458) (width 0.3) (layer BOT-L6) (net 187))
+  (segment (start 157.235 102.53458) (end 157.235 101.6875) (width 0.3) (layer BOT-L6) (net 187) (tstamp 5191DA16))
+  (segment (start 157.7375 103.9125) (end 157.7375 103.08792) (width 0.3) (layer BOT-L6) (net 187))
+  (segment (start 157.7375 103.08792) (end 157.76292 103.0625) (width 0.3) (layer BOT-L6) (net 187) (tstamp 5191DA06))
+  (segment (start 166.18792 100.9375) (end 165.91292 100.6625) (width 0.1524) (layer TOP-L1) (net 187))
+  (via (at 165.4375 100.6625) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 165.91292 100.6625) (end 165.4375 100.6625) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 5191D9A7))
+  (segment (start 167.3625 131.9875) (end 166.9625 131.5875) (width 0.1524) (layer TOP-L1) (net 187))
+  (via (at 166.9625 131.5875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 166.9625 131.5875) (end 166.5625 131.9875) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 168.68708 133.9875) (end 168.68708 133.56292) (width 0.3) (layer BOT-L6) (net 187))
+  (via (at 168.9625 133.2875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 168.9625 133.2875) (end 168.9625 132.7875) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 168.68708 133.56292) (end 168.9625 133.2875) (width 0.3) (layer BOT-L6) (net 187) (tstamp 5191C662))
+  (segment (start 165.76292 133.9625) (end 165.76292 133.28792) (width 0.3) (layer BOT-L6) (net 187))
+  (via (at 165.7625 133.2875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 165.7625 133.2875) (end 165.7625 132.7875) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 165.76292 133.28792) (end 165.7625 133.2875) (width 0.3) (layer BOT-L6) (net 187) (tstamp 5191C603))
+  (segment (start 209.46292 97.8125) (end 209.46292 99.24042) (width 0.2) (layer TOP-L1) (net 187))
+  (segment (start 209.46292 99.24042) (end 209.51 99.2875) (width 0.2) (layer TOP-L1) (net 187) (tstamp 5191BBF4))
+  (segment (start 170.7368 85.56294) (end 170.7368 86.9118) (width 0.1524) (layer TOP-L1) (net 187))
+  (via (at 170.7375 86.9125) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 170.7368 86.9118) (end 170.7375 86.9125) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 5191B8E5))
+  (segment (start 208.1859 145.8537) (end 208.1859 146.7109) (width 0.1524) (layer TOP-L1) (net 187))
+  (via (at 208.1875 146.7125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 208.1859 146.7109) (end 208.1875 146.7125) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 5191B6BB))
+  (segment (start 196.7625 115.7875) (end 197.1625 116.1875) (width 0.3) (layer TOP-L1) (net 187))
+  (segment (start 157.63792 133.9875) (end 157.63792 133.43708) (width 0.2) (layer BOT-L6) (net 187))
+  (via (at 157.7625 133.3375) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 157.7625 133.3375) (end 157.7625 132.7875) (width 0.2) (layer TOP-L1) (net 187))
+  (segment (start 157.7375 133.3375) (end 157.7625 133.3375) (width 0.2) (layer BOT-L6) (net 187) (tstamp 51877268))
+  (segment (start 157.63792 133.43708) (end 157.7375 133.3375) (width 0.2) (layer BOT-L6) (net 187) (tstamp 51877266))
+  (segment (start 174.08706 87.41206) (end 173.03706 87.41206) (width 0.2) (layer TOP-L1) (net 187))
+  (segment (start 173.88708 85.76292) (end 173.88708 84.1625) (width 0.2) (layer TOP-L1) (net 187) (tstamp 5190B108))
+  (segment (start 172.6875 86.9625) (end 173.88708 85.76292) (width 0.2) (layer TOP-L1) (net 187) (tstamp 5190B105))
+  (segment (start 172.6875 87.0625) (end 172.6875 86.9625) (width 0.2) (layer TOP-L1) (net 187) (tstamp 5190B103))
+  (segment (start 173.03706 87.41206) (end 172.6875 87.0625) (width 0.2) (layer TOP-L1) (net 187) (tstamp 5190B0F5))
+  (segment (start 187.1625 110.1625) (end 187.1625 110.9625) (width 0.2) (layer BOT-L6) (net 187))
+  (segment (start 187.1375 110.9875) (end 187.1375 111.01292) (width 0.5) (layer BOT-L6) (net 187) (tstamp 51907AE3))
+  (segment (start 187.1625 110.9625) (end 187.1375 110.9875) (width 0.5) (layer BOT-L6) (net 187) (tstamp 51907AE1))
+  (segment (start 214.86208 156.2875) (end 215.7375 156.2875) (width 0.3) (layer TOP-L1) (net 187))
+  (segment (start 215.7375 156.2875) (end 216.8375 155.1875) (width 0.3) (layer TOP-L1) (net 187) (tstamp 5190767C))
+  (segment (start 216.8375 155.1875) (end 214.81208 155.1875) (width 0.3) (layer TOP-L1) (net 187))
+  (segment (start 214.81208 155.1875) (end 214.81208 156.2375) (width 0.3) (layer TOP-L1) (net 187))
+  (segment (start 214.81208 156.2375) (end 214.86208 156.2875) (width 0.3) (layer TOP-L1) (net 187) (tstamp 51907670))
+  (segment (start 190.7625 120.9875) (end 191.1625 120.5875) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 191.1625 120.5875) (end 191.5625 120.9875) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 193.1625 120.1875) (end 193.1625 120.1625) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 193.1625 120.1625) (end 193.5625 119.7625) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51908D29))
+  (segment (start 192.93792 120.5875) (end 192.93792 120.38708) (width 0.1524) (layer BOT-L6) (net 187))
+  (via (at 193.5625 119.7625) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 192.93792 120.38708) (end 193.5625 119.7625) (width 0.1524) (layer BOT-L6) (net 187) (tstamp 51908D23))
+  (segment (start 193.9625 120.1625) (end 193.5625 119.7625) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 5187886F))
+  (segment (start 193.9625 120.1625) (end 193.9625 120.1875) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 193.1625 120.1625) (end 193.5625 119.7625) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51908D1E))
+  (segment (start 200.3119 145.0299) (end 200.3119 144.1631) (width 0.1524) (layer TOP-L1) (net 187))
+  (via (at 200.3125 144.1625) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 200.3119 144.1631) (end 200.3125 144.1625) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 518A146F))
+  (segment (start 204.3759 145.8918) (end 204.3759 146.8009) (width 0.1524) (layer TOP-L1) (net 187))
+  (via (at 204.3875 146.8125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 204.3759 146.8009) (end 204.3875 146.8125) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 518A1463))
+  (segment (start 219.68708 104.8125) (end 219.68708 104.11166) (width 0.3) (layer TOP-L1) (net 187))
+  (segment (start 219.68708 104.11166) (end 219.5875 104.01208) (width 0.3) (layer TOP-L1) (net 187) (tstamp 5188D947))
+  (segment (start 219.5875 104.01208) (end 220.3375 104.01208) (width 0.3) (layer TOP-L1) (net 187))
+  (segment (start 220.3375 104.01208) (end 220.5125 103.83708) (width 0.3) (layer TOP-L1) (net 187) (tstamp 5188D865))
+  (segment (start 193.9625 112.1875) (end 193.9625 112.9875) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 163.3625 109.9875) (end 163.7625 109.5875) (width 0.1524) (layer TOP-L1) (net 187))
+  (via (at 164.2125 108.4625) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 163.7625 108.9125) (end 164.2125 108.4625) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 5188ECB3))
+  (segment (start 163.7625 109.5875) (end 163.7625 108.9125) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 5188ECA4))
+  (segment (start 226.36208 91.1375) (end 226.36208 91.33708) (width 0.5) (layer TOP-L1) (net 187))
+  (segment (start 226.36208 91.33708) (end 227.4875 92.4625) (width 0.5) (layer TOP-L1) (net 187) (tstamp 5188E822))
+  (segment (start 211.8625 90.71292) (end 210.63792 90.71292) (width 0.5) (layer TOP-L1) (net 187))
+  (via (at 210.6375 90.7125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 210.63792 90.71292) (end 210.6375 90.7125) (width 0.8) (layer TOP-L1) (net 187) (tstamp 5188E5DB))
+  (segment (start 213.5125 90.61) (end 211.96542 90.61) (width 0.5) (layer TOP-L1) (net 187))
+  (segment (start 214.9875 92.58792) (end 214.9875 90.8875) (width 0.5) (layer TOP-L1) (net 187))
+  (segment (start 214.71 90.61) (end 213.5125 90.61) (width 0.5) (layer TOP-L1) (net 187) (tstamp 5188E5CB))
+  (segment (start 214.9875 90.8875) (end 214.71 90.61) (width 0.5) (layer TOP-L1) (net 187) (tstamp 5188E5C3))
+  (segment (start 209.51 99.2875) (end 208.4375 99.2875) (width 0.2) (layer TOP-L1) (net 187))
+  (via (at 208.4375 99.2875) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 211.8125 94.635) (end 210.553 94.635) (width 0.8) (layer TOP-L1) (net 187))
+  (segment (start 210.553 94.635) (end 209.9875 95.2005) (width 0.5) (layer TOP-L1) (net 187) (tstamp 5188DD2D))
+  (segment (start 209.9875 95.2005) (end 209.9875 94.0875) (width 0.8) (layer TOP-L1) (net 187))
+  (via (at 209.9875 94.0875) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 215.76586 101.82082) (end 215.76586 102.35914) (width 0.3) (layer TOP-L1) (net 187))
+  (segment (start 215.76586 102.35914) (end 214.71 103.415) (width 0.3) (layer TOP-L1) (net 187) (tstamp 5188DB3D))
+  (segment (start 214.71 103.415) (end 214.71 103.9625) (width 0.3) (layer TOP-L1) (net 187) (tstamp 5188DB3E))
+  (segment (start 226.0875 103.8125) (end 224.585 103.8125) (width 0.8) (layer TOP-L1) (net 187))
+  (segment (start 224.585 103.8125) (end 223.7875 103.015) (width 0.8) (layer TOP-L1) (net 187) (tstamp 5188DAB1))
+  (segment (start 227.485 103.8125) (end 226.0875 103.8125) (width 0.8) (layer TOP-L1) (net 187))
+  (segment (start 226.0875 103.8125) (end 226.0625 103.8125) (width 0.8) (layer TOP-L1) (net 187) (tstamp 5188DAAF))
+  (via (at 226.0625 103.8125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 223.39 106.3875) (end 223.39 104.285) (width 0.8) (layer TOP-L1) (net 187))
+  (via (at 223.4375 104.2625) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 223.7875 103.015) (end 223.7875 103.8875) (width 0.8) (layer TOP-L1) (net 187))
+  (segment (start 223.4125 104.2625) (end 223.4375 104.2625) (width 0.8) (layer TOP-L1) (net 187) (tstamp 5188D71B))
+  (segment (start 223.7875 103.8875) (end 223.4125 104.2625) (width 0.8) (layer TOP-L1) (net 187) (tstamp 5188D719))
+  (segment (start 223.4375 104.2625) (end 223.4375 104.2625) (width 0.8) (layer TOP-L1) (net 187) (tstamp 5188DA32))
+  (segment (start 223.1755 104.5245) (end 221.8625 104.5245) (width 0.8) (layer TOP-L1) (net 187))
+  (segment (start 223.1755 104.5245) (end 223.4375 104.2625) (width 0.8) (layer TOP-L1) (net 187) (tstamp 5188D715))
+  (segment (start 223.4375 104.2375) (end 223.4375 104.2625) (width 0.8) (layer TOP-L1) (net 187) (tstamp 5188DA31))
+  (segment (start 223.39 104.285) (end 223.4375 104.2375) (width 0.8) (layer TOP-L1) (net 187) (tstamp 5188DA2F))
+  (segment (start 223.39 106.3875) (end 223.39 108.2375) (width 0.8) (layer TOP-L1) (net 187))
+  (segment (start 220.5125 103.83708) (end 221.19992 104.5245) (width 0.3) (layer TOP-L1) (net 187))
+  (segment (start 221.19992 104.5245) (end 221.8625 104.5245) (width 0.8) (layer TOP-L1) (net 187) (tstamp 5188D74D))
+  (segment (start 227.4875 93.61) (end 227.4875 92.4625) (width 0.8) (layer TOP-L1) (net 187))
+  (via (at 227.5125 92.4875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 227.4875 92.4625) (end 227.5125 92.4875) (width 0.8) (layer TOP-L1) (net 187) (tstamp 5188D5AD))
+  (segment (start 227.4875 93.61) (end 227.472 93.6255) (width 0.8) (layer TOP-L1) (net 187))
+  (segment (start 227.472 93.6255) (end 225.4875 93.6255) (width 0.8) (layer TOP-L1) (net 187) (tstamp 5188D5AA))
+  (segment (start 223.0125 92.61292) (end 224.47492 92.61292) (width 0.5) (layer TOP-L1) (net 187))
+  (segment (start 224.47492 92.61292) (end 225.4875 93.6255) (width 0.8) (layer TOP-L1) (net 187) (tstamp 5188D3BC))
+  (segment (start 225.4875 93.6255) (end 224.67492 93.6255) (width 0.8) (layer TOP-L1) (net 187))
+  (segment (start 224.67492 93.6255) (end 223.9625 94.33792) (width 0.3) (layer TOP-L1) (net 187) (tstamp 5188D3B1))
+  (segment (start 223.0125 92.61292) (end 221.77492 92.61292) (width 0.5) (layer TOP-L1) (net 187))
+  (segment (start 221.77492 92.61292) (end 221.7125 92.5505) (width 0.5) (layer TOP-L1) (net 187) (tstamp 5188D2FD))
+  (segment (start 221.7125 92.5505) (end 221.7125 92.0625) (width 0.5) (layer TOP-L1) (net 187))
+  (segment (start 221.2625 91.6125) (end 221.2625 91.6255) (width 0.8) (layer TOP-L1) (net 187) (tstamp 5188D2C5))
+  (segment (start 221.7125 92.0625) (end 221.2625 91.6125) (width 0.8) (layer TOP-L1) (net 187) (tstamp 5188D2C3))
+  (segment (start 220.0375 91.6255) (end 221.2625 91.6255) (width 0.5) (layer TOP-L1) (net 187))
+  (segment (start 221.2625 91.6255) (end 221.2745 91.6255) (width 0.8) (layer TOP-L1) (net 187) (tstamp 5188D2C6))
+  (via (at 221.2875 91.6125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 221.2745 91.6255) (end 221.2875 91.6125) (width 0.8) (layer TOP-L1) (net 187) (tstamp 5188D288))
+  (segment (start 218.8125 92.41292) (end 219.25008 92.41292) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 219.25008 92.41292) (end 220.0375 91.6255) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 5188D284))
+  (segment (start 214.06 88.2625) (end 212.7125 88.2625) (width 0.8) (layer TOP-L1) (net 187))
+  (via (at 212.7125 88.2625) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 217.08792 92.4625) (end 217.08792 91.25008) (width 0.5) (layer TOP-L1) (net 187))
+  (segment (start 217.08792 91.25008) (end 217.1755 91.1625) (width 0.8) (layer TOP-L1) (net 187) (tstamp 5188D226))
+  (segment (start 217.08708 93.4625) (end 217.08708 92.46334) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 217.08708 92.46334) (end 217.08792 92.4625) (width 0.8) (layer TOP-L1) (net 187) (tstamp 5188D223))
+  (segment (start 216.76154 95.02124) (end 216.7625 95.02028) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 217.08708 94.03792) (end 217.08708 93.4625) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 5188D0C9))
+  (segment (start 216.7625 94.3625) (end 217.08708 94.03792) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 5188D0C7))
+  (segment (start 216.7625 95.02028) (end 216.7625 94.3625) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 5188D0C4))
+  (segment (start 203.41 89.3125) (end 203.41 86.81) (width 0.5) (layer TOP-L1) (net 187))
+  (segment (start 203.3875 86.7875) (end 203.3875 86.7625) (width 0.8) (layer TOP-L1) (net 187) (tstamp 5188CF3F))
+  (segment (start 203.41 86.81) (end 203.3875 86.7875) (width 0.8) (layer TOP-L1) (net 187) (tstamp 5188CF3D))
+  (segment (start 198.66 84.6125) (end 198.66 86.6875) (width 0.8) (layer TOP-L1) (net 187))
+  (segment (start 198.66 86.6875) (end 197.7625 87.585) (width 0.8) (layer TOP-L1) (net 187) (tstamp 5188CF2C))
+  (segment (start 193.8725 87.0825) (end 197.26 87.0825) (width 0.8) (layer TOP-L1) (net 187))
+  (segment (start 197.26 87.0825) (end 197.7625 87.585) (width 0.8) (layer TOP-L1) (net 187) (tstamp 5188CF29))
+  (segment (start 200.01292 87.7375) (end 200.01292 88.5375) (width 0.5) (layer TOP-L1) (net 187))
+  (segment (start 200.01292 88.5375) (end 199.8375 88.71292) (width 0.5) (layer TOP-L1) (net 187) (tstamp 5188CE9A))
+  (segment (start 200.01292 87.7375) (end 200.01292 86.73792) (width 0.5) (layer TOP-L1) (net 187))
+  (via (at 200.0125 86.7375) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 200.01292 86.73792) (end 200.0125 86.7375) (width 0.8) (layer TOP-L1) (net 187) (tstamp 5188CE95))
+  (segment (start 204.6825 86.7625) (end 203.3875 86.7625) (width 0.5) (layer TOP-L1) (net 187))
+  (segment (start 203.3875 86.7625) (end 203.3625 86.7625) (width 0.8) (layer TOP-L1) (net 187) (tstamp 5188CF40))
+  (via (at 203.3625 86.7625) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 204.6825 86.7625) (end 199.0875 86.7625) (width 0.5) (layer TOP-L1) (net 187))
+  (segment (start 199.0875 86.7625) (end 198.66 86.335) (width 0.3) (layer TOP-L1) (net 187) (tstamp 5188CC9B))
+  (segment (start 198.66 86.335) (end 198.66 84.6125) (width 0.3) (layer TOP-L1) (net 187) (tstamp 5188CC9C))
+  (segment (start 210.29724 79.87268) (end 210.29724 78.61538) (width 0.8) (layer TOP-L1) (net 187))
+  (segment (start 210.29724 78.61538) (end 210.15246 78.4706) (width 0.8) (layer TOP-L1) (net 187) (tstamp 5188CBA1))
+  (segment (start 210.29724 79.87268) (end 210.29724 80.72818) (width 0.5) (layer TOP-L1) (net 187))
+  (segment (start 210.29724 80.72818) (end 210.1375 80.88792) (width 0.5) (layer TOP-L1) (net 187) (tstamp 5188CB99))
+  (segment (start 213.4375 78.877) (end 213.4375 79.9875) (width 0.8) (layer TOP-L1) (net 187))
+  (segment (start 213.635 80.21) (end 213.4125 79.9875) (width 0.8) (layer TOP-L1) (net 187) (tstamp 5188CB52))
+  (via (at 213.4125 79.9875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 213.635 80.21) (end 213.635 81.6375) (width 0.8) (layer TOP-L1) (net 187))
+  (segment (start 213.4125 80.0125) (end 213.4125 79.9875) (width 0.8) (layer TOP-L1) (net 187) (tstamp 5188CB5B))
+  (segment (start 213.4375 79.9875) (end 213.4125 80.0125) (width 0.8) (layer TOP-L1) (net 187) (tstamp 5188CB59))
+  (segment (start 210.15246 78.4706) (end 207.8817 78.4706) (width 0.8) (layer TOP-L1) (net 187))
+  (segment (start 207.8817 78.4706) (end 206.7514 79.6009) (width 0.8) (layer TOP-L1) (net 187) (tstamp 5188CA58))
+  (segment (start 211.26292 121.5625) (end 210.4875 121.5625) (width 0.1524) (layer TOP-L1) (net 187))
+  (via (at 210.4875 121.5625) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 159.76208 145.3875) (end 160.8125 145.3875) (width 0.8) (layer TOP-L1) (net 187))
+  (segment (start 160.8375 145.3625) (end 160.7975 145.3625) (width 0.8) (layer TOP-L1) (net 187) (tstamp 5188BF2A))
+  (segment (start 160.8125 145.3875) (end 160.8375 145.3625) (width 0.8) (layer TOP-L1) (net 187) (tstamp 5188BF27))
+  (segment (start 212.88856 137.83284) (end 213.43326 137.83284) (width 0.3) (layer TOP-L1) (net 187))
+  (segment (start 213.43326 137.83284) (end 214.58792 138.9875) (width 0.3) (layer TOP-L1) (net 187) (tstamp 5188BD8E))
+  (segment (start 170.7368 86.4375) (end 170.7368 86.6382) (width 0.2) (layer TOP-L1) (net 187))
+  (via (at 168.8875 90.4125) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 168.8875 90.4125) (end 168.8875 90.4125) (width 0.8) (layer TOP-L1) (net 187) (tstamp 51889F4E))
+  (segment (start 168.7875 90.4125) (end 168.8875 90.4125) (width 0.8) (layer TOP-L1) (net 187))
+  (segment (start 168.8875 90.3875) (end 168.8875 90.4125) (width 0.8) (layer TOP-L1) (net 187) (tstamp 51889F4D))
+  (segment (start 168.8875 87.6375) (end 168.8875 90.3875) (width 0.8) (layer TOP-L1) (net 187) (tstamp 51889F4B))
+  (segment (start 169.5875 86.9375) (end 168.8875 87.6375) (width 0.8) (layer TOP-L1) (net 187) (tstamp 51889F49))
+  (segment (start 170.4375 86.9375) (end 169.5875 86.9375) (width 0.8) (layer TOP-L1) (net 187) (tstamp 51889F48))
+  (segment (start 170.7368 86.6382) (end 170.4375 86.9375) (width 0.2) (layer TOP-L1) (net 187) (tstamp 51889F47))
+  (segment (start 208.1859 144.9537) (end 208.1859 144.0391) (width 0.1524) (layer TOP-L1) (net 187))
+  (via (at 208.1875 144.0375) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 208.1859 144.0391) (end 208.1875 144.0375) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51889B4D))
+  (segment (start 200.3119 145.9299) (end 200.3119 146.8369) (width 0.1524) (layer TOP-L1) (net 187))
+  (via (at 200.3125 146.8375) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 200.3119 146.8369) (end 200.3125 146.8375) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51889B3A))
+  (segment (start 213.85832 123.77404) (end 212.22404 123.77404) (width 0.1524) (layer TOP-L1) (net 187))
+  (via (at 212.2125 123.7625) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 212.22404 123.77404) (end 212.2125 123.7625) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 5188931B))
+  (segment (start 193.80502 81.00298) (end 193.80502 82.59498) (width 0.1524) (layer TOP-L1) (net 187))
+  (via (at 193.7875 82.6125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 193.80502 82.59498) (end 193.7875 82.6125) (width 0.8) (layer TOP-L1) (net 187) (tstamp 518890DC))
+  (segment (start 192.3625 120.1875) (end 193.1625 120.1875) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 168.46126 150.7157) (end 170.75234 150.7157) (width 0.8) (layer TOP-L1) (net 187))
+  (segment (start 170.75234 150.7157) (end 173.04342 150.7157) (width 0.8) (layer TOP-L1) (net 187) (tstamp 51888F74))
+  (segment (start 173.04342 150.7157) (end 171.89788 151.86124) (width 0.8) (layer TOP-L1) (net 187) (tstamp 51888F75))
+  (segment (start 171.89788 151.86124) (end 171.89788 152.21684) (width 0.8) (layer TOP-L1) (net 187) (tstamp 51888F76))
+  (segment (start 162.73864 149.2171) (end 162.73864 149.5727) (width 0.8) (layer TOP-L1) (net 187))
+  (segment (start 162.73864 149.5727) (end 163.88164 150.7157) (width 0.8) (layer TOP-L1) (net 187) (tstamp 51888F71))
+  (segment (start 160.7975 143.5315) (end 160.7975 145.3625) (width 0.8) (layer TOP-L1) (net 187))
+  (segment (start 160.7975 145.3625) (end 160.7975 147.27596) (width 0.8) (layer TOP-L1) (net 187) (tstamp 5188BF2B))
+  (segment (start 160.7975 147.27596) (end 162.73864 149.2171) (width 0.8) (layer TOP-L1) (net 187) (tstamp 51888F6E))
+  (segment (start 204.3759 144.9918) (end 204.3759 144.1491) (width 0.1524) (layer TOP-L1) (net 187))
+  (via (at 204.3875 144.1375) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 204.3759 144.1491) (end 204.3875 144.1375) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51888F5C))
+  (segment (start 198.7625 120.9875) (end 199.1625 120.5875) (width 0.1524) (layer TOP-L1) (net 187))
+  (via (at 199.1625 120.5875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 199.1625 120.5875) (end 199.5625 120.1875) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 199.5625 122.5875) (end 198.7625 121.7875) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 199.5625 122.5875) (end 199.5625 123.3875) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 199.5625 123.3875) (end 199.1625 123.7875) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 199.23792 123.86292) (end 199.23792 124.4625) (width 0.2) (layer BOT-L6) (net 187) (tstamp 518886C7))
+  (segment (start 199.1625 123.7875) (end 199.23792 123.86292) (width 0.2) (layer BOT-L6) (net 187) (tstamp 518886C6))
+  (via (at 199.1625 123.7875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 198.7625 121.7875) (end 199.1625 121.3875) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 199.1625 121.3875) (end 198.7625 120.9875) (width 0.1524) (layer TOP-L1) (net 187))
+  (via (at 199.1625 121.3875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 197.3875 119.08792) (end 197.3875 118.5125) (width 0.2) (layer BOT-L6) (net 187))
+  (via (at 197.3875 118.5125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 196.4375 119.08792) (end 196.4375 118.5875) (width 0.2) (layer BOT-L6) (net 187))
+  (via (at 196.4375 118.5875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 197.3875 119.08792) (end 195.61292 119.08792) (width 0.2) (layer BOT-L6) (net 187))
+  (segment (start 195.3625 118.8375) (end 194.68708 118.8375) (width 0.2) (layer BOT-L6) (net 187) (tstamp 51888432))
+  (segment (start 195.61292 119.08792) (end 195.3625 118.8375) (width 0.2) (layer BOT-L6) (net 187) (tstamp 51888431))
+  (segment (start 202.23708 110.4625) (end 202.6375 110.4625) (width 0.2) (layer BOT-L6) (net 187))
+  (segment (start 202.6375 110.4625) (end 203.1625 110.9875) (width 0.2) (layer BOT-L6) (net 187) (tstamp 5188805E))
+  (via (at 203.1625 110.9875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 202.23708 111.4875) (end 202.53708 111.7875) (width 0.2) (layer BOT-L6) (net 187))
+  (via (at 203.1375 111.7875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 202.53708 111.7875) (end 203.1375 111.7875) (width 0.2) (layer BOT-L6) (net 187) (tstamp 5188805A))
+  (segment (start 199.21208 110.4625) (end 199.2125 110.46292) (width 0.1524) (layer BOT-L6) (net 187))
+  (segment (start 198.2875 111.0625) (end 197.9625 111.3875) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51887A04))
+  (segment (start 199.2125 111.0625) (end 198.2875 111.0625) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51887A03))
+  (via (at 199.2125 111.0625) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 199.2125 110.46292) (end 199.2125 111.0625) (width 0.2) (layer BOT-L6) (net 187) (tstamp 518879FE))
+  (segment (start 196.8875 115.08708) (end 196.8875 115.6625) (width 0.1524) (layer BOT-L6) (net 187))
+  (segment (start 196.8875 115.6625) (end 196.7875 115.7625) (width 0.1524) (layer BOT-L6) (net 187) (tstamp 51887637))
+  (segment (start 196.7875 115.7625) (end 196.7625 115.7875) (width 0.1524) (layer BOT-L6) (net 187) (tstamp 519202B4))
+  (via (at 196.7625 115.7875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 196.7625 115.7875) (end 196.3625 116.1875) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 5188763E))
+  (segment (start 196.3625 113.7875) (end 195.9625 114.1875) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 196.2625 113.8875) (end 196.2625 113.36208) (width 0.1524) (layer BOT-L6) (net 187) (tstamp 518874C9))
+  (segment (start 195.9625 114.1875) (end 196.2625 113.8875) (width 0.1524) (layer BOT-L6) (net 187) (tstamp 518874C8))
+  (via (at 195.9625 114.1875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 195.3125 113.36208) (end 195.3125 114.0375) (width 0.1524) (layer BOT-L6) (net 187))
+  (segment (start 195.3125 114.0375) (end 195.1625 114.1875) (width 0.1524) (layer BOT-L6) (net 187) (tstamp 51887479))
+  (segment (start 195.1625 114.1875) (end 195.5625 113.7875) (width 0.1524) (layer TOP-L1) (net 187))
+  (via (at 195.1625 114.1875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 194.3625 113.36208) (end 194.3625 114.1875) (width 0.1524) (layer BOT-L6) (net 187))
+  (segment (start 194.3625 114.1875) (end 194.7625 113.7875) (width 0.1524) (layer TOP-L1) (net 187))
+  (via (at 194.3625 114.1875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 199.66208 113.1625) (end 199.6625 113.1625) (width 0.2) (layer BOT-L6) (net 187))
+  (via (at 199.6625 113.1625) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 199.66208 112.5625) (end 199.6625 112.5625) (width 0.2) (layer BOT-L6) (net 187))
+  (via (at 199.6625 112.5625) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 199.66208 111.8625) (end 199.66208 112.5625) (width 0.2) (layer BOT-L6) (net 187))
+  (segment (start 199.66208 112.5625) (end 199.66208 113.1625) (width 0.2) (layer BOT-L6) (net 187) (tstamp 51887227))
+  (segment (start 199.66208 113.1625) (end 199.66208 113.7875) (width 0.2) (layer BOT-L6) (net 187) (tstamp 5188722D))
+  (segment (start 199.66208 113.7875) (end 199.68708 113.8125) (width 0.2) (layer BOT-L6) (net 187) (tstamp 51887205))
+  (segment (start 195.5625 116.9875) (end 195.9875 116.5625) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 194.7625 116.9875) (end 195.1875 116.5625) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 193.9625 116.9875) (end 194.3625 116.5875) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 194.3625 116.5875) (end 194.7625 116.1875) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 193.9625 112.9875) (end 193.9625 113.7875) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 196.3625 112.9875) (end 196.3625 113.7875) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 195.5625 112.9875) (end 195.5625 113.7875) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 194.7625 112.9875) (end 194.7625 113.7875) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 196.3625 116.1875) (end 195.9875 116.5625) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 195.9875 116.5625) (end 195.9625 116.5875) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 5188480C))
+  (via (at 195.9625 116.5875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 195.5625 116.1875) (end 195.1875 116.5625) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 195.1875 116.5625) (end 195.1625 116.5875) (width 0.3) (layer TOP-L1) (net 187) (tstamp 51884807))
+  (via (at 195.1625 116.5875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 196.3625 115.3875) (end 195.9625 115.7875) (width 0.1524) (layer TOP-L1) (net 187))
+  (via (at 195.9625 115.7875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 195.5625 115.3875) (end 195.1625 115.7875) (width 0.1524) (layer TOP-L1) (net 187))
+  (via (at 195.1625 115.7875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 195.5625 114.5875) (end 195.1625 114.9875) (width 0.1524) (layer TOP-L1) (net 187))
+  (via (at 195.1625 114.9875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 196.3625 114.5875) (end 195.9625 114.9875) (width 0.1524) (layer TOP-L1) (net 187))
+  (via (at 195.9625 114.9875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (via (at 188.7625 118.1875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 188.7625 118.1875) (end 189.1625 118.5875) (width 0.1524) (layer TOP-L1) (net 187))
+  (via (at 188.7625 114.9875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 188.7625 114.9875) (end 189.1625 115.3875) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 188.96208 115.18708) (end 188.7625 114.9875) (width 0.1524) (layer BOT-L6) (net 187) (tstamp 51877514))
+  (segment (start 188.7625 112.5875) (end 188.06208 112.5875) (width 0.1524) (layer BOT-L6) (net 187))
+  (segment (start 188.06208 112.5875) (end 188.0125 112.63708) (width 0.1524) (layer BOT-L6) (net 187) (tstamp 5187738D))
+  (via (at 188.7625 112.5875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 189.1625 112.9875) (end 188.7625 112.5875) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 186.5125 111.01292) (end 187.1375 111.01292) (width 0.2) (layer BOT-L6) (net 187))
+  (segment (start 187.1375 111.01292) (end 187.13708 111.01292) (width 0.2) (layer BOT-L6) (net 187) (tstamp 51907AE4))
+  (segment (start 187.13708 111.01292) (end 187.1625 110.9875) (width 0.8) (layer BOT-L6) (net 187) (tstamp 51877319))
+  (segment (start 187.1625 110.9875) (end 187.5625 110.5875) (width 0.1524) (layer TOP-L1) (net 187))
+  (via (at 187.1625 110.9875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 187.1625 110.1875) (end 187.1625 110.1625) (width 0.2) (layer BOT-L6) (net 187))
+  (via (at 187.1625 110.1875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 187.5625 110.5875) (end 187.1625 110.1875) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 165.3625 131.5875) (end 165.3625 131.13792) (width 0.1524) (layer BOT-L6) (net 187))
+  (via (at 165.3625 131.5875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 164.9625 131.9875) (end 165.3625 131.5875) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 165.3625 131.13792) (end 165.16208 130.9375) (width 0.1524) (layer BOT-L6) (net 187) (tstamp 5187728D))
+  (segment (start 159.31292 134.0125) (end 159.31292 133.33708) (width 0.2) (layer BOT-L6) (net 187))
+  (segment (start 159.3625 133.2875) (end 159.3625 132.7875) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 5187726E))
+  (via (at 159.3625 133.2875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 159.31292 133.33708) (end 159.3625 133.2875) (width 0.2) (layer BOT-L6) (net 187) (tstamp 5187726C))
+  (segment (start 161.16292 132.3875) (end 160.5625 132.3875) (width 0.2) (layer BOT-L6) (net 187))
+  (segment (start 160.5625 132.3875) (end 160.9625 132.7875) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51877151))
+  (via (at 160.5625 132.3875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 162.9625 132.3875) (end 163.38792 132.3875) (width 0.2) (layer BOT-L6) (net 187))
+  (via (at 162.9625 132.3875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 163.3625 131.9875) (end 162.9625 132.3875) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 163.38792 132.3875) (end 163.53792 132.2375) (width 0.2) (layer BOT-L6) (net 187) (tstamp 5187713D))
+  (segment (start 169.7825 133.2875) (end 169.7625 133.2875) (width 0.2) (layer BOT-L6) (net 187) (tstamp 51876DD9))
+  (segment (start 163.77292 128.2275) (end 163.77292 127.58792) (width 0.2) (layer BOT-L6) (net 187))
+  (via (at 163.7625 127.5875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 163.7625 127.5875) (end 163.3625 127.1875) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 163.7625 127.5775) (end 163.7625 127.5875) (width 0.2) (layer BOT-L6) (net 187) (tstamp 51876C9D))
+  (segment (start 163.77292 127.58792) (end 163.7625 127.5775) (width 0.2) (layer BOT-L6) (net 187) (tstamp 51876C9B))
+  (segment (start 166.30292 127.4575) (end 166.30292 126.93792) (width 0.2) (layer BOT-L6) (net 187))
+  (via (at 166.1625 126.7875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 166.1625 126.7875) (end 166.5625 127.1875) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 166.1525 126.7875) (end 166.1625 126.7875) (width 0.1524) (layer BOT-L6) (net 187) (tstamp 51876BFE))
+  (segment (start 166.30292 126.93792) (end 166.1525 126.7875) (width 0.1524) (layer BOT-L6) (net 187) (tstamp 51876BFC))
+  (segment (start 170.03208 126.8075) (end 170.03208 126.91792) (width 0.2) (layer BOT-L6) (net 187))
+  (via (at 169.3625 127.5875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 169.3625 127.5875) (end 168.9625 127.9875) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 170.03208 126.91792) (end 169.3625 127.5875) (width 0.1524) (layer BOT-L6) (net 187) (tstamp 51876BEA))
+  (segment (start 169.23292 125.2875) (end 169.23292 125.67708) (width 0.1524) (layer BOT-L6) (net 187))
+  (via (at 168.9625 125.9375) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 168.9625 125.9375) (end 168.9625 126.3875) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 168.9825 125.9275) (end 168.9625 125.9375) (width 0.2) (layer BOT-L6) (net 187) (tstamp 51876BDA))
+  (segment (start 169.23292 125.67708) (end 168.9825 125.9275) (width 0.1524) (layer BOT-L6) (net 187) (tstamp 51876BD8))
+  (segment (start 167.3625 125.9125) (end 167.3625 125.40792) (width 0.1524) (layer BOT-L6) (net 187))
+  (via (at 167.3625 125.9125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 167.3625 126.3875) (end 167.3625 125.9125) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 167.3625 125.40792) (end 167.55292 125.2175) (width 0.1524) (layer BOT-L6) (net 187) (tstamp 51876A9F))
+  (segment (start 165.02292 125.2475) (end 165.02292 125.78708) (width 0.1524) (layer BOT-L6) (net 187))
+  (via (at 164.9625 125.8625) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 164.9625 125.8625) (end 164.9625 126.3875) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 164.9625 125.8475) (end 164.9625 125.8625) (width 0.1524) (layer BOT-L6) (net 187) (tstamp 518769E8))
+  (segment (start 165.02292 125.78708) (end 164.9625 125.8475) (width 0.1524) (layer BOT-L6) (net 187) (tstamp 518769E6))
+  (segment (start 160.9625 125.9875) (end 160.9625 125.46708) (width 0.2) (layer BOT-L6) (net 187))
+  (via (at 160.9625 125.9875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 160.9625 126.3875) (end 160.9625 125.9875) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 160.9625 125.46708) (end 161.07208 125.3575) (width 0.2) (layer BOT-L6) (net 187) (tstamp 51876959))
+  (segment (start 159.42208 125.3475) (end 159.42208 125.91792) (width 0.1524) (layer BOT-L6) (net 187))
+  (via (at 159.3625 125.9875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 159.3625 125.9875) (end 159.3625 126.3875) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 159.3625 125.9775) (end 159.3625 125.9875) (width 0.1524) (layer BOT-L6) (net 187) (tstamp 518767CE))
+  (segment (start 159.42208 125.91792) (end 159.3625 125.9775) (width 0.1524) (layer BOT-L6) (net 187) (tstamp 518767C9))
+  (segment (start 162.58792 125.2375) (end 162.2625 125.2375) (width 0.2) (layer BOT-L6) (net 187))
+  (via (at 162.0625 125.0375) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 162.2625 125.2375) (end 162.0625 125.0375) (width 0.2) (layer BOT-L6) (net 187) (tstamp 5187669E))
+  (segment (start 161.4875 108.08792) (end 162.28792 108.08792) (width 0.2) (layer BOT-L6) (net 187))
+  (via (at 162.5875 108.3875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 162.28792 108.08792) (end 162.5875 108.3875) (width 0.1524) (layer BOT-L6) (net 187) (tstamp 518765D5))
+  (segment (start 165.23708 110.5625) (end 165.23708 111.08708) (width 0.2) (layer BOT-L6) (net 187))
+  (via (at 165.3625 111.2125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 165.23708 111.08708) (end 165.3625 111.2125) (width 0.1524) (layer BOT-L6) (net 187) (tstamp 51876503))
+  (segment (start 164.9625 110.7875) (end 164.9625 110.8125) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 165.7625 110.8125) (end 165.3625 111.2125) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51876322))
+  (segment (start 165.7625 110.8125) (end 165.7625 110.7875) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 164.9625 110.8125) (end 165.3625 111.2125) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51876486))
+  (segment (start 169.08708 111.9625) (end 169.0875 111.9625) (width 0.5) (layer BOT-L6) (net 187))
+  (via (at 169.7625 111.2875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 169.7625 111.2875) (end 169.7625 110.7875) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 169.0875 111.9625) (end 169.7625 111.2875) (width 0.2) (layer BOT-L6) (net 187) (tstamp 5187639E))
+  (segment (start 169.08708 111.9625) (end 169.08708 111.41208) (width 0.2) (layer BOT-L6) (net 187))
+  (via (at 168.9625 111.2875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 168.9625 111.2875) (end 168.9625 110.7875) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 169.08708 111.41208) (end 168.9625 111.2875) (width 0.2) (layer BOT-L6) (net 187) (tstamp 5187639B))
+  (segment (start 164.9625 109.9875) (end 165.3625 109.5875) (width 0.1524) (layer TOP-L1) (net 187))
+  (via (at 165.3625 109.5875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 165.88708 108.0125) (end 166.2125 108.0125) (width 0.2) (layer BOT-L6) (net 187))
+  (via (at 166.4625 108.2625) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 166.2125 108.0125) (end 166.4625 108.2625) (width 0.2) (layer BOT-L6) (net 187) (tstamp 518762F3))
+  (segment (start 166.01208 105.5125) (end 166.81292 105.5125) (width 0.2) (layer BOT-L6) (net 187))
+  (segment (start 166.81292 105.5125) (end 166.88792 105.4375) (width 0.2) (layer BOT-L6) (net 187) (tstamp 51876077))
+  (segment (start 161.51292 104.1375) (end 161.2125 104.1375) (width 0.2) (layer BOT-L6) (net 187))
+  (via (at 160.9625 103.8875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 160.9625 103.8875) (end 160.9625 104.3875) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 161.2125 104.1375) (end 160.9625 103.8875) (width 0.2) (layer BOT-L6) (net 187) (tstamp 51875FFE))
+  (segment (start 160.9625 132.7875) (end 160.9625 133.3125) (width 0.1524) (layer TOP-L1) (net 187))
+  (via (at 160.9625 133.3125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 160.9625 111.2875) (end 161.31292 111.2875) (width 0.2) (layer BOT-L6) (net 187))
+  (via (at 160.9625 111.2875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 160.9625 110.7875) (end 160.9625 111.2875) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 161.31292 111.2875) (end 161.58792 111.0125) (width 0.2) (layer BOT-L6) (net 187) (tstamp 5186621C))
+  (segment (start 159.28792 111.9375) (end 159.3625 111.86292) (width 0.2) (layer BOT-L6) (net 187))
+  (via (at 159.3625 111.2875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 159.3625 111.2875) (end 159.3625 110.7875) (width 0.3) (layer TOP-L1) (net 187))
+  (segment (start 159.3625 111.86292) (end 159.3625 111.2875) (width 0.1524) (layer BOT-L6) (net 187) (tstamp 5185CF06))
+  (segment (start 157.56292 111.9375) (end 157.7625 111.73792) (width 0.2) (layer BOT-L6) (net 187))
+  (segment (start 157.7625 111.73792) (end 157.7625 111.2875) (width 0.2) (layer BOT-L6) (net 187) (tstamp 5185CEDD))
+  (segment (start 158.46292 109.9625) (end 157.7625 110.66292) (width 0.2) (layer BOT-L6) (net 187))
+  (via (at 157.7625 111.2875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 157.7625 111.2875) (end 157.7625 110.7875) (width 0.3) (layer TOP-L1) (net 187))
+  (segment (start 157.7625 110.66292) (end 157.7625 111.2875) (width 0.2) (layer BOT-L6) (net 187) (tstamp 5185CE56))
+  (segment (start 169.3625 105.5875) (end 169.96292 105.5875) (width 0.2) (layer BOT-L6) (net 187))
+  (via (at 169.3625 105.5875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 168.9625 105.9875) (end 169.3625 105.5875) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 169.96292 105.5875) (end 170.0125 105.63708) (width 0.2) (layer BOT-L6) (net 187) (tstamp 5185CA6E))
+  (segment (start 168.9625 103.9125) (end 168.9625 103.33708) (width 0.1524) (layer BOT-L6) (net 187))
+  (via (at 168.9625 103.9125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 168.9625 104.3875) (end 168.9625 103.9125) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 168.9625 103.33708) (end 169.03708 103.2625) (width 0.2) (layer BOT-L6) (net 187) (tstamp 5185C825))
+  (segment (start 166.88792 105.4375) (end 166.88792 104.86208) (width 0.2) (layer BOT-L6) (net 187))
+  (via (at 166.9625 104.7875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 166.9625 104.7875) (end 166.5625 105.1875) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 166.88792 104.86208) (end 166.9625 104.7875) (width 0.2) (layer BOT-L6) (net 187) (tstamp 5185C7E8))
+  (segment (start 159.3625 103.8875) (end 159.3625 104.46292) (width 0.1524) (layer BOT-L6) (net 187))
+  (segment (start 159.3625 104.46292) (end 159.31292 104.5125) (width 0.2) (layer BOT-L6) (net 187) (tstamp 5185C570))
+  (segment (start 159.3625 104.3875) (end 159.3625 103.8875) (width 0.1524) (layer TOP-L1) (net 187))
+  (via (at 159.3625 103.8875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 157.66292 104.5125) (end 157.66292 103.98708) (width 0.2) (layer BOT-L6) (net 187))
+  (segment (start 157.66292 103.98708) (end 157.7375 103.9125) (width 0.2) (layer BOT-L6) (net 187) (tstamp 5185C559))
+  (segment (start 157.7375 103.9125) (end 157.7625 103.8875) (width 0.2) (layer BOT-L6) (net 187) (tstamp 5191DA04))
+  (segment (start 157.7625 103.8875) (end 157.7625 104.3875) (width 0.1524) (layer TOP-L1) (net 187))
+  (via (at 157.7625 103.8875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 188.3625 110.5875) (end 187.5625 110.5875) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 166.18792 98.9625) (end 165.5125 98.9625) (width 0.1524) (layer TOP-L1) (net 187))
+  (via (at 165.5125 98.9625) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 168.7375 96.66208) (end 168.7375 96.8625) (width 0.8) (layer TOP-L1) (net 187))
+  (via (at 168.2875 97.3125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 168.7375 96.8625) (end 168.2875 97.3125) (width 0.8) (layer TOP-L1) (net 187) (tstamp 5185BBC1))
+  (segment (start 171.23718 92.9125) (end 171.23718 92.56282) (width 0.1524) (layer TOP-L1) (net 187))
+  (via (at 171.2375 92.5625) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 171.23718 92.56282) (end 171.2375 92.5625) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 5185BAC3))
+  (segment (start 170.7368 86.4375) (end 170.7368 85.56294) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51889F45))
+  (segment (start 171.23718 93.76206) (end 171.23718 92.9125) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 171.23718 92.9125) (end 171.23718 92.38718) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 5185BAC1))
+  (segment (start 169.2625 90.4125) (end 168.7875 90.4125) (width 0.3) (layer TOP-L1) (net 187) (tstamp 5185BAA8))
+  (segment (start 168.7875 90.4125) (end 168.13708 90.4125) (width 0.3) (layer TOP-L1) (net 187) (tstamp 5185BAB7))
+  (segment (start 171.23718 92.38718) (end 169.2625 90.4125) (width 0.3) (layer TOP-L1) (net 187) (tstamp 5185BAA1))
+  (segment (start 175.2625 84.86292) (end 175.2625 84.3375) (width 0.3) (layer TOP-L1) (net 187))
+  (via (at 175.0125 84.0875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 175.2625 84.3375) (end 175.0125 84.0875) (width 0.3) (layer TOP-L1) (net 187) (tstamp 5185B98D))
+  (segment (start 165.88794 91.91294) (end 167.66206 91.91294) (width 0.3) (layer TOP-L1) (net 187))
+  (segment (start 167.66206 91.91294) (end 168.13708 91.43792) (width 0.3) (layer TOP-L1) (net 187) (tstamp 5185B96C))
+  (segment (start 168.13708 91.43792) (end 168.13708 90.4125) (width 0.3) (layer TOP-L1) (net 187) (tstamp 5185B96D))
+  (segment (start 163.9625 88.96208) (end 165.83906 88.96208) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 165.83906 88.96208) (end 165.88794 88.9132) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 5185B8F8))
+  (segment (start 173.91208 83.1625) (end 173.91208 84.1375) (width 0.3) (layer TOP-L1) (net 187))
+  (segment (start 173.91208 84.1375) (end 173.88708 84.1625) (width 0.3) (layer TOP-L1) (net 187) (tstamp 51835885))
+  (segment (start 200.3119 145.9299) (end 200.3119 145.0299) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 204.3759 145.8918) (end 204.3759 144.9918) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 208.1859 145.8537) (end 208.1859 144.9537) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 166.5625 126.3875) (end 166.5625 125.9375) (width 0.1524) (layer TOP-L1) (net 187))
+  (via (at 166.5125 125.8875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 166.5625 125.9375) (end 166.5125 125.8875) (width 0.1524) (layer TOP-L1) (net 187) (tstamp 51821A00))
+  (segment (start 158.9625 132.3875) (end 158.9625 131.83792) (width 0.2) (layer BOT-L6) (net 187))
+  (via (at 158.9625 132.3875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 159.3625 132.7875) (end 158.9625 132.3875) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 158.9625 131.83792) (end 159.01292 131.7875) (width 0.2) (layer BOT-L6) (net 187) (tstamp 5182195A))
+  (segment (start 176.2125 84.86292) (end 175.2625 84.86292) (width 0.3) (layer TOP-L1) (net 187))
+  (segment (start 175.2625 84.86292) (end 175.11292 85.0125) (width 0.3) (layer TOP-L1) (net 187) (tstamp 5181DC45))
+  (segment (start 175.11292 85.0125) (end 173.8875 85.0125) (width 0.3) (layer TOP-L1) (net 187) (tstamp 5181DC46))
+  (segment (start 173.8875 85.0125) (end 173.88708 85.0125) (width 0.8) (layer TOP-L1) (net 187) (tstamp 5181DC48))
+  (segment (start 173.88708 84.1625) (end 173.88708 85.0125) (width 0.3) (layer TOP-L1) (net 187))
+  (segment (start 169.7625 133.2975) (end 169.7625 133.2875) (width 0.3) (layer BOT-L6) (net 187))
+  (segment (start 169.7625 133.2875) (end 169.7625 133.2975) (width 0.2) (layer BOT-L6) (net 187) (tstamp 51876DDA))
+  (via (at 169.7625 133.2975) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 169.7625 132.7875) (end 169.7625 133.2975) (width 0.1524) (layer TOP-L1) (net 187))
+  (segment (start 166.5625 131.9875) (end 166.1625 131.5875) (width 0.1524) (layer TOP-L1) (net 187))
+  (via (at 166.1625 131.5875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 157.7625 126.3875) (end 157.7625 125.9875) (width 0.1524) (layer TOP-L1) (net 187))
+  (via (at 157.7625 125.9875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 166.5625 109.9875) (end 166.9625 110.3875) (width 0.1524) (layer TOP-L1) (net 187))
+  (via (at 166.9625 110.3875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 164.9625 104.3875) (end 164.9625 103.9125) (width 0.1524) (layer TOP-L1) (net 187))
+  (via (at 164.9625 103.9125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 166.5625 104.3875) (end 166.5625 103.9125) (width 0.1524) (layer TOP-L1) (net 187))
+  (via (at 166.5625 103.9125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 167.3625 104.3875) (end 167.3625 103.9125) (width 0.1524) (layer TOP-L1) (net 187))
+  (via (at 167.3625 103.9125) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 215.41788 116.21754) (end 222.8169 116.21754) (width 0.8) (layer TOP-L1) (net 187))
+  (segment (start 218.26522 101.82082) (end 218.26522 99.67452) (width 0.3) (layer TOP-L1) (net 187))
+  (segment (start 218.26522 99.67452) (end 217.013 98.4223) (width 0.3) (layer TOP-L1) (net 187) (tstamp 5162EBB5))
+  (segment (start 215.76586 101.82082) (end 215.76586 99.66944) (width 0.3) (layer TOP-L1) (net 187))
+  (segment (start 215.76586 99.66944) (end 217.013 98.4223) (width 0.3) (layer TOP-L1) (net 187) (tstamp 5162EBAD))
+  (segment (start 213.61448 100.17236) (end 215.26294 100.17236) (width 0.3) (layer TOP-L1) (net 187))
+  (segment (start 215.26294 100.17236) (end 217.013 98.4223) (width 0.3) (layer TOP-L1) (net 187) (tstamp 5162EBA9))
+  (segment (start 220.41406 99.67198) (end 218.26268 99.67198) (width 0.3) (layer TOP-L1) (net 187))
+  (segment (start 218.26268 99.67198) (end 217.013 98.4223) (width 0.3) (layer TOP-L1) (net 187) (tstamp 5162EBA5))
+  (segment (start 220.41406 96.67224) (end 218.76306 96.67224) (width 0.3) (layer TOP-L1) (net 187))
+  (segment (start 218.76306 96.67224) (end 217.013 98.4223) (width 0.3) (layer TOP-L1) (net 187) (tstamp 5162EBA1))
+  (segment (start 216.76154 95.02124) (end 216.76154 98.17084) (width 0.3) (layer TOP-L1) (net 187))
+  (segment (start 216.76154 98.17084) (end 217.013 98.4223) (width 0.3) (layer TOP-L1) (net 187) (tstamp 5162EB9D))
+  (via (at 217.013 98.4223) (size 0.4572) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 214.73716 78.877) (end 213.4375 78.877) (width 0.5) (layer TOP-L1) (net 187))
+  (segment (start 213.4375 78.877) (end 210.55886 78.877) (width 0.5) (layer TOP-L1) (net 187) (tstamp 5188CB57))
+  (segment (start 210.55886 78.877) (end 210.15246 78.4706) (width 0.8) (layer TOP-L1) (net 187) (tstamp 5162DE39))
+  (segment (start 222.8169 116.21754) (end 222.7875 116.24694) (width 0.8) (layer TOP-L1) (net 187))
+  (via (at 222.7875 117.5125) (size 0.8128) (drill 0.6096) (layers TOP-L1 BOT-L6) (net 187))
+  (segment (start 222.7875 116.24694) (end 222.7875 117.5125) (width 0.8) (layer TOP-L1) (net 187) (tstamp 5188A774))
+  (segment (start 213.5125 92.515) (end 213.5125 93.2375) (width 0.5) (layer TOP-L1) (net 188))
+  (segment (start 213.5125 93.2375) (end 214.0625 93.7875) (width 0.5) (layer TOP-L1) (net 188) (tstamp 5192FED2))
+  (segment (start 221.6125 96.8625) (end 221.6375 96.8625) (width 0.3) (layer TOP-L1) (net 188))
+  (segment (start 223.26292 95.23708) (end 223.9625 95.23708) (width 0.3) (layer TOP-L1) (net 188) (tstamp 5191BB8E))
+  (segment (start 221.6375 96.8625) (end 223.26292 95.23708) (width 0.3) (layer TOP-L1) (net 188) (tstamp 5191BB89))
+  (segment (start 212.6025 106.7975) (end 216.5825 102.8175) (width 0.5) (layer BOT-L6) (net 188))
+  (segment (start 216.5825 102.8175) (end 216.5825 102.7475) (width 0.5) (layer BOT-L6) (net 188) (tstamp 5189ACB3))
+  (segment (start 216.5825 102.7475) (end 216.5825 102.7575) (width 0.5) (layer BOT-L6) (net 188) (tstamp 5189ACB5))
+  (segment (start 211.8125 96.54) (end 211.8125 97.3375) (width 0.5) (layer TOP-L1) (net 188))
+  (via (at 211.8125 97.3375) (size 0.4572) (layers TOP-L1 BOT-L6) (net 188))
+  (segment (start 211.8125 97.3375) (end 212.5625 98.0875) (width 0.5) (layer BOT-L6) (net 188) (tstamp 5188EE74))
+  (segment (start 212.5625 98.0875) (end 212.5625 99.1625) (width 0.5) (layer BOT-L6) (net 188) (tstamp 5188EE75))
+  (segment (start 220.41406 97.17262) (end 221.30238 97.17262) (width 0.1524) (layer TOP-L1) (net 188))
+  (segment (start 222.0875 100.6375) (end 221.7875 100.9375) (width 0.5) (layer BOT-L6) (net 188) (tstamp 5188EE3B))
+  (segment (start 222.0875 97.3375) (end 222.0875 100.6375) (width 0.5) (layer BOT-L6) (net 188) (tstamp 5188EE31))
+  (segment (start 221.6125 96.8625) (end 222.0875 97.3375) (width 0.5) (layer BOT-L6) (net 188) (tstamp 5188EE30))
+  (via (at 221.6125 96.8625) (size 0.4572) (layers TOP-L1 BOT-L6) (net 188))
+  (segment (start 221.30238 97.17262) (end 221.6125 96.8625) (width 0.1524) (layer TOP-L1) (net 188) (tstamp 5188EE21))
+  (segment (start 214.0625 93.7875) (end 214.0625 97.6625) (width 0.5) (layer BOT-L6) (net 188))
+  (via (at 214.0625 93.7875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 188))
+  (segment (start 212.5375 99.1875) (end 212.5375 99.1625) (width 0.5) (layer BOT-L6) (net 188) (tstamp 5188E5BE))
+  (segment (start 214.0625 97.6625) (end 212.5375 99.1875) (width 0.5) (layer BOT-L6) (net 188) (tstamp 5188E5B5))
+  (segment (start 214.9875 93.48708) (end 214.36292 93.48708) (width 0.5) (layer TOP-L1) (net 188))
+  (segment (start 214.36292 93.48708) (end 214.0625 93.7875) (width 0.5) (layer TOP-L1) (net 188) (tstamp 5188DC78))
+  (segment (start 212.5625 99.1625) (end 212.5375 99.1625) (width 0.1524) (layer BOT-L6) (net 188))
+  (segment (start 212.9125 99.1716) (end 212.5716 99.1716) (width 0.1524) (layer TOP-L1) (net 188))
+  (segment (start 212.5716 99.1716) (end 212.5625 99.1625) (width 0.1524) (layer TOP-L1) (net 188) (tstamp 5188E581))
+  (via (at 212.5625 99.1625) (size 0.4572) (layers TOP-L1 BOT-L6) (net 188))
+  (segment (start 212.5375 99.1625) (end 212.9875 99.1625) (width 0.1524) (layer BOT-L6) (net 188) (tstamp 5188E5BF))
+  (segment (start 212.9875 99.1625) (end 216.5825 102.7575) (width 0.5) (layer BOT-L6) (net 188) (tstamp 5188E593))
+  (segment (start 216.5825 102.7575) (end 216.6125 102.7875) (width 0.1524) (layer BOT-L6) (net 188) (tstamp 5189ACB6))
+  (segment (start 213.61448 99.1716) (end 212.9125 99.1716) (width 0.1524) (layer TOP-L1) (net 188))
+  (segment (start 212.9125 99.1716) (end 211.5309 99.1716) (width 0.1524) (layer TOP-L1) (net 188) (tstamp 5188E57F))
+  (segment (start 211.5309 99.1716) (end 211.415 99.2875) (width 0.1524) (layer TOP-L1) (net 188) (tstamp 5188DD5A))
+  (segment (start 213.61448 97.17008) (end 212.44258 97.17008) (width 0.1524) (layer TOP-L1) (net 188))
+  (segment (start 212.44258 97.17008) (end 211.8125 96.54) (width 0.1524) (layer TOP-L1) (net 188) (tstamp 5188DC9B))
+  (segment (start 214.76256 95.02378) (end 214.76256 93.71202) (width 0.1524) (layer TOP-L1) (net 188))
+  (segment (start 214.76256 93.71202) (end 214.9875 93.48708) (width 0.1524) (layer TOP-L1) (net 188) (tstamp 5188DC0E))
+  (segment (start 215.26294 95.02378) (end 215.26294 93.76252) (width 0.1524) (layer TOP-L1) (net 188))
+  (segment (start 215.26294 93.76252) (end 214.9875 93.48708) (width 0.1524) (layer TOP-L1) (net 188) (tstamp 5188DC0B))
+  (segment (start 216.6125 102.7625) (end 216.6125 102.7875) (width 0.1524) (layer BOT-L6) (net 188))
+  (segment (start 216.615 103.9625) (end 216.615 102.765) (width 0.1524) (layer TOP-L1) (net 188))
+  (via (at 216.6125 102.7625) (size 0.4572) (layers TOP-L1 BOT-L6) (net 188))
+  (segment (start 216.615 102.765) (end 216.6125 102.7625) (width 0.1524) (layer TOP-L1) (net 188) (tstamp 5188DB30))
+  (segment (start 216.6125 102.7875) (end 216.6125 102.6875) (width 0.5) (layer BOT-L6) (net 188) (tstamp 5188E5A1))
+  (segment (start 221.8125 100.9375) (end 221.7875 100.9375) (width 0.5) (layer BOT-L6) (net 188) (tstamp 5188DB5E))
+  (segment (start 218.3625 100.9375) (end 221.8125 100.9375) (width 0.5) (layer BOT-L6) (net 188) (tstamp 5188DB5C))
+  (segment (start 216.6125 102.6875) (end 218.3625 100.9375) (width 0.5) (layer BOT-L6) (net 188) (tstamp 5188DB5B))
+  (via (at 221.7875 100.9375) (size 0.4572) (layers TOP-L1 BOT-L6) (net 188))
+  (segment (start 217.26446 101.82082) (end 217.26446 102.38554) (width 0.3) (layer TOP-L1) (net 188))
+  (segment (start 217.26446 102.38554) (end 216.615 103.035) (width 0.3) (layer TOP-L1) (net 188) (tstamp 5188DB39))
+  (segment (start 216.615 103.035) (end 216.615 103.9625) (width 0.3) (layer TOP-L1) (net 188) (tstamp 5188DB3A))
+  (segment (start 221.3125 100.9375) (end 221.7875 100.9375) (width 0.3) (layer TOP-L1) (net 188))
+  (segment (start 221.7875 100.9375) (end 223.44 100.9375) (width 0.3) (layer TOP-L1) (net 188) (tstamp 5188D65F))
+  (segment (start 223.44 100.9375) (end 223.7875 101.285) (width 0.3) (layer TOP-L1) (net 188) (tstamp 5188D642))
+  (segment (start 220.41406 100.6702) (end 221.1202 100.6702) (width 0.1524) (layer TOP-L1) (net 188))
+  (segment (start 221.20442 101.17058) (end 220.41406 101.17058) (width 0.1524) (layer TOP-L1) (net 188) (tstamp 5188D633))
+  (segment (start 221.3125 101.0625) (end 221.20442 101.17058) (width 0.1524) (layer TOP-L1) (net 188) (tstamp 5188D632))
+  (segment (start 221.3125 100.8625) (end 221.3125 100.9375) (width 0.1524) (layer TOP-L1) (net 188) (tstamp 5188D631))
+  (segment (start 221.3125 100.9375) (end 221.3125 101.0625) (width 0.1524) (layer TOP-L1) (net 188) (tstamp 5188D640))
+  (segment (start 221.1202 100.6702) (end 221.3125 100.8625) (width 0.1524) (layer TOP-L1) (net 188) (tstamp 5188D627))
+  (segment (start 215.26294 95.02378) (end 214.76256 95.02378) (width 0.1524) (layer TOP-L1) (net 188))
+  (segment (start 191.9625 112.5875) (end 193.5375 112.5875) (width 0.1524) (layer Sig1-L3) (net 189))
+  (segment (start 194.6625 110.5875) (end 195.4375 110.5875) (width 0.1524) (layer Sig1-L3) (net 189) (tstamp 5191FDF1))
+  (segment (start 193.9625 111.2875) (end 194.6625 110.5875) (width 0.1524) (layer Sig1-L3) (net 189) (tstamp 5191FDF0))
+  (segment (start 193.9625 112.1625) (end 193.9625 111.2875) (width 0.1524) (layer Sig1-L3) (net 189) (tstamp 5191FDEF))
+  (segment (start 193.5375 112.5875) (end 193.9625 112.1625) (width 0.1524) (layer Sig1-L3) (net 189) (tstamp 5191FDEE))
+  (segment (start 218.0125 94.0625) (end 220.0375 94.0625) (width 0.1524) (layer Sig1-L3) (net 189))
+  (segment (start 216.6625 105.1625) (end 214.7375 105.1625) (width 0.1524) (layer Sig1-L3) (net 189) (tstamp 5191FDBC))
+  (segment (start 220.3625 101.4625) (end 216.6625 105.1625) (width 0.1524) (layer Sig1-L3) (net 189) (tstamp 5191FDBA))
+  (segment (start 220.3625 96.5375) (end 220.3625 101.4625) (width 0.1524) (layer Sig1-L3) (net 189) (tstamp 5191FDB8))
+  (segment (start 221.3125 95.5875) (end 220.3625 96.5375) (width 0.1524) (layer Sig1-L3) (net 189) (tstamp 5191FDB7))
+  (segment (start 221.3125 95.0375) (end 221.3125 95.5875) (width 0.1524) (layer Sig1-L3) (net 189) (tstamp 5191FDB6))
+  (segment (start 220.3375 94.0625) (end 221.3125 95.0375) (width 0.1524) (layer Sig1-L3) (net 189) (tstamp 5191FDB5))
+  (segment (start 220.0375 94.0625) (end 220.3375 94.0625) (width 0.1524) (layer Sig1-L3) (net 189) (tstamp 5191FDB4))
+  (segment (start 200.4125 106.1375) (end 201.3875 105.1625) (width 0.1524) (layer Sig1-L3) (net 189))
+  (segment (start 195.4375 110.5875) (end 195.9625 110.5875) (width 0.1524) (layer Sig1-L3) (net 189) (tstamp 5191FDF5))
+  (segment (start 195.9625 110.5875) (end 200.4125 106.1375) (width 0.1524) (layer Sig1-L3) (net 189) (tstamp 5191FD6A))
+  (segment (start 201.3875 105.1625) (end 213.0875 105.1625) (width 0.1524) (layer Sig1-L3) (net 189) (tstamp 5191FD8E))
+  (segment (start 213.0875 105.1625) (end 213.1875 105.1625) (width 0.1524) (layer Sig1-L3) (net 189) (tstamp 5191FD90))
+  (segment (start 213.1875 105.1625) (end 213.2375 105.1625) (width 0.1524) (layer Sig1-L3) (net 189) (tstamp 5191FD92))
+  (segment (start 213.2375 105.1625) (end 214.7375 105.1625) (width 0.1524) (layer Sig1-L3) (net 189) (tstamp 5191FD94))
+  (segment (start 214.7375 105.1625) (end 215.0625 105.1625) (width 0.1524) (layer Sig1-L3) (net 189) (tstamp 5191FDC0))
+  (segment (start 214.9875 105.1625) (end 215.1875 105.1625) (width 0.1524) (layer Sig1-L3) (net 189) (tstamp 5191FD98))
+  (segment (start 215.0625 105.1625) (end 214.9875 105.1625) (width 0.1524) (layer Sig1-L3) (net 189) (tstamp 5191FD9B))
+  (segment (start 191.9625 112.5875) (end 191.9625 112.5875) (width 0.1524) (layer Sig1-L3) (net 189) (tstamp 5191FD67))
+  (via (at 218.0125 94.0625) (size 0.4572) (layers TOP-L1 BOT-L6) (net 189))
+  (segment (start 217.98708 92.4625) (end 217.98708 91.87492) (width 0.1524) (layer TOP-L1) (net 189))
+  (segment (start 217.98708 91.87492) (end 218.6995 91.1625) (width 0.1524) (layer TOP-L1) (net 189) (tstamp 5188D229))
+  (segment (start 218.0125 94.0625) (end 218.0125 92.48792) (width 0.1524) (layer TOP-L1) (net 189))
+  (segment (start 218.26268 94.31268) (end 218.0125 94.0625) (width 0.1524) (layer TOP-L1) (net 189) (tstamp 5188D21B))
+  (segment (start 218.26268 95.02124) (end 218.26268 94.31268) (width 0.1524) (layer TOP-L1) (net 189))
+  (segment (start 218.0125 92.48792) (end 217.98708 92.4625) (width 0.1524) (layer TOP-L1) (net 189) (tstamp 5188D220))
+  (segment (start 191.9625 112.5875) (end 191.9625 112.4625) (width 0.1524) (layer Sig2-L4) (net 189))
+  (via (at 191.9625 112.5875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 189))
+  (segment (start 191.5625 112.9875) (end 191.9625 112.5875) (width 0.1524) (layer TOP-L1) (net 189))
+  (segment (start 213.0625 97.67046) (end 213.0625 97.8125) (width 0.1524) (layer TOP-L1) (net 190))
+  (segment (start 210.61208 98.0625) (end 210.36208 97.8125) (width 0.1524) (layer TOP-L1) (net 190) (tstamp 5188EE6B))
+  (segment (start 212.8125 98.0625) (end 210.61208 98.0625) (width 0.1524) (layer TOP-L1) (net 190) (tstamp 5188EE6A))
+  (segment (start 213.0625 97.8125) (end 212.8125 98.0625) (width 0.1524) (layer TOP-L1) (net 190) (tstamp 5188EE69))
+  (segment (start 210.36208 97.8125) (end 210.36208 97.09908) (width 0.1524) (layer TOP-L1) (net 190))
+  (segment (start 210.36208 97.09908) (end 209.9875 96.7245) (width 0.1524) (layer TOP-L1) (net 190) (tstamp 5188DD01))
+  (segment (start 213.61448 97.67046) (end 213.0625 97.67046) (width 0.1524) (layer TOP-L1) (net 190))
+  (segment (start 219.26598 102.7914) (end 219.5875 103.11292) (width 0.3) (layer TOP-L1) (net 191) (tstamp 5188D821))
+  (segment (start 219.26598 101.82082) (end 219.26598 102.7914) (width 0.3) (layer TOP-L1) (net 191))
+  (segment (start 200.7625 112.5875) (end 201.1625 112.1875) (width 0.1524) (layer Sig1-L3) (net 192))
+  (segment (start 209.4125 112.1875) (end 210.5125 113.2875) (width 0.1524) (layer Sig1-L3) (net 192) (tstamp 51830884))
+  (segment (start 201.1625 112.1875) (end 209.4125 112.1875) (width 0.1524) (layer Sig1-L3) (net 192) (tstamp 51830879))
+  (segment (start 200.3625 112.9875) (end 200.7625 112.5875) (width 0.1524) (layer TOP-L1) (net 192))
+  (segment (start 210.1375 118.2625) (end 211.26292 118.2625) (width 0.1524) (layer TOP-L1) (net 192) (tstamp 51830769))
+  (via (at 210.1375 118.2625) (size 0.4572) (layers TOP-L1 BOT-L6) (net 192))
+  (segment (start 209.8625 118.2625) (end 210.1375 118.2625) (width 0.1524) (layer Sig1-L3) (net 192) (tstamp 51830766))
+  (segment (start 208.9625 117.3625) (end 209.8625 118.2625) (width 0.1524) (layer Sig1-L3) (net 192) (tstamp 51830761))
+  (segment (start 208.9625 116.7625) (end 208.9625 117.3625) (width 0.1524) (layer Sig1-L3) (net 192) (tstamp 5183075F))
+  (segment (start 211.0625 114.6625) (end 208.9625 116.7625) (width 0.1524) (layer Sig1-L3) (net 192) (tstamp 5183075D))
+  (segment (start 211.0625 113.8375) (end 211.0625 114.6625) (width 0.1524) (layer Sig1-L3) (net 192) (tstamp 5183075B))
+  (segment (start 210.5125 113.2875) (end 211.0625 113.8375) (width 0.1524) (layer Sig1-L3) (net 192) (tstamp 5183088C))
+  (via (at 200.7625 112.5875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 192))
+  (segment (start 208.7625 120.1625) (end 209.0625 120.4625) (width 0.1524) (layer Sig1-L3) (net 193))
+  (segment (start 209.0625 120.4625) (end 210.0875 120.4625) (width 0.1524) (layer Sig1-L3) (net 193) (tstamp 51832DAA))
+  (segment (start 210.0875 120.4625) (end 211.26292 120.4625) (width 0.1524) (layer TOP-L1) (net 193) (tstamp 51832DAE))
+  (via (at 210.0875 120.4625) (size 0.4572) (layers TOP-L1 BOT-L6) (net 193))
+  (segment (start 207.2375 118.5125) (end 207.2375 118.6375) (width 0.1524) (layer Sig1-L3) (net 193))
+  (segment (start 207.2375 118.6375) (end 208.7625 120.1625) (width 0.1524) (layer Sig1-L3) (net 193) (tstamp 5183097E))
+  (segment (start 208.7625 120.1625) (end 209.0625 120.4625) (width 0.1524) (layer Sig1-L3) (net 193) (tstamp 51832DA8))
+  (segment (start 200.3625 113.7875) (end 200.7625 113.3875) (width 0.1524) (layer TOP-L1) (net 193))
+  (segment (start 207.2375 115.0875) (end 207.2375 118.5125) (width 0.1524) (layer Sig1-L3) (net 193) (tstamp 518308D9))
+  (segment (start 205.1375 112.9875) (end 207.2375 115.0875) (width 0.1524) (layer Sig1-L3) (net 193) (tstamp 518308D2))
+  (segment (start 201.1625 112.9875) (end 205.1375 112.9875) (width 0.1524) (layer Sig1-L3) (net 193) (tstamp 518308CF))
+  (segment (start 200.7625 113.3875) (end 201.1625 112.9875) (width 0.1524) (layer Sig1-L3) (net 193) (tstamp 518308CE))
+  (via (at 200.7625 113.3875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 193))
+  (segment (start 207.4625 124.0625) (end 208.2625 124.8625) (width 0.1524) (layer Sig1-L3) (net 194))
+  (segment (start 210.2375 124.8625) (end 210.5125 124.8625) (width 0.1524) (layer TOP-L1) (net 194) (tstamp 51832DE4))
+  (via (at 210.2375 124.8625) (size 0.4572) (layers TOP-L1 BOT-L6) (net 194))
+  (segment (start 208.2625 124.8625) (end 210.2375 124.8625) (width 0.1524) (layer Sig1-L3) (net 194) (tstamp 51832DDB))
+  (segment (start 204.7625 114.3625) (end 204.1875 113.7875) (width 0.1524) (layer Sig1-L3) (net 194))
+  (segment (start 201.1625 113.7875) (end 200.7625 114.1875) (width 0.1524) (layer Sig1-L3) (net 194) (tstamp 51830BA2))
+  (segment (start 204.1875 113.7875) (end 201.1625 113.7875) (width 0.1524) (layer Sig1-L3) (net 194) (tstamp 51830B9C))
+  (segment (start 206.9125 123.5125) (end 207.4625 124.0625) (width 0.1524) (layer Sig1-L3) (net 194))
+  (segment (start 206.3125 120.5875) (end 206.3125 122.9125) (width 0.1524) (layer Sig1-L3) (net 194))
+  (segment (start 206.3125 122.9125) (end 206.9125 123.5125) (width 0.1524) (layer Sig1-L3) (net 194) (tstamp 5183092A))
+  (segment (start 200.3625 114.5875) (end 200.7625 114.1875) (width 0.1524) (layer TOP-L1) (net 194))
+  (via (at 200.7625 114.1875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 194))
+  (segment (start 206.3125 115.9125) (end 206.3125 120.5875) (width 0.1524) (layer Sig1-L3) (net 194) (tstamp 51830905))
+  (segment (start 206.3125 120.5875) (end 206.3125 120.7625) (width 0.1524) (layer Sig1-L3) (net 194) (tstamp 51830928))
+  (segment (start 204.7625 114.3625) (end 206.3125 115.9125) (width 0.1524) (layer Sig1-L3) (net 194) (tstamp 51830B9A))
+  (segment (start 210.5125 124.8625) (end 211.26292 124.8625) (width 0.1524) (layer TOP-L1) (net 194) (tstamp 518309A9))
+  (segment (start 191.5625 120.1875) (end 191.9625 120.5875) (width 0.1524) (layer TOP-L1) (net 195))
+  (segment (start 195.5625 152.7875) (end 192.5125 155.8375) (width 0.1524) (layer Sig1-L3) (net 195) (tstamp 51888FBA))
+  (segment (start 195.5625 136.1375) (end 195.5625 152.7875) (width 0.1524) (layer Sig1-L3) (net 195) (tstamp 51888FB8))
+  (segment (start 192.2125 132.7875) (end 195.5625 136.1375) (width 0.1524) (layer Sig1-L3) (net 195) (tstamp 51888FB6))
+  (segment (start 192.2125 121.9625) (end 192.2125 132.7875) (width 0.1524) (layer Sig1-L3) (net 195) (tstamp 51888FB5))
+  (segment (start 192.4875 121.6875) (end 192.2125 121.9625) (width 0.1524) (layer Sig1-L3) (net 195) (tstamp 51888FB4))
+  (segment (start 192.4875 121.1125) (end 192.4875 121.6875) (width 0.1524) (layer Sig1-L3) (net 195) (tstamp 51888FB3))
+  (segment (start 191.9625 120.5875) (end 192.4875 121.1125) (width 0.1524) (layer Sig1-L3) (net 195) (tstamp 51888FB2))
+  (via (at 191.9625 120.5875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 195))
+  (segment (start 188.1875 124.6125) (end 187.8875 124.9125) (width 0.1524) (layer BOT-L6) (net 196))
+  (segment (start 187.8875 124.9125) (end 187.8875 125.0875) (width 0.1524) (layer BOT-L6) (net 196) (tstamp 5191EA9E))
+  (segment (start 187.8875 125.98792) (end 187.8875 125.0875) (width 0.1524) (layer BOT-L6) (net 196))
+  (segment (start 187.8875 125.0875) (end 187.8875 124.9125) (width 0.1524) (layer BOT-L6) (net 196) (tstamp 5191EAA1))
+  (segment (start 188.1875 124.6125) (end 188.4625 124.3375) (width 0.1524) (layer BOT-L6) (net 196) (tstamp 5191EA9C))
+  (segment (start 188.4625 124.3375) (end 188.4625 123.3125) (width 0.1524) (layer BOT-L6) (net 196) (tstamp 51878935))
+  (segment (start 188.4625 123.3125) (end 189.1875 122.5875) (width 0.1524) (layer BOT-L6) (net 196) (tstamp 51878938))
+  (segment (start 189.1875 122.5875) (end 189.9625 122.5875) (width 0.1524) (layer BOT-L6) (net 196) (tstamp 5187893B))
+  (segment (start 189.9625 122.5875) (end 190.3625 122.1875) (width 0.1524) (layer BOT-L6) (net 196) (tstamp 5187893E))
+  (segment (start 190.3625 122.1875) (end 190.7625 121.7875) (width 0.1524) (layer TOP-L1) (net 196))
+  (via (at 190.3625 122.1875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 196))
+  (segment (start 203.3525 152.4425) (end 203.3525 149.0475) (width 0.1524) (layer TOP-L1) (net 197))
+  (segment (start 201.3119 147.0069) (end 201.3119 145.9299) (width 0.1524) (layer TOP-L1) (net 197) (tstamp 519F3DF2))
+  (segment (start 203.3525 149.0475) (end 201.3119 147.0069) (width 0.1524) (layer TOP-L1) (net 197) (tstamp 519F3DEE))
+  (segment (start 201.3119 146.4125) (end 201.3119 146.5119) (width 0.1524) (layer TOP-L1) (net 197))
+  (segment (start 201.3119 146.4125) (end 201.3119 145.9299) (width 0.1524) (layer TOP-L1) (net 197) (tstamp 518345F4))
+  (segment (start 199.3119 145.9299) (end 199.3119 148.9769) (width 0.1524) (layer TOP-L1) (net 198))
+  (segment (start 202.1525 151.8175) (end 202.1525 152.4425) (width 0.1524) (layer TOP-L1) (net 198) (tstamp 519F3ED2))
+  (segment (start 199.3119 148.9769) (end 202.1525 151.8175) (width 0.1524) (layer TOP-L1) (net 198) (tstamp 519F3ED1))
+  (segment (start 199.8119 145.9299) (end 199.8119 148.2369) (width 0.1524) (layer TOP-L1) (net 199))
+  (via (at 198.1725 148.7575) (size 0.4572) (layers TOP-L1 BOT-L6) (net 199))
+  (segment (start 198.1725 148.7575) (end 197.62208 148.7575) (width 0.1524) (layer TOP-L1) (net 199) (tstamp 519F340D))
+  (segment (start 199.2925 148.7575) (end 198.1725 148.7575) (width 0.1524) (layer BOT-L6) (net 199) (tstamp 519F3EC1))
+  (segment (start 199.8125 148.2375) (end 199.2925 148.7575) (width 0.1524) (layer BOT-L6) (net 199) (tstamp 519F3EC0))
+  (via (at 199.8125 148.2375) (size 0.4572) (layers TOP-L1 BOT-L6) (net 199))
+  (segment (start 199.8119 148.2369) (end 199.8125 148.2375) (width 0.1524) (layer TOP-L1) (net 199) (tstamp 519F3EA6))
+  (segment (start 197.62208 153.15708) (end 197.62208 148.7575) (width 0.2) (layer TOP-L1) (net 199) (tstamp 519F3E7B))
+  (segment (start 198.8425 154.3775) (end 197.62208 153.15708) (width 0.2) (layer TOP-L1) (net 199) (tstamp 519F3E7A))
+  (via (at 198.8425 154.3775) (size 0.4572) (layers TOP-L1 BOT-L6) (net 199))
+  (segment (start 200.0225 155.5575) (end 198.8425 154.3775) (width 0.2) (layer BOT-L6) (net 199) (tstamp 519F3E72))
+  (segment (start 202.0525 155.5575) (end 200.0225 155.5575) (width 0.2) (layer BOT-L6) (net 199) (tstamp 519F3E71))
+  (segment (start 202.2725 155.7775) (end 202.0525 155.5575) (width 0.2) (layer BOT-L6) (net 199) (tstamp 519F3E70))
+  (via (at 202.2725 155.7775) (size 0.4572) (layers TOP-L1 BOT-L6) (net 199))
+  (segment (start 202.7475 155.3025) (end 202.2725 155.7775) (width 0.2) (layer TOP-L1) (net 199) (tstamp 519F3E6E))
+  (segment (start 202.7475 153.7925) (end 202.7475 155.3025) (width 0.2) (layer TOP-L1) (net 199))
+  (segment (start 212.16208 118.2625) (end 213.84842 118.2625) (width 0.1524) (layer TOP-L1) (net 200))
+  (segment (start 213.84842 118.2625) (end 213.85832 118.2724) (width 0.1524) (layer TOP-L1) (net 200) (tstamp 5188932E))
+  (segment (start 215.93792 118.2625) (end 215.1625 118.2625) (width 0.1524) (layer BOT-L6) (net 200))
+  (segment (start 215.1526 118.2724) (end 213.85832 118.2724) (width 0.1524) (layer TOP-L1) (net 200) (tstamp 518892CD))
+  (segment (start 215.1625 118.2625) (end 215.1526 118.2724) (width 0.1524) (layer TOP-L1) (net 200) (tstamp 518892CC))
+  (via (at 215.1625 118.2625) (size 0.4572) (layers TOP-L1 BOT-L6) (net 200))
+  (segment (start 213.85832 124.87386) (end 212.17344 124.87386) (width 0.1524) (layer TOP-L1) (net 201))
+  (segment (start 212.17344 124.87386) (end 212.16208 124.8625) (width 0.1524) (layer TOP-L1) (net 201) (tstamp 51889322))
+  (segment (start 216.03792 124.8875) (end 215.2625 124.8875) (width 0.1524) (layer BOT-L6) (net 201))
+  (segment (start 215.24886 124.87386) (end 213.85832 124.87386) (width 0.1524) (layer TOP-L1) (net 201) (tstamp 518892F0))
+  (segment (start 215.2625 124.8875) (end 215.24886 124.87386) (width 0.1524) (layer TOP-L1) (net 201) (tstamp 518892EF))
+  (via (at 215.2625 124.8875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 201))
+  (segment (start 212.16208 122.6875) (end 213.84504 122.6875) (width 0.3) (layer TOP-L1) (net 202))
+  (segment (start 213.84504 122.6875) (end 213.85832 122.67422) (width 0.1524) (layer TOP-L1) (net 202) (tstamp 51889325))
+  (segment (start 216.03792 122.6875) (end 215.2625 122.6875) (width 0.1524) (layer BOT-L6) (net 202))
+  (segment (start 215.24922 122.67422) (end 213.85832 122.67422) (width 0.1524) (layer TOP-L1) (net 202) (tstamp 5188921E))
+  (segment (start 215.2625 122.6875) (end 215.24922 122.67422) (width 0.1524) (layer TOP-L1) (net 202) (tstamp 5188921D))
+  (via (at 215.2625 122.6875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 202))
+  (segment (start 213.85832 125.97368) (end 212.17326 125.97368) (width 0.1524) (layer TOP-L1) (net 203))
+  (segment (start 212.17326 125.97368) (end 212.16208 125.9625) (width 0.1524) (layer TOP-L1) (net 203) (tstamp 5188931F))
+  (segment (start 216.03792 125.9625) (end 215.2625 125.9625) (width 0.1524) (layer BOT-L6) (net 203))
+  (segment (start 215.25132 125.97368) (end 213.85832 125.97368) (width 0.1524) (layer TOP-L1) (net 203) (tstamp 518892F6))
+  (segment (start 215.2625 125.9625) (end 215.25132 125.97368) (width 0.1524) (layer TOP-L1) (net 203) (tstamp 518892F5))
+  (via (at 215.2625 125.9625) (size 0.4572) (layers TOP-L1 BOT-L6) (net 203))
+  (segment (start 212.16208 120.4625) (end 213.84878 120.4625) (width 0.1524) (layer TOP-L1) (net 204))
+  (segment (start 213.84878 120.4625) (end 213.85832 120.47204) (width 0.1524) (layer TOP-L1) (net 204) (tstamp 51889328))
+  (segment (start 215.96292 120.4875) (end 215.1625 120.4875) (width 0.1524) (layer BOT-L6) (net 204))
+  (segment (start 215.14704 120.47204) (end 213.85832 120.47204) (width 0.1524) (layer TOP-L1) (net 204) (tstamp 51889218))
+  (segment (start 215.1625 120.4875) (end 215.14704 120.47204) (width 0.1524) (layer TOP-L1) (net 204) (tstamp 51889217))
+  (via (at 215.1625 120.4875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 204))
+  (segment (start 212.16208 119.3625) (end 213.8486 119.3625) (width 0.1524) (layer TOP-L1) (net 205))
+  (segment (start 213.8486 119.3625) (end 213.85832 119.37222) (width 0.1524) (layer TOP-L1) (net 205) (tstamp 5188932B))
+  (segment (start 215.93792 119.3625) (end 215.2125 119.3625) (width 0.1524) (layer BOT-L6) (net 205))
+  (segment (start 215.20278 119.37222) (end 213.85832 119.37222) (width 0.1524) (layer TOP-L1) (net 205) (tstamp 518891BA))
+  (segment (start 215.2125 119.3625) (end 215.20278 119.37222) (width 0.1524) (layer TOP-L1) (net 205) (tstamp 518891B9))
+  (via (at 215.2125 119.3625) (size 0.4572) (layers TOP-L1 BOT-L6) (net 205))
+  (segment (start 155.7175 143.5315) (end 155.7175 145.8175) (width 0.1524) (layer TOP-L1) (net 206))
+  (segment (start 163.30652 153.9375) (end 165.02718 152.21684) (width 0.1524) (layer TOP-L1) (net 206) (tstamp 51888F81))
+  (segment (start 161.6375 153.9375) (end 163.30652 153.9375) (width 0.1524) (layer TOP-L1) (net 206) (tstamp 51888F7F))
+  (segment (start 160.2375 152.5375) (end 161.6375 153.9375) (width 0.1524) (layer TOP-L1) (net 206) (tstamp 51888F7D))
+  (segment (start 160.2375 150.3375) (end 160.2375 152.5375) (width 0.1524) (layer TOP-L1) (net 206) (tstamp 51888F7B))
+  (segment (start 155.7175 145.8175) (end 160.2375 150.3375) (width 0.1524) (layer TOP-L1) (net 206) (tstamp 51888F79))
+  (segment (start 213.61448 95.67148) (end 213.61448 94.66448) (width 0.1524) (layer TOP-L1) (net 207))
+  (segment (start 211.8375 92.3625) (end 211.8625 92.3625) (width 0.1524) (layer TOP-L1) (net 207) (tstamp 5188DC8B))
+  (segment (start 211.8375 92.8875) (end 211.8375 92.3625) (width 0.1524) (layer TOP-L1) (net 207) (tstamp 5188DC87))
+  (segment (start 213.61448 94.66448) (end 211.8375 92.8875) (width 0.1524) (layer TOP-L1) (net 207) (tstamp 5188DC86))
+  (segment (start 211.8625 92.3625) (end 211.8625 91.61208) (width 0.1524) (layer TOP-L1) (net 207) (tstamp 5188DC8C))
+  (segment (start 219.2609 95.02124) (end 219.2609 94.2141) (width 0.1524) (layer TOP-L1) (net 208))
+  (segment (start 220.0375 93.4375) (end 220.0375 93.1495) (width 0.1524) (layer TOP-L1) (net 208) (tstamp 5188D281))
+  (segment (start 219.2609 94.2141) (end 220.0375 93.4375) (width 0.1524) (layer TOP-L1) (net 208) (tstamp 5188D280))
+  (segment (start 224.2125 89.2125) (end 226.1075 89.2125) (width 0.1524) (layer Sig2-L4) (net 209))
+  (segment (start 226.1075 89.2125) (end 228.0825 87.2375) (width 0.1524) (layer Sig2-L4) (net 209) (tstamp 51920BE1))
+  (segment (start 225.46292 91.1375) (end 225.46292 89.96208) (width 0.3) (layer TOP-L1) (net 209))
+  (segment (start 225.46292 89.96208) (end 226.4375 88.9875) (width 0.3) (layer TOP-L1) (net 209) (tstamp 51920BDA))
+  (segment (start 226.4375 88.9875) (end 226.4375 88.8825) (width 0.3) (layer TOP-L1) (net 209) (tstamp 51920BDB))
+  (segment (start 226.4375 88.8825) (end 228.0825 87.2375) (width 0.3) (layer TOP-L1) (net 209) (tstamp 51920BDC))
+  (segment (start 211.73792 100.8875) (end 211.0625 100.8875) (width 0.1524) (layer TOP-L1) (net 209))
+  (segment (start 216.7375 89.2125) (end 224.2125 89.2125) (width 0.1524) (layer Sig2-L4) (net 209) (tstamp 5188E837))
+  (segment (start 224.2125 89.2125) (end 224.5925 89.2125) (width 0.1524) (layer Sig2-L4) (net 209) (tstamp 51920BDF))
+  (segment (start 210.9125 95.0375) (end 216.7375 89.2125) (width 0.1524) (layer Sig2-L4) (net 209) (tstamp 5188E82D))
+  (segment (start 210.9125 100.7375) (end 210.9125 95.0375) (width 0.1524) (layer Sig2-L4) (net 209) (tstamp 5188E82C))
+  (segment (start 211.0625 100.8875) (end 210.9125 100.7375) (width 0.1524) (layer Sig2-L4) (net 209) (tstamp 5188E82B))
+  (via (at 211.0625 100.8875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 209))
+  (segment (start 167.31826 152.2143) (end 167.31826 150.54326) (width 0.1524) (layer TOP-L1) (net 210))
+  (segment (start 159.5275 142.2225) (end 159.5275 143.5315) (width 0.1524) (layer TOP-L1) (net 210) (tstamp 51888F8E))
+  (segment (start 159.9125 141.8375) (end 159.5275 142.2225) (width 0.1524) (layer TOP-L1) (net 210) (tstamp 51888F8D))
+  (segment (start 161.1625 141.8375) (end 159.9125 141.8375) (width 0.1524) (layer TOP-L1) (net 210) (tstamp 51888F8B))
+  (segment (start 166.2125 146.8875) (end 161.1625 141.8375) (width 0.1524) (layer TOP-L1) (net 210) (tstamp 51888F89))
+  (segment (start 166.2125 149.4375) (end 166.2125 146.8875) (width 0.1524) (layer TOP-L1) (net 210) (tstamp 51888F87))
+  (segment (start 167.31826 150.54326) (end 166.2125 149.4375) (width 0.1524) (layer TOP-L1) (net 210) (tstamp 51888F85))
+  (segment (start 185.30292 96.6575) (end 184.28208 96.6575) (width 0.1524) (layer TOP-L1) (net 211))
+  (segment (start 184.28208 96.6575) (end 184.25208 96.6275) (width 0.1524) (layer TOP-L1) (net 211) (tstamp 519EDC1B))
+  (segment (start 185.30292 96.6575) (end 185.30292 98.42792) (width 0.1524) (layer TOP-L1) (net 211))
+  (segment (start 185.30292 98.42792) (end 185.5825 98.7075) (width 0.1524) (layer TOP-L1) (net 211) (tstamp 519EDC08))
+  (segment (start 185.5825 98.7075) (end 187.1625 100.2875) (width 0.1524) (layer TOP-L1) (net 211))
+  (segment (start 187.1625 109.3875) (end 186.7625 109.7875) (width 0.1524) (layer TOP-L1) (net 211) (tstamp 519EDB30))
+  (segment (start 187.1625 100.2875) (end 187.1625 109.3875) (width 0.1524) (layer TOP-L1) (net 211) (tstamp 519EDB2E))
+  (segment (start 221.2375 89.46292) (end 219.8925 88.11792) (width 0.1524) (layer TOP-L1) (net 212))
+  (segment (start 219.8925 88.11792) (end 219.8925 86.9375) (width 0.1524) (layer TOP-L1) (net 212) (tstamp 5189B331))
+  (segment (start 220.41406 95.67148) (end 220.72852 95.67148) (width 0.1524) (layer TOP-L1) (net 213))
+  (segment (start 221.7125 94.6875) (end 221.7125 94.0745) (width 0.1524) (layer TOP-L1) (net 213) (tstamp 5188D2C9))
+  (segment (start 220.72852 95.67148) (end 221.7125 94.6875) (width 0.1524) (layer TOP-L1) (net 213) (tstamp 5188D2C8))
+  (segment (start 202.9525 152.4425) (end 202.9525 149.2075) (width 0.1524) (layer TOP-L1) (net 214))
+  (segment (start 201.5225 147.7775) (end 201.1825 147.7775) (width 0.1524) (layer TOP-L1) (net 214) (tstamp 519F3DFC))
+  (segment (start 202.9525 149.2075) (end 201.5225 147.7775) (width 0.1524) (layer TOP-L1) (net 214) (tstamp 519F3DF8))
+  (segment (start 200.6825 147.7775) (end 200.6925 147.7775) (width 0.1524) (layer TOP-L1) (net 214) (tstamp 519F3B90))
+  (segment (start 201.3725 147.7775) (end 201.1825 147.7775) (width 0.1524) (layer TOP-L1) (net 214) (tstamp 519F3B8D))
+  (segment (start 201.1825 147.7775) (end 200.6825 147.7775) (width 0.1524) (layer TOP-L1) (net 214) (tstamp 519F3DFF))
+  (segment (start 200.8119 145.9299) (end 200.8119 147.6581) (width 0.1524) (layer TOP-L1) (net 214))
+  (segment (start 198.2525 147.7675) (end 197.62208 147.7675) (width 0.1524) (layer TOP-L1) (net 214) (tstamp 519F33FD))
+  (segment (start 198.2625 147.7575) (end 198.2525 147.7675) (width 0.1524) (layer TOP-L1) (net 214) (tstamp 519F33FC))
+  (via (at 198.2625 147.7575) (size 0.4572) (layers TOP-L1 BOT-L6) (net 214))
+  (segment (start 200.6725 147.7575) (end 198.2625 147.7575) (width 0.1524) (layer BOT-L6) (net 214) (tstamp 519F33F9))
+  (segment (start 200.6925 147.7775) (end 200.6725 147.7575) (width 0.1524) (layer BOT-L6) (net 214) (tstamp 519F33F8))
+  (via (at 200.6925 147.7775) (size 0.4572) (layers TOP-L1 BOT-L6) (net 214))
+  (segment (start 200.8119 147.6581) (end 200.6925 147.7775) (width 0.1524) (layer TOP-L1) (net 214) (tstamp 519F33EC))
+  (segment (start 161.3625 134.46292) (end 161.3625 132.3875) (width 0.1524) (layer TOP-L1) (net 215))
+  (segment (start 161.3625 132.3875) (end 161.7625 131.9875) (width 0.1524) (layer TOP-L1) (net 215) (tstamp 5191E5DE))
+  (segment (start 212.10318 80.87344) (end 212.10318 79.92348) (width 0.1524) (layer TOP-L1) (net 216))
+  (segment (start 212.10318 79.92348) (end 212.19716 79.8295) (width 0.1524) (layer TOP-L1) (net 216) (tstamp 5162DFA2))
+  (segment (start 212.10318 80.87344) (end 211.12274 80.87344) (width 0.1524) (layer TOP-L1) (net 216))
+  (segment (start 211.1964 79.87268) (end 212.15398 79.87268) (width 0.1524) (layer TOP-L1) (net 216))
+  (segment (start 212.15398 79.87268) (end 212.19716 79.8295) (width 0.1524) (layer TOP-L1) (net 216) (tstamp 5162DE36))
+  (segment (start 213.61448 100.6702) (end 212.85438 100.6702) (width 0.1524) (layer TOP-L1) (net 217))
+  (segment (start 212.85438 100.6702) (end 212.63708 100.8875) (width 0.1524) (layer TOP-L1) (net 217) (tstamp 5188DDDB))
+  (segment (start 201.9125 88.71292) (end 200.9125 88.71292) (width 0.1524) (layer TOP-L1) (net 218))
+  (segment (start 201.9125 88.71292) (end 201.9125 87.945) (width 0.1524) (layer TOP-L1) (net 218))
+  (segment (start 201.9125 87.945) (end 202.1425 87.715) (width 0.1524) (layer TOP-L1) (net 218) (tstamp 5188CE90))
+  (segment (start 202.1425 87.715) (end 200.93458 87.715) (width 0.1524) (layer TOP-L1) (net 218))
+  (segment (start 200.93458 87.715) (end 200.91208 87.7375) (width 0.1524) (layer TOP-L1) (net 218) (tstamp 5188CE8D))
+  (segment (start 220.41406 98.17338) (end 221.62662 98.17338) (width 0.2) (layer TOP-L1) (net 219))
+  (segment (start 225.4875 95.7625) (end 225.4875 95.1495) (width 0.2) (layer TOP-L1) (net 219) (tstamp 5191BBB7))
+  (segment (start 225.1125 96.1375) (end 225.4875 95.7625) (width 0.2) (layer TOP-L1) (net 219) (tstamp 5191BBB6))
+  (segment (start 223.6625 96.1375) (end 225.1125 96.1375) (width 0.2) (layer TOP-L1) (net 219) (tstamp 5191BBB4))
+  (segment (start 221.62662 98.17338) (end 223.6625 96.1375) (width 0.2) (layer TOP-L1) (net 219) (tstamp 5191BBA0))
+  (segment (start 223.0125 93.51208) (end 223.0125 94.7125) (width 0.1524) (layer TOP-L1) (net 220))
+  (segment (start 221.55314 96.17186) (end 220.41406 96.17186) (width 0.1524) (layer TOP-L1) (net 220) (tstamp 5188D302))
+  (segment (start 223.0125 94.7125) (end 221.55314 96.17186) (width 0.1524) (layer TOP-L1) (net 220) (tstamp 5188D300))
+  (segment (start 218.76306 95.02124) (end 218.76306 93.36152) (width 0.1524) (layer TOP-L1) (net 221))
+  (segment (start 218.76306 93.36152) (end 218.8125 93.31208) (width 0.1524) (layer TOP-L1) (net 221) (tstamp 5188D212))
+  (segment (start 214.73716 77.9245) (end 217.6025 77.9245) (width 0.5) (layer TOP-L1) (net 222))
+  (segment (start 217.6025 77.9245) (end 218.1375 78.4595) (width 0.5) (layer TOP-L1) (net 222) (tstamp 5188CB43))
+  (segment (start 160.5625 110.3875) (end 160.1375 109.9625) (width 0.1524) (layer BOT-L6) (net 223))
+  (segment (start 161.3625 110.3875) (end 160.5625 110.3875) (width 0.1524) (layer TOP-L1) (net 223) (tstamp 5185CE1D))
+  (via (at 160.5625 110.3875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 223))
+  (segment (start 161.7625 109.9875) (end 161.3625 110.3875) (width 0.1524) (layer TOP-L1) (net 223))
+  (segment (start 160.1375 109.9625) (end 159.36208 109.9625) (width 0.1524) (layer BOT-L6) (net 223) (tstamp 5185CE53))
+  (segment (start 204.6825 85.81) (end 207.81 85.81) (width 0.5) (layer TOP-L1) (net 224))
+  (segment (start 207.81 85.81) (end 208.2125 85.4075) (width 0.3) (layer TOP-L1) (net 224) (tstamp 519E8040))
+  (segment (start 201.1625 114.5875) (end 201.5625 114.1875) (width 0.1524) (layer TOP-L1) (net 225))
+  (segment (start 203.9125 114.1875) (end 204.8375 115.1125) (width 0.1524) (layer Sig1-L3) (net 225) (tstamp 51830BAE))
+  (segment (start 201.5625 114.1875) (end 203.9125 114.1875) (width 0.1524) (layer Sig1-L3) (net 225) (tstamp 51830BAD))
+  (via (at 201.5625 114.1875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 225))
+  (segment (start 210.2875 125.9625) (end 211.26292 125.9625) (width 0.1524) (layer TOP-L1) (net 225) (tstamp 51830975))
+  (via (at 210.2875 125.9625) (size 0.4572) (layers TOP-L1 BOT-L6) (net 225))
+  (segment (start 208.4125 125.9625) (end 210.2875 125.9625) (width 0.1524) (layer Sig1-L3) (net 225) (tstamp 51830970))
+  (segment (start 205.8625 123.4125) (end 208.4125 125.9625) (width 0.1524) (layer Sig1-L3) (net 225) (tstamp 51830969))
+  (segment (start 205.8625 116.1375) (end 205.8625 123.4125) (width 0.1524) (layer Sig1-L3) (net 225) (tstamp 51830964))
+  (segment (start 204.8375 115.1125) (end 205.8625 116.1375) (width 0.1524) (layer Sig1-L3) (net 225) (tstamp 51830BB3))
+  (segment (start 211.8725 115.24792) (end 205.45208 115.24792) (width 0.2) (layer TOP-L1) (net 226))
+  (segment (start 205.3125 115.3875) (end 203.5625 115.3875) (width 0.2) (layer TOP-L1) (net 226) (tstamp 519EDA99))
+  (segment (start 205.45208 115.24792) (end 205.3125 115.3875) (width 0.2) (layer TOP-L1) (net 226) (tstamp 519EDA98))
+  (segment (start 211.8725 115.24792) (end 211.8725 114.2175) (width 0.2) (layer TOP-L1) (net 226))
+  (segment (start 211.8725 114.2175) (end 212.3025 113.7875) (width 0.2) (layer TOP-L1) (net 226) (tstamp 519EDA95))
+  (segment (start 212.3025 111.2875) (end 212.3025 113.7875) (width 0.5) (layer TOP-L1) (net 226))
+  (segment (start 206.3925 106.2975) (end 204.9725 107.7175) (width 0.1524) (layer TOP-L1) (net 227))
+  (segment (start 204.9725 110.2575) (end 206.0025 111.2875) (width 0.1524) (layer TOP-L1) (net 227) (tstamp 519EDB03))
+  (segment (start 204.9725 107.7175) (end 204.9725 110.2575) (width 0.1524) (layer TOP-L1) (net 227) (tstamp 519EDB01))
+  (segment (start 206.0225 109.53708) (end 206.0025 109.55708) (width 0.1524) (layer TOP-L1) (net 227))
+  (segment (start 206.0025 109.55708) (end 206.0025 111.2875) (width 0.1524) (layer TOP-L1) (net 227) (tstamp 519EDAF0))
+  (segment (start 202.7625 115.3875) (end 203.1625 114.9875) (width 0.1524) (layer TOP-L1) (net 227))
+  (segment (start 204.8025 114.9875) (end 206.0025 113.7875) (width 0.1524) (layer TOP-L1) (net 227) (tstamp 519EDABA))
+  (segment (start 203.1625 114.9875) (end 204.8025 114.9875) (width 0.1524) (layer TOP-L1) (net 227) (tstamp 519EDAB9))
+  (segment (start 206.0025 111.2875) (end 206.0025 113.7875) (width 0.5) (layer TOP-L1) (net 227))
+  (segment (start 205.96362 113.73714) (end 208.36138 113.73714) (width 0.1524) (layer TOP-L1) (net 227))
+  (segment (start 201.1625 115.3875) (end 201.5625 114.9875) (width 0.1524) (layer TOP-L1) (net 228))
+  (segment (start 217.1583 131.2583) (end 217.1583 135.1625) (width 0.1524) (layer Sig1-L3) (net 228) (tstamp 51830C3A))
+  (segment (start 214.4875 128.5875) (end 217.1583 131.2583) (width 0.1524) (layer Sig1-L3) (net 228) (tstamp 51830C38))
+  (segment (start 210.486626 128.5875) (end 214.4875 128.5875) (width 0.1524) (layer Sig1-L3) (net 228) (tstamp 51830C1C))
+  (segment (start 205.312402 123.413276) (end 210.486626 128.5875) (width 0.1524) (layer Sig1-L3) (net 228) (tstamp 51830C16))
+  (segment (start 205.312402 122.537402) (end 205.312402 123.413276) (width 0.1524) (layer Sig1-L3) (net 228) (tstamp 51830C13))
+  (segment (start 205.312402 116.138276) (end 205.312402 122.537402) (width 0.1524) (layer Sig1-L3) (net 228) (tstamp 51830C12))
+  (segment (start 203.761626 114.5875) (end 205.312402 116.138276) (width 0.1524) (layer Sig1-L3) (net 228) (tstamp 51830C0D))
+  (segment (start 201.9625 114.5875) (end 203.761626 114.5875) (width 0.1524) (layer Sig1-L3) (net 228) (tstamp 51830C0B))
+  (segment (start 201.5625 114.9875) (end 201.9625 114.5875) (width 0.1524) (layer Sig1-L3) (net 228) (tstamp 51830C0A))
+  (via (at 201.5625 114.9875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 228))
+  (segment (start 216.4375 134.1375) (end 215.4625 134.1375) (width 0.1524) (layer Sig1-L3) (net 229))
+  (segment (start 215.15678 134.44322) (end 215.15678 135.1625) (width 0.1524) (layer Sig1-L3) (net 229) (tstamp 51830C8A))
+  (segment (start 215.4625 134.1375) (end 215.15678 134.44322) (width 0.1524) (layer Sig1-L3) (net 229) (tstamp 51830C89))
+  (segment (start 216.4125 134.1375) (end 216.4375 134.1375) (width 0.1524) (layer Sig1-L3) (net 229))
+  (segment (start 201.9625 115.3875) (end 202.3625 114.9875) (width 0.1524) (layer TOP-L1) (net 229))
+  (segment (start 216.5875 134.1375) (end 216.4125 134.1375) (width 0.1524) (layer Sig1-L3) (net 229) (tstamp 51830C5F))
+  (segment (start 216.858398 133.866602) (end 216.5875 134.1375) (width 0.1524) (layer Sig1-L3) (net 229) (tstamp 51830C5D))
+  (segment (start 216.858398 131.408398) (end 216.858398 133.866602) (width 0.1524) (layer Sig1-L3) (net 229) (tstamp 51830C59))
+  (segment (start 214.337402 128.887402) (end 216.858398 131.408398) (width 0.1524) (layer Sig1-L3) (net 229) (tstamp 51830C57))
+  (segment (start 210.362402 128.887402) (end 214.337402 128.887402) (width 0.1524) (layer Sig1-L3) (net 229) (tstamp 51830C53))
+  (segment (start 205.0125 123.5375) (end 210.362402 128.887402) (width 0.1524) (layer Sig1-L3) (net 229) (tstamp 51830C4F))
+  (segment (start 205.0125 116.2625) (end 205.0125 123.5375) (width 0.1524) (layer Sig1-L3) (net 229) (tstamp 51830C4D))
+  (segment (start 203.637402 114.887402) (end 205.0125 116.2625) (width 0.1524) (layer Sig1-L3) (net 229) (tstamp 51830C4C))
+  (segment (start 202.462598 114.887402) (end 203.637402 114.887402) (width 0.1524) (layer Sig1-L3) (net 229) (tstamp 51830C4B))
+  (segment (start 202.3625 114.9875) (end 202.462598 114.887402) (width 0.1524) (layer Sig1-L3) (net 229) (tstamp 51830C4A))
+  (via (at 202.3625 114.9875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 229))
+  (segment (start 205.7125 153.1475) (end 205.7125 148.6775) (width 0.1524) (layer Sig1-L3) (net 230))
+  (segment (start 207.1859 147.2041) (end 207.1859 145.8537) (width 0.1524) (layer TOP-L1) (net 230) (tstamp 519F3D94))
+  (segment (start 207.1825 147.2075) (end 207.1859 147.2041) (width 0.1524) (layer TOP-L1) (net 230) (tstamp 519F3D93))
+  (via (at 207.1825 147.2075) (size 0.4572) (layers TOP-L1 BOT-L6) (net 230))
+  (segment (start 205.7125 148.6775) (end 207.1825 147.2075) (width 0.1524) (layer Sig1-L3) (net 230) (tstamp 519F3D88))
+  (segment (start 204.7518 153.7999) (end 204.7518 154.3532) (width 0.1524) (layer TOP-L1) (net 230))
+  (via (at 204.4375 154.7175) (size 0.4572) (layers TOP-L1 BOT-L6) (net 230))
+  (segment (start 204.4375 154.7175) (end 204.4375 154.8925) (width 0.1524) (layer Sig1-L3) (net 230) (tstamp 51833FC6))
+  (segment (start 204.4375 154.8925) (end 204.5875 155.0425) (width 0.1524) (layer Sig1-L3) (net 230) (tstamp 51833FC7))
+  (segment (start 204.5875 155.0425) (end 204.7625 155.0425) (width 0.1524) (layer Sig1-L3) (net 230) (tstamp 51833FC8))
+  (segment (start 204.7625 155.0425) (end 205.2625 154.5425) (width 0.1524) (layer Sig1-L3) (net 230) (tstamp 51833FC9))
+  (segment (start 205.2625 154.5425) (end 205.5125 154.5425) (width 0.1524) (layer Sig1-L3) (net 230) (tstamp 51833FCA))
+  (segment (start 205.5125 154.5425) (end 205.7125 154.3425) (width 0.1524) (layer Sig1-L3) (net 230) (tstamp 51833FCD))
+  (segment (start 205.7125 154.3425) (end 205.7125 153.1475) (width 0.1524) (layer Sig1-L3) (net 230) (tstamp 51833FCE))
+  (segment (start 204.7518 154.3532) (end 204.4375 154.6675) (width 0.1524) (layer TOP-L1) (net 230) (tstamp 51833FB0))
+  (segment (start 209.1859 147.1275) (end 209.1859 149.7841) (width 0.1524) (layer TOP-L1) (net 231))
+  (segment (start 205.7525 151.6375) (end 205.7525 152.4425) (width 0.1524) (layer TOP-L1) (net 231) (tstamp 519F3D71))
+  (segment (start 206.3025 151.0875) (end 205.7525 151.6375) (width 0.1524) (layer TOP-L1) (net 231) (tstamp 519F3D70))
+  (segment (start 207.8825 151.0875) (end 206.3025 151.0875) (width 0.1524) (layer TOP-L1) (net 231) (tstamp 519F3D6E))
+  (segment (start 209.1859 149.7841) (end 207.8825 151.0875) (width 0.1524) (layer TOP-L1) (net 231) (tstamp 519F3D6C))
+  (segment (start 209.1859 147.2891) (end 209.1859 147.1275) (width 0.1524) (layer TOP-L1) (net 231) (tstamp 51833D97))
+  (segment (start 209.1859 147.1275) (end 209.1859 145.8537) (width 0.1524) (layer TOP-L1) (net 231) (tstamp 519F3D6A))
+  (segment (start 208.6859 147.0175) (end 208.6859 149.5841) (width 0.1524) (layer TOP-L1) (net 232))
+  (segment (start 205.3525 151.5175) (end 205.3525 152.4425) (width 0.1524) (layer TOP-L1) (net 232) (tstamp 519F3D7E))
+  (segment (start 206.2825 150.5875) (end 205.3525 151.5175) (width 0.1524) (layer TOP-L1) (net 232) (tstamp 519F3D7C))
+  (segment (start 207.6825 150.5875) (end 206.2825 150.5875) (width 0.1524) (layer TOP-L1) (net 232) (tstamp 519F3D7A))
+  (segment (start 208.6859 149.5841) (end 207.6825 150.5875) (width 0.1524) (layer TOP-L1) (net 232) (tstamp 519F3D78))
+  (segment (start 208.6859 145.8537) (end 208.6859 147.0175) (width 0.1524) (layer TOP-L1) (net 232))
+  (segment (start 208.6859 147.0175) (end 208.6859 147.3641) (width 0.1524) (layer TOP-L1) (net 232) (tstamp 519F3D76))
+  (segment (start 206.0125 153.1275) (end 206.0125 149.8175) (width 0.1524) (layer Sig1-L3) (net 233))
+  (segment (start 207.6859 148.1441) (end 207.6859 145.8537) (width 0.1524) (layer TOP-L1) (net 233) (tstamp 519F3DA8))
+  (segment (start 207.6825 148.1475) (end 207.6859 148.1441) (width 0.1524) (layer TOP-L1) (net 233) (tstamp 519F3DA7))
+  (via (at 207.6825 148.1475) (size 0.4572) (layers TOP-L1 BOT-L6) (net 233))
+  (segment (start 206.0125 149.8175) (end 207.6825 148.1475) (width 0.1524) (layer Sig1-L3) (net 233) (tstamp 519F3DA1))
+  (segment (start 205.1518 153.7999) (end 205.1518 154.7318) (width 0.1524) (layer TOP-L1) (net 233))
+  (segment (start 206.0125 154.6175) (end 206.0125 153.1275) (width 0.1524) (layer Sig1-L3) (net 233) (tstamp 51833F55))
+  (segment (start 205.6375 154.9925) (end 206.0125 154.6175) (width 0.1524) (layer Sig1-L3) (net 233) (tstamp 51833F54))
+  (segment (start 205.4125 154.9925) (end 205.6375 154.9925) (width 0.1524) (layer Sig1-L3) (net 233) (tstamp 51833F53))
+  (via (at 205.4125 154.9925) (size 0.4572) (layers TOP-L1 BOT-L6) (net 233))
+  (segment (start 205.1518 154.7318) (end 205.4125 154.9925) (width 0.1524) (layer TOP-L1) (net 233) (tstamp 51833F43))
+  (segment (start 182.34208 96.6275) (end 182.34208 98.49792) (width 0.1524) (layer TOP-L1) (net 234))
+  (segment (start 182.34208 98.49792) (end 182.1825 98.6575) (width 0.1524) (layer TOP-L1) (net 234) (tstamp 519EDC20))
+  (segment (start 183.35292 96.6275) (end 182.34208 96.6275) (width 0.1524) (layer TOP-L1) (net 234))
+  (segment (start 185.9625 109.7875) (end 186.3625 109.3875) (width 0.1524) (layer TOP-L1) (net 234))
+  (segment (start 186.3625 109.3875) (end 186.3625 102.1375) (width 0.1524) (layer TOP-L1) (net 234) (tstamp 519EDB25))
+  (segment (start 186.3625 102.1375) (end 184.2325 100.0075) (width 0.1524) (layer TOP-L1) (net 234) (tstamp 519EDB26))
+  (segment (start 184.2325 100.0075) (end 183.5325 100.0075) (width 0.1524) (layer TOP-L1) (net 234) (tstamp 519EDB28))
+  (segment (start 183.5325 100.0075) (end 182.1825 98.6575) (width 0.1524) (layer TOP-L1) (net 234) (tstamp 519EDB2A))
+  (segment (start 204.5525 152.4425) (end 204.5525 149.0475) (width 0.1524) (layer TOP-L1) (net 235))
+  (segment (start 205.3759 148.2241) (end 205.3759 145.8918) (width 0.1524) (layer TOP-L1) (net 235) (tstamp 519F3DBE))
+  (segment (start 204.5525 149.0475) (end 205.3759 148.2241) (width 0.1524) (layer TOP-L1) (net 235) (tstamp 519F3DBA))
+  (segment (start 204.1525 152.4375) (end 204.1525 148.7975) (width 0.1524) (layer TOP-L1) (net 236))
+  (segment (start 204.8759 148.0741) (end 204.8759 145.8918) (width 0.1524) (layer TOP-L1) (net 236) (tstamp 519F3DC4))
+  (segment (start 204.1525 148.7975) (end 204.8759 148.0741) (width 0.1524) (layer TOP-L1) (net 236) (tstamp 519F3DC2))
+  (segment (start 203.6625 149.9675) (end 203.6625 148.0375) (width 0.1524) (layer Sig1-L3) (net 237))
+  (segment (start 203.8759 147.8241) (end 203.8759 145.8918) (width 0.1524) (layer TOP-L1) (net 237) (tstamp 519F3DE0))
+  (segment (start 203.8725 147.8275) (end 203.8759 147.8241) (width 0.1524) (layer TOP-L1) (net 237) (tstamp 519F3DDF))
+  (via (at 203.8725 147.8275) (size 0.4572) (layers TOP-L1 BOT-L6) (net 237))
+  (segment (start 203.6625 148.0375) (end 203.8725 147.8275) (width 0.1524) (layer Sig1-L3) (net 237) (tstamp 519F3DD8))
+  (segment (start 203.6625 154.7175) (end 203.6625 154.8675) (width 0.1524) (layer Sig1-L3) (net 237))
+  (segment (start 203.7375 155.7925) (end 203.7875 155.8425) (width 0.1524) (layer Sig1-L3) (net 237) (tstamp 5192161D))
+  (segment (start 203.7375 154.9425) (end 203.7375 155.7925) (width 0.1524) (layer Sig1-L3) (net 237) (tstamp 5192161C))
+  (segment (start 203.6625 154.8675) (end 203.7375 154.9425) (width 0.1524) (layer Sig1-L3) (net 237) (tstamp 5192161B))
+  (segment (start 203.9518 154.4425) (end 203.9518 155.0568) (width 0.1524) (layer TOP-L1) (net 237))
+  (segment (start 203.9125 155.9675) (end 203.7875 155.8425) (width 0.1524) (layer Sig1-L3) (net 237) (tstamp 518340D8))
+  (segment (start 204.2625 155.9675) (end 203.9125 155.9675) (width 0.1524) (layer Sig1-L3) (net 237) (tstamp 518340D6))
+  (segment (start 204.4375 155.7925) (end 204.2625 155.9675) (width 0.1524) (layer Sig1-L3) (net 237) (tstamp 518340D5))
+  (segment (start 204.4375 155.5425) (end 204.4375 155.7925) (width 0.1524) (layer Sig1-L3) (net 237) (tstamp 518340D4))
+  (segment (start 204.2125 155.3175) (end 204.4375 155.5425) (width 0.1524) (layer Sig1-L3) (net 237) (tstamp 518340D3))
+  (via (at 204.2125 155.3175) (size 0.4572) (layers TOP-L1 BOT-L6) (net 237))
+  (segment (start 203.9518 155.0568) (end 204.2125 155.3175) (width 0.1524) (layer TOP-L1) (net 237) (tstamp 518340C9))
+  (segment (start 203.9518 154.4425) (end 203.9518 153.7999) (width 0.1524) (layer TOP-L1) (net 237) (tstamp 518340C7))
+  (segment (start 203.6625 154.7175) (end 203.6625 149.9675) (width 0.1524) (layer Sig1-L3) (net 237) (tstamp 51921619))
+  (segment (start 203.6625 149.9675) (end 203.6625 149.7675) (width 0.1524) (layer Sig1-L3) (net 237) (tstamp 519F3DD6))
+  (segment (start 203.5475 153.7925) (end 203.5475 154.6225) (width 0.1524) (layer TOP-L1) (net 238))
+  (segment (start 203.3625 153.4475) (end 203.3625 149.0675) (width 0.1524) (layer Sig1-L3) (net 238) (tstamp 519F3F58))
+  (segment (start 202.7525 154.0575) (end 203.3625 153.4475) (width 0.1524) (layer Sig1-L3) (net 238) (tstamp 519F3F54))
+  (segment (start 202.7525 154.7675) (end 202.7525 154.0575) (width 0.1524) (layer Sig1-L3) (net 238) (tstamp 519F3F45))
+  (segment (start 202.9125 154.9275) (end 202.7525 154.7675) (width 0.1524) (layer Sig1-L3) (net 238) (tstamp 519F3F43))
+  (segment (start 203.2225 154.9275) (end 202.9125 154.9275) (width 0.1524) (layer Sig1-L3) (net 238) (tstamp 519F3F42))
+  (via (at 203.2225 154.9275) (size 0.4572) (layers TOP-L1 BOT-L6) (net 238))
+  (segment (start 203.2425 154.9275) (end 203.2225 154.9275) (width 0.1524) (layer TOP-L1) (net 238) (tstamp 519F3F30))
+  (segment (start 203.5475 154.6225) (end 203.2425 154.9275) (width 0.1524) (layer TOP-L1) (net 238) (tstamp 519F3F1B))
+  (segment (start 203.3759 147.0241) (end 203.3759 145.8918) (width 0.1524) (layer TOP-L1) (net 238) (tstamp 519F3DE8))
+  (segment (start 203.3625 147.0375) (end 203.3759 147.0241) (width 0.1524) (layer TOP-L1) (net 238) (tstamp 519F3DE7))
+  (via (at 203.3625 147.0375) (size 0.4572) (layers TOP-L1 BOT-L6) (net 238))
+  (segment (start 203.3625 149.0675) (end 203.3625 147.0375) (width 0.1524) (layer Sig1-L3) (net 238) (tstamp 519F3DE3))
+  (segment (start 174.08706 86.91168) (end 174.1129 86.91168) (width 0.1524) (layer TOP-L1) (net 239))
+  (segment (start 174.1129 86.91168) (end 175.2625 85.76208) (width 0.1524) (layer TOP-L1) (net 239) (tstamp 5181DC3E))
+  (segment (start 172.73832 85.56294) (end 172.73832 84.4121) (width 0.1524) (layer TOP-L1) (net 240))
+  (segment (start 172.73832 84.4121) (end 172.98792 84.1625) (width 0.1524) (layer TOP-L1) (net 240) (tstamp 5181DBEE))
+  (segment (start 174.08706 87.91244) (end 175.78756 87.91244) (width 0.1524) (layer TOP-L1) (net 241))
+  (segment (start 176.2125 87.4875) (end 176.2125 85.76208) (width 0.1524) (layer TOP-L1) (net 241) (tstamp 5181DC42))
+  (segment (start 175.78756 87.91244) (end 176.2125 87.4875) (width 0.1524) (layer TOP-L1) (net 241) (tstamp 5181DC41))
+  (segment (start 173.55 95.05) (end 173.875 95.05) (width 0.1524) (layer TOP-L1) (net 242))
+  (segment (start 175.725 96.05) (end 175.725 96.925) (width 0.1524) (layer TOP-L1) (net 242) (tstamp 51A6D896))
+  (segment (start 175.425 95.75) (end 175.725 96.05) (width 0.1524) (layer TOP-L1) (net 242) (tstamp 51A6D895))
+  (segment (start 174.575 95.75) (end 175.425 95.75) (width 0.1524) (layer TOP-L1) (net 242) (tstamp 51A6D893))
+  (segment (start 173.875 95.05) (end 174.575 95.75) (width 0.1524) (layer TOP-L1) (net 242) (tstamp 51A6D892))
+  (segment (start 176.45 95.52458) (end 176.00042 95.52458) (width 0.1524) (layer TOP-L1) (net 242))
+  (segment (start 176.00042 95.52458) (end 175.725 95.8) (width 0.1524) (layer TOP-L1) (net 242) (tstamp 51A6D870))
+  (segment (start 175.725 95.8) (end 175.725 96.925) (width 0.1524) (layer TOP-L1) (net 242) (tstamp 51A6D871))
+  (segment (start 172.23794 93.76206) (end 172.23794 94.78794) (width 0.1524) (layer TOP-L1) (net 242))
+  (segment (start 172.5 95.05) (end 173.55 95.05) (width 0.1524) (layer TOP-L1) (net 242) (tstamp 51A6D866))
+  (segment (start 172.23794 94.78794) (end 172.5 95.05) (width 0.1524) (layer TOP-L1) (net 242) (tstamp 51A6D865))
+  (segment (start 174.08706 88.9132) (end 176.1738 88.9132) (width 0.3) (layer TOP-L1) (net 243))
+  (segment (start 176.1738 88.9132) (end 177.8875 87.1995) (width 0.3) (layer TOP-L1) (net 243) (tstamp 5199FA43))
+  (segment (start 161.62542 82.75) (end 157.38 82.75) (width 0.3) (layer TOP-L1) (net 244))
+  (segment (start 157.38 82.75) (end 156.255 81.625) (width 0.3) (layer TOP-L1) (net 244) (tstamp 51A55EBB))
+  (segment (start 162.54958 80.5) (end 162.54958 79.52542) (width 0.3) (layer TOP-L1) (net 245))
+  (segment (start 150.87 78.85) (end 148.095 81.625) (width 0.3) (layer TOP-L1) (net 245) (tstamp 51A55F58))
+  (segment (start 161.87416 78.85) (end 150.87 78.85) (width 0.3) (layer TOP-L1) (net 245) (tstamp 51A55F57))
+  (segment (start 162.54958 79.52542) (end 161.87416 78.85) (width 0.3) (layer TOP-L1) (net 245) (tstamp 51A55F56))
+  (segment (start 170.23642 95.6) (end 170.23642 95.76358) (width 0.1524) (layer TOP-L1) (net 246))
+  (segment (start 167.4625 100.9375) (end 167.08708 100.9375) (width 0.1524) (layer TOP-L1) (net 246) (tstamp 51A6D7E0))
+  (segment (start 168.075 100.325) (end 167.4625 100.9375) (width 0.1524) (layer TOP-L1) (net 246) (tstamp 51A6D7DF))
+  (segment (start 168.075 99.625) (end 168.075 100.325) (width 0.1524) (layer TOP-L1) (net 246) (tstamp 51A6D7DC))
+  (segment (start 170.05 97.65) (end 168.075 99.625) (width 0.1524) (layer TOP-L1) (net 246) (tstamp 51A6D7D9))
+  (segment (start 170.05 95.95) (end 170.05 97.65) (width 0.1524) (layer TOP-L1) (net 246) (tstamp 51A6D7D8))
+  (segment (start 170.23642 95.76358) (end 170.05 95.95) (width 0.1524) (layer TOP-L1) (net 246) (tstamp 51A6D7D7))
+  (segment (start 170.23642 95.6) (end 170.23642 93.76206) (width 0.1524) (layer TOP-L1) (net 246) (tstamp 51A6D7D5))
+  (segment (start 168.7375 95.76292) (end 168.7375 93.76238) (width 0.1524) (layer TOP-L1) (net 247))
+  (segment (start 168.7375 93.76238) (end 168.73782 93.76206) (width 0.1524) (layer TOP-L1) (net 247) (tstamp 5185BBB9))
+  (segment (start 168.23744 94.7125) (end 168.23744 94.76256) (width 0.1524) (layer TOP-L1) (net 248))
+  (segment (start 168.0625 96.5125) (end 167.5125 97.0625) (width 0.1524) (layer TOP-L1) (net 248) (tstamp 5185BB83))
+  (segment (start 168.0625 94.9375) (end 168.0625 96.5125) (width 0.1524) (layer TOP-L1) (net 248) (tstamp 5185BB81))
+  (segment (start 168.23744 94.76256) (end 168.0625 94.9375) (width 0.1524) (layer TOP-L1) (net 248) (tstamp 5185BB7D))
+  (segment (start 167.5125 97.0625) (end 167.08708 97.0625) (width 0.1524) (layer TOP-L1) (net 248) (tstamp 5185BB87))
+  (segment (start 168.23744 93.76206) (end 168.23744 94.7125) (width 0.1524) (layer TOP-L1) (net 248))
+  (segment (start 167.5125 97.0625) (end 167.08708 97.0625) (width 0.1524) (layer TOP-L1) (net 248) (tstamp 5181DA7C))
+  (segment (start 163.9625 88.06292) (end 164.58792 88.06292) (width 0.1524) (layer TOP-L1) (net 249))
+  (segment (start 164.93782 88.41282) (end 165.88794 88.41282) (width 0.1524) (layer TOP-L1) (net 249) (tstamp 5185B8F5))
+  (segment (start 164.58792 88.06292) (end 164.93782 88.41282) (width 0.1524) (layer TOP-L1) (net 249) (tstamp 5185B8F4))
+  (segment (start 171.94958 96.5) (end 171.94958 97.89958) (width 0.1524) (layer TOP-L1) (net 250))
+  (segment (start 172.675 98.625) (end 173.525 98.625) (width 0.1524) (layer TOP-L1) (net 250) (tstamp 51A6D85C))
+  (segment (start 171.94958 97.89958) (end 172.675 98.625) (width 0.1524) (layer TOP-L1) (net 250) (tstamp 51A6D85B))
+  (segment (start 171.94958 96.5) (end 171.94958 95.22458) (width 0.1524) (layer TOP-L1) (net 250))
+  (segment (start 171.73756 95.01256) (end 171.73756 93.76206) (width 0.1524) (layer TOP-L1) (net 250) (tstamp 51A6D858))
+  (segment (start 171.94958 95.22458) (end 171.73756 95.01256) (width 0.1524) (layer TOP-L1) (net 250) (tstamp 51A6D856))
+  (segment (start 167.73706 93.76206) (end 167.73706 95.91294) (width 0.1524) (layer TOP-L1) (net 251))
+  (segment (start 167.5375 96.1125) (end 167.08708 96.1125) (width 0.1524) (layer TOP-L1) (net 251) (tstamp 5181DA78))
+  (segment (start 167.73706 95.91294) (end 167.5375 96.1125) (width 0.1524) (layer TOP-L1) (net 251) (tstamp 5181DA77))
+  (segment (start 167.23668 93.76206) (end 167.23668 95.0129) (width 0.1524) (layer TOP-L1) (net 252))
+  (segment (start 167.23668 95.0129) (end 167.08708 95.1625) (width 0.1524) (layer TOP-L1) (net 252) (tstamp 5181DA74))
+  (segment (start 168.9375 97.6875) (end 168.6125 98.0125) (width 0.1524) (layer TOP-L1) (net 253))
+  (segment (start 168.6125 98.0125) (end 167.08708 98.0125) (width 0.1524) (layer TOP-L1) (net 253) (tstamp 5185BBE0))
+  (segment (start 169.2382 95.0125) (end 169.2382 95.2632) (width 0.1524) (layer TOP-L1) (net 253))
+  (segment (start 169.438678 97.186322) (end 168.9375 97.6875) (width 0.1524) (layer TOP-L1) (net 253) (tstamp 5185BB9C))
+  (segment (start 169.438678 95.463678) (end 169.438678 97.186322) (width 0.1524) (layer TOP-L1) (net 253) (tstamp 5185BB9A))
+  (segment (start 169.2382 95.2632) (end 169.438678 95.463678) (width 0.1524) (layer TOP-L1) (net 253) (tstamp 5185BB93))
+  (segment (start 169.2382 93.76206) (end 169.2382 95.0125) (width 0.1524) (layer TOP-L1) (net 253))
+  (segment (start 170.7368 94.85) (end 170.7368 95.7882) (width 0.1524) (layer TOP-L1) (net 254))
+  (segment (start 167.7125 101.8625) (end 167.08708 101.8625) (width 0.1524) (layer TOP-L1) (net 254) (tstamp 51A6D7F0))
+  (segment (start 168.525 101.05) (end 167.7125 101.8625) (width 0.1524) (layer TOP-L1) (net 254) (tstamp 51A6D7EE))
+  (segment (start 168.525 99.775) (end 168.525 101.05) (width 0.1524) (layer TOP-L1) (net 254) (tstamp 51A6D7EC))
+  (segment (start 170.375 97.925) (end 168.525 99.775) (width 0.1524) (layer TOP-L1) (net 254) (tstamp 51A6D7E9))
+  (segment (start 170.375 96.15) (end 170.375 97.925) (width 0.1524) (layer TOP-L1) (net 254) (tstamp 51A6D7E8))
+  (segment (start 170.7368 95.7882) (end 170.375 96.15) (width 0.1524) (layer TOP-L1) (net 254) (tstamp 51A6D7E7))
+  (segment (start 170.7368 93.76206) (end 170.7368 94.85) (width 0.1524) (layer TOP-L1) (net 254))
+  (segment (start 217.76484 104.58516) (end 217.76484 101.82082) (width 0.3) (layer TOP-L1) (net 255) (tstamp 5188D8DA))
+  (segment (start 216.0845 106.2655) (end 217.76484 104.58516) (width 0.3) (layer TOP-L1) (net 255) (tstamp 5188D8D6))
+  (segment (start 216.0845 106.8625) (end 216.0845 106.2655) (width 0.3) (layer TOP-L1) (net 255))
+  (segment (start 220.41406 100.16982) (end 220.42138 100.1625) (width 0.3) (layer TOP-L1) (net 256))
+  (segment (start 220.42138 100.1625) (end 222.3375 100.1625) (width 0.3) (layer TOP-L1) (net 256) (tstamp 5188D4BA))
+  (segment (start 222.3375 100.1625) (end 225.6345 100.1625) (width 0.3) (layer TOP-L1) (net 256) (tstamp 5188D4BD))
+  (segment (start 225.6345 100.1625) (end 226.5375 101.0655) (width 0.3) (layer TOP-L1) (net 256) (tstamp 5188D4BF))
+  (segment (start 197.51292 131.9875) (end 197.51292 131.06208) (width 0.1524) (layer BOT-L6) (net 257))
+  (segment (start 193.7625 118.3875) (end 193.1625 117.7875) (width 0.1524) (layer TOP-L1) (net 257) (tstamp 519203F3))
+  (segment (start 194.8375 118.3875) (end 193.7625 118.3875) (width 0.1524) (layer TOP-L1) (net 257) (tstamp 519203F2))
+  (via (at 194.8375 118.3875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 257))
+  (segment (start 195.6625 117.5625) (end 194.8375 118.3875) (width 0.1524) (layer Sig2-L4) (net 257) (tstamp 519203D3))
+  (segment (start 198.7875 117.5625) (end 195.6625 117.5625) (width 0.1524) (layer Sig2-L4) (net 257) (tstamp 519203C9))
+  (segment (start 199.5125 118.2875) (end 198.7875 117.5625) (width 0.1524) (layer Sig2-L4) (net 257) (tstamp 519203C7))
+  (segment (start 199.5125 119.3125) (end 199.5125 118.2875) (width 0.1524) (layer Sig2-L4) (net 257) (tstamp 519203C1))
+  (segment (start 198.3875 120.4375) (end 199.5125 119.3125) (width 0.1524) (layer Sig2-L4) (net 257) (tstamp 519203BF))
+  (segment (start 198.3875 130.1875) (end 198.3875 120.4375) (width 0.1524) (layer Sig2-L4) (net 257) (tstamp 519203AD))
+  (segment (start 197.6375 130.9375) (end 198.3875 130.1875) (width 0.1524) (layer Sig2-L4) (net 257) (tstamp 519203AC))
+  (via (at 197.6375 130.9375) (size 0.4572) (layers TOP-L1 BOT-L6) (net 257))
+  (segment (start 197.51292 131.06208) (end 197.6375 130.9375) (width 0.1524) (layer BOT-L6) (net 257) (tstamp 519203A6))
+  (segment (start 201.1625 112.9875) (end 201.5625 112.5875) (width 0.1524) (layer TOP-L1) (net 258))
+  (segment (start 208.4875 112.5875) (end 209.7125 113.8125) (width 0.1524) (layer Sig1-L3) (net 258) (tstamp 51830894))
+  (segment (start 201.5625 112.5875) (end 208.4875 112.5875) (width 0.1524) (layer Sig1-L3) (net 258) (tstamp 51830893))
+  (via (at 201.5625 112.5875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 258))
+  (segment (start 210.0375 119.3625) (end 211.26292 119.3625) (width 0.1524) (layer TOP-L1) (net 258) (tstamp 5183078D))
+  (via (at 210.0375 119.3625) (size 0.4572) (layers TOP-L1 BOT-L6) (net 258))
+  (segment (start 209.3375 119.3625) (end 210.0375 119.3625) (width 0.1524) (layer Sig1-L3) (net 258) (tstamp 5183078A))
+  (segment (start 208.2875 118.3125) (end 209.3375 119.3625) (width 0.1524) (layer Sig1-L3) (net 258) (tstamp 51830785))
+  (segment (start 208.2875 116.5375) (end 208.2875 118.3125) (width 0.1524) (layer Sig1-L3) (net 258) (tstamp 51830783))
+  (segment (start 209.9625 114.8625) (end 208.2875 116.5375) (width 0.1524) (layer Sig1-L3) (net 258) (tstamp 51830780))
+  (segment (start 209.9625 114.0625) (end 209.9625 114.8625) (width 0.1524) (layer Sig1-L3) (net 258) (tstamp 5183077F))
+  (segment (start 209.7125 113.8125) (end 209.9625 114.0625) (width 0.1524) (layer Sig1-L3) (net 258) (tstamp 5183089A))
+  (segment (start 206.7625 120.0375) (end 206.7625 120.3375) (width 0.1524) (layer Sig1-L3) (net 259))
+  (segment (start 209.6125 122.6875) (end 210.6625 122.6875) (width 0.1524) (layer TOP-L1) (net 259) (tstamp 51830940))
+  (via (at 209.6125 122.6875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 259))
+  (segment (start 209.1125 122.6875) (end 209.6125 122.6875) (width 0.1524) (layer Sig1-L3) (net 259) (tstamp 5183093B))
+  (segment (start 206.7625 120.3375) (end 209.1125 122.6875) (width 0.1524) (layer Sig1-L3) (net 259) (tstamp 51830939))
+  (segment (start 201.1625 113.7875) (end 201.5625 113.3875) (width 0.1524) (layer TOP-L1) (net 259))
+  (segment (start 206.7625 115.5625) (end 206.7625 116.5125) (width 0.1524) (layer Sig1-L3) (net 259) (tstamp 518308C0))
+  (segment (start 204.5875 113.3875) (end 206.7625 115.5625) (width 0.1524) (layer Sig1-L3) (net 259) (tstamp 518308B4))
+  (segment (start 204.3625 113.3875) (end 204.5875 113.3875) (width 0.1524) (layer Sig1-L3) (net 259) (tstamp 518308AD))
+  (segment (start 201.5625 113.3875) (end 204.3625 113.3875) (width 0.1524) (layer Sig1-L3) (net 259) (tstamp 518308AC))
+  (via (at 201.5625 113.3875) (size 0.4572) (layers TOP-L1 BOT-L6) (net 259))
+  (segment (start 210.6625 122.6875) (end 211.26292 122.6875) (width 0.1524) (layer TOP-L1) (net 259) (tstamp 51830943))
+  (segment (start 206.7625 116.5125) (end 206.7625 120.0375) (width 0.1524) (layer Sig1-L3) (net 259) (tstamp 518308C7))
+  (segment (start 133.7655 118.3805) (end 132.9527 118.3805) (width 0.2032) (layer TOP-L1) (net 260))
+  (segment (start 103.668732 119.4981) (end 99.30532 119.4981) (width 0.2032) (layer TOP-L1) (net 260) (tstamp 5112C3B9))
+  (segment (start 105.114294 118.052538) (end 103.668732 119.4981) (width 0.2032) (layer TOP-L1) (net 260) (tstamp 5112C3B7))
+  (segment (start 105.114294 117.138138) (end 105.114294 118.052538) (width 0.2032) (layer TOP-L1) (net 260) (tstamp 5112C3B6))
+  (segment (start 105.853138 116.399294) (end 105.114294 117.138138) (width 0.2032) (layer TOP-L1) (net 260) (tstamp 5112C3B5))
+  (segment (start 130.971494 116.399294) (end 105.853138 116.399294) (width 0.2032) (layer TOP-L1) (net 260) (tstamp 5112C3B3))
+  (segment (start 132.9527 118.3805) (end 130.971494 116.399294) (width 0.2032) (layer TOP-L1) (net 260) (tstamp 5112C3B1))
+  (segment (start 118.5255 124.4765) (end 117.6873 124.4765) (width 0.2032) (layer TOP-L1) (net 261))
+  (segment (start 103.197344 126.699) (end 99.30532 126.699) (width 0.2032) (layer TOP-L1) (net 261) (tstamp 5112C47B))
+  (segment (start 105.723898 124.172446) (end 103.197344 126.699) (width 0.2032) (layer TOP-L1) (net 261) (tstamp 5112C47A))
+  (segment (start 105.723898 123.512046) (end 105.723898 124.172446) (width 0.2032) (layer TOP-L1) (net 261) (tstamp 5112C479))
+  (segment (start 106.131046 123.104898) (end 105.723898 123.512046) (width 0.2032) (layer TOP-L1) (net 261) (tstamp 5112C478))
+  (segment (start 116.315698 123.104898) (end 106.131046 123.104898) (width 0.2032) (layer TOP-L1) (net 261) (tstamp 5112C476))
+  (segment (start 117.6873 124.4765) (end 116.315698 123.104898) (width 0.2032) (layer TOP-L1) (net 261) (tstamp 5112C474))
+  (segment (start 126.1455 124.4765) (end 125.0533 124.4765) (width 0.2032) (layer TOP-L1) (net 262))
+  (segment (start 103.365728 126.09956) (end 99.30532 126.09956) (width 0.2032) (layer TOP-L1) (net 262) (tstamp 5112C486))
+  (segment (start 105.419096 124.046192) (end 103.365728 126.09956) (width 0.2032) (layer TOP-L1) (net 262) (tstamp 5112C485))
+  (segment (start 105.419096 123.385792) (end 105.419096 124.046192) (width 0.2032) (layer TOP-L1) (net 262) (tstamp 5112C484))
+  (segment (start 106.004792 122.800096) (end 105.419096 123.385792) (width 0.2032) (layer TOP-L1) (net 262) (tstamp 5112C483))
+  (segment (start 123.376896 122.800096) (end 106.004792 122.800096) (width 0.2032) (layer TOP-L1) (net 262) (tstamp 5112C481))
+  (segment (start 125.0533 124.4765) (end 123.376896 122.800096) (width 0.2032) (layer TOP-L1) (net 262) (tstamp 5112C47F))
+  (segment (start 133.7655 124.4765) (end 132.4955 124.4765) (width 0.2032) (layer TOP-L1) (net 263))
+  (segment (start 103.534112 125.50012) (end 99.30532 125.50012) (width 0.2032) (layer TOP-L1) (net 263) (tstamp 5112C490))
+  (segment (start 105.114294 123.919938) (end 103.534112 125.50012) (width 0.2032) (layer TOP-L1) (net 263) (tstamp 5112C48F))
+  (segment (start 105.114294 123.259538) (end 105.114294 123.919938) (width 0.2032) (layer TOP-L1) (net 263) (tstamp 5112C48E))
+  (segment (start 105.878538 122.495294) (end 105.114294 123.259538) (width 0.2032) (layer TOP-L1) (net 263) (tstamp 5112C48D))
+  (segment (start 130.514294 122.495294) (end 105.878538 122.495294) (width 0.2032) (layer TOP-L1) (net 263) (tstamp 5112C48B))
+  (segment (start 132.4955 124.4765) (end 130.514294 122.495294) (width 0.2032) (layer TOP-L1) (net 263) (tstamp 5112C48A))
+  (segment (start 99.30532 124.89814) (end 102.6249 124.89814) (width 0.1524) (layer TOP-L1) (net 264))
+  (segment (start 102.76714 124.7559) (end 103.2347 124.7559) (width 0.1524) (layer GND-L5) (net 264) (tstamp 51A5B0D9))
+  (segment (start 102.75824 124.7648) (end 102.76714 124.7559) (width 0.1524) (layer GND-L5) (net 264) (tstamp 51A5B0D8))
+  (via (at 102.75824 124.7648) (size 0.4572) (layers TOP-L1 BOT-L6) (net 264))
+  (segment (start 102.6249 124.89814) (end 102.75824 124.7648) (width 0.1524) (layer TOP-L1) (net 264) (tstamp 51A5B0CD))
+  (segment (start 141.3855 124.4765) (end 140.5727 124.4765) (width 0.2032) (layer GND-L5) (net 264))
+  (segment (start 104.5555 123.4351) (end 103.2347 124.7559) (width 0.2032) (layer GND-L5) (net 264) (tstamp 5112C4A3))
+  (segment (start 139.5313 123.4351) (end 104.5555 123.4351) (width 0.2032) (layer GND-L5) (net 264) (tstamp 5112C4A1))
+  (segment (start 140.5727 124.4765) (end 139.5313 123.4351) (width 0.2032) (layer GND-L5) (net 264) (tstamp 5112C49F))
+  (segment (start 110.9055 121.4285) (end 110.3467 121.4285) (width 0.2032) (layer TOP-L1) (net 265))
+  (segment (start 103.2093 124.2987) (end 99.30532 124.2987) (width 0.2032) (layer TOP-L1) (net 265) (tstamp 5112C40A))
+  (segment (start 106.0795 121.4285) (end 103.2093 124.2987) (width 0.2032) (layer TOP-L1) (net 265) (tstamp 5112C409))
+  (segment (start 106.0795 120.5141) (end 106.0795 121.4285) (width 0.2032) (layer TOP-L1) (net 265) (tstamp 5112C408))
+  (segment (start 106.2319 120.3617) (end 106.0795 120.5141) (width 0.2032) (layer TOP-L1) (net 265) (tstamp 5112C407))
+  (segment (start 109.2799 120.3617) (end 106.2319 120.3617) (width 0.2032) (layer TOP-L1) (net 265) (tstamp 5112C405))
+  (segment (start 110.3467 121.4285) (end 109.2799 120.3617) (width 0.2032) (layer TOP-L1) (net 265) (tstamp 5112C404))
+  (segment (start 118.5255 121.4285) (end 117.3063 121.4285) (width 0.2032) (layer TOP-L1) (net 266))
+  (segment (start 103.377684 123.69926) (end 99.30532 123.69926) (width 0.2032) (layer TOP-L1) (net 266) (tstamp 5112C415))
+  (segment (start 105.774698 121.302246) (end 103.377684 123.69926) (width 0.2032) (layer TOP-L1) (net 266) (tstamp 5112C414))
+  (segment (start 105.774698 120.387846) (end 105.774698 121.302246) (width 0.2032) (layer TOP-L1) (net 266) (tstamp 5112C413))
+  (segment (start 106.105646 120.056898) (end 105.774698 120.387846) (width 0.2032) (layer TOP-L1) (net 266) (tstamp 5112C412))
+  (segment (start 115.934698 120.056898) (end 106.105646 120.056898) (width 0.2032) (layer TOP-L1) (net 266) (tstamp 5112C410))
+  (segment (start 117.3063 121.4285) (end 115.934698 120.056898) (width 0.2032) (layer TOP-L1) (net 266) (tstamp 5112C40E))
+  (segment (start 126.1455 121.4285) (end 125.6629 121.4285) (width 0.2032) (layer TOP-L1) (net 267))
+  (segment (start 103.546068 123.09982) (end 99.30532 123.09982) (width 0.2032) (layer TOP-L1) (net 267) (tstamp 5112C41F))
+  (segment (start 105.469896 121.175992) (end 103.546068 123.09982) (width 0.2032) (layer TOP-L1) (net 267) (tstamp 5112C41E))
+  (segment (start 105.469896 120.261592) (end 105.469896 121.175992) (width 0.2032) (layer TOP-L1) (net 267) (tstamp 5112C41D))
+  (segment (start 105.979392 119.752096) (end 105.469896 120.261592) (width 0.2032) (layer TOP-L1) (net 267) (tstamp 5112C41C))
+  (segment (start 123.986496 119.752096) (end 105.979392 119.752096) (width 0.2032) (layer TOP-L1) (net 267) (tstamp 5112C41A))
+  (segment (start 125.6629 121.4285) (end 123.986496 119.752096) (width 0.2032) (layer TOP-L1) (net 267) (tstamp 5112C419))
+  (segment (start 133.7655 121.4285) (end 133.3337 121.4285) (width 0.2032) (layer TOP-L1) (net 268))
+  (segment (start 103.714452 122.50038) (end 99.30532 122.50038) (width 0.2032) (layer TOP-L1) (net 268) (tstamp 5112C429))
+  (segment (start 105.165094 121.049738) (end 103.714452 122.50038) (width 0.2032) (layer TOP-L1) (net 268) (tstamp 5112C428))
+  (segment (start 105.165094 120.135338) (end 105.165094 121.049738) (width 0.2032) (layer TOP-L1) (net 268) (tstamp 5112C427))
+  (segment (start 105.853138 119.447294) (end 105.165094 120.135338) (width 0.2032) (layer TOP-L1) (net 268) (tstamp 5112C426))
+  (segment (start 131.352494 119.447294) (end 105.853138 119.447294) (width 0.2032) (layer TOP-L1) (net 268) (tstamp 5112C424))
+  (segment (start 133.3337 121.4285) (end 131.352494 119.447294) (width 0.2032) (layer TOP-L1) (net 268) (tstamp 5112C423))
+  (segment (start 99.30532 121.8984) (end 103.23704 121.8984) (width 0.1524) (layer TOP-L1) (net 269))
+  (segment (start 105.01884 120.36044) (end 105.01884 120.3617) (width 0.1524) (layer GND-L5) (net 269) (tstamp 51A5B0B7))
+  (segment (start 105.01758 120.3617) (end 105.01884 120.36044) (width 0.1524) (layer GND-L5) (net 269) (tstamp 51A5B0B6))
+  (segment (start 104.77374 120.3617) (end 105.01758 120.3617) (width 0.1524) (layer GND-L5) (net 269) (tstamp 51A5B0AE))
+  (segment (start 104.36352 120.77192) (end 104.77374 120.3617) (width 0.1524) (layer GND-L5) (net 269) (tstamp 51A5B0AD))
+  (via (at 104.36352 120.77192) (size 0.4572) (layers TOP-L1 BOT-L6) (net 269))
+  (segment (start 103.23704 121.8984) (end 104.36352 120.77192) (width 0.1524) (layer TOP-L1) (net 269) (tstamp 51A5B097))
+  (segment (start 141.3855 121.4285) (end 140.4711 121.4285) (width 0.2032) (layer GND-L5) (net 269))
+  (segment (start 139.4043 120.3617) (end 105.01884 120.3617) (width 0.2032) (layer GND-L5) (net 269) (tstamp 5112C42F))
+  (segment (start 140.4711 121.4285) (end 139.4043 120.3617) (width 0.2032) (layer GND-L5) (net 269) (tstamp 5112C42D))
+  (segment (start 110.9055 118.3805) (end 110.8801 118.3805) (width 0.2032) (layer TOP-L1) (net 270))
+  (segment (start 103.16104 121.29896) (end 99.30532 121.29896) (width 0.2032) (layer TOP-L1) (net 270) (tstamp 5112C398))
+  (segment (start 106.0287 118.4313) (end 103.16104 121.29896) (width 0.2032) (layer TOP-L1) (net 270) (tstamp 5112C397))
+  (segment (start 106.0287 117.5169) (end 106.0287 118.4313) (width 0.2032) (layer TOP-L1) (net 270) (tstamp 5112C396))
+  (segment (start 106.2319 117.3137) (end 106.0287 117.5169) (width 0.2032) (layer TOP-L1) (net 270) (tstamp 5112C395))
+  (segment (start 109.8133 117.3137) (end 106.2319 117.3137) (width 0.2032) (layer TOP-L1) (net 270) (tstamp 5112C393))
+  (segment (start 110.8801 118.3805) (end 109.8133 117.3137) (width 0.2032) (layer TOP-L1) (net 270) (tstamp 5112C392))
+  (segment (start 118.5255 118.3805) (end 117.5349 118.3805) (width 0.2032) (layer TOP-L1) (net 271))
+  (segment (start 103.329424 120.69952) (end 99.30532 120.69952) (width 0.2032) (layer TOP-L1) (net 271) (tstamp 5112C3A3))
+  (segment (start 105.723898 118.305046) (end 103.329424 120.69952) (width 0.2032) (layer TOP-L1) (net 271) (tstamp 5112C3A2))
+  (segment (start 105.723898 117.390646) (end 105.723898 118.305046) (width 0.2032) (layer TOP-L1) (net 271) (tstamp 5112C3A1))
+  (segment (start 106.105646 117.008898) (end 105.723898 117.390646) (width 0.2032) (layer TOP-L1) (net 271) (tstamp 5112C3A0))
+  (segment (start 116.163298 117.008898) (end 106.105646 117.008898) (width 0.2032) (layer TOP-L1) (net 271) (tstamp 5112C39E))
+  (segment (start 117.5349 118.3805) (end 116.163298 117.008898) (width 0.2032) (layer TOP-L1) (net 271) (tstamp 5112C39C))
+  (segment (start 126.1455 118.3805) (end 125.6629 118.3805) (width 0.2032) (layer TOP-L1) (net 272))
+  (segment (start 103.497808 120.10008) (end 99.30532 120.10008) (width 0.2032) (layer TOP-L1) (net 272) (tstamp 5112C3AD))
+  (segment (start 105.419096 118.178792) (end 103.497808 120.10008) (width 0.2032) (layer TOP-L1) (net 272) (tstamp 5112C3AC))
+  (segment (start 105.419096 117.264392) (end 105.419096 118.178792) (width 0.2032) (layer TOP-L1) (net 272) (tstamp 5112C3AB))
+  (segment (start 105.979392 116.704096) (end 105.419096 117.264392) (width 0.2032) (layer TOP-L1) (net 272) (tstamp 5112C3AA))
+  (segment (start 123.986496 116.704096) (end 105.979392 116.704096) (width 0.2032) (layer TOP-L1) (net 272) (tstamp 5112C3A8))
+  (segment (start 125.6629 118.3805) (end 123.986496 116.704096) (width 0.2032) (layer TOP-L1) (net 272) (tstamp 5112C3A7))
+  (segment (start 110.9055 124.4765) (end 110.7531 124.4765) (width 0.2032) (layer TOP-L1) (net 273))
+  (segment (start 103.02896 127.29844) (end 99.30532 127.29844) (width 0.2032) (layer TOP-L1) (net 273) (tstamp 5112C470))
+  (segment (start 106.0287 124.2987) (end 103.02896 127.29844) (width 0.2032) (layer TOP-L1) (net 273) (tstamp 5112C46F))
+  (segment (start 106.0287 123.6383) (end 106.0287 124.2987) (width 0.2032) (layer TOP-L1) (net 273) (tstamp 5112C46E))
+  (segment (start 106.2573 123.4097) (end 106.0287 123.6383) (width 0.2032) (layer TOP-L1) (net 273) (tstamp 5112C46D))
+  (segment (start 109.6863 123.4097) (end 106.2573 123.4097) (width 0.2032) (layer TOP-L1) (net 273) (tstamp 5112C46B))
+  (segment (start 110.7531 124.4765) (end 109.6863 123.4097) (width 0.2032) (layer TOP-L1) (net 273) (tstamp 5112C46A))
+  (segment (start 99.30532 118.89866) (end 103.02114 118.89866) (width 0.1524) (layer TOP-L1) (net 274))
+  (segment (start 104.60472 117.31508) (end 104.83352 117.31508) (width 0.1524) (layer GND-L5) (net 274) (tstamp 51A5B073))
+  (segment (start 104.1146 117.8052) (end 104.60472 117.31508) (width 0.1524) (layer GND-L5) (net 274) (tstamp 51A5B072))
+  (via (at 104.1146 117.8052) (size 0.4572) (layers TOP-L1 BOT-L6) (net 274))
+  (segment (start 103.02114 118.89866) (end 104.1146 117.8052) (width 0.1524) (layer TOP-L1) (net 274) (tstamp 51A5B06B))
+  (segment (start 141.3855 118.3805) (end 140.3187 118.3805) (width 0.2032) (layer GND-L5) (net 274))
+  (segment (start 104.8349 117.3137) (end 104.83352 117.31508) (width 0.2032) (layer GND-L5) (net 274) (tstamp 5112C3C1))
+  (segment (start 139.2519 117.3137) (end 104.8349 117.3137) (width 0.2032) (layer GND-L5) (net 274) (tstamp 5112C3BF))
+  (segment (start 140.3187 118.3805) (end 139.2519 117.3137) (width 0.2032) (layer GND-L5) (net 274) (tstamp 5112C3BD))
+  (segment (start 110.9055 115.3325) (end 110.1181 115.3325) (width 0.2032) (layer TOP-L1) (net 275))
+  (segment (start 102.75718 118.29922) (end 99.30532 118.29922) (width 0.2032) (layer TOP-L1) (net 275) (tstamp 5112B51D))
+  (segment (start 105.8763 115.1801) (end 102.75718 118.29922) (width 0.2032) (layer TOP-L1) (net 275) (tstamp 5112B519))
+  (segment (start 105.8763 114.6467) (end 105.8763 115.1801) (width 0.2032) (layer TOP-L1) (net 275) (tstamp 5112B516))
+  (segment (start 106.2573 114.2657) (end 105.8763 114.6467) (width 0.2032) (layer TOP-L1) (net 275) (tstamp 5112B515))
+  (segment (start 109.0513 114.2657) (end 106.2573 114.2657) (width 0.2032) (layer TOP-L1) (net 275) (tstamp 5112B50F))
+  (segment (start 110.1181 115.3325) (end 109.0513 114.2657) (width 0.2032) (layer TOP-L1) (net 275) (tstamp 5112B50C))
+  (segment (start 118.5255 115.3325) (end 117.4841 115.3325) (width 0.2032) (layer TOP-L1) (net 276))
+  (segment (start 102.925564 117.69978) (end 99.30532 117.69978) (width 0.2032) (layer TOP-L1) (net 276) (tstamp 5112B533))
+  (segment (start 105.571498 115.053846) (end 102.925564 117.69978) (width 0.2032) (layer TOP-L1) (net 276) (tstamp 5112B531))
+  (segment (start 105.571498 114.520446) (end 105.571498 115.053846) (width 0.2032) (layer TOP-L1) (net 276) (tstamp 5112B530))
+  (segment (start 106.131046 113.960898) (end 105.571498 114.520446) (width 0.2032) (layer TOP-L1) (net 276) (tstamp 5112B52D))
+  (segment (start 116.112498 113.960898) (end 106.131046 113.960898) (width 0.2032) (layer TOP-L1) (net 276) (tstamp 5112B528))
+  (segment (start 117.4841 115.3325) (end 116.112498 113.960898) (width 0.2032) (layer TOP-L1) (net 276) (tstamp 5112B524))
+  (segment (start 126.1455 115.3325) (end 125.4343 115.3325) (width 0.2032) (layer TOP-L1) (net 277))
+  (segment (start 103.093948 117.10034) (end 99.30532 117.10034) (width 0.2032) (layer TOP-L1) (net 277) (tstamp 5112B544))
+  (segment (start 105.266696 114.927592) (end 103.093948 117.10034) (width 0.2032) (layer TOP-L1) (net 277) (tstamp 5112B543))
+  (segment (start 105.266696 114.394192) (end 105.266696 114.927592) (width 0.2032) (layer TOP-L1) (net 277) (tstamp 5112B542))
+  (segment (start 106.004792 113.656096) (end 105.266696 114.394192) (width 0.2032) (layer TOP-L1) (net 277) (tstamp 5112B53F))
+  (segment (start 123.757896 113.656096) (end 106.004792 113.656096) (width 0.2032) (layer TOP-L1) (net 277) (tstamp 5112B53C))
+  (segment (start 125.4343 115.3325) (end 123.757896 113.656096) (width 0.2032) (layer TOP-L1) (net 277) (tstamp 5112B539))
+  (segment (start 133.7655 115.3325) (end 132.8765 115.3325) (width 0.2032) (layer TOP-L1) (net 278))
+  (segment (start 103.262332 116.5009) (end 99.30532 116.5009) (width 0.2032) (layer TOP-L1) (net 278) (tstamp 5112B55C))
+  (segment (start 104.961894 114.801338) (end 103.262332 116.5009) (width 0.2032) (layer TOP-L1) (net 278) (tstamp 5112B55B))
+  (segment (start 104.961894 114.267938) (end 104.961894 114.801338) (width 0.2032) (layer TOP-L1) (net 278) (tstamp 5112B559))
+  (segment (start 105.878538 113.351294) (end 104.961894 114.267938) (width 0.2032) (layer TOP-L1) (net 278) (tstamp 5112B556))
+  (segment (start 130.895294 113.351294) (end 105.878538 113.351294) (width 0.2032) (layer TOP-L1) (net 278) (tstamp 5112B553))
+  (segment (start 132.8765 115.3325) (end 130.895294 113.351294) (width 0.2032) (layer TOP-L1) (net 278) (tstamp 5112B54E))
+  (segment (start 99.30532 115.90146) (end 102.18294 115.90146) (width 0.1524) (layer TOP-L1) (net 279))
+  (segment (start 102.33278 115.7643) (end 102.9553 115.7643) (width 0.1524) (layer GND-L5) (net 279) (tstamp 51A5B04A))
+  (segment (start 102.32644 115.75796) (end 102.33278 115.7643) (width 0.1524) (layer GND-L5) (net 279) (tstamp 51A5B049))
+  (via (at 102.32644 115.75796) (size 0.4572) (layers TOP-L1 BOT-L6) (net 279))
+  (segment (start 102.18294 115.90146) (end 102.32644 115.75796) (width 0.1524) (layer TOP-L1) (net 279) (tstamp 51A5B040))
+  (segment (start 141.3855 115.3325) (end 140.4711 115.3325) (width 0.2032) (layer GND-L5) (net 279))
+  (segment (start 104.4539 114.2657) (end 102.9553 115.7643) (width 0.2032) (layer GND-L5) (net 279) (tstamp 5112B5B1))
+  (segment (start 139.4043 114.2657) (end 104.4539 114.2657) (width 0.2032) (layer GND-L5) (net 279) (tstamp 5112B5A2))
+  (segment (start 140.4711 115.3325) (end 139.4043 114.2657) (width 0.2032) (layer GND-L5) (net 279) (tstamp 5112B59E))
+  (segment (start 110.9055 112.2845) (end 109.9911 112.2845) (width 0.2032) (layer TOP-L1) (net 280))
+  (segment (start 102.98578 115.30202) (end 99.30532 115.30202) (width 0.2032) (layer TOP-L1) (net 280) (tstamp 5112B2B1))
+  (segment (start 105.8255 112.4623) (end 102.98578 115.30202) (width 0.2032) (layer TOP-L1) (net 280) (tstamp 5112B2A8))
+  (segment (start 105.8255 111.4717) (end 105.8255 112.4623) (width 0.2032) (layer TOP-L1) (net 280) (tstamp 5112B2A6))
+  (segment (start 106.0795 111.2177) (end 105.8255 111.4717) (width 0.2032) (layer TOP-L1) (net 280) (tstamp 5112B2A2))
+  (segment (start 108.9243 111.2177) (end 106.0795 111.2177) (width 0.2032) (layer TOP-L1) (net 280) (tstamp 5112B29E))
+  (segment (start 109.9911 112.2845) (end 108.9243 111.2177) (width 0.2032) (layer TOP-L1) (net 280) (tstamp 5112B29B))
+  (segment (start 118.5255 112.2845) (end 117.8651 112.2845) (width 0.2032) (layer TOP-L1) (net 281))
+  (segment (start 103.154164 114.70258) (end 99.30532 114.70258) (width 0.2032) (layer TOP-L1) (net 281) (tstamp 5112B2D4))
+  (segment (start 105.520698 112.336046) (end 103.154164 114.70258) (width 0.2032) (layer TOP-L1) (net 281) (tstamp 5112B2D3))
+  (segment (start 105.520698 111.345446) (end 105.520698 112.336046) (width 0.2032) (layer TOP-L1) (net 281) (tstamp 5112B2D2))
+  (segment (start 105.953246 110.912898) (end 105.520698 111.345446) (width 0.2032) (layer TOP-L1) (net 281) (tstamp 5112B2CF))
+  (segment (start 116.493498 110.912898) (end 105.953246 110.912898) (width 0.2032) (layer TOP-L1) (net 281) (tstamp 5112B2CA))
+  (segment (start 117.8651 112.2845) (end 116.493498 110.912898) (width 0.2032) (layer TOP-L1) (net 281) (tstamp 5112B2C7))
+  (segment (start 126.1455 112.2845) (end 125.0533 112.2845) (width 0.2032) (layer TOP-L1) (net 282))
+  (segment (start 103.325088 114.1006) (end 99.30532 114.1006) (width 0.2032) (layer TOP-L1) (net 282) (tstamp 5112B2EC))
+  (segment (start 105.215896 112.209792) (end 103.325088 114.1006) (width 0.2032) (layer TOP-L1) (net 282) (tstamp 5112B2EA))
+  (segment (start 105.215896 111.219192) (end 105.215896 112.209792) (width 0.2032) (layer TOP-L1) (net 282) (tstamp 5112B2E9))
+  (segment (start 105.826992 110.608096) (end 105.215896 111.219192) (width 0.2032) (layer TOP-L1) (net 282) (tstamp 5112B2E5))
+  (segment (start 123.376896 110.608096) (end 105.826992 110.608096) (width 0.2032) (layer TOP-L1) (net 282) (tstamp 5112B2E3))
+  (segment (start 125.0533 112.2845) (end 123.376896 110.608096) (width 0.2032) (layer TOP-L1) (net 282) (tstamp 5112B2DC))
+  (segment (start 133.7655 112.2845) (end 133.1051 112.2845) (width 0.2032) (layer TOP-L1) (net 283))
+  (segment (start 103.493472 113.50116) (end 99.30532 113.50116) (width 0.2032) (layer TOP-L1) (net 283) (tstamp 5112B300))
+  (segment (start 104.911094 112.083538) (end 103.493472 113.50116) (width 0.2032) (layer TOP-L1) (net 283) (tstamp 5112B2FF))
+  (segment (start 104.911094 111.092938) (end 104.911094 112.083538) (width 0.2032) (layer TOP-L1) (net 283) (tstamp 5112B2FD))
+  (segment (start 105.700738 110.303294) (end 104.911094 111.092938) (width 0.2032) (layer TOP-L1) (net 283) (tstamp 5112B2F9))
+  (segment (start 131.123894 110.303294) (end 105.700738 110.303294) (width 0.2032) (layer TOP-L1) (net 283) (tstamp 5112B2F4))
+  (segment (start 133.1051 112.2845) (end 131.123894 110.303294) (width 0.2032) (layer TOP-L1) (net 283) (tstamp 5112B2F2))
+  (segment (start 99.30532 112.90172) (end 102.50552 112.90172) (width 0.1524) (layer TOP-L1) (net 284))
+  (segment (start 102.64014 112.7671) (end 103.1585 112.7671) (width 0.1524) (layer GND-L5) (net 284) (tstamp 51A5AFF3))
+  (segment (start 102.63632 112.77092) (end 102.64014 112.7671) (width 0.1524) (layer GND-L5) (net 284) (tstamp 51A5AFF2))
+  (via (at 102.63632 112.77092) (size 0.4572) (layers TOP-L1 BOT-L6) (net 284))
+  (segment (start 102.50552 112.90172) (end 102.63632 112.77092) (width 0.1524) (layer TOP-L1) (net 284) (tstamp 51A5AFEA))
+  (segment (start 141.3855 112.2845) (end 140.4711 112.2845) (width 0.2032) (layer GND-L5) (net 284))
+  (segment (start 104.7079 111.2177) (end 103.1585 112.7671) (width 0.2032) (layer GND-L5) (net 284) (tstamp 5112B42C))
+  (segment (start 139.4043 111.2177) (end 104.7079 111.2177) (width 0.2032) (layer GND-L5) (net 284) (tstamp 5112B424))
+  (segment (start 140.4711 112.2845) (end 139.4043 111.2177) (width 0.2032) (layer GND-L5) (net 284) (tstamp 5112B41E))
+  (segment (start 133.7655 133.6205) (end 132.6479 133.6205) (width 0.2032) (layer TOP-L1) (net 285))
+  (segment (start 103.652 134.49934) (end 99.30532 134.49934) (width 0.2032) (layer TOP-L1) (net 285) (tstamp 5112C5EA))
+  (segment (start 105.088894 133.062446) (end 103.652 134.49934) (width 0.2032) (layer TOP-L1) (net 285) (tstamp 5112C5E9))
+  (segment (start 105.088894 132.301938) (end 105.088894 133.062446) (width 0.2032) (layer TOP-L1) (net 285) (tstamp 5112C5E8))
+  (segment (start 105.751538 131.639294) (end 105.088894 132.301938) (width 0.2032) (layer TOP-L1) (net 285) (tstamp 5112C5E7))
+  (segment (start 130.666694 131.639294) (end 105.751538 131.639294) (width 0.2032) (layer TOP-L1) (net 285) (tstamp 5112C5E5))
+  (segment (start 132.6479 133.6205) (end 130.666694 131.639294) (width 0.2032) (layer TOP-L1) (net 285) (tstamp 5112C5E3))
+  (segment (start 118.5255 139.7165) (end 117.4333 139.7165) (width 0.2032) (layer TOP-L1) (net 286))
+  (segment (start 103.588504 141.70024) (end 99.30532 141.70024) (width 0.2032) (layer TOP-L1) (net 286) (tstamp 5112C6B8))
+  (segment (start 105.723898 139.564846) (end 103.588504 141.70024) (width 0.2032) (layer TOP-L1) (net 286) (tstamp 5112C6B7))
+  (segment (start 105.723898 138.625046) (end 105.723898 139.564846) (width 0.2032) (layer TOP-L1) (net 286) (tstamp 5112C6B6))
+  (segment (start 106.004046 138.344898) (end 105.723898 138.625046) (width 0.2032) (layer TOP-L1) (net 286) (tstamp 5112C6B5))
+  (segment (start 116.061698 138.344898) (end 106.004046 138.344898) (width 0.2032) (layer TOP-L1) (net 286) (tstamp 5112C6B3))
+  (segment (start 117.4333 139.7165) (end 116.061698 138.344898) (width 0.2032) (layer TOP-L1) (net 286) (tstamp 5112C6B1))
+  (segment (start 126.1455 139.7165) (end 125.0279 139.7165) (width 0.2032) (layer TOP-L1) (net 287))
+  (segment (start 103.759428 141.09826) (end 99.30532 141.09826) (width 0.2032) (layer TOP-L1) (net 287) (tstamp 5112C6C4))
+  (segment (start 105.419096 139.438592) (end 103.759428 141.09826) (width 0.2032) (layer TOP-L1) (net 287) (tstamp 5112C6C2))
+  (segment (start 105.419096 138.498792) (end 105.419096 139.438592) (width 0.2032) (layer TOP-L1) (net 287) (tstamp 5112C6C1))
+  (segment (start 105.877792 138.040096) (end 105.419096 138.498792) (width 0.2032) (layer TOP-L1) (net 287) (tstamp 5112C6C0))
+  (segment (start 123.351496 138.040096) (end 105.877792 138.040096) (width 0.2032) (layer TOP-L1) (net 287) (tstamp 5112C6BE))
+  (segment (start 125.0279 139.7165) (end 123.351496 138.040096) (width 0.2032) (layer TOP-L1) (net 287) (tstamp 5112C6BC))
+  (segment (start 133.7655 139.7165) (end 132.9019 139.7165) (width 0.2032) (layer TOP-L1) (net 288))
+  (segment (start 103.927812 140.49882) (end 99.30532 140.49882) (width 0.2032) (layer TOP-L1) (net 288) (tstamp 5112C6D0))
+  (segment (start 105.114294 139.312338) (end 103.927812 140.49882) (width 0.2032) (layer TOP-L1) (net 288) (tstamp 5112C6CE))
+  (segment (start 105.114294 138.372538) (end 105.114294 139.312338) (width 0.2032) (layer TOP-L1) (net 288) (tstamp 5112C6CD))
+  (segment (start 105.751538 137.735294) (end 105.114294 138.372538) (width 0.2032) (layer TOP-L1) (net 288) (tstamp 5112C6CC))
+  (segment (start 130.920694 137.735294) (end 105.751538 137.735294) (width 0.2032) (layer TOP-L1) (net 288) (tstamp 5112C6CA))
+  (segment (start 132.9019 139.7165) (end 130.920694 137.735294) (width 0.2032) (layer TOP-L1) (net 288) (tstamp 5112C6C8))
+  (segment (start 141.3855 139.7165) (end 140.7505 139.7165) (width 0.2032) (layer GND-L5) (net 289))
+  (segment (start 103.43282 139.89938) (end 99.30532 139.89938) (width 0.2032) (layer TOP-L1) (net 289) (tstamp 5112C6DA))
+  (segment (start 104.5809 138.7513) (end 103.43282 139.89938) (width 0.2032) (layer TOP-L1) (net 289) (tstamp 5112C6D9))
+  (via (at 104.5809 138.7513) (size 0.4572) (layers TOP-L1 BOT-L6) (net 289))
+  (segment (start 104.6825 138.6497) (end 104.5809 138.7513) (width 0.2032) (layer GND-L5) (net 289) (tstamp 5112C6D7))
+  (segment (start 139.6837 138.6497) (end 104.6825 138.6497) (width 0.2032) (layer GND-L5) (net 289) (tstamp 5112C6D5))
+  (segment (start 140.7505 139.7165) (end 139.6837 138.6497) (width 0.2032) (layer GND-L5) (net 289) (tstamp 5112C6D4))
+  (segment (start 110.9055 136.6685) (end 110.2705 136.6685) (width 0.2032) (layer TOP-L1) (net 290))
+  (segment (start 103.21946 139.29994) (end 99.30532 139.29994) (width 0.2032) (layer TOP-L1) (net 290) (tstamp 5112C62F))
+  (segment (start 106.0287 136.4907) (end 103.21946 139.29994) (width 0.2032) (layer TOP-L1) (net 290) (tstamp 5112C62E))
+  (segment (start 106.0287 135.7033) (end 106.0287 136.4907) (width 0.2032) (layer TOP-L1) (net 290) (tstamp 5112C62D))
+  (segment (start 106.1303 135.6017) (end 106.0287 135.7033) (width 0.2032) (layer TOP-L1) (net 290) (tstamp 5112C62C))
+  (segment (start 109.2037 135.6017) (end 106.1303 135.6017) (width 0.2032) (layer TOP-L1) (net 290) (tstamp 5112C62A))
+  (segment (start 110.2705 136.6685) (end 109.2037 135.6017) (width 0.2032) (layer TOP-L1) (net 290) (tstamp 5112C629))
+  (segment (start 118.5255 136.6685) (end 117.2809 136.6685) (width 0.2032) (layer TOP-L1) (net 291))
+  (segment (start 103.390384 138.69796) (end 99.30532 138.69796) (width 0.2032) (layer TOP-L1) (net 291) (tstamp 5112C63A))
+  (segment (start 105.723898 136.364446) (end 103.390384 138.69796) (width 0.2032) (layer TOP-L1) (net 291) (tstamp 5112C639))
+  (segment (start 105.723898 135.577046) (end 105.723898 136.364446) (width 0.2032) (layer TOP-L1) (net 291) (tstamp 5112C638))
+  (segment (start 106.004046 135.296898) (end 105.723898 135.577046) (width 0.2032) (layer TOP-L1) (net 291) (tstamp 5112C637))
+  (segment (start 115.909298 135.296898) (end 106.004046 135.296898) (width 0.2032) (layer TOP-L1) (net 291) (tstamp 5112C635))
+  (segment (start 117.2809 136.6685) (end 115.909298 135.296898) (width 0.2032) (layer TOP-L1) (net 291) (tstamp 5112C633))
+  (segment (start 126.1455 136.6685) (end 124.6469 136.6685) (width 0.2032) (layer TOP-L1) (net 292))
+  (segment (start 103.558768 138.09852) (end 99.30532 138.09852) (width 0.2032) (layer TOP-L1) (net 292) (tstamp 5112C645))
+  (segment (start 105.419096 136.238192) (end 103.558768 138.09852) (width 0.2032) (layer TOP-L1) (net 292) (tstamp 5112C644))
+  (segment (start 105.419096 135.450792) (end 105.419096 136.238192) (width 0.2032) (layer TOP-L1) (net 292) (tstamp 5112C643))
+  (segment (start 105.877792 134.992096) (end 105.419096 135.450792) (width 0.2032) (layer TOP-L1) (net 292) (tstamp 5112C642))
+  (segment (start 122.970496 134.992096) (end 105.877792 134.992096) (width 0.2032) (layer TOP-L1) (net 292) (tstamp 5112C640))
+  (segment (start 124.6469 136.6685) (end 122.970496 134.992096) (width 0.2032) (layer TOP-L1) (net 292) (tstamp 5112C63E))
+  (segment (start 133.7655 136.6685) (end 132.3177 136.6685) (width 0.2032) (layer TOP-L1) (net 293))
+  (segment (start 103.727152 137.49908) (end 99.30532 137.49908) (width 0.2032) (layer TOP-L1) (net 293) (tstamp 5112C650))
+  (segment (start 105.114294 136.111938) (end 103.727152 137.49908) (width 0.2032) (layer TOP-L1) (net 293) (tstamp 5112C64F))
+  (segment (start 105.114294 135.324538) (end 105.114294 136.111938) (width 0.2032) (layer TOP-L1) (net 293) (tstamp 5112C64E))
+  (segment (start 105.751538 134.687294) (end 105.114294 135.324538) (width 0.2032) (layer TOP-L1) (net 293) (tstamp 5112C64D))
+  (segment (start 130.336494 134.687294) (end 105.751538 134.687294) (width 0.2032) (layer TOP-L1) (net 293) (tstamp 5112C64B))
+  (segment (start 132.3177 136.6685) (end 130.336494 134.687294) (width 0.2032) (layer TOP-L1) (net 293) (tstamp 5112C649))
+  (segment (start 99.30532 136.89964) (end 103.298 136.89964) (width 0.1524) (layer TOP-L1) (net 294))
+  (segment (start 104.64674 135.5509) (end 104.6571 135.5509) (width 0.1524) (layer GND-L5) (net 294) (tstamp 51A5B19A))
+  (segment (start 104.25176 135.94588) (end 104.64674 135.5509) (width 0.1524) (layer GND-L5) (net 294) (tstamp 51A5B199))
+  (via (at 104.25176 135.94588) (size 0.4572) (layers TOP-L1 BOT-L6) (net 294))
+  (segment (start 103.298 136.89964) (end 104.25176 135.94588) (width 0.1524) (layer TOP-L1) (net 294) (tstamp 51A5B182))
+  (segment (start 141.3855 136.6685) (end 140.2933 136.6685) (width 0.2032) (layer GND-L5) (net 294))
+  (segment (start 104.7079 135.6017) (end 104.6571 135.5509) (width 0.2032) (layer GND-L5) (net 294) (tstamp 5112C665))
+  (segment (start 139.2265 135.6017) (end 104.7079 135.6017) (width 0.2032) (layer GND-L5) (net 294) (tstamp 5112C663))
+  (segment (start 140.2933 136.6685) (end 139.2265 135.6017) (width 0.2032) (layer GND-L5) (net 294) (tstamp 5112C661))
+  (segment (start 110.9055 133.6205) (end 110.0419 133.6205) (width 0.2032) (layer TOP-L1) (net 295))
+  (segment (start 103.2982 136.3002) (end 99.30532 136.3002) (width 0.2032) (layer TOP-L1) (net 295) (tstamp 5112C5C7))
+  (segment (start 106.0033 133.5951) (end 103.2982 136.3002) (width 0.2032) (layer TOP-L1) (net 295) (tstamp 5112C5C6))
+  (segment (start 106.0033 132.6807) (end 106.0033 133.5951) (width 0.2032) (layer TOP-L1) (net 295) (tstamp 5112C5C5))
+  (segment (start 106.1303 132.5537) (end 106.0033 132.6807) (width 0.2032) (layer TOP-L1) (net 295) (tstamp 5112C5C4))
+  (segment (start 108.9751 132.5537) (end 106.1303 132.5537) (width 0.2032) (layer TOP-L1) (net 295) (tstamp 5112C5C2))
+  (segment (start 110.0419 133.6205) (end 108.9751 132.5537) (width 0.2032) (layer TOP-L1) (net 295) (tstamp 5112C5C0))
+  (segment (start 118.5255 133.6205) (end 117.2301 133.6205) (width 0.2032) (layer TOP-L1) (net 296))
+  (segment (start 103.469124 135.69822) (end 99.30532 135.69822) (width 0.2032) (layer TOP-L1) (net 296) (tstamp 5112C5D3))
+  (segment (start 105.698498 133.468846) (end 103.469124 135.69822) (width 0.2032) (layer TOP-L1) (net 296) (tstamp 5112C5D1))
+  (segment (start 105.698498 132.554446) (end 105.698498 133.468846) (width 0.2032) (layer TOP-L1) (net 296) (tstamp 5112C5D0))
+  (segment (start 106.004046 132.248898) (end 105.698498 132.554446) (width 0.2032) (layer TOP-L1) (net 296) (tstamp 5112C5CF))
+  (segment (start 115.858498 132.248898) (end 106.004046 132.248898) (width 0.2032) (layer TOP-L1) (net 296) (tstamp 5112C5CD))
+  (segment (start 117.2301 133.6205) (end 115.858498 132.248898) (width 0.2032) (layer TOP-L1) (net 296) (tstamp 5112C5CB))
+  (segment (start 126.1455 133.6205) (end 125.2057 133.6205) (width 0.2032) (layer TOP-L1) (net 297))
+  (segment (start 103.483616 135.09878) (end 99.30532 135.09878) (width 0.2032) (layer TOP-L1) (net 297) (tstamp 5112C5DF))
+  (segment (start 105.393696 133.1887) (end 103.483616 135.09878) (width 0.2032) (layer TOP-L1) (net 297) (tstamp 5112C5DE))
+  (segment (start 105.393696 132.428192) (end 105.393696 133.1887) (width 0.2032) (layer TOP-L1) (net 297) (tstamp 5112C5DD))
+  (segment (start 105.877792 131.944096) (end 105.393696 132.428192) (width 0.2032) (layer TOP-L1) (net 297) (tstamp 5112C5DC))
+  (segment (start 123.529296 131.944096) (end 105.877792 131.944096) (width 0.2032) (layer TOP-L1) (net 297) (tstamp 5112C5DA))
+  (segment (start 125.2057 133.6205) (end 123.529296 131.944096) (width 0.2032) (layer TOP-L1) (net 297) (tstamp 5112C5D7))
+  (segment (start 110.9055 109.2365) (end 109.5593 109.2365) (width 0.2032) (layer TOP-L1) (net 298))
+  (segment (start 103.26772 112.30228) (end 99.30532 112.30228) (width 0.2032) (layer TOP-L1) (net 298) (tstamp 51128A41))
+  (segment (start 105.6223 109.9477) (end 103.26772 112.30228) (width 0.2032) (layer TOP-L1) (net 298) (tstamp 51128A3F))
+  (segment (start 105.6223 108.4999) (end 105.6223 109.9477) (width 0.2032) (layer TOP-L1) (net 298) (tstamp 51128A3E))
+  (segment (start 105.9525 108.1697) (end 105.6223 108.4999) (width 0.2032) (layer TOP-L1) (net 298) (tstamp 51128A3D))
+  (segment (start 108.4925 108.1697) (end 105.9525 108.1697) (width 0.2032) (layer TOP-L1) (net 298) (tstamp 51128A39))
+  (segment (start 109.5593 109.2365) (end 108.4925 108.1697) (width 0.2032) (layer TOP-L1) (net 298) (tstamp 51128A37))
+  (segment (start 104.5553 132.6553) (end 104.6063 132.6553) (width 0.1524) (layer GND-L5) (net 299) (tstamp 51A5B150))
+  (segment (start 104.31272 132.89788) (end 104.5553 132.6553) (width 0.1524) (layer GND-L5) (net 299) (tstamp 51A5B14F))
+  (via (at 104.31272 132.89788) (size 0.4572) (layers TOP-L1 BOT-L6) (net 299))
+  (segment (start 103.3107 133.8999) (end 104.31272 132.89788) (width 0.1524) (layer TOP-L1) (net 299) (tstamp 51A5B146))
+  (segment (start 99.30532 133.8999) (end 103.3107 133.8999) (width 0.1524) (layer TOP-L1) (net 299))
+  (segment (start 141.3855 133.6205) (end 140.0901 133.6205) (width 0.2032) (layer GND-L5) (net 299))
+  (segment (start 104.7079 132.5537) (end 104.6063 132.6553) (width 0.2032) (layer GND-L5) (net 299) (tstamp 5112C5F2))
+  (segment (start 139.0233 132.5537) (end 104.7079 132.5537) (width 0.2032) (layer GND-L5) (net 299) (tstamp 5112C5F0))
+  (segment (start 140.0901 133.6205) (end 139.0233 132.5537) (width 0.2032) (layer GND-L5) (net 299) (tstamp 5112C5EE))
+  (segment (start 110.9055 130.5725) (end 109.8641 130.5725) (width 0.2032) (layer TOP-L1) (net 300))
+  (segment (start 103.22454 133.30046) (end 99.30532 133.30046) (width 0.2032) (layer TOP-L1) (net 300) (tstamp 5112C553))
+  (segment (start 106.0287 130.4963) (end 103.22454 133.30046) (width 0.2032) (layer TOP-L1) (net 300) (tstamp 5112C552))
+  (segment (start 106.0287 129.6327) (end 106.0287 130.4963) (width 0.2032) (layer TOP-L1) (net 300) (tstamp 5112C551))
+  (segment (start 106.1557 129.5057) (end 106.0287 129.6327) (width 0.2032) (layer TOP-L1) (net 300) (tstamp 5112C550))
+  (segment (start 108.7973 129.5057) (end 106.1557 129.5057) (width 0.2032) (layer TOP-L1) (net 300) (tstamp 5112C54E))
+  (segment (start 109.8641 130.5725) (end 108.7973 129.5057) (width 0.2032) (layer TOP-L1) (net 300) (tstamp 5112C54C))
+  (segment (start 118.5255 130.5725) (end 117.3571 130.5725) (width 0.2032) (layer TOP-L1) (net 301))
+  (segment (start 103.395464 132.69848) (end 99.30532 132.69848) (width 0.2032) (layer TOP-L1) (net 301) (tstamp 5112C55E))
+  (segment (start 105.723898 130.370046) (end 103.395464 132.69848) (width 0.2032) (layer TOP-L1) (net 301) (tstamp 5112C55D))
+  (segment (start 105.723898 129.506446) (end 105.723898 130.370046) (width 0.2032) (layer TOP-L1) (net 301) (tstamp 5112C55C))
+  (segment (start 106.029446 129.200898) (end 105.723898 129.506446) (width 0.2032) (layer TOP-L1) (net 301) (tstamp 5112C55B))
+  (segment (start 115.985498 129.200898) (end 106.029446 129.200898) (width 0.2032) (layer TOP-L1) (net 301) (tstamp 5112C559))
+  (segment (start 117.3571 130.5725) (end 115.985498 129.200898) (width 0.2032) (layer TOP-L1) (net 301) (tstamp 5112C557))
+  (segment (start 126.1455 130.5725) (end 124.8755 130.5725) (width 0.2032) (layer TOP-L1) (net 302))
+  (segment (start 103.563848 132.09904) (end 99.30532 132.09904) (width 0.2032) (layer TOP-L1) (net 302) (tstamp 5112C56A))
+  (segment (start 105.419096 130.243792) (end 103.563848 132.09904) (width 0.2032) (layer TOP-L1) (net 302) (tstamp 5112C568))
+  (segment (start 105.419096 129.380192) (end 105.419096 130.243792) (width 0.2032) (layer TOP-L1) (net 302) (tstamp 5112C567))
+  (segment (start 105.903192 128.896096) (end 105.419096 129.380192) (width 0.2032) (layer TOP-L1) (net 302) (tstamp 5112C566))
+  (segment (start 123.199096 128.896096) (end 105.903192 128.896096) (width 0.2032) (layer TOP-L1) (net 302) (tstamp 5112C564))
+  (segment (start 124.8755 130.5725) (end 123.199096 128.896096) (width 0.2032) (layer TOP-L1) (net 302) (tstamp 5112C562))
+  (segment (start 133.7655 130.5725) (end 132.1145 130.5725) (width 0.2032) (layer TOP-L1) (net 303))
+  (segment (start 103.732232 131.4996) (end 99.30532 131.4996) (width 0.2032) (layer TOP-L1) (net 303) (tstamp 5112C574))
+  (segment (start 105.114294 130.117538) (end 103.732232 131.4996) (width 0.2032) (layer TOP-L1) (net 303) (tstamp 5112C573))
+  (segment (start 105.114294 129.253938) (end 105.114294 130.117538) (width 0.2032) (layer TOP-L1) (net 303) (tstamp 5112C572))
+  (segment (start 105.776938 128.591294) (end 105.114294 129.253938) (width 0.2032) (layer TOP-L1) (net 303) (tstamp 5112C571))
+  (segment (start 130.133294 128.591294) (end 105.776938 128.591294) (width 0.2032) (layer TOP-L1) (net 303) (tstamp 5112C56F))
+  (segment (start 132.1145 130.5725) (end 130.133294 128.591294) (width 0.2032) (layer TOP-L1) (net 303) (tstamp 5112C56E))
+  (segment (start 99.30532 130.90016) (end 103.26752 130.90016) (width 0.1524) (layer TOP-L1) (net 304))
+  (segment (start 104.63658 129.5311) (end 104.6825 129.5311) (width 0.1524) (layer GND-L5) (net 304) (tstamp 51A5B124))
+  (segment (start 104.38892 129.77876) (end 104.63658 129.5311) (width 0.1524) (layer GND-L5) (net 304) (tstamp 51A5B123))
+  (via (at 104.38892 129.77876) (size 0.4572) (layers TOP-L1 BOT-L6) (net 304))
+  (segment (start 103.26752 130.90016) (end 104.38892 129.77876) (width 0.1524) (layer TOP-L1) (net 304) (tstamp 51A5B11B))
+  (segment (start 141.3855 130.5725) (end 139.9631 130.5725) (width 0.2032) (layer GND-L5) (net 304))
+  (segment (start 104.7079 129.5057) (end 104.6825 129.5311) (width 0.2032) (layer GND-L5) (net 304) (tstamp 5112C57C))
+  (segment (start 138.8963 129.5057) (end 104.7079 129.5057) (width 0.2032) (layer GND-L5) (net 304) (tstamp 5112C57A))
+  (segment (start 139.9631 130.5725) (end 138.8963 129.5057) (width 0.2032) (layer GND-L5) (net 304) (tstamp 5112C578))
+  (segment (start 110.9055 127.5245) (end 109.8895 127.5245) (width 0.2032) (layer TOP-L1) (net 305))
+  (segment (start 103.12802 130.29818) (end 99.30532 130.29818) (width 0.2032) (layer TOP-L1) (net 305) (tstamp 5112C4EC))
+  (segment (start 105.9271 127.4991) (end 103.12802 130.29818) (width 0.2032) (layer TOP-L1) (net 305) (tstamp 5112C4EB))
+  (segment (start 105.9271 126.7879) (end 105.9271 127.4991) (width 0.2032) (layer TOP-L1) (net 305) (tstamp 5112C4EA))
+  (segment (start 106.2573 126.4577) (end 105.9271 126.7879) (width 0.2032) (layer TOP-L1) (net 305) (tstamp 5112C4E9))
+  (segment (start 108.8227 126.4577) (end 106.2573 126.4577) (width 0.2032) (layer TOP-L1) (net 305) (tstamp 5112C4E7))
+  (segment (start 109.8895 127.5245) (end 108.8227 126.4577) (width 0.2032) (layer TOP-L1) (net 305) (tstamp 5112C4E5))
+  (segment (start 118.5255 127.5245) (end 117.2301 127.5245) (width 0.2032) (layer TOP-L1) (net 306))
+  (segment (start 103.296404 129.69874) (end 99.30532 129.69874) (width 0.2032) (layer TOP-L1) (net 306) (tstamp 5112C4F7))
+  (segment (start 105.622298 127.372846) (end 103.296404 129.69874) (width 0.2032) (layer TOP-L1) (net 306) (tstamp 5112C4F6))
+  (segment (start 105.622298 126.661646) (end 105.622298 127.372846) (width 0.2032) (layer TOP-L1) (net 306) (tstamp 5112C4F5))
+  (segment (start 106.131046 126.152898) (end 105.622298 126.661646) (width 0.2032) (layer TOP-L1) (net 306) (tstamp 5112C4F4))
+  (segment (start 115.858498 126.152898) (end 106.131046 126.152898) (width 0.2032) (layer TOP-L1) (net 306) (tstamp 5112C4F2))
+  (segment (start 117.2301 127.5245) (end 115.858498 126.152898) (width 0.2032) (layer TOP-L1) (net 306) (tstamp 5112C4F0))
+  (segment (start 126.1455 127.5245) (end 124.8247 127.5245) (width 0.2032) (layer TOP-L1) (net 307))
+  (segment (start 103.464788 129.0993) (end 99.30532 129.0993) (width 0.2032) (layer TOP-L1) (net 307) (tstamp 5112C501))
+  (segment (start 105.317496 127.246592) (end 103.464788 129.0993) (width 0.2032) (layer TOP-L1) (net 307) (tstamp 5112C500))
+  (segment (start 105.317496 126.535392) (end 105.317496 127.246592) (width 0.2032) (layer TOP-L1) (net 307) (tstamp 5112C4FF))
+  (segment (start 106.004792 125.848096) (end 105.317496 126.535392) (width 0.2032) (layer TOP-L1) (net 307) (tstamp 5112C4FE))
+  (segment (start 123.148296 125.848096) (end 106.004792 125.848096) (width 0.2032) (layer TOP-L1) (net 307) (tstamp 5112C4FC))
+  (segment (start 124.8247 127.5245) (end 123.148296 125.848096) (width 0.2032) (layer TOP-L1) (net 307) (tstamp 5112C4FB))
+  (segment (start 133.7655 127.5245) (end 132.4701 127.5245) (width 0.2032) (layer TOP-L1) (net 308))
+  (segment (start 103.633172 128.49986) (end 99.30532 128.49986) (width 0.2032) (layer TOP-L1) (net 308) (tstamp 5112C50C))
+  (segment (start 105.012694 127.120338) (end 103.633172 128.49986) (width 0.2032) (layer TOP-L1) (net 308) (tstamp 5112C50B))
+  (segment (start 105.012694 126.409138) (end 105.012694 127.120338) (width 0.2032) (layer TOP-L1) (net 308) (tstamp 5112C50A))
+  (segment (start 105.878538 125.543294) (end 105.012694 126.409138) (width 0.2032) (layer TOP-L1) (net 308) (tstamp 5112C509))
+  (segment (start 130.488894 125.543294) (end 105.878538 125.543294) (width 0.2032) (layer TOP-L1) (net 308) (tstamp 5112C507))
+  (segment (start 132.4701 127.5245) (end 130.488894 125.543294) (width 0.2032) (layer TOP-L1) (net 308) (tstamp 5112C505))
+  (segment (start 104.52482 126.5339) (end 104.5555 126.5339) (width 0.1524) (layer GND-L5) (net 309) (tstamp 51A5B0F4))
+  (segment (start 104.31272 126.746) (end 104.52482 126.5339) (width 0.1524) (layer GND-L5) (net 309) (tstamp 51A5B0F3))
+  (via (at 104.31272 126.746) (size 0.4572) (layers TOP-L1 BOT-L6) (net 309))
+  (segment (start 103.1583 127.90042) (end 104.31272 126.746) (width 0.1524) (layer TOP-L1) (net 309) (tstamp 51A5B0E9))
+  (segment (start 99.30532 127.90042) (end 103.1583 127.90042) (width 0.1524) (layer TOP-L1) (net 309))
+  (segment (start 141.3855 127.5245) (end 140.1155 127.5245) (width 0.2032) (layer GND-L5) (net 309))
+  (segment (start 104.6317 126.4577) (end 104.5555 126.5339) (width 0.2032) (layer GND-L5) (net 309) (tstamp 5112C514))
+  (segment (start 139.0487 126.4577) (end 104.6317 126.4577) (width 0.2032) (layer GND-L5) (net 309) (tstamp 5112C512))
+  (segment (start 140.1155 127.5245) (end 139.0487 126.4577) (width 0.2032) (layer GND-L5) (net 309) (tstamp 5112C510))
+  (segment (start 116.1125 85.919294) (end 116.39115 85.919294) (width 0.2032) (layer TOP-L1) (net 310))
+  (segment (start 131.250898 86.528898) (end 131.5303 86.8083) (width 0.2032) (layer TOP-L1) (net 310) (tstamp 5112BE10))
+  (segment (start 117.000754 86.528898) (end 131.250898 86.528898) (width 0.2032) (layer TOP-L1) (net 310) (tstamp 5112BE0F))
+  (segment (start 116.39115 85.919294) (end 117.000754 86.528898) (width 0.2032) (layer TOP-L1) (net 310) (tstamp 5112BE0E))
+  (segment (start 133.7655 87.9005) (end 132.6225 87.9005) (width 0.2032) (layer TOP-L1) (net 310))
+  (segment (start 132.6225 87.9005) (end 131.5303 86.8083) (width 0.2032) (layer TOP-L1) (net 310) (tstamp 51127E03))
+  (segment (start 102.45492 85.90152) (end 99.30532 85.90152) (width 0.2032) (layer TOP-L1) (net 310) (tstamp 51127E0E))
+  (segment (start 102.472694 85.919294) (end 102.45492 85.90152) (width 0.2032) (layer TOP-L1) (net 310) (tstamp 51127E0B))
+  (segment (start 116.1125 85.919294) (end 102.472694 85.919294) (width 0.2032) (layer TOP-L1) (net 310) (tstamp 5112BE0C))
+  (segment (start 118.5255 93.9965) (end 117.3317 93.9965) (width 0.2032) (layer TOP-L1) (net 311))
+  (segment (start 103.621524 93.10242) (end 99.30532 93.10242) (width 0.2032) (layer TOP-L1) (net 311) (tstamp 51127BF3))
+  (segment (start 104.099046 92.624898) (end 103.621524 93.10242) (width 0.2032) (layer TOP-L1) (net 311) (tstamp 51127BF0))
+  (segment (start 115.960098 92.624898) (end 104.099046 92.624898) (width 0.2032) (layer TOP-L1) (net 311) (tstamp 51127BE4))
+  (segment (start 117.3317 93.9965) (end 115.960098 92.624898) (width 0.2032) (layer TOP-L1) (net 311) (tstamp 51127BDF))
+  (segment (start 126.1455 93.9965) (end 124.9771 93.9965) (width 0.2032) (layer TOP-L1) (net 312))
+  (segment (start 103.789908 92.50298) (end 99.30532 92.50298) (width 0.2032) (layer TOP-L1) (net 312) (tstamp 51127CA0))
+  (segment (start 103.972792 92.320096) (end 103.789908 92.50298) (width 0.2032) (layer TOP-L1) (net 312) (tstamp 51127C9E))
+  (segment (start 123.300696 92.320096) (end 103.972792 92.320096) (width 0.2032) (layer TOP-L1) (net 312) (tstamp 51127C9A))
+  (segment (start 124.9771 93.9965) (end 123.300696 92.320096) (width 0.2032) (layer TOP-L1) (net 312) (tstamp 51127C97))
+  (segment (start 133.7655 93.9965) (end 133.3337 93.9965) (width 0.2032) (layer TOP-L1) (net 313))
+  (segment (start 101.8758 91.901) (end 99.30532 91.901) (width 0.2032) (layer TOP-L1) (net 313) (tstamp 51127DD7))
+  (segment (start 101.990094 92.015294) (end 101.8758 91.901) (width 0.2032) (layer TOP-L1) (net 313) (tstamp 51127DD2))
+  (segment (start 131.352494 92.015294) (end 101.990094 92.015294) (width 0.1524) (layer TOP-L1) (net 313) (tstamp 51127DC7))
+  (segment (start 133.3337 93.9965) (end 131.352494 92.015294) (width 0.2032) (layer TOP-L1) (net 313) (tstamp 51127DC4))
+  (segment (start 99.30532 91.30156) (end 103.24464 91.30156) (width 0.1524) (layer TOP-L1) (net 314))
+  (segment (start 103.45812 91.51504) (end 103.45812 92.13712) (width 0.1524) (layer GND-L5) (net 314) (tstamp 51A5AEA9))
+  (segment (start 103.44912 91.50604) (end 103.45812 91.51504) (width 0.1524) (layer GND-L5) (net 314) (tstamp 51A5AEA8))
+  (via (at 103.44912 91.50604) (size 0.4572) (layers TOP-L1 BOT-L6) (net 314))
+  (segment (start 103.24464 91.30156) (end 103.44912 91.50604) (width 0.1524) (layer TOP-L1) (net 314) (tstamp 51A5AE9E))
+  (segment (start 141.3855 93.9965) (end 140.6743 93.9965) (width 0.2032) (layer GND-L5) (net 314))
+  (segment (start 104.2507 92.9297) (end 103.45812 92.13712) (width 0.2032) (layer GND-L5) (net 314) (tstamp 51127F7F))
+  (segment (start 139.6075 92.9297) (end 104.2507 92.9297) (width 0.2032) (layer GND-L5) (net 314) (tstamp 51127F6D))
+  (segment (start 140.6743 93.9965) (end 139.6075 92.9297) (width 0.2032) (layer GND-L5) (net 314) (tstamp 51127F69))
+  (segment (start 110.9055 90.9485) (end 109.6863 90.9485) (width 0.2032) (layer TOP-L1) (net 315))
+  (segment (start 103.37948 90.70212) (end 99.30532 90.70212) (width 0.2032) (layer TOP-L1) (net 315) (tstamp 51127B28))
+  (segment (start 104.1999 89.8817) (end 103.37948 90.70212) (width 0.2032) (layer TOP-L1) (net 315) (tstamp 51127B27))
+  (segment (start 108.6195 89.8817) (end 104.1999 89.8817) (width 0.2032) (layer TOP-L1) (net 315) (tstamp 51127B25))
+  (segment (start 109.6863 90.9485) (end 108.6195 89.8817) (width 0.2032) (layer TOP-L1) (net 315) (tstamp 51127B23))
+  (segment (start 118.5255 90.9485) (end 117.1031 90.9485) (width 0.2032) (layer TOP-L1) (net 316))
+  (segment (start 103.547864 90.10268) (end 99.30532 90.10268) (width 0.2032) (layer TOP-L1) (net 316) (tstamp 51127B72))
+  (segment (start 104.073646 89.576898) (end 103.547864 90.10268) (width 0.2032) (layer TOP-L1) (net 316) (tstamp 51127B71))
+  (segment (start 115.731498 89.576898) (end 104.073646 89.576898) (width 0.2032) (layer TOP-L1) (net 316) (tstamp 51127B6F))
+  (segment (start 117.1031 90.9485) (end 115.731498 89.576898) (width 0.2032) (layer TOP-L1) (net 316) (tstamp 51127B6D))
+  (segment (start 126.1455 90.9485) (end 125.7645 90.9485) (width 0.2032) (layer TOP-L1) (net 317))
+  (segment (start 103.6157 89.5007) (end 99.30532 89.5007) (width 0.2032) (layer TOP-L1) (net 317) (tstamp 51127C85))
+  (segment (start 103.844304 89.272096) (end 103.6157 89.5007) (width 0.2032) (layer TOP-L1) (net 317) (tstamp 51127C82))
+  (segment (start 124.088096 89.272096) (end 103.844304 89.272096) (width 0.2032) (layer TOP-L1) (net 317) (tstamp 51127C7D))
+  (segment (start 125.7645 90.9485) (end 124.088096 89.272096) (width 0.2032) (layer TOP-L1) (net 317) (tstamp 51127C7A))
+  (segment (start 133.7655 90.9485) (end 132.9019 90.9485) (width 0.2032) (layer TOP-L1) (net 318))
+  (segment (start 102.91466 88.90126) (end 99.30532 88.90126) (width 0.2032) (layer TOP-L1) (net 318) (tstamp 51127DFF))
+  (segment (start 102.980694 88.967294) (end 102.91466 88.90126) (width 0.2032) (layer TOP-L1) (net 318) (tstamp 51127DFE))
+  (segment (start 130.920694 88.967294) (end 102.980694 88.967294) (width 0.1524) (layer TOP-L1) (net 318) (tstamp 51127DE3))
+  (segment (start 132.9019 90.9485) (end 130.920694 88.967294) (width 0.2032) (layer TOP-L1) (net 318) (tstamp 51127DE0))
+  (segment (start 99.30532 88.30182) (end 104.4613 88.30182) (width 0.1524) (layer TOP-L1) (net 319))
+  (segment (start 104.59096 88.43148) (end 104.59096 89.05356) (width 0.1524) (layer GND-L5) (net 319) (tstamp 51A5AE7E))
+  (segment (start 104.56164 88.40216) (end 104.59096 88.43148) (width 0.1524) (layer GND-L5) (net 319) (tstamp 51A5AE7D))
+  (via (at 104.56164 88.40216) (size 0.4572) (layers TOP-L1 BOT-L6) (net 319))
+  (segment (start 104.4613 88.30182) (end 104.56164 88.40216) (width 0.1524) (layer TOP-L1) (net 319) (tstamp 51A5AE78))
+  (segment (start 141.3855 90.9485) (end 140.8267 90.9485) (width 0.2032) (layer GND-L5) (net 319))
+  (segment (start 105.4191 89.8817) (end 104.59096 89.05356) (width 0.2032) (layer GND-L5) (net 319) (tstamp 51127FA4))
+  (segment (start 139.7599 89.8817) (end 105.4191 89.8817) (width 0.2032) (layer GND-L5) (net 319) (tstamp 51127F9E))
+  (segment (start 140.8267 90.9485) (end 139.7599 89.8817) (width 0.2032) (layer GND-L5) (net 319) (tstamp 51127F9C))
+  (segment (start 110.9055 87.9005) (end 109.2291 87.9005) (width 0.2032) (layer TOP-L1) (net 320))
+  (segment (start 102.69622 87.70238) (end 99.30532 87.70238) (width 0.2032) (layer TOP-L1) (net 320) (tstamp 51127B1F))
+  (segment (start 103.5649 86.8337) (end 102.69622 87.70238) (width 0.2032) (layer TOP-L1) (net 320) (tstamp 51127B1E))
+  (segment (start 108.1623 86.8337) (end 103.5649 86.8337) (width 0.2032) (layer TOP-L1) (net 320) (tstamp 51127B1C))
+  (segment (start 109.2291 87.9005) (end 108.1623 86.8337) (width 0.2032) (layer TOP-L1) (net 320) (tstamp 51127B1A))
+  (segment (start 99.30532 87.10294) (end 102.864604 87.10294) (width 0.2032) (layer TOP-L1) (net 321))
+  (segment (start 117.1285 87.9005) (end 118.5255 87.9005) (width 0.2032) (layer TOP-L1) (net 321) (tstamp 51127B6A))
+  (segment (start 115.756898 86.528898) (end 117.1285 87.9005) (width 0.2032) (layer TOP-L1) (net 321) (tstamp 51127B69))
+  (segment (start 103.438646 86.528898) (end 115.756898 86.528898) (width 0.2032) (layer TOP-L1) (net 321) (tstamp 51127B68))
+  (segment (start 102.864604 87.10294) (end 103.438646 86.528898) (width 0.2032) (layer TOP-L1) (net 321) (tstamp 51127B67))
+  (segment (start 116.0617 86.224096) (end 116.264896 86.224096) (width 0.2032) (layer TOP-L1) (net 322))
+  (segment (start 124.1643 86.8337) (end 124.4437 87.1131) (width 0.2032) (layer TOP-L1) (net 322) (tstamp 5112BDF5))
+  (segment (start 116.8745 86.8337) (end 124.1643 86.8337) (width 0.2032) (layer TOP-L1) (net 322) (tstamp 5112BDF4))
+  (segment (start 116.264896 86.224096) (end 116.8745 86.8337) (width 0.2032) (layer TOP-L1) (net 322) (tstamp 5112BDF3))
+  (segment (start 126.1455 87.9005) (end 125.2311 87.9005) (width 0.2032) (layer TOP-L1) (net 322))
+  (segment (start 125.2311 87.9005) (end 124.4437 87.1131) (width 0.2032) (layer TOP-L1) (net 322) (tstamp 51127C66))
+  (segment (start 102.95784 86.50096) (end 99.30532 86.50096) (width 0.2032) (layer TOP-L1) (net 322) (tstamp 51127C74))
+  (segment (start 103.234704 86.224096) (end 102.95784 86.50096) (width 0.2032) (layer TOP-L1) (net 322) (tstamp 51127C70))
+  (segment (start 116.0617 86.224096) (end 103.234704 86.224096) (width 0.2032) (layer TOP-L1) (net 322) (tstamp 5112BDF1))
+  (segment (start 110.9055 93.9965) (end 109.4577 93.9965) (width 0.2032) (layer TOP-L1) (net 323))
+  (segment (start 103.45314 93.70186) (end 99.30532 93.70186) (width 0.2032) (layer TOP-L1) (net 323) (tstamp 51127B31))
+  (segment (start 104.2253 92.9297) (end 103.45314 93.70186) (width 0.2032) (layer TOP-L1) (net 323) (tstamp 51127B30))
+  (segment (start 108.3909 92.9297) (end 104.2253 92.9297) (width 0.2032) (layer TOP-L1) (net 323) (tstamp 51127B2E))
+  (segment (start 109.4577 93.9965) (end 108.3909 92.9297) (width 0.2032) (layer TOP-L1) (net 323) (tstamp 51127B2C))
+  (segment (start 99.30532 85.30462) (end 102.32518 85.30462) (width 0.1524) (layer TOP-L1) (net 324))
+  (segment (start 103.00334 85.1065) (end 103.2855 85.1065) (width 0.1524) (layer GND-L5) (net 324) (tstamp 51A5AE2C))
+  (segment (start 102.76332 84.86648) (end 103.00334 85.1065) (width 0.1524) (layer GND-L5) (net 324) (tstamp 51A5AE2B))
+  (via (at 102.76332 84.86648) (size 0.4572) (layers TOP-L1 BOT-L6) (net 324))
+  (segment (start 102.32518 85.30462) (end 102.76332 84.86648) (width 0.1524) (layer TOP-L1) (net 324) (tstamp 51A5AE22))
+  (segment (start 141.3855 87.9005) (end 140.5727 87.9005) (width 0.2032) (layer GND-L5) (net 324))
+  (segment (start 105.0127 86.8337) (end 103.2855 85.1065) (width 0.2032) (layer GND-L5) (net 324) (tstamp 51127FBA))
+  (segment (start 139.5059 86.8337) (end 105.0127 86.8337) (width 0.2032) (layer GND-L5) (net 324) (tstamp 51127FB8))
+  (segment (start 140.5727 87.9005) (end 139.5059 86.8337) (width 0.2032) (layer GND-L5) (net 324) (tstamp 51127FB5))
+  (segment (start 133.7655 103.1405) (end 132.6225 103.1405) (width 0.2032) (layer TOP-L1) (net 325))
+  (segment (start 103.221692 104.50194) (end 99.30532 104.50194) (width 0.2032) (layer TOP-L1) (net 325) (tstamp 51128996))
+  (segment (start 104.580894 103.142738) (end 103.221692 104.50194) (width 0.2032) (layer TOP-L1) (net 325) (tstamp 51128995))
+  (segment (start 104.580894 102.380738) (end 104.580894 103.142738) (width 0.2032) (layer TOP-L1) (net 325) (tstamp 51128994))
+  (segment (start 105.802338 101.159294) (end 104.580894 102.380738) (width 0.2032) (layer TOP-L1) (net 325) (tstamp 51128993))
+  (segment (start 130.641294 101.159294) (end 105.802338 101.159294) (width 0.2032) (layer TOP-L1) (net 325) (tstamp 51128991))
+  (segment (start 132.6225 103.1405) (end 130.641294 101.159294) (width 0.2032) (layer TOP-L1) (net 325) (tstamp 5112898F))
+  (segment (start 118.5255 109.2365) (end 117.1539 109.2365) (width 0.2032) (layer TOP-L1) (net 326))
+  (segment (start 103.436104 111.70284) (end 99.30532 111.70284) (width 0.2032) (layer TOP-L1) (net 326) (tstamp 51128A58))
+  (segment (start 105.317498 109.821446) (end 103.436104 111.70284) (width 0.2032) (layer TOP-L1) (net 326) (tstamp 51128A56))
+  (segment (start 105.317498 108.373646) (end 105.317498 109.821446) (width 0.2032) (layer TOP-L1) (net 326) (tstamp 51128A55))
+  (segment (start 105.826246 107.864898) (end 105.317498 108.373646) (width 0.2032) (layer TOP-L1) (net 326) (tstamp 51128A54))
+  (segment (start 115.782298 107.864898) (end 105.826246 107.864898) (width 0.2032) (layer TOP-L1) (net 326) (tstamp 51128A52))
+  (segment (start 117.1539 109.2365) (end 115.782298 107.864898) (width 0.2032) (layer TOP-L1) (net 326) (tstamp 51128A50))
+  (segment (start 126.1455 109.2365) (end 124.7739 109.2365) (width 0.2032) (layer TOP-L1) (net 327))
+  (segment (start 103.607028 111.10086) (end 99.30532 111.10086) (width 0.2032) (layer TOP-L1) (net 327) (tstamp 51128A73))
+  (segment (start 105.012696 109.695192) (end 103.607028 111.10086) (width 0.2032) (layer TOP-L1) (net 327) (tstamp 51128A71))
+  (segment (start 105.012696 108.247392) (end 105.012696 109.695192) (width 0.2032) (layer TOP-L1) (net 327) (tstamp 51128A70))
+  (segment (start 105.699992 107.560096) (end 105.012696 108.247392) (width 0.2032) (layer TOP-L1) (net 327) (tstamp 51128A6F))
+  (segment (start 123.097496 107.560096) (end 105.699992 107.560096) (width 0.2032) (layer TOP-L1) (net 327) (tstamp 51128A6D))
+  (segment (start 124.7739 109.2365) (end 123.097496 107.560096) (width 0.2032) (layer TOP-L1) (net 327) (tstamp 51128A6B))
+  (segment (start 133.7655 109.2365) (end 132.3177 109.2365) (width 0.2032) (layer TOP-L1) (net 328))
+  (segment (start 103.775412 110.50142) (end 99.30532 110.50142) (width 0.2032) (layer TOP-L1) (net 328) (tstamp 51128A8B))
+  (segment (start 104.707894 109.568938) (end 103.775412 110.50142) (width 0.2032) (layer TOP-L1) (net 328) (tstamp 51128A8A))
+  (segment (start 104.707894 108.121138) (end 104.707894 109.568938) (width 0.2032) (layer TOP-L1) (net 328) (tstamp 51128A88))
+  (segment (start 105.573738 107.255294) (end 104.707894 108.121138) (width 0.2032) (layer TOP-L1) (net 328) (tstamp 51128A87))
+  (segment (start 130.336494 107.255294) (end 105.573738 107.255294) (width 0.2032) (layer TOP-L1) (net 328) (tstamp 51128A85))
+  (segment (start 132.3177 109.2365) (end 130.336494 107.255294) (width 0.2032) (layer TOP-L1) (net 328) (tstamp 51128A83))
+  (segment (start 99.30532 109.90198) (end 103.02114 109.90198) (width 0.1524) (layer TOP-L1) (net 329))
+  (segment (start 104.27706 108.64606) (end 104.56174 108.64606) (width 0.1524) (layer GND-L5) (net 329) (tstamp 51A5AFA1))
+  (segment (start 103.9622 108.96092) (end 104.27706 108.64606) (width 0.1524) (layer GND-L5) (net 329) (tstamp 51A5AFA0))
+  (via (at 103.9622 108.96092) (size 0.4572) (layers TOP-L1 BOT-L6) (net 329))
+  (segment (start 103.02114 109.90198) (end 103.9622 108.96092) (width 0.1524) (layer TOP-L1) (net 329) (tstamp 51A5AF9A))
+  (segment (start 141.3855 109.2365) (end 140.7759 109.2365) (width 0.2032) (layer GND-L5) (net 329))
+  (segment (start 105.0381 108.1697) (end 104.56174 108.64606) (width 0.2032) (layer GND-L5) (net 329) (tstamp 51128A9E))
+  (segment (start 139.7091 108.1697) (end 105.0381 108.1697) (width 0.2032) (layer GND-L5) (net 329) (tstamp 51128A9C))
+  (segment (start 140.7759 109.2365) (end 139.7091 108.1697) (width 0.2032) (layer GND-L5) (net 329) (tstamp 51128A9B))
+  (segment (start 110.9055 106.1885) (end 109.5847 106.1885) (width 0.2032) (layer TOP-L1) (net 330))
+  (segment (start 102.83846 109.30254) (end 99.30532 109.30254) (width 0.2032) (layer TOP-L1) (net 330) (tstamp 511289BC))
+  (segment (start 105.8763 106.2647) (end 102.83846 109.30254) (width 0.2032) (layer TOP-L1) (net 330) (tstamp 511289BA))
+  (segment (start 105.8763 105.3757) (end 105.8763 106.2647) (width 0.2032) (layer TOP-L1) (net 330) (tstamp 511289B9))
+  (segment (start 106.1303 105.1217) (end 105.8763 105.3757) (width 0.2032) (layer TOP-L1) (net 330) (tstamp 511289B8))
+  (segment (start 108.5179 105.1217) (end 106.1303 105.1217) (width 0.2032) (layer TOP-L1) (net 330) (tstamp 511289B6))
+  (segment (start 109.5847 106.1885) (end 108.5179 105.1217) (width 0.2032) (layer TOP-L1) (net 330) (tstamp 511289B5))
+  (segment (start 118.5255 106.1885) (end 117.0269 106.1885) (width 0.2032) (layer TOP-L1) (net 331))
+  (segment (start 103.009384 108.70056) (end 99.30532 108.70056) (width 0.2032) (layer TOP-L1) (net 331) (tstamp 511289D2))
+  (segment (start 105.571498 106.138446) (end 103.009384 108.70056) (width 0.2032) (layer TOP-L1) (net 331) (tstamp 511289D1))
+  (segment (start 105.571498 105.249446) (end 105.571498 106.138446) (width 0.2032) (layer TOP-L1) (net 331) (tstamp 511289D0))
+  (segment (start 106.004046 104.816898) (end 105.571498 105.249446) (width 0.2032) (layer TOP-L1) (net 331) (tstamp 511289CF))
+  (segment (start 115.655298 104.816898) (end 106.004046 104.816898) (width 0.2032) (layer TOP-L1) (net 331) (tstamp 511289CD))
+  (segment (start 117.0269 106.1885) (end 115.655298 104.816898) (width 0.2032) (layer TOP-L1) (net 331) (tstamp 511289CB))
+  (segment (start 126.1455 106.1885) (end 125.1041 106.1885) (width 0.2032) (layer TOP-L1) (net 332))
+  (segment (start 103.177768 108.10112) (end 99.30532 108.10112) (width 0.2032) (layer TOP-L1) (net 332) (tstamp 511289EB))
+  (segment (start 105.266696 106.012192) (end 103.177768 108.10112) (width 0.2032) (layer TOP-L1) (net 332) (tstamp 511289E9))
+  (segment (start 105.266696 105.123192) (end 105.266696 106.012192) (width 0.2032) (layer TOP-L1) (net 332) (tstamp 511289E8))
+  (segment (start 105.877792 104.512096) (end 105.266696 105.123192) (width 0.2032) (layer TOP-L1) (net 332) (tstamp 511289E7))
+  (segment (start 123.427696 104.512096) (end 105.877792 104.512096) (width 0.2032) (layer TOP-L1) (net 332) (tstamp 511289E5))
+  (segment (start 125.1041 106.1885) (end 123.427696 104.512096) (width 0.2032) (layer TOP-L1) (net 332) (tstamp 511289E1))
+  (segment (start 133.7655 106.1885) (end 132.9019 106.1885) (width 0.2032) (layer TOP-L1) (net 333))
+  (segment (start 103.346152 107.50168) (end 99.30532 107.50168) (width 0.2032) (layer TOP-L1) (net 333) (tstamp 51128A03))
+  (segment (start 104.961894 105.885938) (end 103.346152 107.50168) (width 0.2032) (layer TOP-L1) (net 333) (tstamp 51128A01))
+  (segment (start 104.961894 104.996938) (end 104.961894 105.885938) (width 0.2032) (layer TOP-L1) (net 333) (tstamp 51128A00))
+  (segment (start 105.751538 104.207294) (end 104.961894 104.996938) (width 0.2032) (layer TOP-L1) (net 333) (tstamp 511289FF))
+  (segment (start 130.920694 104.207294) (end 105.751538 104.207294) (width 0.2032) (layer TOP-L1) (net 333) (tstamp 511289FD))
+  (segment (start 132.9019 106.1885) (end 130.920694 104.207294) (width 0.2032) (layer TOP-L1) (net 333) (tstamp 511289FB))
+  (segment (start 99.30532 106.90224) (end 102.8154 106.90224) (width 0.1524) (layer TOP-L1) (net 334))
+  (segment (start 104.80548 105.11536) (end 104.80548 105.1217) (width 0.1524) (layer GND-L5) (net 334) (tstamp 51A5AF85))
+  (segment (start 104.79914 105.1217) (end 104.80548 105.11536) (width 0.1524) (layer GND-L5) (net 334) (tstamp 51A5AF84))
+  (segment (start 104.59594 105.1217) (end 104.79914 105.1217) (width 0.1524) (layer GND-L5) (net 334) (tstamp 51A5AF7B))
+  (segment (start 104.1146 105.60304) (end 104.59594 105.1217) (width 0.1524) (layer GND-L5) (net 334) (tstamp 51A5AF7A))
+  (via (at 104.1146 105.60304) (size 0.4572) (layers TOP-L1 BOT-L6) (net 334))
+  (segment (start 102.8154 106.90224) (end 104.1146 105.60304) (width 0.1524) (layer TOP-L1) (net 334) (tstamp 51A5AF6F))
+  (segment (start 102.4981 106.90224) (end 102.90196 106.90224) (width 0.2032) (layer TOP-L1) (net 334))
+  (segment (start 102.90196 106.90224) (end 103.2347 106.5695) (width 0.2032) (layer TOP-L1) (net 334) (tstamp 51128A2D))
+  (segment (start 103.2347 106.5695) (end 102.90196 106.90224) (width 0.2032) (layer TOP-L1) (net 334) (tstamp 51128A30))
+  (segment (start 141.3855 106.1885) (end 140.5727 106.1885) (width 0.2032) (layer GND-L5) (net 334))
+  (segment (start 139.5059 105.1217) (end 104.80548 105.1217) (width 0.2032) (layer GND-L5) (net 334) (tstamp 51128A1C))
+  (segment (start 140.5727 106.1885) (end 139.5059 105.1217) (width 0.2032) (layer GND-L5) (net 334) (tstamp 51128A1A))
+  (segment (start 102.4981 106.90224) (end 99.30532 106.90224) (width 0.2032) (layer TOP-L1) (net 334) (tstamp 51128A2B))
+  (segment (start 110.9055 103.1405) (end 109.7625 103.1405) (width 0.2032) (layer TOP-L1) (net 335))
+  (segment (start 102.714 106.3028) (end 99.30532 106.3028) (width 0.2032) (layer TOP-L1) (net 335) (tstamp 51128953))
+  (segment (start 105.4953 103.5215) (end 102.714 106.3028) (width 0.2032) (layer TOP-L1) (net 335) (tstamp 51128952))
+  (segment (start 105.4953 102.7595) (end 105.4953 103.5215) (width 0.2032) (layer TOP-L1) (net 335) (tstamp 51128951))
+  (segment (start 106.1811 102.0737) (end 105.4953 102.7595) (width 0.2032) (layer TOP-L1) (net 335) (tstamp 51128950))
+  (segment (start 108.6957 102.0737) (end 106.1811 102.0737) (width 0.2032) (layer TOP-L1) (net 335) (tstamp 5112894E))
+  (segment (start 109.7625 103.1405) (end 108.6957 102.0737) (width 0.2032) (layer TOP-L1) (net 335) (tstamp 5112894C))
+  (segment (start 118.5255 103.1405) (end 117.4841 103.1405) (width 0.2032) (layer TOP-L1) (net 336))
+  (segment (start 102.884924 105.70082) (end 99.30532 105.70082) (width 0.2032) (layer TOP-L1) (net 336) (tstamp 51128969))
+  (segment (start 105.190498 103.395246) (end 102.884924 105.70082) (width 0.2032) (layer TOP-L1) (net 336) (tstamp 51128968))
+  (segment (start 105.190498 102.633246) (end 105.190498 103.395246) (width 0.2032) (layer TOP-L1) (net 336) (tstamp 51128967))
+  (segment (start 106.054846 101.768898) (end 105.190498 102.633246) (width 0.2032) (layer TOP-L1) (net 336) (tstamp 51128966))
+  (segment (start 116.112498 101.768898) (end 106.054846 101.768898) (width 0.2032) (layer TOP-L1) (net 336) (tstamp 51128964))
+  (segment (start 117.4841 103.1405) (end 116.112498 101.768898) (width 0.2032) (layer TOP-L1) (net 336) (tstamp 51128962))
+  (segment (start 126.1455 103.1405) (end 124.5961 103.1405) (width 0.2032) (layer TOP-L1) (net 337))
+  (segment (start 103.053308 105.10138) (end 99.30532 105.10138) (width 0.2032) (layer TOP-L1) (net 337) (tstamp 5112897F))
+  (segment (start 104.885696 103.268992) (end 103.053308 105.10138) (width 0.2032) (layer TOP-L1) (net 337) (tstamp 5112897E))
+  (segment (start 104.885696 102.506992) (end 104.885696 103.268992) (width 0.2032) (layer TOP-L1) (net 337) (tstamp 5112897D))
+  (segment (start 105.928592 101.464096) (end 104.885696 102.506992) (width 0.2032) (layer TOP-L1) (net 337) (tstamp 5112897C))
+  (segment (start 122.919696 101.464096) (end 105.928592 101.464096) (width 0.2032) (layer TOP-L1) (net 337) (tstamp 5112897A))
+  (segment (start 124.5961 103.1405) (end 122.919696 101.464096) (width 0.2032) (layer TOP-L1) (net 337) (tstamp 51128978))
+  (segment (start 103.95078 102.5309) (end 104.1745 102.5309) (width 0.1524) (layer GND-L5) (net 338) (tstamp 51A5AF04))
+  (segment (start 103.70312 102.77856) (end 103.95078 102.5309) (width 0.1524) (layer GND-L5) (net 338) (tstamp 51A5AF03))
+  (via (at 103.70312 102.77856) (size 0.4572) (layers TOP-L1 BOT-L6) (net 338))
+  (segment (start 102.57918 103.9025) (end 103.70312 102.77856) (width 0.1524) (layer TOP-L1) (net 338) (tstamp 51A5AEF8))
+  (segment (start 99.30532 103.9025) (end 102.57918 103.9025) (width 0.1524) (layer TOP-L1) (net 338))
+  (segment (start 141.3855 103.1405) (end 140.6489 103.1405) (width 0.2032) (layer GND-L5) (net 338))
+  (segment (start 104.6317 102.0737) (end 104.1745 102.5309) (width 0.2032) (layer GND-L5) (net 338) (tstamp 511289A8))
+  (segment (start 139.5821 102.0737) (end 104.6317 102.0737) (width 0.2032) (layer GND-L5) (net 338) (tstamp 511289A6))
+  (segment (start 140.6489 103.1405) (end 139.5821 102.0737) (width 0.2032) (layer GND-L5) (net 338) (tstamp 511289A5))
+  (segment (start 110.9055 100.0925) (end 110.2959 100.0925) (width 0.2032) (layer TOP-L1) (net 339))
+  (segment (start 102.36348 103.30052) (end 99.30532 103.30052) (width 0.2032) (layer TOP-L1) (net 339) (tstamp 511288EA))
+  (segment (start 105.2413 100.4227) (end 102.36348 103.30052) (width 0.2032) (layer TOP-L1) (net 339) (tstamp 511288E9))
+  (segment (start 105.2413 99.6607) (end 105.2413 100.4227) (width 0.2032) (layer TOP-L1) (net 339) (tstamp 511288E8))
+  (segment (start 105.8763 99.0257) (end 105.2413 99.6607) (width 0.2032) (layer TOP-L1) (net 339) (tstamp 511288E7))
+  (segment (start 109.2291 99.0257) (end 105.8763 99.0257) (width 0.2032) (layer TOP-L1) (net 339) (tstamp 511288E5))
+  (segment (start 110.2959 100.0925) (end 109.2291 99.0257) (width 0.2032) (layer TOP-L1) (net 339) (tstamp 511288E4))
+  (segment (start 118.5255 100.0925) (end 117.0777 100.0925) (width 0.2032) (layer TOP-L1) (net 340))
+  (segment (start 102.531864 102.70108) (end 99.30532 102.70108) (width 0.2032) (layer TOP-L1) (net 340) (tstamp 51128902))
+  (segment (start 104.924172 100.308772) (end 102.531864 102.70108) (width 0.2032) (layer TOP-L1) (net 340) (tstamp 51128901))
+  (segment (start 104.924172 99.546772) (end 104.924172 100.308772) (width 0.2032) (layer TOP-L1) (net 340) (tstamp 51128900))
+  (segment (start 105.750046 98.720898) (end 104.924172 99.546772) (width 0.2032) (layer TOP-L1) (net 340) (tstamp 511288FF))
+  (segment (start 115.706098 98.720898) (end 105.750046 98.720898) (width 0.2032) (layer TOP-L1) (net 340) (tstamp 511288FD))
+  (segment (start 117.0777 100.0925) (end 115.706098 98.720898) (width 0.2032) (layer TOP-L1) (net 340) (tstamp 511288FB))
+  (segment (start 126.1455 100.0925) (end 125.1041 100.0925) (width 0.2032) (layer TOP-L1) (net 341))
+  (segment (start 102.700248 102.10164) (end 99.30532 102.10164) (width 0.2032) (layer TOP-L1) (net 341) (tstamp 51128917))
+  (segment (start 104.61937 100.182518) (end 102.700248 102.10164) (width 0.2032) (layer TOP-L1) (net 341) (tstamp 51128916))
+  (segment (start 104.61937 99.420518) (end 104.61937 100.182518) (width 0.2032) (layer TOP-L1) (net 341) (tstamp 51128915))
+  (segment (start 105.623792 98.416096) (end 104.61937 99.420518) (width 0.2032) (layer TOP-L1) (net 341) (tstamp 51128914))
+  (segment (start 123.427696 98.416096) (end 105.623792 98.416096) (width 0.2032) (layer TOP-L1) (net 341) (tstamp 51128912))
+  (segment (start 125.1041 100.0925) (end 123.427696 98.416096) (width 0.2032) (layer TOP-L1) (net 341) (tstamp 51128910))
+  (segment (start 133.7655 100.0925) (end 132.8511 100.0925) (width 0.2032) (layer TOP-L1) (net 342))
+  (segment (start 102.868632 101.5022) (end 99.30532 101.5022) (width 0.2032) (layer TOP-L1) (net 342) (tstamp 5112892C))
+  (segment (start 104.3015 100.069332) (end 102.868632 101.5022) (width 0.2032) (layer TOP-L1) (net 342) (tstamp 5112892B))
+  (segment (start 104.3015 99.307332) (end 104.3015 100.069332) (width 0.2032) (layer TOP-L1) (net 342) (tstamp 5112892A))
+  (segment (start 105.497538 98.111294) (end 104.3015 99.307332) (width 0.2032) (layer TOP-L1) (net 342) (tstamp 51128928))
+  (segment (start 130.869894 98.111294) (end 105.497538 98.111294) (width 0.2032) (layer TOP-L1) (net 342) (tstamp 51128926))
+  (segment (start 132.8511 100.0925) (end 130.869894 98.111294) (width 0.2032) (layer TOP-L1) (net 342) (tstamp 51128924))
+  (segment (start 103.88218 99.0257) (end 104.3015 99.0257) (width 0.1524) (layer GND-L5) (net 343) (tstamp 51A5AEE7))
+  (segment (start 103.78948 98.933) (end 103.88218 99.0257) (width 0.1524) (layer GND-L5) (net 343) (tstamp 51A5AEE6))
+  (via (at 103.78948 98.933) (size 0.4572) (layers TOP-L1 BOT-L6) (net 343))
+  (segment (start 101.80956 100.91292) (end 103.78948 98.933) (width 0.1524) (layer TOP-L1) (net 343) (tstamp 51A5AED2))
+  (segment (start 99.30532 100.91292) (end 101.80956 100.91292) (width 0.1524) (layer TOP-L1) (net 343))
+  (segment (start 141.3855 100.0925) (end 139.9377 100.0925) (width 0.2032) (layer GND-L5) (net 343))
+  (segment (start 138.8709 99.0257) (end 104.3015 99.0257) (width 0.2032) (layer GND-L5) (net 343) (tstamp 5112893C))
+  (segment (start 139.9377 100.0925) (end 138.8709 99.0257) (width 0.2032) (layer GND-L5) (net 343) (tstamp 5112893A))
+  (segment (start 110.9055 97.0445) (end 110.1181 97.0445) (width 0.2032) (layer TOP-L1) (net 344))
+  (segment (start 103.0188 96.7016) (end 99.30532 96.7016) (width 0.2032) (layer TOP-L1) (net 344) (tstamp 51127B38))
+  (segment (start 103.7427 95.9777) (end 103.0188 96.7016) (width 0.2032) (layer TOP-L1) (net 344) (tstamp 51127B37))
+  (segment (start 109.0513 95.9777) (end 103.7427 95.9777) (width 0.2032) (layer TOP-L1) (net 344) (tstamp 51127B35))
+  (segment (start 110.1181 97.0445) (end 109.0513 95.9777) (width 0.2032) (layer TOP-L1) (net 344) (tstamp 51127B34))
+  (segment (start 118.5255 97.0445) (end 117.8651 97.0445) (width 0.2032) (layer TOP-L1) (net 345))
+  (segment (start 103.187184 96.10216) (end 99.30532 96.10216) (width 0.2032) (layer TOP-L1) (net 345) (tstamp 51127C36))
+  (segment (start 103.616446 95.672898) (end 103.187184 96.10216) (width 0.2032) (layer TOP-L1) (net 345) (tstamp 51127C34))
+  (segment (start 116.493498 95.672898) (end 103.616446 95.672898) (width 0.2032) (layer TOP-L1) (net 345) (tstamp 51127C30))
+  (segment (start 117.8651 97.0445) (end 116.493498 95.672898) (width 0.2032) (layer TOP-L1) (net 345) (tstamp 51127C2E))
+  (segment (start 126.1455 97.0445) (end 125.6375 97.0445) (width 0.2032) (layer TOP-L1) (net 346))
+  (segment (start 103.27788 95.50272) (end 99.30532 95.50272) (width 0.2032) (layer TOP-L1) (net 346) (tstamp 51127CC0))
+  (segment (start 103.412504 95.368096) (end 103.27788 95.50272) (width 0.2032) (layer TOP-L1) (net 346) (tstamp 51127CB0))
+  (segment (start 123.961096 95.368096) (end 103.412504 95.368096) (width 0.2032) (layer TOP-L1) (net 346) (tstamp 51127CAC))
+  (segment (start 125.6375 97.0445) (end 123.961096 95.368096) (width 0.2032) (layer TOP-L1) (net 346) (tstamp 51127CAA))
+  (segment (start 133.7655 97.0445) (end 133.2575 97.0445) (width 0.2032) (layer TOP-L1) (net 347))
+  (segment (start 101.75134 94.90074) (end 99.30532 94.90074) (width 0.2032) (layer TOP-L1) (net 347) (tstamp 51127D79))
+  (segment (start 101.913894 95.063294) (end 101.75134 94.90074) (width 0.2032) (layer TOP-L1) (net 347) (tstamp 51127D78))
+  (segment (start 131.276294 95.063294) (end 101.913894 95.063294) (width 0.2032) (layer TOP-L1) (net 347) (tstamp 51127D6F))
+  (segment (start 133.2575 97.0445) (end 131.276294 95.063294) (width 0.2032) (layer TOP-L1) (net 347) (tstamp 51127D6D))
+  (segment (start 141.3855 97.0445) (end 140.5727 97.0445) (width 0.2032) (layer GND-L5) (net 348))
+  (segment (start 105.1397 94.3013) (end 99.30532 94.3013) (width 0.2032) (layer TOP-L1) (net 348) (tstamp 51127F05))
+  (segment (start 105.3937 94.5553) (end 105.1397 94.3013) (width 0.2032) (layer TOP-L1) (net 348) (tstamp 51127F04))
+  (via (at 105.3937 94.5553) (size 0.4572) (layers TOP-L1 BOT-L6) (net 348))
+  (segment (start 106.8923 96.0539) (end 105.3937 94.5553) (width 0.2032) (layer GND-L5) (net 348) (tstamp 51127ED5))
+  (segment (start 139.5821 96.0539) (end 106.8923 96.0539) (width 0.2032) (layer GND-L5) (net 348) (tstamp 51127EBD))
+  (segment (start 140.5727 97.0445) (end 139.5821 96.0539) (width 0.2032) (layer GND-L5) (net 348) (tstamp 51127EBA))
+  (segment (start 110.9055 139.7165) (end 110.2959 139.7165) (width 0.2032) (layer TOP-L1) (net 349))
+  (segment (start 103.42012 142.29968) (end 99.30532 142.29968) (width 0.2032) (layer TOP-L1) (net 349) (tstamp 5112C6AD))
+  (segment (start 106.0287 139.6911) (end 103.42012 142.29968) (width 0.2032) (layer TOP-L1) (net 349) (tstamp 5112C6AC))
+  (segment (start 106.0287 138.7513) (end 106.0287 139.6911) (width 0.2032) (layer TOP-L1) (net 349) (tstamp 5112C6AB))
+  (segment (start 106.1303 138.6497) (end 106.0287 138.7513) (width 0.2032) (layer TOP-L1) (net 349) (tstamp 5112C6AA))
+  (segment (start 109.2291 138.6497) (end 106.1303 138.6497) (width 0.2032) (layer TOP-L1) (net 349) (tstamp 5112C6A8))
+  (segment (start 110.2959 139.7165) (end 109.2291 138.6497) (width 0.2032) (layer TOP-L1) (net 349) (tstamp 5112C6A7))
+  (segment (start 107.0955 136.6685) (end 105.8509 136.6685) (width 0.2032) (layer BOT-L6) (net 350))
+  (segment (start 102.90958 139.60982) (end 99.30532 139.60982) (width 0.2032) (layer BOT-L6) (net 350) (tstamp 5112C5FB))
+  (segment (start 105.8509 136.6685) (end 102.90958 139.60982) (width 0.2032) (layer BOT-L6) (net 350) (tstamp 5112C5F9))
+  (segment (start 137.5755 139.7165) (end 136.6357 139.7165) (width 0.2032) (layer BOT-L6) (net 351))
+  (segment (start 103.786316 140.20926) (end 99.30532 140.20926) (width 0.2032) (layer BOT-L6) (net 351) (tstamp 5112C6A3))
+  (segment (start 105.114294 138.881282) (end 103.786316 140.20926) (width 0.2032) (layer BOT-L6) (net 351) (tstamp 5112C6A2))
+  (segment (start 105.114294 138.372538) (end 105.114294 138.881282) (width 0.2032) (layer BOT-L6) (net 351) (tstamp 5112C6A1))
+  (segment (start 105.751538 137.735294) (end 105.114294 138.372538) (width 0.2032) (layer BOT-L6) (net 351) (tstamp 5112C6A0))
+  (segment (start 134.654494 137.735294) (end 105.751538 137.735294) (width 0.2032) (layer BOT-L6) (net 351) (tstamp 5112C69E))
+  (segment (start 136.6357 139.7165) (end 134.654494 137.735294) (width 0.2032) (layer BOT-L6) (net 351) (tstamp 5112C69C))
+  (segment (start 129.9555 139.7165) (end 128.8379 139.7165) (width 0.2032) (layer BOT-L6) (net 352))
+  (segment (start 103.617932 140.8087) (end 99.30532 140.8087) (width 0.2032) (layer BOT-L6) (net 352) (tstamp 5112C698))
+  (segment (start 105.419096 139.007536) (end 103.617932 140.8087) (width 0.2032) (layer BOT-L6) (net 352) (tstamp 5112C697))
+  (segment (start 105.419096 138.498792) (end 105.419096 139.007536) (width 0.2032) (layer BOT-L6) (net 352) (tstamp 5112C696))
+  (segment (start 105.877792 138.040096) (end 105.419096 138.498792) (width 0.2032) (layer BOT-L6) (net 352) (tstamp 5112C695))
+  (segment (start 127.161496 138.040096) (end 105.877792 138.040096) (width 0.2032) (layer BOT-L6) (net 352) (tstamp 5112C693))
+  (segment (start 128.8379 139.7165) (end 127.161496 138.040096) (width 0.2032) (layer BOT-L6) (net 352) (tstamp 5112C690))
+  (segment (start 122.3355 139.7165) (end 121.1671 139.7165) (width 0.2032) (layer BOT-L6) (net 353))
+  (segment (start 103.449548 141.40814) (end 99.30532 141.40814) (width 0.2032) (layer BOT-L6) (net 353) (tstamp 5112C68C))
+  (segment (start 105.723898 139.13379) (end 103.449548 141.40814) (width 0.2032) (layer BOT-L6) (net 353) (tstamp 5112C68B))
+  (segment (start 105.723898 138.625046) (end 105.723898 139.13379) (width 0.2032) (layer BOT-L6) (net 353) (tstamp 5112C68A))
+  (segment (start 106.004046 138.344898) (end 105.723898 138.625046) (width 0.2032) (layer BOT-L6) (net 353) (tstamp 5112C689))
+  (segment (start 119.795498 138.344898) (end 106.004046 138.344898) (width 0.2032) (layer BOT-L6) (net 353) (tstamp 5112C687))
+  (segment (start 121.1671 139.7165) (end 119.795498 138.344898) (width 0.2032) (layer BOT-L6) (net 353) (tstamp 5112C685))
+  (segment (start 114.7155 139.7165) (end 113.6233 139.7165) (width 0.2032) (layer BOT-L6) (net 354))
+  (segment (start 103.278624 142.01012) (end 99.30532 142.01012) (width 0.2032) (layer BOT-L6) (net 354) (tstamp 5112C679))
+  (segment (start 106.0287 139.260044) (end 103.278624 142.01012) (width 0.2032) (layer BOT-L6) (net 354) (tstamp 5112C678))
+  (segment (start 106.0287 138.7513) (end 106.0287 139.260044) (width 0.2032) (layer BOT-L6) (net 354) (tstamp 5112C677))
+  (segment (start 106.1303 138.6497) (end 106.0287 138.7513) (width 0.2032) (layer BOT-L6) (net 354) (tstamp 5112C676))
+  (segment (start 112.5565 138.6497) (end 106.1303 138.6497) (width 0.2032) (layer BOT-L6) (net 354) (tstamp 5112C674))
+  (segment (start 113.6233 139.7165) (end 112.5565 138.6497) (width 0.2032) (layer BOT-L6) (net 354) (tstamp 5112C672))
+  (segment (start 107.0955 139.7165) (end 106.0033 139.7165) (width 0.2032) (layer BOT-L6) (net 355))
+  (segment (start 103.11024 142.60956) (end 99.30532 142.60956) (width 0.2032) (layer BOT-L6) (net 355) (tstamp 5112C66E))
+  (segment (start 106.0033 139.7165) (end 103.11024 142.60956) (width 0.2032) (layer BOT-L6) (net 355) (tstamp 5112C66C))
+  (segment (start 114.7155 136.6685) (end 113.5979 136.6685) (width 0.2032) (layer BOT-L6) (net 356))
+  (segment (start 103.077964 139.01038) (end 99.30532 139.01038) (width 0.2032) (layer BOT-L6) (net 356) (tstamp 5112C606))
+  (segment (start 106.0287 136.059644) (end 103.077964 139.01038) (width 0.2032) (layer BOT-L6) (net 356) (tstamp 5112C605))
+  (segment (start 106.0287 135.7033) (end 106.0287 136.059644) (width 0.2032) (layer BOT-L6) (net 356) (tstamp 5112C604))
+  (segment (start 106.1303 135.6017) (end 106.0287 135.7033) (width 0.2032) (layer BOT-L6) (net 356) (tstamp 5112C603))
+  (segment (start 112.5311 135.6017) (end 106.1303 135.6017) (width 0.2032) (layer BOT-L6) (net 356) (tstamp 5112C601))
+  (segment (start 113.5979 136.6685) (end 112.5311 135.6017) (width 0.2032) (layer BOT-L6) (net 356) (tstamp 5112C5FF))
+  (segment (start 137.5755 142.7645) (end 136.6865 142.7645) (width 0.2032) (layer BOT-L6) (net 357))
+  (segment (start 103.783776 143.209) (end 99.30532 143.209) (width 0.2032) (layer BOT-L6) (net 357) (tstamp 5112CD40))
+  (segment (start 105.114294 141.878482) (end 103.783776 143.209) (width 0.2032) (layer BOT-L6) (net 357) (tstamp 5112CD3E))
+  (segment (start 105.114294 141.572938) (end 105.114294 141.878482) (width 0.2032) (layer BOT-L6) (net 357) (tstamp 5112CD3C))
+  (segment (start 105.903938 140.783294) (end 105.114294 141.572938) (width 0.2032) (layer BOT-L6) (net 357) (tstamp 5112CD39))
+  (segment (start 134.705294 140.783294) (end 105.903938 140.783294) (width 0.2032) (layer BOT-L6) (net 357) (tstamp 5112CD34))
+  (segment (start 136.6865 142.7645) (end 134.705294 140.783294) (width 0.2032) (layer BOT-L6) (net 357) (tstamp 5112CD32))
+  (segment (start 99.30532 142.89912) (end 103.48088 142.89912) (width 0.1524) (layer TOP-L1) (net 358))
+  (segment (start 104.6569 141.7231) (end 104.6825 141.7231) (width 0.1524) (layer GND-L5) (net 358) (tstamp 51A5B1BC))
+  (segment (start 104.45496 141.92504) (end 104.6569 141.7231) (width 0.1524) (layer GND-L5) (net 358) (tstamp 51A5B1BB))
+  (via (at 104.45496 141.92504) (size 0.4572) (layers TOP-L1 BOT-L6) (net 358))
+  (segment (start 103.48088 142.89912) (end 104.45496 141.92504) (width 0.1524) (layer TOP-L1) (net 358) (tstamp 51A5B1B0))
+  (segment (start 141.3855 142.7645) (end 140.5219 142.7645) (width 0.2032) (layer GND-L5) (net 358))
+  (segment (start 104.7079 141.6977) (end 104.6825 141.7231) (width 0.2032) (layer GND-L5) (net 358) (tstamp 5112CDAF))
+  (segment (start 139.4551 141.6977) (end 104.7079 141.6977) (width 0.2032) (layer GND-L5) (net 358) (tstamp 5112CDA3))
+  (segment (start 140.5219 142.7645) (end 139.4551 141.6977) (width 0.2032) (layer GND-L5) (net 358) (tstamp 5112CDA0))
+  (segment (start 129.9555 142.7645) (end 129.1427 142.7645) (width 0.2032) (layer BOT-L6) (net 359))
+  (segment (start 103.615392 143.80844) (end 99.30532 143.80844) (width 0.2032) (layer BOT-L6) (net 359) (tstamp 5112CD2B))
+  (segment (start 105.419096 142.004736) (end 103.615392 143.80844) (width 0.2032) (layer BOT-L6) (net 359) (tstamp 5112CD2A))
+  (segment (start 105.419096 141.699192) (end 105.419096 142.004736) (width 0.2032) (layer BOT-L6) (net 359) (tstamp 5112CD29))
+  (segment (start 106.030192 141.088096) (end 105.419096 141.699192) (width 0.2032) (layer BOT-L6) (net 359) (tstamp 5112CD27))
+  (segment (start 127.466296 141.088096) (end 106.030192 141.088096) (width 0.2032) (layer BOT-L6) (net 359) (tstamp 5112CD24))
+  (segment (start 129.1427 142.7645) (end 127.466296 141.088096) (width 0.2032) (layer BOT-L6) (net 359) (tstamp 5112CD1D))
+  (segment (start 133.7655 142.7645) (end 132.3939 142.7645) (width 0.2032) (layer TOP-L1) (net 360))
+  (segment (start 103.925272 143.49856) (end 99.30532 143.49856) (width 0.2032) (layer TOP-L1) (net 360) (tstamp 5112CD90))
+  (segment (start 105.114294 142.309538) (end 103.925272 143.49856) (width 0.2032) (layer TOP-L1) (net 360) (tstamp 5112CD8F))
+  (segment (start 105.114294 141.572938) (end 105.114294 142.309538) (width 0.2032) (layer TOP-L1) (net 360) (tstamp 5112CD8E))
+  (segment (start 105.929336 140.757896) (end 105.114294 141.572938) (width 0.2032) (layer TOP-L1) (net 360) (tstamp 5112CD89))
+  (segment (start 130.387296 140.757896) (end 105.929336 140.757896) (width 0.2032) (layer TOP-L1) (net 360) (tstamp 5112CD84))
+  (segment (start 132.3939 142.7645) (end 130.387296 140.757896) (width 0.2032) (layer TOP-L1) (net 360) (tstamp 5112CD80))
+  (segment (start 122.3355 142.7645) (end 121.2179 142.7645) (width 0.2032) (layer BOT-L6) (net 361))
+  (segment (start 103.444468 144.41042) (end 99.30532 144.41042) (width 0.2032) (layer BOT-L6) (net 361) (tstamp 5112CD18))
+  (segment (start 105.723898 142.13099) (end 103.444468 144.41042) (width 0.2032) (layer BOT-L6) (net 361) (tstamp 5112CD17))
+  (segment (start 105.723898 141.825446) (end 105.723898 142.13099) (width 0.2032) (layer BOT-L6) (net 361) (tstamp 5112CD13))
+  (segment (start 106.156446 141.392898) (end 105.723898 141.825446) (width 0.2032) (layer BOT-L6) (net 361) (tstamp 5112CD11))
+  (segment (start 119.846298 141.392898) (end 106.156446 141.392898) (width 0.2032) (layer BOT-L6) (net 361) (tstamp 5112CD0E))
+  (segment (start 121.2179 142.7645) (end 119.846298 141.392898) (width 0.2032) (layer BOT-L6) (net 361) (tstamp 5112CD0A))
+  (segment (start 126.1455 142.7645) (end 125.0533 142.7645) (width 0.2032) (layer TOP-L1) (net 362))
+  (segment (start 103.756888 144.098) (end 99.30532 144.098) (width 0.2032) (layer TOP-L1) (net 362) (tstamp 5112CD7A))
+  (segment (start 105.419096 142.435792) (end 103.756888 144.098) (width 0.2032) (layer TOP-L1) (net 362) (tstamp 5112CD79))
+  (segment (start 105.419096 141.699192) (end 105.419096 142.435792) (width 0.2032) (layer TOP-L1) (net 362) (tstamp 5112CD77))
+  (segment (start 106.05559 141.062698) (end 105.419096 141.699192) (width 0.2032) (layer TOP-L1) (net 362) (tstamp 5112CD76))
+  (segment (start 123.351498 141.062698) (end 106.05559 141.062698) (width 0.2032) (layer TOP-L1) (net 362) (tstamp 5112CD73))
+  (segment (start 125.0533 142.7645) (end 123.351498 141.062698) (width 0.2032) (layer TOP-L1) (net 362) (tstamp 5112CD71))
+  (segment (start 114.7155 142.7645) (end 113.2677 142.7645) (width 0.2032) (layer BOT-L6) (net 363))
+  (segment (start 103.276084 145.00986) (end 99.30532 145.00986) (width 0.2032) (layer BOT-L6) (net 363) (tstamp 5112CD05))
+  (segment (start 106.0287 142.257244) (end 103.276084 145.00986) (width 0.2032) (layer BOT-L6) (net 363) (tstamp 5112CD04))
+  (segment (start 106.0287 141.9517) (end 106.0287 142.257244) (width 0.2032) (layer BOT-L6) (net 363) (tstamp 5112CD03))
+  (segment (start 106.2827 141.6977) (end 106.0287 141.9517) (width 0.2032) (layer BOT-L6) (net 363) (tstamp 5112CCFF))
+  (segment (start 112.2009 141.6977) (end 106.2827 141.6977) (width 0.2032) (layer BOT-L6) (net 363) (tstamp 5112CCFA))
+  (segment (start 113.2677 142.7645) (end 112.2009 141.6977) (width 0.2032) (layer BOT-L6) (net 363) (tstamp 5112CCF7))
+  (segment (start 118.5255 142.7645) (end 117.5603 142.7645) (width 0.2032) (layer TOP-L1) (net 364))
+  (segment (start 103.585964 144.69998) (end 99.30532 144.69998) (width 0.2032) (layer TOP-L1) (net 364) (tstamp 5112CD6C))
+  (segment (start 105.723898 142.562046) (end 103.585964 144.69998) (width 0.2032) (layer TOP-L1) (net 364) (tstamp 5112CD6B))
+  (segment (start 105.723898 141.825446) (end 105.723898 142.562046) (width 0.2032) (layer TOP-L1) (net 364) (tstamp 5112CD6A))
+  (segment (start 106.181844 141.3675) (end 105.723898 141.825446) (width 0.2032) (layer TOP-L1) (net 364) (tstamp 5112CD69))
+  (segment (start 116.1633 141.3675) (end 106.181844 141.3675) (width 0.2032) (layer TOP-L1) (net 364) (tstamp 5112CD66))
+  (segment (start 117.5603 142.7645) (end 116.1633 141.3675) (width 0.2032) (layer TOP-L1) (net 364) (tstamp 5112CD61))
+  (segment (start 107.0955 142.7645) (end 105.9525 142.7645) (width 0.2032) (layer BOT-L6) (net 365))
+  (segment (start 103.1077 145.6093) (end 99.30532 145.6093) (width 0.2032) (layer BOT-L6) (net 365) (tstamp 5112CCE9))
+  (segment (start 105.9525 142.7645) (end 103.1077 145.6093) (width 0.2032) (layer BOT-L6) (net 365) (tstamp 5112CCE6))
+  (segment (start 110.9055 142.7645) (end 110.2705 142.7645) (width 0.2032) (layer TOP-L1) (net 366))
+  (segment (start 103.41758 145.29942) (end 99.30532 145.29942) (width 0.2032) (layer TOP-L1) (net 366) (tstamp 5112CD5A))
+  (segment (start 106.0287 142.6883) (end 103.41758 145.29942) (width 0.2032) (layer TOP-L1) (net 366) (tstamp 5112CD58))
+  (segment (start 106.0287 141.9517) (end 106.0287 142.6883) (width 0.2032) (layer TOP-L1) (net 366) (tstamp 5112CD56))
+  (segment (start 106.2827 141.6977) (end 106.0287 141.9517) (width 0.2032) (layer TOP-L1) (net 366) (tstamp 5112CD54))
+  (segment (start 109.2037 141.6977) (end 106.2827 141.6977) (width 0.2032) (layer TOP-L1) (net 366) (tstamp 5112CD4C))
+  (segment (start 110.2705 142.7645) (end 109.2037 141.6977) (width 0.2032) (layer TOP-L1) (net 366) (tstamp 5112CD49))
+  (segment (start 137.5755 145.8125) (end 136.8135 145.8125) (width 0.2032) (layer BOT-L6) (net 367))
+  (segment (start 105.049748 146.20874) (end 99.30532 146.20874) (width 0.2032) (layer BOT-L6) (net 367) (tstamp 5112CE43))
+  (segment (start 105.114294 146.144194) (end 105.049748 146.20874) (width 0.2032) (layer BOT-L6) (net 367) (tstamp 5112CE42))
+  (segment (start 105.114294 144.468538) (end 105.114294 146.144194) (width 0.2032) (layer BOT-L6) (net 367) (tstamp 5112CE41))
+  (segment (start 105.751538 143.831294) (end 105.114294 144.468538) (width 0.2032) (layer BOT-L6) (net 367) (tstamp 5112CE3F))
+  (segment (start 134.832294 143.831294) (end 105.751538 143.831294) (width 0.2032) (layer BOT-L6) (net 367) (tstamp 5112CE3A))
+  (segment (start 136.8135 145.8125) (end 134.832294 143.831294) (width 0.2032) (layer BOT-L6) (net 367) (tstamp 5112CE39))
+  (segment (start 122.3355 133.6205) (end 120.7353 133.6205) (width 0.2032) (layer BOT-L6) (net 368))
+  (segment (start 103.327628 135.40866) (end 99.30532 135.40866) (width 0.2032) (layer BOT-L6) (net 368) (tstamp 5112C5A6))
+  (segment (start 105.698498 133.03779) (end 103.327628 135.40866) (width 0.2032) (layer BOT-L6) (net 368) (tstamp 5112C5A5))
+  (segment (start 105.698498 132.554446) (end 105.698498 133.03779) (width 0.2032) (layer BOT-L6) (net 368) (tstamp 5112C5A4))
+  (segment (start 106.004046 132.248898) (end 105.698498 132.554446) (width 0.2032) (layer BOT-L6) (net 368) (tstamp 5112C5A3))
+  (segment (start 119.363698 132.248898) (end 106.004046 132.248898) (width 0.2032) (layer BOT-L6) (net 368) (tstamp 5112C5A1))
+  (segment (start 120.7353 133.6205) (end 119.363698 132.248898) (width 0.2032) (layer BOT-L6) (net 368) (tstamp 5112C59F))
+  (segment (start 129.9555 130.5725) (end 128.5839 130.5725) (width 0.2032) (layer BOT-L6) (net 369))
+  (segment (start 103.422352 131.80948) (end 99.30532 131.80948) (width 0.2032) (layer BOT-L6) (net 369) (tstamp 5112C53D))
+  (segment (start 105.419096 129.812736) (end 103.422352 131.80948) (width 0.2032) (layer BOT-L6) (net 369) (tstamp 5112C53C))
+  (segment (start 105.419096 129.380192) (end 105.419096 129.812736) (width 0.2032) (layer BOT-L6) (net 369) (tstamp 5112C53B))
+  (segment (start 105.903192 128.896096) (end 105.419096 129.380192) (width 0.2032) (layer BOT-L6) (net 369) (tstamp 5112C53A))
+  (segment (start 126.907496 128.896096) (end 105.903192 128.896096) (width 0.2032) (layer BOT-L6) (net 369) (tstamp 5112C538))
+  (segment (start 128.5839 130.5725) (end 126.907496 128.896096) (width 0.2032) (layer BOT-L6) (net 369) (tstamp 5112C536))
+  (segment (start 122.3355 130.5725) (end 121.2687 130.5725) (width 0.2032) (layer BOT-L6) (net 370))
+  (segment (start 103.253968 132.40892) (end 99.30532 132.40892) (width 0.2032) (layer BOT-L6) (net 370) (tstamp 5112C532))
+  (segment (start 105.723898 129.93899) (end 103.253968 132.40892) (width 0.2032) (layer BOT-L6) (net 370) (tstamp 5112C531))
+  (segment (start 105.723898 129.506446) (end 105.723898 129.93899) (width 0.2032) (layer BOT-L6) (net 370) (tstamp 5112C530))
+  (segment (start 106.029446 129.200898) (end 105.723898 129.506446) (width 0.2032) (layer BOT-L6) (net 370) (tstamp 5112C52F))
+  (segment (start 119.897098 129.200898) (end 106.029446 129.200898) (width 0.2032) (layer BOT-L6) (net 370) (tstamp 5112C52D))
+  (segment (start 121.2687 130.5725) (end 119.897098 129.200898) (width 0.2032) (layer BOT-L6) (net 370) (tstamp 5112C52C))
+  (segment (start 114.7155 130.5725) (end 113.2677 130.5725) (width 0.2032) (layer BOT-L6) (net 371))
+  (segment (start 103.085584 133.00836) (end 99.30532 133.00836) (width 0.2032) (layer BOT-L6) (net 371) (tstamp 5112C528))
+  (segment (start 106.0287 130.065244) (end 103.085584 133.00836) (width 0.2032) (layer BOT-L6) (net 371) (tstamp 5112C527))
+  (segment (start 106.0287 129.6327) (end 106.0287 130.065244) (width 0.2032) (layer BOT-L6) (net 371) (tstamp 5112C526))
+  (segment (start 106.1557 129.5057) (end 106.0287 129.6327) (width 0.2032) (layer BOT-L6) (net 371) (tstamp 5112C525))
+  (segment (start 112.2009 129.5057) (end 106.1557 129.5057) (width 0.2032) (layer BOT-L6) (net 371) (tstamp 5112C523))
+  (segment (start 113.2677 130.5725) (end 112.2009 129.5057) (width 0.2032) (layer BOT-L6) (net 371) (tstamp 5112C521))
+  (segment (start 107.0955 130.5725) (end 105.9525 130.5725) (width 0.2032) (layer BOT-L6) (net 372))
+  (segment (start 102.91466 133.61034) (end 99.30532 133.61034) (width 0.2032) (layer BOT-L6) (net 372) (tstamp 5112C51D))
+  (segment (start 105.9525 130.5725) (end 102.91466 133.61034) (width 0.2032) (layer BOT-L6) (net 372) (tstamp 5112C51B))
+  (segment (start 137.5755 133.6205) (end 136.5849 133.6205) (width 0.2032) (layer BOT-L6) (net 373))
+  (segment (start 103.664396 134.20978) (end 99.30532 134.20978) (width 0.2032) (layer BOT-L6) (net 373) (tstamp 5112C5BC))
+  (segment (start 105.088894 132.785282) (end 103.664396 134.20978) (width 0.2032) (layer BOT-L6) (net 373) (tstamp 5112C5BB))
+  (segment (start 105.088894 132.301938) (end 105.088894 132.785282) (width 0.2032) (layer BOT-L6) (net 373) (tstamp 5112C5BA))
+  (segment (start 105.751538 131.639294) (end 105.088894 132.301938) (width 0.2032) (layer BOT-L6) (net 373) (tstamp 5112C5B9))
+  (segment (start 134.603694 131.639294) (end 105.751538 131.639294) (width 0.2032) (layer BOT-L6) (net 373) (tstamp 5112C5B7))
+  (segment (start 136.5849 133.6205) (end 134.603694 131.639294) (width 0.2032) (layer BOT-L6) (net 373) (tstamp 5112C5B5))
+  (segment (start 129.9555 133.6205) (end 128.6855 133.6205) (width 0.2032) (layer BOT-L6) (net 374))
+  (segment (start 103.496012 134.80922) (end 99.30532 134.80922) (width 0.2032) (layer BOT-L6) (net 374) (tstamp 5112C5B1))
+  (segment (start 105.393696 132.911536) (end 103.496012 134.80922) (width 0.2032) (layer BOT-L6) (net 374) (tstamp 5112C5B0))
+  (segment (start 105.393696 132.428192) (end 105.393696 132.911536) (width 0.2032) (layer BOT-L6) (net 374) (tstamp 5112C5AF))
+  (segment (start 105.877792 131.944096) (end 105.393696 132.428192) (width 0.2032) (layer BOT-L6) (net 374) (tstamp 5112C5AE))
+  (segment (start 127.009096 131.944096) (end 105.877792 131.944096) (width 0.2032) (layer BOT-L6) (net 374) (tstamp 5112C5AC))
+  (segment (start 128.6855 133.6205) (end 127.009096 131.944096) (width 0.2032) (layer BOT-L6) (net 374) (tstamp 5112C5AA))
+  (segment (start 99.30532 145.89886) (end 103.88982 145.89886) (width 0.1524) (layer TOP-L1) (net 375))
+  (segment (start 104.2327 145.5585) (end 104.6571 145.5585) (width 0.1524) (layer GND-L5) (net 375) (tstamp 51A5B1D2))
+  (segment (start 104.23144 145.55724) (end 104.2327 145.5585) (width 0.1524) (layer GND-L5) (net 375) (tstamp 51A5B1D1))
+  (via (at 104.23144 145.55724) (size 0.4572) (layers TOP-L1 BOT-L6) (net 375))
+  (segment (start 103.88982 145.89886) (end 104.23144 145.55724) (width 0.1524) (layer TOP-L1) (net 375) (tstamp 51A5B1C8))
+  (segment (start 141.3855 145.8125) (end 140.2425 145.8125) (width 0.2032) (layer GND-L5) (net 375))
+  (segment (start 105.4699 144.7457) (end 104.6571 145.5585) (width 0.2032) (layer GND-L5) (net 375) (tstamp 5112CEB7))
+  (segment (start 139.1757 144.7457) (end 105.4699 144.7457) (width 0.2032) (layer GND-L5) (net 375) (tstamp 5112CEB1))
+  (segment (start 140.2425 145.8125) (end 139.1757 144.7457) (width 0.2032) (layer GND-L5) (net 375) (tstamp 5112CEAE))
+  (segment (start 114.7155 133.6205) (end 113.3185 133.6205) (width 0.2032) (layer BOT-L6) (net 376))
+  (segment (start 103.159244 136.0081) (end 99.30532 136.0081) (width 0.2032) (layer BOT-L6) (net 376) (tstamp 5112C591))
+  (segment (start 106.0033 133.164044) (end 103.159244 136.0081) (width 0.2032) (layer BOT-L6) (net 376) (tstamp 5112C590))
+  (segment (start 106.0033 132.6807) (end 106.0033 133.164044) (width 0.2032) (layer BOT-L6) (net 376) (tstamp 5112C58E))
+  (segment (start 106.1303 132.5537) (end 106.0033 132.6807) (width 0.2032) (layer BOT-L6) (net 376) (tstamp 5112C58D))
+  (segment (start 112.2517 132.5537) (end 106.1303 132.5537) (width 0.2032) (layer BOT-L6) (net 376) (tstamp 5112C58B))
+  (segment (start 113.3185 133.6205) (end 112.2517 132.5537) (width 0.2032) (layer BOT-L6) (net 376) (tstamp 5112C589))
+  (segment (start 107.0955 133.6205) (end 105.9779 133.6205) (width 0.2032) (layer BOT-L6) (net 377))
+  (segment (start 102.98832 136.61008) (end 99.30532 136.61008) (width 0.2032) (layer BOT-L6) (net 377) (tstamp 5112C585))
+  (segment (start 105.9779 133.6205) (end 102.98832 136.61008) (width 0.2032) (layer BOT-L6) (net 377) (tstamp 5112C583))
+  (segment (start 137.5755 136.6685) (end 136.2801 136.6685) (width 0.2032) (layer BOT-L6) (net 378))
+  (segment (start 103.585656 137.20952) (end 99.30532 137.20952) (width 0.2032) (layer BOT-L6) (net 378) (tstamp 5112C625))
+  (segment (start 105.114294 135.680882) (end 103.585656 137.20952) (width 0.2032) (layer BOT-L6) (net 378) (tstamp 5112C624))
+  (segment (start 105.114294 135.324538) (end 105.114294 135.680882) (width 0.2032) (layer BOT-L6) (net 378) (tstamp 5112C623))
+  (segment (start 105.751538 134.687294) (end 105.114294 135.324538) (width 0.2032) (layer BOT-L6) (net 378) (tstamp 5112C622))
+  (segment (start 134.298894 134.687294) (end 105.751538 134.687294) (width 0.2032) (layer BOT-L6) (net 378) (tstamp 5112C620))
+  (segment (start 136.2801 136.6685) (end 134.298894 134.687294) (width 0.2032) (layer BOT-L6) (net 378) (tstamp 5112C61E))
+  (segment (start 129.9555 136.6685) (end 128.6093 136.6685) (width 0.2032) (layer BOT-L6) (net 379))
+  (segment (start 103.417272 137.80896) (end 99.30532 137.80896) (width 0.2032) (layer BOT-L6) (net 379) (tstamp 5112C61A))
+  (segment (start 105.419096 135.807136) (end 103.417272 137.80896) (width 0.2032) (layer BOT-L6) (net 379) (tstamp 5112C619))
+  (segment (start 105.419096 135.450792) (end 105.419096 135.807136) (width 0.2032) (layer BOT-L6) (net 379) (tstamp 5112C618))
+  (segment (start 105.877792 134.992096) (end 105.419096 135.450792) (width 0.2032) (layer BOT-L6) (net 379) (tstamp 5112C617))
+  (segment (start 126.932896 134.992096) (end 105.877792 134.992096) (width 0.2032) (layer BOT-L6) (net 379) (tstamp 5112C615))
+  (segment (start 128.6093 136.6685) (end 126.932896 134.992096) (width 0.2032) (layer BOT-L6) (net 379) (tstamp 5112C614))
+  (segment (start 122.3355 136.6685) (end 121.5735 136.6685) (width 0.2032) (layer BOT-L6) (net 380))
+  (segment (start 103.248888 138.4084) (end 99.30532 138.4084) (width 0.2032) (layer BOT-L6) (net 380) (tstamp 5112C610))
+  (segment (start 105.723898 135.93339) (end 103.248888 138.4084) (width 0.2032) (layer BOT-L6) (net 380) (tstamp 5112C60F))
+  (segment (start 105.723898 135.577046) (end 105.723898 135.93339) (width 0.2032) (layer BOT-L6) (net 380) (tstamp 5112C60E))
+  (segment (start 106.004046 135.296898) (end 105.723898 135.577046) (width 0.2032) (layer BOT-L6) (net 380) (tstamp 5112C60D))
+  (segment (start 120.201898 135.296898) (end 106.004046 135.296898) (width 0.2032) (layer BOT-L6) (net 380) (tstamp 5112C60B))
+  (segment (start 121.5735 136.6685) (end 120.201898 135.296898) (width 0.2032) (layer BOT-L6) (net 380) (tstamp 5112C60A))
+  (segment (start 129.9555 145.8125) (end 128.9903 145.8125) (width 0.2032) (layer BOT-L6) (net 381))
+  (segment (start 104.881364 146.80818) (end 99.30532 146.80818) (width 0.2032) (layer BOT-L6) (net 381) (tstamp 5112CE1C))
+  (segment (start 105.419096 146.270448) (end 104.881364 146.80818) (width 0.2032) (layer BOT-L6) (net 381) (tstamp 5112CE18))
+  (segment (start 105.419096 144.594792) (end 105.419096 146.270448) (width 0.2032) (layer BOT-L6) (net 381) (tstamp 5112CE17))
+  (segment (start 105.877792 144.136096) (end 105.419096 144.594792) (width 0.2032) (layer BOT-L6) (net 381) (tstamp 5112CE14))
+  (segment (start 127.313896 144.136096) (end 105.877792 144.136096) (width 0.2032) (layer BOT-L6) (net 381) (tstamp 5112CE12))
+  (segment (start 128.9903 145.8125) (end 127.313896 144.136096) (width 0.2032) (layer BOT-L6) (net 381) (tstamp 5112CE0F))
+  (segment (start 133.7655 145.8125) (end 132.8511 145.8125) (width 0.2032) (layer TOP-L1) (net 382))
+  (segment (start 104.352294 146.4983) (end 99.30532 146.4983) (width 0.2032) (layer TOP-L1) (net 382) (tstamp 5112CEA6))
+  (segment (start 105.114294 145.7363) (end 104.352294 146.4983) (width 0.2032) (layer TOP-L1) (net 382) (tstamp 5112CE9B))
+  (segment (start 105.114294 144.468538) (end 105.114294 145.7363) (width 0.2032) (layer TOP-L1) (net 382) (tstamp 5112CE9A))
+  (segment (start 105.751538 143.831294) (end 105.114294 144.468538) (width 0.2032) (layer TOP-L1) (net 382) (tstamp 5112CE97))
+  (segment (start 130.869894 143.831294) (end 105.751538 143.831294) (width 0.2032) (layer TOP-L1) (net 382) (tstamp 5112CE92))
+  (segment (start 132.8511 145.8125) (end 130.869894 143.831294) (width 0.2032) (layer TOP-L1) (net 382) (tstamp 5112CE90))
+  (segment (start 122.3355 145.8125) (end 121.3703 145.8125) (width 0.2032) (layer BOT-L6) (net 383))
+  (segment (start 104.71044 147.41016) (end 99.30532 147.41016) (width 0.2032) (layer BOT-L6) (net 383) (tstamp 5112CE08))
+  (segment (start 105.723898 146.396702) (end 104.71044 147.41016) (width 0.2032) (layer BOT-L6) (net 383) (tstamp 5112CE05))
+  (segment (start 105.723898 144.721046) (end 105.723898 146.396702) (width 0.2032) (layer BOT-L6) (net 383) (tstamp 5112CE04))
+  (segment (start 106.004046 144.440898) (end 105.723898 144.721046) (width 0.2032) (layer BOT-L6) (net 383) (tstamp 5112CE02))
+  (segment (start 119.998698 144.440898) (end 106.004046 144.440898) (width 0.2032) (layer BOT-L6) (net 383) (tstamp 5112CE00))
+  (segment (start 121.3703 145.8125) (end 119.998698 144.440898) (width 0.2032) (layer BOT-L6) (net 383) (tstamp 5112CDF5))
+  (segment (start 126.1455 145.8125) (end 124.9009 145.8125) (width 0.2032) (layer TOP-L1) (net 384))
+  (segment (start 104.920208 147.10028) (end 99.30532 147.10028) (width 0.2032) (layer TOP-L1) (net 384) (tstamp 5112CE85))
+  (segment (start 105.419096 146.601392) (end 104.920208 147.10028) (width 0.2032) (layer TOP-L1) (net 384) (tstamp 5112CE84))
+  (segment (start 105.419096 144.594792) (end 105.419096 146.601392) (width 0.2032) (layer TOP-L1) (net 384) (tstamp 5112CE83))
+  (segment (start 105.877792 144.136096) (end 105.419096 144.594792) (width 0.2032) (layer TOP-L1) (net 384) (tstamp 5112CE7F))
+  (segment (start 123.224496 144.136096) (end 105.877792 144.136096) (width 0.2032) (layer TOP-L1) (net 384) (tstamp 5112CE78))
+  (segment (start 124.9009 145.8125) (end 123.224496 144.136096) (width 0.2032) (layer TOP-L1) (net 384) (tstamp 5112CE77))
+  (segment (start 114.7155 145.8125) (end 113.8011 145.8125) (width 0.2032) (layer BOT-L6) (net 385))
+  (segment (start 104.873 148.0096) (end 99.30532 148.0096) (width 0.2032) (layer BOT-L6) (net 385) (tstamp 5112CDF1))
+  (segment (start 106.0287 146.8539) (end 104.873 148.0096) (width 0.2032) (layer BOT-L6) (net 385) (tstamp 5112CDEF))
+  (segment (start 106.0287 144.8473) (end 106.0287 146.8539) (width 0.2032) (layer BOT-L6) (net 385) (tstamp 5112CDEE))
+  (segment (start 106.1303 144.7457) (end 106.0287 144.8473) (width 0.2032) (layer BOT-L6) (net 385) (tstamp 5112CDEC))
+  (segment (start 112.7343 144.7457) (end 106.1303 144.7457) (width 0.2032) (layer BOT-L6) (net 385) (tstamp 5112CDE8))
+  (segment (start 113.8011 145.8125) (end 112.7343 144.7457) (width 0.2032) (layer BOT-L6) (net 385) (tstamp 5112CDE4))
+  (segment (start 118.5255 145.8125) (end 117.7381 145.8125) (width 0.2032) (layer TOP-L1) (net 386))
+  (segment (start 104.751824 147.69972) (end 99.30532 147.69972) (width 0.2032) (layer TOP-L1) (net 386) (tstamp 5112CE73))
+  (segment (start 105.723898 146.727646) (end 104.751824 147.69972) (width 0.2032) (layer TOP-L1) (net 386) (tstamp 5112CE6F))
+  (segment (start 105.723898 144.721046) (end 105.723898 146.727646) (width 0.2032) (layer TOP-L1) (net 386) (tstamp 5112CE6E))
+  (segment (start 106.004046 144.440898) (end 105.723898 144.721046) (width 0.2032) (layer TOP-L1) (net 386) (tstamp 5112CE6D))
+  (segment (start 116.366498 144.440898) (end 106.004046 144.440898) (width 0.2032) (layer TOP-L1) (net 386) (tstamp 5112CE6A))
+  (segment (start 117.7381 145.8125) (end 116.366498 144.440898) (width 0.2032) (layer TOP-L1) (net 386) (tstamp 5112CE66))
+  (segment (start 104.4285 148.60904) (end 104.704616 148.60904) (width 0.2032) (layer BOT-L6) (net 387))
+  (segment (start 107.0955 146.218156) (end 107.0955 145.8125) (width 0.2032) (layer BOT-L6) (net 387) (tstamp 5112CE2D))
+  (segment (start 104.704616 148.60904) (end 107.0955 146.218156) (width 0.2032) (layer BOT-L6) (net 387) (tstamp 5112CE2C))
+  (segment (start 104.4285 148.60904) (end 99.30532 148.60904) (width 0.2032) (layer BOT-L6) (net 387) (tstamp 5112CE2A))
+  (segment (start 110.9055 145.8125) (end 110.0927 145.8125) (width 0.2032) (layer TOP-L1) (net 388))
+  (segment (start 104.58344 148.29916) (end 99.30532 148.29916) (width 0.2032) (layer TOP-L1) (net 388) (tstamp 5112CE61))
+  (segment (start 106.0287 146.8539) (end 104.58344 148.29916) (width 0.2032) (layer TOP-L1) (net 388) (tstamp 5112CE59))
+  (segment (start 106.0287 144.8473) (end 106.0287 146.8539) (width 0.2032) (layer TOP-L1) (net 388) (tstamp 5112CE57))
+  (segment (start 106.1303 144.7457) (end 106.0287 144.8473) (width 0.2032) (layer TOP-L1) (net 388) (tstamp 5112CE51))
+  (segment (start 109.0259 144.7457) (end 106.1303 144.7457) (width 0.2032) (layer TOP-L1) (net 388) (tstamp 5112CE4E))
+  (segment (start 110.0927 145.8125) (end 109.0259 144.7457) (width 0.2032) (layer TOP-L1) (net 388) (tstamp 5112CE4B))
+  (segment (start 129.9555 118.3805) (end 129.2443 118.3805) (width 0.2032) (layer BOT-L6) (net 389))
+  (segment (start 103.358852 119.80798) (end 99.30532 119.80798) (width 0.2032) (layer BOT-L6) (net 389) (tstamp 5112C384))
+  (segment (start 105.406396 117.760436) (end 103.358852 119.80798) (width 0.2032) (layer BOT-L6) (net 389) (tstamp 5112C383))
+  (segment (start 105.406396 117.277092) (end 105.406396 117.760436) (width 0.2032) (layer BOT-L6) (net 389) (tstamp 5112C382))
+  (segment (start 105.979392 116.704096) (end 105.406396 117.277092) (width 0.2032) (layer BOT-L6) (net 389) (tstamp 5112C381))
+  (segment (start 127.567896 116.704096) (end 105.979392 116.704096) (width 0.2032) (layer BOT-L6) (net 389) (tstamp 5112C37F))
+  (segment (start 129.2443 118.3805) (end 127.567896 116.704096) (width 0.2032) (layer BOT-L6) (net 389) (tstamp 5112C37E))
+  (segment (start 114.7155 124.4765) (end 113.6741 124.4765) (width 0.2032) (layer BOT-L6) (net 390))
+  (segment (start 102.887464 127.00888) (end 99.30532 127.00888) (width 0.2032) (layer BOT-L6) (net 390) (tstamp 5112C446))
+  (segment (start 106.0287 123.867644) (end 102.887464 127.00888) (width 0.2032) (layer BOT-L6) (net 390) (tstamp 5112C445))
+  (segment (start 106.0287 123.6383) (end 106.0287 123.867644) (width 0.2032) (layer BOT-L6) (net 390) (tstamp 5112C444))
+  (segment (start 106.2573 123.4097) (end 106.0287 123.6383) (width 0.2032) (layer BOT-L6) (net 390) (tstamp 5112C442))
+  (segment (start 112.6073 123.4097) (end 106.2573 123.4097) (width 0.2032) (layer BOT-L6) (net 390) (tstamp 5112C440))
+  (segment (start 113.6741 124.4765) (end 112.6073 123.4097) (width 0.2032) (layer BOT-L6) (net 390) (tstamp 5112C43E))
+  (segment (start 122.3355 124.4765) (end 121.0147 124.4765) (width 0.2032) (layer BOT-L6) (net 391))
+  (segment (start 103.055848 126.40944) (end 99.30532 126.40944) (width 0.2032) (layer BOT-L6) (net 391) (tstamp 5112C451))
+  (segment (start 105.723898 123.74139) (end 103.055848 126.40944) (width 0.2032) (layer BOT-L6) (net 391) (tstamp 5112C450))
+  (segment (start 105.723898 123.512046) (end 105.723898 123.74139) (width 0.2032) (layer BOT-L6) (net 391) (tstamp 5112C44F))
+  (segment (start 106.131046 123.104898) (end 105.723898 123.512046) (width 0.2032) (layer BOT-L6) (net 391) (tstamp 5112C44E))
+  (segment (start 119.643098 123.104898) (end 106.131046 123.104898) (width 0.2032) (layer BOT-L6) (net 391) (tstamp 5112C44C))
+  (segment (start 121.0147 124.4765) (end 119.643098 123.104898) (width 0.2032) (layer BOT-L6) (net 391) (tstamp 5112C44B))
+  (segment (start 129.9555 124.4765) (end 128.5077 124.4765) (width 0.2032) (layer BOT-L6) (net 392))
+  (segment (start 103.224232 125.81) (end 99.30532 125.81) (width 0.2032) (layer BOT-L6) (net 392) (tstamp 5112C45C))
+  (segment (start 105.419096 123.615136) (end 103.224232 125.81) (width 0.2032) (layer BOT-L6) (net 392) (tstamp 5112C45B))
+  (segment (start 105.419096 123.385792) (end 105.419096 123.615136) (width 0.2032) (layer BOT-L6) (net 392) (tstamp 5112C45A))
+  (segment (start 106.004792 122.800096) (end 105.419096 123.385792) (width 0.2032) (layer BOT-L6) (net 392) (tstamp 5112C459))
+  (segment (start 126.831296 122.800096) (end 106.004792 122.800096) (width 0.2032) (layer BOT-L6) (net 392) (tstamp 5112C457))
+  (segment (start 128.5077 124.4765) (end 126.831296 122.800096) (width 0.2032) (layer BOT-L6) (net 392) (tstamp 5112C455))
+  (segment (start 137.5755 124.4765) (end 136.8643 124.4765) (width 0.2032) (layer BOT-L6) (net 393))
+  (segment (start 103.395156 125.20802) (end 99.30532 125.20802) (width 0.2032) (layer BOT-L6) (net 393) (tstamp 5112C466))
+  (segment (start 105.114294 123.488882) (end 103.395156 125.20802) (width 0.2032) (layer BOT-L6) (net 393) (tstamp 5112C465))
+  (segment (start 105.114294 123.259538) (end 105.114294 123.488882) (width 0.2032) (layer BOT-L6) (net 393) (tstamp 5112C464))
+  (segment (start 105.878538 122.495294) (end 105.114294 123.259538) (width 0.2032) (layer BOT-L6) (net 393) (tstamp 5112C463))
+  (segment (start 134.883094 122.495294) (end 105.878538 122.495294) (width 0.2032) (layer BOT-L6) (net 393) (tstamp 5112C461))
+  (segment (start 136.8643 124.4765) (end 134.883094 122.495294) (width 0.2032) (layer BOT-L6) (net 393) (tstamp 5112C460))
+  (segment (start 101.0503 124.60858) (end 101.314462 124.60858) (width 0.2032) (layer BOT-L6) (net 394))
+  (segment (start 103.194058 124.313942) (end 103.4125 124.0955) (width 0.2032) (layer BOT-L6) (net 394) (tstamp 5112C49A))
+  (segment (start 101.6091 124.313942) (end 103.194058 124.313942) (width 0.2032) (layer BOT-L6) (net 394) (tstamp 5112C499))
+  (segment (start 101.314462 124.60858) (end 101.6091 124.313942) (width 0.2032) (layer BOT-L6) (net 394) (tstamp 5112C498))
+  (segment (start 107.0955 121.4285) (end 106.0795 121.4285) (width 0.2032) (layer BOT-L6) (net 394))
+  (segment (start 106.0795 121.4285) (end 103.4125 124.0955) (width 0.2032) (layer BOT-L6) (net 394) (tstamp 5112C357))
+  (segment (start 101.0503 124.60858) (end 99.30532 124.60858) (width 0.2032) (layer BOT-L6) (net 394) (tstamp 5112C496))
+  (segment (start 106.0922 120.5014) (end 106.0922 120.984744) (width 0.2032) (layer BOT-L6) (net 395))
+  (segment (start 106.0922 120.984744) (end 105.648072 121.428872) (width 0.2032) (layer BOT-L6) (net 395) (tstamp 5112C3DE))
+  (segment (start 114.7155 121.4285) (end 113.2423 121.4285) (width 0.2032) (layer BOT-L6) (net 395))
+  (segment (start 103.067804 124.00914) (end 99.30532 124.00914) (width 0.2032) (layer BOT-L6) (net 395) (tstamp 5112C3D8))
+  (segment (start 105.648072 121.428872) (end 103.067804 124.00914) (width 0.2032) (layer BOT-L6) (net 395) (tstamp 5112C3E1))
+  (segment (start 106.2319 120.3617) (end 106.0922 120.5014) (width 0.2032) (layer BOT-L6) (net 395) (tstamp 5112C3D5))
+  (segment (start 112.1755 120.3617) (end 106.2319 120.3617) (width 0.2032) (layer BOT-L6) (net 395) (tstamp 5112C3D3))
+  (segment (start 113.2423 121.4285) (end 112.1755 120.3617) (width 0.2032) (layer BOT-L6) (net 395) (tstamp 5112C3D1))
+  (segment (start 122.3355 121.4285) (end 121.0147 121.4285) (width 0.2032) (layer BOT-L6) (net 396))
+  (segment (start 103.236188 123.4097) (end 99.30532 123.4097) (width 0.2032) (layer BOT-L6) (net 396) (tstamp 5112C3EA))
+  (segment (start 105.787398 120.85849) (end 103.236188 123.4097) (width 0.2032) (layer BOT-L6) (net 396) (tstamp 5112C3E9))
+  (segment (start 105.787398 120.375146) (end 105.787398 120.85849) (width 0.2032) (layer BOT-L6) (net 396) (tstamp 5112C3E8))
+  (segment (start 106.105646 120.056898) (end 105.787398 120.375146) (width 0.2032) (layer BOT-L6) (net 396) (tstamp 5112C3E7))
+  (segment (start 119.643098 120.056898) (end 106.105646 120.056898) (width 0.2032) (layer BOT-L6) (net 396) (tstamp 5112C3E5))
+  (segment (start 121.0147 121.4285) (end 119.643098 120.056898) (width 0.2032) (layer BOT-L6) (net 396) (tstamp 5112C3E3))
+  (segment (start 129.9555 121.4285) (end 128.9395 121.4285) (width 0.2032) (layer BOT-L6) (net 397))
+  (segment (start 103.404572 122.81026) (end 99.30532 122.81026) (width 0.2032) (layer BOT-L6) (net 397) (tstamp 5112C3F5))
+  (segment (start 105.482596 120.732236) (end 103.404572 122.81026) (width 0.2032) (layer BOT-L6) (net 397) (tstamp 5112C3F4))
+  (segment (start 105.482596 120.248892) (end 105.482596 120.732236) (width 0.2032) (layer BOT-L6) (net 397) (tstamp 5112C3F3))
+  (segment (start 105.979392 119.752096) (end 105.482596 120.248892) (width 0.2032) (layer BOT-L6) (net 397) (tstamp 5112C3F2))
+  (segment (start 127.263096 119.752096) (end 105.979392 119.752096) (width 0.2032) (layer BOT-L6) (net 397) (tstamp 5112C3F0))
+  (segment (start 128.9395 121.4285) (end 127.263096 119.752096) (width 0.2032) (layer BOT-L6) (net 397) (tstamp 5112C3EE))
+  (segment (start 137.5755 121.4285) (end 136.5849 121.4285) (width 0.2032) (layer BOT-L6) (net 398))
+  (segment (start 103.575496 122.20828) (end 99.30532 122.20828) (width 0.2032) (layer BOT-L6) (net 398) (tstamp 5112C400))
+  (segment (start 105.177794 120.605982) (end 103.575496 122.20828) (width 0.2032) (layer BOT-L6) (net 398) (tstamp 5112C3FF))
+  (segment (start 105.177794 120.122638) (end 105.177794 120.605982) (width 0.2032) (layer BOT-L6) (net 398) (tstamp 5112C3FE))
+  (segment (start 105.853138 119.447294) (end 105.177794 120.122638) (width 0.2032) (layer BOT-L6) (net 398) (tstamp 5112C3FD))
+  (segment (start 134.603694 119.447294) (end 105.853138 119.447294) (width 0.2032) (layer BOT-L6) (net 398) (tstamp 5112C3FB))
+  (segment (start 136.5849 121.4285) (end 134.603694 119.447294) (width 0.2032) (layer BOT-L6) (net 398) (tstamp 5112C3F9))
+  (segment (start 107.0955 118.3805) (end 106.0795 118.3805) (width 0.2032) (layer BOT-L6) (net 399))
+  (segment (start 102.85116 121.60884) (end 99.30532 121.60884) (width 0.2032) (layer BOT-L6) (net 399) (tstamp 5112C353))
+  (segment (start 106.0795 118.3805) (end 102.85116 121.60884) (width 0.2032) (layer BOT-L6) (net 399) (tstamp 5112C351))
+  (segment (start 106.016 117.5296) (end 106.016 118.012944) (width 0.2032) (layer BOT-L6) (net 400))
+  (segment (start 106.016 118.012944) (end 105.444872 118.584072) (width 0.2032) (layer BOT-L6) (net 400) (tstamp 5112C36E))
+  (segment (start 114.7155 118.3805) (end 113.8265 118.3805) (width 0.2032) (layer BOT-L6) (net 400))
+  (segment (start 103.019544 121.0094) (end 99.30532 121.0094) (width 0.2032) (layer BOT-L6) (net 400) (tstamp 5112C368))
+  (segment (start 105.444872 118.584072) (end 103.019544 121.0094) (width 0.2032) (layer BOT-L6) (net 400) (tstamp 5112C371))
+  (segment (start 106.2319 117.3137) (end 106.016 117.5296) (width 0.2032) (layer BOT-L6) (net 400) (tstamp 5112C365))
+  (segment (start 112.7597 117.3137) (end 106.2319 117.3137) (width 0.2032) (layer BOT-L6) (net 400) (tstamp 5112C363))
+  (segment (start 113.8265 118.3805) (end 112.7597 117.3137) (width 0.2032) (layer BOT-L6) (net 400) (tstamp 5112C361))
+  (segment (start 122.3355 118.3805) (end 121.2687 118.3805) (width 0.2032) (layer BOT-L6) (net 401))
+  (segment (start 103.187928 120.40996) (end 99.30532 120.40996) (width 0.2032) (layer BOT-L6) (net 401) (tstamp 5112C37A))
+  (segment (start 105.711198 117.88669) (end 103.187928 120.40996) (width 0.2032) (layer BOT-L6) (net 401) (tstamp 5112C379))
+  (segment (start 105.711198 117.403346) (end 105.711198 117.88669) (width 0.2032) (layer BOT-L6) (net 401) (tstamp 5112C378))
+  (segment (start 106.105646 117.008898) (end 105.711198 117.403346) (width 0.2032) (layer BOT-L6) (net 401) (tstamp 5112C377))
+  (segment (start 119.897098 117.008898) (end 106.105646 117.008898) (width 0.2032) (layer BOT-L6) (net 401) (tstamp 5112C375))
+  (segment (start 121.2687 118.3805) (end 119.897098 117.008898) (width 0.2032) (layer BOT-L6) (net 401) (tstamp 5112C373))
+  (segment (start 107.0955 124.4765) (end 105.8509 124.4765) (width 0.2032) (layer BOT-L6) (net 402))
+  (segment (start 102.71908 127.60832) (end 99.30532 127.60832) (width 0.2032) (layer BOT-L6) (net 402) (tstamp 5112C43A))
+  (segment (start 105.8509 124.4765) (end 102.71908 127.60832) (width 0.2032) (layer BOT-L6) (net 402) (tstamp 5112C438))
+  (segment (start 137.5755 118.3805) (end 136.8897 118.3805) (width 0.2032) (layer BOT-L6) (net 403))
+  (segment (start 103.527236 119.20854) (end 99.30532 119.20854) (width 0.2032) (layer BOT-L6) (net 403) (tstamp 5112C38E))
+  (segment (start 105.101594 117.634182) (end 103.527236 119.20854) (width 0.2032) (layer BOT-L6) (net 403) (tstamp 5112C38D))
+  (segment (start 105.101594 117.150838) (end 105.101594 117.634182) (width 0.2032) (layer BOT-L6) (net 403) (tstamp 5112C38C))
+  (segment (start 105.853138 116.399294) (end 105.101594 117.150838) (width 0.2032) (layer BOT-L6) (net 403) (tstamp 5112C38B))
+  (segment (start 134.908494 116.399294) (end 105.853138 116.399294) (width 0.2032) (layer BOT-L6) (net 403) (tstamp 5112C389))
+  (segment (start 136.8897 118.3805) (end 134.908494 116.399294) (width 0.2032) (layer BOT-L6) (net 403) (tstamp 5112C388))
+  (segment (start 107.0955 115.3325) (end 105.7239 115.3325) (width 0.2032) (layer BOT-L6) (net 404))
+  (segment (start 102.4473 118.6091) (end 99.30532 118.6091) (width 0.2032) (layer BOT-L6) (net 404) (tstamp 5112B461))
+  (segment (start 105.7239 115.3325) (end 102.4473 118.6091) (width 0.2032) (layer BOT-L6) (net 404) (tstamp 5112B454))
+  (segment (start 114.7155 115.3325) (end 113.2423 115.3325) (width 0.2032) (layer BOT-L6) (net 405))
+  (segment (start 102.615684 118.00966) (end 99.30532 118.00966) (width 0.2032) (layer BOT-L6) (net 405) (tstamp 5112B486))
+  (segment (start 105.8763 114.749044) (end 102.615684 118.00966) (width 0.2032) (layer BOT-L6) (net 405) (tstamp 5112B485))
+  (segment (start 105.8763 114.6467) (end 105.8763 114.749044) (width 0.2032) (layer BOT-L6) (net 405) (tstamp 5112B482))
+  (segment (start 106.2573 114.2657) (end 105.8763 114.6467) (width 0.2032) (layer BOT-L6) (net 405) (tstamp 5112B46D))
+  (segment (start 112.1755 114.2657) (end 106.2573 114.2657) (width 0.2032) (layer BOT-L6) (net 405) (tstamp 5112B466))
+  (segment (start 113.2423 115.3325) (end 112.1755 114.2657) (width 0.2032) (layer BOT-L6) (net 405) (tstamp 5112B465))
+  (segment (start 122.3355 115.3325) (end 121.5989 115.3325) (width 0.2032) (layer BOT-L6) (net 406))
+  (segment (start 102.784068 117.41022) (end 99.30532 117.41022) (width 0.2032) (layer BOT-L6) (net 406) (tstamp 5112B49F))
+  (segment (start 105.572244 114.622044) (end 102.784068 117.41022) (width 0.2032) (layer BOT-L6) (net 406) (tstamp 5112B49C))
+  (segment (start 105.572244 114.5197) (end 105.572244 114.622044) (width 0.2032) (layer BOT-L6) (net 406) (tstamp 5112B495))
+  (segment (start 106.131046 113.960898) (end 105.572244 114.5197) (width 0.2032) (layer BOT-L6) (net 406) (tstamp 5112B493))
+  (segment (start 120.227298 113.960898) (end 106.131046 113.960898) (width 0.2032) (layer BOT-L6) (net 406) (tstamp 5112B48F))
+  (segment (start 121.5989 115.3325) (end 120.227298 113.960898) (width 0.2032) (layer BOT-L6) (net 406) (tstamp 5112B48E))
+  (segment (start 129.9555 115.3325) (end 129.2189 115.3325) (width 0.2032) (layer BOT-L6) (net 407))
+  (segment (start 102.952452 116.81078) (end 99.30532 116.81078) (width 0.2032) (layer BOT-L6) (net 407) (tstamp 5112B4B2))
+  (segment (start 105.267816 114.495416) (end 102.952452 116.81078) (width 0.2032) (layer BOT-L6) (net 407) (tstamp 5112B4B1))
+  (segment (start 105.267816 114.393072) (end 105.267816 114.495416) (width 0.2032) (layer BOT-L6) (net 407) (tstamp 5112B4AF))
+  (segment (start 106.004792 113.656096) (end 105.267816 114.393072) (width 0.2032) (layer BOT-L6) (net 407) (tstamp 5112B4AC))
+  (segment (start 127.542496 113.656096) (end 106.004792 113.656096) (width 0.2032) (layer BOT-L6) (net 407) (tstamp 5112B4A8))
+  (segment (start 129.2189 115.3325) (end 127.542496 113.656096) (width 0.2032) (layer BOT-L6) (net 407) (tstamp 5112B4A7))
+  (segment (start 137.5755 115.3325) (end 136.6357 115.3325) (width 0.2032) (layer BOT-L6) (net 408))
+  (segment (start 103.120836 116.21134) (end 99.30532 116.21134) (width 0.2032) (layer BOT-L6) (net 408) (tstamp 5112B4C6))
+  (segment (start 104.963388 114.368788) (end 103.120836 116.21134) (width 0.2032) (layer BOT-L6) (net 408) (tstamp 5112B4C5))
+  (segment (start 104.963388 114.266444) (end 104.963388 114.368788) (width 0.2032) (layer BOT-L6) (net 408) (tstamp 5112B4C3))
+  (segment (start 105.878538 113.351294) (end 104.963388 114.266444) (width 0.2032) (layer BOT-L6) (net 408) (tstamp 5112B4C0))
+  (segment (start 134.654494 113.351294) (end 105.878538 113.351294) (width 0.2032) (layer BOT-L6) (net 408) (tstamp 5112B4BC))
+  (segment (start 136.6357 115.3325) (end 134.654494 113.351294) (width 0.2032) (layer BOT-L6) (net 408) (tstamp 5112B4BA))
+  (segment (start 100.9233 115.6119) (end 101.136662 115.6119) (width 0.2032) (layer BOT-L6) (net 409))
+  (segment (start 102.970538 115.317262) (end 103.1204 115.1674) (width 0.2032) (layer BOT-L6) (net 409) (tstamp 5112B590))
+  (segment (start 101.4313 115.317262) (end 102.970538 115.317262) (width 0.2032) (layer BOT-L6) (net 409) (tstamp 5112B58F))
+  (segment (start 101.136662 115.6119) (end 101.4313 115.317262) (width 0.2032) (layer BOT-L6) (net 409) (tstamp 5112B58E))
+  (segment (start 107.0955 112.2845) (end 106.0033 112.2845) (width 0.2032) (layer BOT-L6) (net 409))
+  (segment (start 106.0033 112.2845) (end 103.1204 115.1674) (width 0.2032) (layer BOT-L6) (net 409) (tstamp 5112B1CE))
+  (segment (start 100.9233 115.6119) (end 99.30532 115.6119) (width 0.2032) (layer BOT-L6) (net 409) (tstamp 5112B58C))
+  (segment (start 114.7155 112.2845) (end 113.1661 112.2845) (width 0.2032) (layer BOT-L6) (net 410))
+  (segment (start 102.844284 115.01246) (end 99.30532 115.01246) (width 0.2032) (layer BOT-L6) (net 410) (tstamp 5112B1FD))
+  (segment (start 105.8255 112.031244) (end 102.844284 115.01246) (width 0.2032) (layer BOT-L6) (net 410) (tstamp 5112B1FA))
+  (segment (start 105.8255 111.4717) (end 105.8255 112.031244) (width 0.2032) (layer BOT-L6) (net 410) (tstamp 5112B1F6))
+  (segment (start 106.0795 111.2177) (end 105.8255 111.4717) (width 0.2032) (layer BOT-L6) (net 410) (tstamp 5112B1F4))
+  (segment (start 112.0993 111.2177) (end 106.0795 111.2177) (width 0.2032) (layer BOT-L6) (net 410) (tstamp 5112B1F1))
+  (segment (start 113.1661 112.2845) (end 112.0993 111.2177) (width 0.2032) (layer BOT-L6) (net 410) (tstamp 5112B1EB))
+  (segment (start 122.3355 112.2845) (end 120.8623 112.2845) (width 0.2032) (layer BOT-L6) (net 411))
+  (segment (start 103.015208 114.41048) (end 99.30532 114.41048) (width 0.2032) (layer BOT-L6) (net 411) (tstamp 5112B22D))
+  (segment (start 105.520698 111.90499) (end 103.015208 114.41048) (width 0.2032) (layer BOT-L6) (net 411) (tstamp 5112B22C))
+  (segment (start 105.520698 111.345446) (end 105.520698 111.90499) (width 0.2032) (layer BOT-L6) (net 411) (tstamp 5112B22B))
+  (segment (start 105.953246 110.912898) (end 105.520698 111.345446) (width 0.2032) (layer BOT-L6) (net 411) (tstamp 5112B225))
+  (segment (start 119.490698 110.912898) (end 105.953246 110.912898) (width 0.2032) (layer BOT-L6) (net 411) (tstamp 5112B221))
+  (segment (start 120.8623 112.2845) (end 119.490698 110.912898) (width 0.2032) (layer BOT-L6) (net 411) (tstamp 5112B220))
+  (segment (start 129.9555 112.2845) (end 129.2443 112.2845) (width 0.2032) (layer BOT-L6) (net 412))
+  (segment (start 103.183592 113.81104) (end 99.30532 113.81104) (width 0.2032) (layer BOT-L6) (net 412) (tstamp 5112B253))
+  (segment (start 105.215896 111.778736) (end 103.183592 113.81104) (width 0.2032) (layer BOT-L6) (net 412) (tstamp 5112B252))
+  (segment (start 105.215896 111.219192) (end 105.215896 111.778736) (width 0.2032) (layer BOT-L6) (net 412) (tstamp 5112B250))
+  (segment (start 105.826992 110.608096) (end 105.215896 111.219192) (width 0.2032) (layer BOT-L6) (net 412) (tstamp 5112B24D))
+  (segment (start 127.567896 110.608096) (end 105.826992 110.608096) (width 0.2032) (layer BOT-L6) (net 412) (tstamp 5112B249))
+  (segment (start 129.2443 112.2845) (end 127.567896 110.608096) (width 0.2032) (layer BOT-L6) (net 412) (tstamp 5112B238))
+  (segment (start 137.5755 112.2845) (end 136.8643 112.2845) (width 0.2032) (layer BOT-L6) (net 413))
+  (segment (start 103.351976 113.2116) (end 99.30532 113.2116) (width 0.2032) (layer BOT-L6) (net 413) (tstamp 5112B268))
+  (segment (start 104.911094 111.652482) (end 103.351976 113.2116) (width 0.2032) (layer BOT-L6) (net 413) (tstamp 5112B267))
+  (segment (start 104.911094 111.092938) (end 104.911094 111.652482) (width 0.2032) (layer BOT-L6) (net 413) (tstamp 5112B265))
+  (segment (start 105.700738 110.303294) (end 104.911094 111.092938) (width 0.2032) (layer BOT-L6) (net 413) (tstamp 5112B263))
+  (segment (start 134.883094 110.303294) (end 105.700738 110.303294) (width 0.2032) (layer BOT-L6) (net 413) (tstamp 5112B260))
+  (segment (start 136.8643 112.2845) (end 134.883094 110.303294) (width 0.2032) (layer BOT-L6) (net 413) (tstamp 5112B25B))
+  (segment (start 99.30532 112.61216) (end 101.263662 112.61216) (width 0.2032) (layer BOT-L6) (net 414))
+  (segment (start 103.252478 112.317522) (end 103.5014 112.0686) (width 0.2032) (layer BOT-L6) (net 414) (tstamp 5112B407))
+  (segment (start 101.5583 112.317522) (end 103.252478 112.317522) (width 0.2032) (layer BOT-L6) (net 414) (tstamp 5112B404))
+  (segment (start 101.263662 112.61216) (end 101.5583 112.317522) (width 0.2032) (layer BOT-L6) (net 414) (tstamp 5112B400))
+  (segment (start 107.0955 109.2365) (end 106.3335 109.2365) (width 0.2032) (layer BOT-L6) (net 414))
+  (segment (start 106.3335 109.2365) (end 103.5014 112.0686) (width 0.2032) (layer BOT-L6) (net 414) (tstamp 51128A32))
+  (segment (start 137.5755 130.5725) (end 136.6357 130.5725) (width 0.2032) (layer BOT-L6) (net 415))
+  (segment (start 103.590736 131.21004) (end 99.30532 131.21004) (width 0.2032) (layer BOT-L6) (net 415) (tstamp 5112C548))
+  (segment (start 105.114294 129.686482) (end 103.590736 131.21004) (width 0.2032) (layer BOT-L6) (net 415) (tstamp 5112C547))
+  (segment (start 105.114294 129.253938) (end 105.114294 129.686482) (width 0.2032) (layer BOT-L6) (net 415) (tstamp 5112C546))
+  (segment (start 105.776938 128.591294) (end 105.114294 129.253938) (width 0.2032) (layer BOT-L6) (net 415) (tstamp 5112C545))
+  (segment (start 134.654494 128.591294) (end 105.776938 128.591294) (width 0.2032) (layer BOT-L6) (net 415) (tstamp 5112C543))
+  (segment (start 136.6357 130.5725) (end 134.654494 128.591294) (width 0.2032) (layer BOT-L6) (net 415) (tstamp 5112C541))
+  (segment (start 107.0955 127.5245) (end 105.9017 127.5245) (width 0.2032) (layer BOT-L6) (net 416))
+  (segment (start 102.81814 130.60806) (end 99.30532 130.60806) (width 0.2032) (layer BOT-L6) (net 416) (tstamp 5112C4AC))
+  (segment (start 105.9017 127.5245) (end 102.81814 130.60806) (width 0.2032) (layer BOT-L6) (net 416) (tstamp 5112C4AA))
+  (segment (start 114.7155 127.5245) (end 113.5471 127.5245) (width 0.2032) (layer BOT-L6) (net 417))
+  (segment (start 102.986524 130.00862) (end 99.30532 130.00862) (width 0.2032) (layer BOT-L6) (net 417) (tstamp 5112C4B7))
+  (segment (start 106.0287 126.966444) (end 102.986524 130.00862) (width 0.2032) (layer BOT-L6) (net 417) (tstamp 5112C4B6))
+  (segment (start 106.0287 126.6863) (end 106.0287 126.966444) (width 0.2032) (layer BOT-L6) (net 417) (tstamp 5112C4B5))
+  (segment (start 106.2319 126.4831) (end 106.0287 126.6863) (width 0.2032) (layer BOT-L6) (net 417) (tstamp 5112C4B4))
+  (segment (start 112.5057 126.4831) (end 106.2319 126.4831) (width 0.2032) (layer BOT-L6) (net 417) (tstamp 5112C4B2))
+  (segment (start 113.5471 127.5245) (end 112.5057 126.4831) (width 0.2032) (layer BOT-L6) (net 417) (tstamp 5112C4B0))
+  (segment (start 122.3355 127.5245) (end 121.3449 127.5245) (width 0.2032) (layer BOT-L6) (net 418))
+  (segment (start 103.154908 129.40918) (end 99.30532 129.40918) (width 0.2032) (layer BOT-L6) (net 418) (tstamp 5112C4C2))
+  (segment (start 105.723898 126.84019) (end 103.154908 129.40918) (width 0.2032) (layer BOT-L6) (net 418) (tstamp 5112C4C1))
+  (segment (start 105.723898 126.560046) (end 105.723898 126.84019) (width 0.2032) (layer BOT-L6) (net 418) (tstamp 5112C4C0))
+  (segment (start 106.105646 126.178298) (end 105.723898 126.560046) (width 0.2032) (layer BOT-L6) (net 418) (tstamp 5112C4BF))
+  (segment (start 119.998698 126.178298) (end 106.105646 126.178298) (width 0.2032) (layer BOT-L6) (net 418) (tstamp 5112C4BD))
+  (segment (start 121.3449 127.5245) (end 119.998698 126.178298) (width 0.2032) (layer BOT-L6) (net 418) (tstamp 5112C4BB))
+  (segment (start 129.9555 127.5245) (end 128.8125 127.5245) (width 0.2032) (layer BOT-L6) (net 419))
+  (segment (start 103.323292 128.80974) (end 99.30532 128.80974) (width 0.2032) (layer BOT-L6) (net 419) (tstamp 5112C4CD))
+  (segment (start 105.419096 126.713936) (end 103.323292 128.80974) (width 0.2032) (layer BOT-L6) (net 419) (tstamp 5112C4CC))
+  (segment (start 105.419096 126.433792) (end 105.419096 126.713936) (width 0.2032) (layer BOT-L6) (net 419) (tstamp 5112C4CB))
+  (segment (start 105.979392 125.873496) (end 105.419096 126.433792) (width 0.2032) (layer BOT-L6) (net 419) (tstamp 5112C4CA))
+  (segment (start 127.161496 125.873496) (end 105.979392 125.873496) (width 0.2032) (layer BOT-L6) (net 419) (tstamp 5112C4C8))
+  (segment (start 128.8125 127.5245) (end 127.161496 125.873496) (width 0.2032) (layer BOT-L6) (net 419) (tstamp 5112C4C6))
+  (segment (start 137.5755 127.5245) (end 136.7119 127.5245) (width 0.2032) (layer BOT-L6) (net 420))
+  (segment (start 103.491676 128.2103) (end 99.30532 128.2103) (width 0.2032) (layer BOT-L6) (net 420) (tstamp 5112C4D8))
+  (segment (start 105.114294 126.587682) (end 103.491676 128.2103) (width 0.2032) (layer BOT-L6) (net 420) (tstamp 5112C4D7))
+  (segment (start 105.114294 126.307538) (end 105.114294 126.587682) (width 0.2032) (layer BOT-L6) (net 420) (tstamp 5112C4D6))
+  (segment (start 105.853138 125.568694) (end 105.114294 126.307538) (width 0.2032) (layer BOT-L6) (net 420) (tstamp 5112C4D5))
+  (segment (start 134.756094 125.568694) (end 105.853138 125.568694) (width 0.2032) (layer BOT-L6) (net 420) (tstamp 5112C4D3))
+  (segment (start 136.7119 127.5245) (end 134.756094 125.568694) (width 0.2032) (layer BOT-L6) (net 420) (tstamp 5112C4D1))
+  (segment (start 116.1379 86.224096) (end 116.264898 86.224096) (width 0.2032) (layer BOT-L6) (net 421))
+  (segment (start 127.136098 86.528898) (end 127.2885 86.6813) (width 0.2032) (layer BOT-L6) (net 421) (tstamp 5112BDFE))
+  (segment (start 116.5697 86.528898) (end 127.136098 86.528898) (width 0.2032) (layer BOT-L6) (net 421) (tstamp 5112BDFD))
+  (segment (start 116.264898 86.224096) (end 116.5697 86.528898) (width 0.2032) (layer BOT-L6) (net 421) (tstamp 5112BDFC))
+  (segment (start 129.9555 87.9005) (end 128.5077 87.9005) (width 0.2032) (layer BOT-L6) (net 421))
+  (segment (start 128.5077 87.9005) (end 127.2885 86.6813) (width 0.2032) (layer BOT-L6) (net 421) (tstamp 51127CD7))
+  (segment (start 103.222008 86.2114) (end 99.30532 86.2114) (width 0.2032) (layer BOT-L6) (net 421) (tstamp 51127CED))
+  (segment (start 103.234704 86.224096) (end 103.222008 86.2114) (width 0.2032) (layer BOT-L6) (net 421) (tstamp 51127CE5))
+  (segment (start 116.1379 86.224096) (end 103.234704 86.224096) (width 0.2032) (layer BOT-L6) (net 421) (tstamp 5112BDFA))
+  (segment (start 114.7155 93.9965) (end 113.2931 93.9965) (width 0.2032) (layer BOT-L6) (net 422))
+  (segment (start 103.7427 93.4123) (end 99.30532 93.4123) (width 0.2032) (layer BOT-L6) (net 422) (tstamp 51127B51))
+  (segment (start 104.2253 92.9297) (end 103.7427 93.4123) (width 0.2032) (layer BOT-L6) (net 422) (tstamp 51127B50))
+  (segment (start 112.2263 92.9297) (end 104.2253 92.9297) (width 0.2032) (layer BOT-L6) (net 422) (tstamp 51127B4E))
+  (segment (start 113.2931 93.9965) (end 112.2263 92.9297) (width 0.2032) (layer BOT-L6) (net 422) (tstamp 51127B4C))
+  (segment (start 122.3355 93.9965) (end 120.8369 93.9965) (width 0.2032) (layer BOT-L6) (net 423))
+  (segment (start 103.911084 92.81286) (end 99.30532 92.81286) (width 0.2032) (layer BOT-L6) (net 423) (tstamp 51127B8F))
+  (segment (start 104.099046 92.624898) (end 103.911084 92.81286) (width 0.2032) (layer BOT-L6) (net 423) (tstamp 51127B8D))
+  (segment (start 119.465298 92.624898) (end 104.099046 92.624898) (width 0.2032) (layer BOT-L6) (net 423) (tstamp 51127B8B))
+  (segment (start 120.8369 93.9965) (end 119.465298 92.624898) (width 0.2032) (layer BOT-L6) (net 423) (tstamp 51127B89))
+  (segment (start 129.9555 93.9965) (end 128.7363 93.9965) (width 0.2032) (layer BOT-L6) (net 424))
+  (segment (start 102.03328 92.21088) (end 99.30532 92.21088) (width 0.2032) (layer BOT-L6) (net 424) (tstamp 51127D1B))
+  (segment (start 102.142496 92.320096) (end 102.03328 92.21088) (width 0.2032) (layer BOT-L6) (net 424) (tstamp 51127D1A))
+  (segment (start 127.059896 92.320096) (end 102.142496 92.320096) (width 0.2032) (layer BOT-L6) (net 424) (tstamp 51127D15))
+  (segment (start 128.7363 93.9965) (end 127.059896 92.320096) (width 0.2032) (layer BOT-L6) (net 424) (tstamp 51127D11))
+  (segment (start 137.5755 93.9965) (end 137.2707 93.9965) (width 0.2032) (layer BOT-L6) (net 425))
+  (segment (start 102.22124 91.61144) (end 99.30532 91.61144) (width 0.2032) (layer BOT-L6) (net 425) (tstamp 51127D45))
+  (segment (start 102.625094 92.015294) (end 102.22124 91.61144) (width 0.1524) (layer BOT-L6) (net 425) (tstamp 51127D44))
+  (segment (start 135.289494 92.015294) (end 102.625094 92.015294) (width 0.1524) (layer BOT-L6) (net 425) (tstamp 51127D39))
+  (segment (start 137.2707 93.9965) (end 135.289494 92.015294) (width 0.2032) (layer BOT-L6) (net 425) (tstamp 51127D2D))
+  (segment (start 107.0955 90.9485) (end 99.36882 90.9485) (width 0.1524) (layer BOT-L6) (net 426))
+  (segment (start 99.36882 90.9485) (end 99.30532 91.012) (width 0.1524) (layer BOT-L6) (net 426) (tstamp 51A56126))
+  (segment (start 114.7155 90.9485) (end 113.2931 90.9485) (width 0.2032) (layer BOT-L6) (net 427))
+  (segment (start 103.66904 90.41256) (end 99.30532 90.41256) (width 0.2032) (layer BOT-L6) (net 427) (tstamp 51127B49))
+  (segment (start 104.1999 89.8817) (end 103.66904 90.41256) (width 0.2032) (layer BOT-L6) (net 427) (tstamp 51127B47))
+  (segment (start 112.2263 89.8817) (end 104.1999 89.8817) (width 0.2032) (layer BOT-L6) (net 427) (tstamp 51127B45))
+  (segment (start 113.2931 90.9485) (end 112.2263 89.8817) (width 0.2032) (layer BOT-L6) (net 427) (tstamp 51127B43))
+  (segment (start 122.3355 90.9485) (end 120.8369 90.9485) (width 0.2032) (layer BOT-L6) (net 428))
+  (segment (start 103.836678 89.81058) (end 99.30532 89.81058) (width 0.2032) (layer BOT-L6) (net 428) (tstamp 51127B86))
+  (segment (start 104.07036 89.576898) (end 103.836678 89.81058) (width 0.2032) (layer BOT-L6) (net 428) (tstamp 51127B85))
+  (segment (start 112.378698 89.576898) (end 104.07036 89.576898) (width 0.2032) (layer BOT-L6) (net 428) (tstamp 51127B84))
+  (segment (start 112.6835 89.8817) (end 112.378698 89.576898) (width 0.2032) (layer BOT-L6) (net 428) (tstamp 51127B83))
+  (segment (start 119.7701 89.8817) (end 112.6835 89.8817) (width 0.2032) (layer BOT-L6) (net 428) (tstamp 51127B81))
+  (segment (start 120.8369 90.9485) (end 119.7701 89.8817) (width 0.2032) (layer BOT-L6) (net 428) (tstamp 51127B7F))
+  (segment (start 129.9555 90.9485) (end 128.6093 90.9485) (width 0.2032) (layer BOT-L6) (net 429))
+  (segment (start 103.60554 89.21114) (end 99.30532 89.21114) (width 0.2032) (layer BOT-L6) (net 429) (tstamp 51127D02))
+  (segment (start 103.666496 89.272096) (end 103.60554 89.21114) (width 0.2032) (layer BOT-L6) (net 429) (tstamp 51127CFF))
+  (segment (start 126.932896 89.272096) (end 103.666496 89.272096) (width 0.2032) (layer BOT-L6) (net 429) (tstamp 51127CFC))
+  (segment (start 128.6093 90.9485) (end 126.932896 89.272096) (width 0.2032) (layer BOT-L6) (net 429) (tstamp 51127CF9))
+  (segment (start 137.5755 90.9485) (end 137.0167 90.9485) (width 0.2032) (layer BOT-L6) (net 430))
+  (segment (start 103.503938 88.6117) (end 99.30532 88.6117) (width 0.2032) (layer BOT-L6) (net 430) (tstamp 51127E72))
+  (segment (start 103.859532 88.967294) (end 103.503938 88.6117) (width 0.1524) (layer BOT-L6) (net 430) (tstamp 51127E61))
+  (segment (start 135.035494 88.967294) (end 103.859532 88.967294) (width 0.2032) (layer BOT-L6) (net 430) (tstamp 51127E5D))
+  (segment (start 137.0167 90.9485) (end 135.035494 88.967294) (width 0.2032) (layer BOT-L6) (net 430) (tstamp 51127E59))
+  (segment (start 107.0955 87.9005) (end 103.7935 87.9005) (width 0.2032) (layer BOT-L6) (net 431))
+  (segment (start 103.68174 88.01226) (end 99.30532 88.01226) (width 0.2032) (layer BOT-L6) (net 431) (tstamp 51127AA4))
+  (segment (start 103.7935 87.9005) (end 103.68174 88.01226) (width 0.2032) (layer BOT-L6) (net 431) (tstamp 51127AA3))
+  (segment (start 114.7155 87.9005) (end 113.2423 87.9005) (width 0.2032) (layer BOT-L6) (net 432))
+  (segment (start 102.96038 87.41282) (end 99.30532 87.41282) (width 0.2032) (layer BOT-L6) (net 432) (tstamp 51127B40))
+  (segment (start 103.5395 86.8337) (end 102.96038 87.41282) (width 0.2032) (layer BOT-L6) (net 432) (tstamp 51127B3F))
+  (segment (start 112.1755 86.8337) (end 103.5395 86.8337) (width 0.2032) (layer BOT-L6) (net 432) (tstamp 51127B3D))
+  (segment (start 113.2423 87.9005) (end 112.1755 86.8337) (width 0.2032) (layer BOT-L6) (net 432) (tstamp 51127B3B))
+  (segment (start 122.3355 87.9005) (end 121.7767 87.9005) (width 0.2032) (layer BOT-L6) (net 433))
+  (segment (start 103.131304 86.81084) (end 99.30532 86.81084) (width 0.2032) (layer BOT-L6) (net 433) (tstamp 51127B7B))
+  (segment (start 103.413246 86.528898) (end 103.131304 86.81084) (width 0.2032) (layer BOT-L6) (net 433) (tstamp 51127B7A))
+  (segment (start 112.301754 86.528898) (end 103.413246 86.528898) (width 0.2032) (layer BOT-L6) (net 433) (tstamp 51127B79))
+  (segment (start 112.606556 86.8337) (end 112.301754 86.528898) (width 0.2032) (layer BOT-L6) (net 433) (tstamp 51127B78))
+  (segment (start 120.7099 86.8337) (end 112.606556 86.8337) (width 0.2032) (layer BOT-L6) (net 433) (tstamp 51127B76))
+  (segment (start 121.7767 87.9005) (end 120.7099 86.8337) (width 0.2032) (layer BOT-L6) (net 433) (tstamp 51127B75))
+  (segment (start 107.0955 93.9965) (end 103.7935 93.9965) (width 0.2032) (layer BOT-L6) (net 434))
+  (segment (start 103.77826 94.01174) (end 99.30532 94.01174) (width 0.2032) (layer BOT-L6) (net 434) (tstamp 51127AAC))
+  (segment (start 103.7935 93.9965) (end 103.77826 94.01174) (width 0.2032) (layer BOT-L6) (net 434) (tstamp 51127AAB))
+  (segment (start 115.9601 85.919294) (end 116.417298 85.919294) (width 0.2032) (layer BOT-L6) (net 435))
+  (segment (start 135.238696 86.224096) (end 135.5689 86.5543) (width 0.2032) (layer BOT-L6) (net 435) (tstamp 5112BE07))
+  (segment (start 116.7221 86.224096) (end 135.238696 86.224096) (width 0.2032) (layer BOT-L6) (net 435) (tstamp 5112BE06))
+  (segment (start 116.417298 85.919294) (end 116.7221 86.224096) (width 0.2032) (layer BOT-L6) (net 435) (tstamp 5112BE05))
+  (segment (start 105.8001 85.6145) (end 106.130306 85.6145) (width 0.2032) (layer BOT-L6) (net 435))
+  (segment (start 106.4351 85.919294) (end 115.9601 85.919294) (width 0.2032) (layer BOT-L6) (net 435) (tstamp 5112BDEB))
+  (segment (start 106.130306 85.6145) (end 106.4351 85.919294) (width 0.2032) (layer BOT-L6) (net 435) (tstamp 5112BDEA))
+  (segment (start 105.8001 85.6145) (end 99.30532 85.6145) (width 0.2032) (layer BOT-L6) (net 435) (tstamp 5112BDE8))
+  (segment (start 137.5755 87.9005) (end 136.9151 87.9005) (width 0.2032) (layer BOT-L6) (net 435))
+  (segment (start 136.9151 87.9005) (end 135.5689 86.5543) (width 0.2032) (layer BOT-L6) (net 435) (tstamp 51127E7A))
+  (segment (start 129.9555 103.1405) (end 129.3713 103.1405) (width 0.2032) (layer BOT-L6) (net 436))
+  (segment (start 102.911812 104.81182) (end 99.30532 104.81182) (width 0.2032) (layer BOT-L6) (net 436) (tstamp 5112898B))
+  (segment (start 104.898768 102.824864) (end 102.911812 104.81182) (width 0.2032) (layer BOT-L6) (net 436) (tstamp 5112898A))
+  (segment (start 104.898768 102.49392) (end 104.898768 102.824864) (width 0.2032) (layer BOT-L6) (net 436) (tstamp 51128989))
+  (segment (start 105.928592 101.464096) (end 104.898768 102.49392) (width 0.2032) (layer BOT-L6) (net 436) (tstamp 51128986))
+  (segment (start 127.694896 101.464096) (end 105.928592 101.464096) (width 0.2032) (layer BOT-L6) (net 436) (tstamp 51128984))
+  (segment (start 129.3713 103.1405) (end 127.694896 101.464096) (width 0.2032) (layer BOT-L6) (net 436) (tstamp 51128983))
+  (segment (start 114.7155 109.2365) (end 113.3947 109.2365) (width 0.2032) (layer BOT-L6) (net 437))
+  (segment (start 103.126224 112.01272) (end 99.30532 112.01272) (width 0.2032) (layer BOT-L6) (net 437) (tstamp 51128A4C))
+  (segment (start 105.6223 109.516644) (end 103.126224 112.01272) (width 0.2032) (layer BOT-L6) (net 437) (tstamp 51128A4B))
+  (segment (start 105.6223 108.4999) (end 105.6223 109.516644) (width 0.2032) (layer BOT-L6) (net 437) (tstamp 51128A4A))
+  (segment (start 105.9525 108.1697) (end 105.6223 108.4999) (width 0.2032) (layer BOT-L6) (net 437) (tstamp 51128A49))
+  (segment (start 112.3279 108.1697) (end 105.9525 108.1697) (width 0.2032) (layer BOT-L6) (net 437) (tstamp 51128A47))
+  (segment (start 113.3947 109.2365) (end 112.3279 108.1697) (width 0.2032) (layer BOT-L6) (net 437) (tstamp 51128A45))
+  (segment (start 122.3355 109.2365) (end 121.3957 109.2365) (width 0.2032) (layer BOT-L6) (net 438))
+  (segment (start 103.297148 111.41074) (end 99.30532 111.41074) (width 0.2032) (layer BOT-L6) (net 438) (tstamp 51128A67))
+  (segment (start 105.317498 109.39039) (end 103.297148 111.41074) (width 0.2032) (layer BOT-L6) (net 438) (tstamp 51128A65))
+  (segment (start 105.317498 108.373646) (end 105.317498 109.39039) (width 0.2032) (layer BOT-L6) (net 438) (tstamp 51128A64))
+  (segment (start 105.826246 107.864898) (end 105.317498 108.373646) (width 0.2032) (layer BOT-L6) (net 438) (tstamp 51128A63))
+  (segment (start 120.024098 107.864898) (end 105.826246 107.864898) (width 0.2032) (layer BOT-L6) (net 438) (tstamp 51128A61))
+  (segment (start 121.3957 109.2365) (end 120.024098 107.864898) (width 0.2032) (layer BOT-L6) (net 438) (tstamp 51128A5F))
+  (segment (start 129.9555 109.2365) (end 128.8125 109.2365) (width 0.2032) (layer BOT-L6) (net 439))
+  (segment (start 103.465532 110.8113) (end 99.30532 110.8113) (width 0.2032) (layer BOT-L6) (net 439) (tstamp 51128A7F))
+  (segment (start 105.012696 109.264136) (end 103.465532 110.8113) (width 0.2032) (layer BOT-L6) (net 439) (tstamp 51128A7D))
+  (segment (start 105.012696 108.247392) (end 105.012696 109.264136) (width 0.2032) (layer BOT-L6) (net 439) (tstamp 51128A7C))
+  (segment (start 105.699992 107.560096) (end 105.012696 108.247392) (width 0.2032) (layer BOT-L6) (net 439) (tstamp 51128A7B))
+  (segment (start 127.136096 107.560096) (end 105.699992 107.560096) (width 0.2032) (layer BOT-L6) (net 439) (tstamp 51128A79))
+  (segment (start 128.8125 109.2365) (end 127.136096 107.560096) (width 0.2032) (layer BOT-L6) (net 439) (tstamp 51128A77))
+  (segment (start 137.5755 109.2365) (end 136.3817 109.2365) (width 0.2032) (layer BOT-L6) (net 440))
+  (segment (start 103.633916 110.21186) (end 99.30532 110.21186) (width 0.2032) (layer BOT-L6) (net 440) (tstamp 51128A97))
+  (segment (start 104.707894 109.137882) (end 103.633916 110.21186) (width 0.2032) (layer BOT-L6) (net 440) (tstamp 51128A96))
+  (segment (start 104.707894 108.121138) (end 104.707894 109.137882) (width 0.2032) (layer BOT-L6) (net 440) (tstamp 51128A94))
+  (segment (start 105.573738 107.255294) (end 104.707894 108.121138) (width 0.2032) (layer BOT-L6) (net 440) (tstamp 51128A93))
+  (segment (start 134.400494 107.255294) (end 105.573738 107.255294) (width 0.2032) (layer BOT-L6) (net 440) (tstamp 51128A91))
+  (segment (start 136.3817 109.2365) (end 134.400494 107.255294) (width 0.2032) (layer BOT-L6) (net 440) (tstamp 51128A8F))
+  (segment (start 107.0955 106.1885) (end 105.9525 106.1885) (width 0.2032) (layer BOT-L6) (net 441))
+  (segment (start 102.52858 109.61242) (end 99.30532 109.61242) (width 0.2032) (layer BOT-L6) (net 441) (tstamp 511289B1))
+  (segment (start 105.9525 106.1885) (end 102.52858 109.61242) (width 0.2032) (layer BOT-L6) (net 441) (tstamp 511289AF))
+  (segment (start 114.7155 106.1885) (end 113.8265 106.1885) (width 0.2032) (layer BOT-L6) (net 442))
+  (segment (start 102.696964 109.01298) (end 99.30532 109.01298) (width 0.2032) (layer BOT-L6) (net 442) (tstamp 511289C7))
+  (segment (start 105.8763 105.833644) (end 102.696964 109.01298) (width 0.2032) (layer BOT-L6) (net 442) (tstamp 511289C6))
+  (segment (start 105.8763 105.3757) (end 105.8763 105.833644) (width 0.2032) (layer BOT-L6) (net 442) (tstamp 511289C5))
+  (segment (start 106.1303 105.1217) (end 105.8763 105.3757) (width 0.2032) (layer BOT-L6) (net 442) (tstamp 511289C4))
+  (segment (start 112.7597 105.1217) (end 106.1303 105.1217) (width 0.2032) (layer BOT-L6) (net 442) (tstamp 511289C2))
+  (segment (start 113.8265 106.1885) (end 112.7597 105.1217) (width 0.2032) (layer BOT-L6) (net 442) (tstamp 511289C0))
+  (segment (start 122.3355 106.1885) (end 120.7099 106.1885) (width 0.2032) (layer BOT-L6) (net 443))
+  (segment (start 102.867888 108.411) (end 99.30532 108.411) (width 0.2032) (layer BOT-L6) (net 443) (tstamp 511289DD))
+  (segment (start 105.571498 105.70739) (end 102.867888 108.411) (width 0.2032) (layer BOT-L6) (net 443) (tstamp 511289DC))
+  (segment (start 105.571498 105.249446) (end 105.571498 105.70739) (width 0.2032) (layer BOT-L6) (net 443) (tstamp 511289DB))
+  (segment (start 106.004046 104.816898) (end 105.571498 105.249446) (width 0.2032) (layer BOT-L6) (net 443) (tstamp 511289DA))
+  (segment (start 119.338298 104.816898) (end 106.004046 104.816898) (width 0.2032) (layer BOT-L6) (net 443) (tstamp 511289D8))
+  (segment (start 120.7099 106.1885) (end 119.338298 104.816898) (width 0.2032) (layer BOT-L6) (net 443) (tstamp 511289D6))
+  (segment (start 129.9555 106.1885) (end 129.3459 106.1885) (width 0.2032) (layer BOT-L6) (net 444))
+  (segment (start 103.036272 107.81156) (end 99.30532 107.81156) (width 0.2032) (layer BOT-L6) (net 444) (tstamp 511289F7))
+  (segment (start 105.266696 105.581136) (end 103.036272 107.81156) (width 0.2032) (layer BOT-L6) (net 444) (tstamp 511289F6))
+  (segment (start 105.266696 105.123192) (end 105.266696 105.581136) (width 0.2032) (layer BOT-L6) (net 444) (tstamp 511289F5))
+  (segment (start 105.877792 104.512096) (end 105.266696 105.123192) (width 0.2032) (layer BOT-L6) (net 444) (tstamp 511289F4))
+  (segment (start 127.669496 104.512096) (end 105.877792 104.512096) (width 0.2032) (layer BOT-L6) (net 444) (tstamp 511289F2))
+  (segment (start 129.3459 106.1885) (end 127.669496 104.512096) (width 0.2032) (layer BOT-L6) (net 444) (tstamp 511289F1))
+  (segment (start 137.5755 106.1885) (end 136.3817 106.1885) (width 0.2032) (layer BOT-L6) (net 445))
+  (segment (start 103.204656 107.21212) (end 99.30532 107.21212) (width 0.2032) (layer BOT-L6) (net 445) (tstamp 51128A0E))
+  (segment (start 104.961894 105.454882) (end 103.204656 107.21212) (width 0.2032) (layer BOT-L6) (net 445) (tstamp 51128A0D))
+  (segment (start 104.961894 104.996938) (end 104.961894 105.454882) (width 0.2032) (layer BOT-L6) (net 445) (tstamp 51128A0C))
+  (segment (start 105.751538 104.207294) (end 104.961894 104.996938) (width 0.2032) (layer BOT-L6) (net 445) (tstamp 51128A0B))
+  (segment (start 134.400494 104.207294) (end 105.751538 104.207294) (width 0.2032) (layer BOT-L6) (net 445) (tstamp 51128A09))
+  (segment (start 136.3817 106.1885) (end 134.400494 104.207294) (width 0.2032) (layer BOT-L6) (net 445) (tstamp 51128A07))
+  (segment (start 107.0955 103.1405) (end 105.8763 103.1405) (width 0.2032) (layer BOT-L6) (net 446))
+  (segment (start 102.40412 106.61268) (end 99.30532 106.61268) (width 0.2032) (layer BOT-L6) (net 446) (tstamp 51128948))
+  (segment (start 105.8763 103.1405) (end 102.40412 106.61268) (width 0.2032) (layer BOT-L6) (net 446) (tstamp 51128946))
+  (segment (start 114.7155 103.1405) (end 113.1915 103.1405) (width 0.2032) (layer BOT-L6) (net 447))
+  (segment (start 102.575044 106.0107) (end 99.30532 106.0107) (width 0.2032) (layer BOT-L6) (net 447) (tstamp 5112895E))
+  (segment (start 105.508372 103.077372) (end 102.575044 106.0107) (width 0.2032) (layer BOT-L6) (net 447) (tstamp 5112895D))
+  (segment (start 105.508372 102.746428) (end 105.508372 103.077372) (width 0.2032) (layer BOT-L6) (net 447) (tstamp 5112895C))
+  (segment (start 106.1811 102.0737) (end 105.508372 102.746428) (width 0.2032) (layer BOT-L6) (net 447) (tstamp 5112895B))
+  (segment (start 112.1247 102.0737) (end 106.1811 102.0737) (width 0.2032) (layer BOT-L6) (net 447) (tstamp 51128959))
+  (segment (start 113.1915 103.1405) (end 112.1247 102.0737) (width 0.2032) (layer BOT-L6) (net 447) (tstamp 51128957))
+  (segment (start 122.3355 103.1405) (end 121.0909 103.1405) (width 0.2032) (layer BOT-L6) (net 448))
+  (segment (start 102.743428 105.41126) (end 99.30532 105.41126) (width 0.2032) (layer BOT-L6) (net 448) (tstamp 51128974))
+  (segment (start 105.20357 102.951118) (end 102.743428 105.41126) (width 0.2032) (layer BOT-L6) (net 448) (tstamp 51128973))
+  (segment (start 105.20357 102.620174) (end 105.20357 102.951118) (width 0.2032) (layer BOT-L6) (net 448) (tstamp 51128972))
+  (segment (start 106.054846 101.768898) (end 105.20357 102.620174) (width 0.2032) (layer BOT-L6) (net 448) (tstamp 51128971))
+  (segment (start 119.719298 101.768898) (end 106.054846 101.768898) (width 0.2032) (layer BOT-L6) (net 448) (tstamp 5112896F))
+  (segment (start 121.0909 103.1405) (end 119.719298 101.768898) (width 0.2032) (layer BOT-L6) (net 448) (tstamp 5112896D))
+  (segment (start 137.5755 103.1405) (end 136.2293 103.1405) (width 0.2032) (layer BOT-L6) (net 449))
+  (segment (start 103.080196 104.21238) (end 99.30532 104.21238) (width 0.2032) (layer BOT-L6) (net 449) (tstamp 511289A1))
+  (segment (start 104.593966 102.69861) (end 103.080196 104.21238) (width 0.2032) (layer BOT-L6) (net 449) (tstamp 511289A0))
+  (segment (start 104.593966 102.367666) (end 104.593966 102.69861) (width 0.2032) (layer BOT-L6) (net 449) (tstamp 5112899F))
+  (segment (start 105.802338 101.159294) (end 104.593966 102.367666) (width 0.2032) (layer BOT-L6) (net 449) (tstamp 5112899E))
+  (segment (start 134.248094 101.159294) (end 105.802338 101.159294) (width 0.2032) (layer BOT-L6) (net 449) (tstamp 5112899C))
+  (segment (start 136.2293 103.1405) (end 134.248094 101.159294) (width 0.2032) (layer BOT-L6) (net 449) (tstamp 5112899A))
+  (segment (start 107.0955 100.0925) (end 105.5715 100.0925) (width 0.2032) (layer BOT-L6) (net 450))
+  (segment (start 102.05106 103.61294) (end 99.30532 103.61294) (width 0.2032) (layer BOT-L6) (net 450) (tstamp 511288DB))
+  (segment (start 105.5715 100.0925) (end 102.05106 103.61294) (width 0.2032) (layer BOT-L6) (net 450) (tstamp 511288D9))
+  (segment (start 114.7155 100.0925) (end 113.4963 100.0925) (width 0.2032) (layer BOT-L6) (net 451))
+  (segment (start 102.221984 103.01096) (end 99.30532 103.01096) (width 0.2032) (layer BOT-L6) (net 451) (tstamp 511288F7))
+  (segment (start 106.207244 99.0257) (end 102.221984 103.01096) (width 0.2032) (layer BOT-L6) (net 451) (tstamp 511288F5))
+  (segment (start 112.4295 99.0257) (end 106.207244 99.0257) (width 0.2032) (layer BOT-L6) (net 451) (tstamp 511288F3))
+  (segment (start 113.4963 100.0925) (end 112.4295 99.0257) (width 0.2032) (layer BOT-L6) (net 451) (tstamp 511288F1))
+  (segment (start 122.3355 100.0925) (end 120.9131 100.0925) (width 0.2032) (layer BOT-L6) (net 452))
+  (segment (start 102.390368 102.41152) (end 99.30532 102.41152) (width 0.2032) (layer BOT-L6) (net 452) (tstamp 5112890C))
+  (segment (start 106.08099 98.720898) (end 102.390368 102.41152) (width 0.2032) (layer BOT-L6) (net 452) (tstamp 5112890A))
+  (segment (start 119.541498 98.720898) (end 106.08099 98.720898) (width 0.2032) (layer BOT-L6) (net 452) (tstamp 51128908))
+  (segment (start 120.9131 100.0925) (end 119.541498 98.720898) (width 0.2032) (layer BOT-L6) (net 452) (tstamp 51128906))
+  (segment (start 129.9555 100.0925) (end 129.6253 100.0925) (width 0.2032) (layer BOT-L6) (net 453))
+  (segment (start 102.558752 101.81208) (end 99.30532 101.81208) (width 0.2032) (layer BOT-L6) (net 453) (tstamp 51128920))
+  (segment (start 105.954736 98.416096) (end 102.558752 101.81208) (width 0.2032) (layer BOT-L6) (net 453) (tstamp 5112891E))
+  (segment (start 127.948896 98.416096) (end 105.954736 98.416096) (width 0.2032) (layer BOT-L6) (net 453) (tstamp 5112891C))
+  (segment (start 129.6253 100.0925) (end 127.948896 98.416096) (width 0.2032) (layer BOT-L6) (net 453) (tstamp 5112891B))
+  (segment (start 137.5755 100.0925) (end 135.8737 100.0925) (width 0.2032) (layer BOT-L6) (net 454))
+  (segment (start 102.727136 101.21264) (end 99.30532 101.21264) (width 0.2032) (layer BOT-L6) (net 454) (tstamp 51128936))
+  (segment (start 105.828482 98.111294) (end 102.727136 101.21264) (width 0.2032) (layer BOT-L6) (net 454) (tstamp 51128934))
+  (segment (start 133.892494 98.111294) (end 105.828482 98.111294) (width 0.2032) (layer BOT-L6) (net 454) (tstamp 51128932))
+  (segment (start 135.8737 100.0925) (end 133.892494 98.111294) (width 0.2032) (layer BOT-L6) (net 454) (tstamp 51128930))
+  (segment (start 107.0955 97.0445) (end 103.2855 97.0445) (width 0.2032) (layer BOT-L6) (net 455))
+  (segment (start 103.25248 97.01148) (end 99.30532 97.01148) (width 0.2032) (layer BOT-L6) (net 455) (tstamp 51127AB0))
+  (segment (start 103.2855 97.0445) (end 103.25248 97.01148) (width 0.2032) (layer BOT-L6) (net 455) (tstamp 51127AAF))
+  (segment (start 114.7155 97.0445) (end 113.3439 97.0445) (width 0.2032) (layer BOT-L6) (net 456))
+  (segment (start 103.300738 96.41204) (end 99.30532 96.41204) (width 0.2032) (layer BOT-L6) (net 456) (tstamp 51127B59))
+  (segment (start 103.735078 95.9777) (end 103.300738 96.41204) (width 0.2032) (layer BOT-L6) (net 456) (tstamp 51127B58))
+  (segment (start 112.2771 95.9777) (end 103.735078 95.9777) (width 0.2032) (layer BOT-L6) (net 456) (tstamp 51127B56))
+  (segment (start 113.3439 97.0445) (end 112.2771 95.9777) (width 0.2032) (layer BOT-L6) (net 456) (tstamp 51127B54))
+  (segment (start 122.3355 97.0445) (end 120.8623 97.0445) (width 0.2032) (layer BOT-L6) (net 457))
+  (segment (start 103.4252 95.8126) (end 99.30532 95.8126) (width 0.2032) (layer BOT-L6) (net 457) (tstamp 51127C56))
+  (segment (start 103.564902 95.672898) (end 103.4252 95.8126) (width 0.2032) (layer BOT-L6) (net 457) (tstamp 51127C4C))
+  (segment (start 119.490698 95.672898) (end 103.564902 95.672898) (width 0.2032) (layer BOT-L6) (net 457) (tstamp 51127C46))
+  (segment (start 120.8623 97.0445) (end 119.490698 95.672898) (width 0.2032) (layer BOT-L6) (net 457) (tstamp 51127C42))
+  (segment (start 129.9555 97.0445) (end 129.5491 97.0445) (width 0.2032) (layer BOT-L6) (net 458))
+  (segment (start 101.32462 95.21062) (end 99.30532 95.21062) (width 0.2032) (layer BOT-L6) (net 458) (tstamp 51127D66))
+  (segment (start 101.482096 95.368096) (end 101.32462 95.21062) (width 0.2032) (layer BOT-L6) (net 458) (tstamp 51127D63))
+  (segment (start 127.872696 95.368096) (end 101.482096 95.368096) (width 0.2032) (layer BOT-L6) (net 458) (tstamp 51127D5E))
+  (segment (start 129.5491 97.0445) (end 127.872696 95.368096) (width 0.2032) (layer BOT-L6) (net 458) (tstamp 51127D5B))
+  (segment (start 137.5755 97.0445) (end 136.6865 97.0445) (width 0.2032) (layer BOT-L6) (net 459))
+  (segment (start 101.46178 94.61118) (end 99.30532 94.61118) (width 0.2032) (layer BOT-L6) (net 459) (tstamp 51127E38))
+  (segment (start 101.913894 95.063294) (end 101.46178 94.61118) (width 0.2032) (layer BOT-L6) (net 459) (tstamp 51127E31))
+  (segment (start 127.99895 95.063294) (end 101.913894 95.063294) (width 0.2032) (layer BOT-L6) (net 459) (tstamp 51127E2A))
+  (segment (start 128.608556 95.6729) (end 127.99895 95.063294) (width 0.2032) (layer BOT-L6) (net 459) (tstamp 51127E28))
+  (segment (start 135.3149 95.6729) (end 128.608556 95.6729) (width 0.2032) (layer BOT-L6) (net 459) (tstamp 51127E1F))
+  (segment (start 136.6865 97.0445) (end 135.3149 95.6729) (width 0.2032) (layer BOT-L6) (net 459) (tstamp 51127E1A))
+
+  (zone (net 187) (net_name GND) (layer GND-L2) (tstamp 51835F47) (hatch edge 0.508)
+    (connect_pads (clearance 0.2032))
+    (min_thickness 0.1)
+    (fill (arc_segments 16) (thermal_gap 0.508) (thermal_bridge_width 0.508))
+    (polygon
+      (pts
+        (xy 143.9375 75.7875) (xy 230.7625 75.8375) (xy 230.6875 158.1375) (xy 144.4375 158.1125) (xy 143.9375 75.8375)
+      )
+    )
+    (filled_polygon
+      (pts
+        (xy 164.17014 150.7157) (xy 163.88164 151.0042) (xy 163.59314 150.7157) (xy 163.88164 150.4272) (xy 164.17014 150.7157)
+      )
+    )
+    (filled_polygon
+      (pts
+        (xy 168.74976 150.7157) (xy 168.46126 151.0042) (xy 168.17276 150.7157) (xy 168.46126 150.4272) (xy 168.74976 150.7157)
+      )
+    )
+    (filled_polygon
+      (pts
+        (xy 171.04084 150.7157) (xy 170.75234 151.0042) (xy 170.46384 150.7157) (xy 170.75234 150.4272) (xy 171.04084 150.7157)
+      )
+    )
+    (filled_polygon
+      (pts
+        (xy 230.712454 75.887471) (xy 230.637546 158.087486) (xy 229.034364 158.087021) (xy 229.034364 87.049026) (xy 228.957596 86.863232)
+        (xy 228.957596 80.163994) (xy 228.957596 78.861006) (xy 228.957402 78.63902) (xy 228.872273 78.434006) (xy 228.715168 78.277175)
+        (xy 228.510006 78.192404) (xy 227.981 78.1925) (xy 227.8415 78.332) (xy 227.8415 79.3085) (xy 228.818 79.3085)
+        (xy 228.9575 79.169) (xy 228.957596 78.861006) (xy 228.957596 80.163994) (xy 228.9575 79.856) (xy 228.818 79.7165)
+        (xy 227.8415 79.7165) (xy 227.8415 80.693) (xy 227.981 80.8325) (xy 228.510006 80.832596) (xy 228.715168 80.747825)
+        (xy 228.872273 80.590994) (xy 228.957402 80.38598) (xy 228.957596 80.163994) (xy 228.957596 86.863232) (xy 228.889782 86.69911)
+        (xy 228.652743 86.461657) (xy 228.652743 83.574356) (xy 228.652743 82.050356) (xy 228.614277 81.957261) (xy 228.543113 81.885973)
+        (xy 228.450085 81.847345) (xy 228.349356 81.847257) (xy 227.4335 81.847257) (xy 227.4335 80.693) (xy 227.4335 79.7165)
+        (xy 227.4335 79.3085) (xy 227.4335 78.332) (xy 227.294 78.1925) (xy 226.764994 78.192404) (xy 226.559832 78.277175)
+        (xy 226.402727 78.434006) (xy 226.317598 78.63902) (xy 226.317404 78.861006) (xy 226.3175 79.169) (xy 226.457 79.3085)
+        (xy 227.4335 79.3085) (xy 227.4335 79.7165) (xy 226.457 79.7165) (xy 226.3175 79.856) (xy 226.317404 80.163994)
+        (xy 226.317598 80.38598) (xy 226.402727 80.590994) (xy 226.559832 80.747825) (xy 226.764994 80.832596) (xy 227.294 80.8325)
+        (xy 227.4335 80.693) (xy 227.4335 81.847257) (xy 226.825356 81.847257) (xy 226.732261 81.885723) (xy 226.660973 81.956887)
+        (xy 226.622345 82.049915) (xy 226.622257 82.150644) (xy 226.622257 83.674644) (xy 226.660723 83.767739) (xy 226.731887 83.839027)
+        (xy 226.824915 83.877655) (xy 226.925644 83.877743) (xy 228.449644 83.877743) (xy 228.542739 83.839277) (xy 228.614027 83.768113)
+        (xy 228.652655 83.675085) (xy 228.652743 83.574356) (xy 228.652743 86.461657) (xy 228.622298 86.431159) (xy 228.272635 86.285966)
+        (xy 227.894026 86.285636) (xy 227.54411 86.430218) (xy 227.276159 86.697702) (xy 227.130966 87.047365) (xy 227.130636 87.425974)
+        (xy 227.275218 87.77589) (xy 227.542702 88.043841) (xy 227.892365 88.189034) (xy 228.270974 88.189364) (xy 228.62089 88.044782)
+        (xy 228.888841 87.777298) (xy 229.034034 87.427635) (xy 229.034364 87.049026) (xy 229.034364 158.087021) (xy 226.799096 158.086373)
+        (xy 226.799096 87.825494) (xy 226.799096 86.649506) (xy 226.798902 86.42752) (xy 226.713773 86.222506) (xy 226.556668 86.065675)
+        (xy 226.351506 85.980904) (xy 225.886 85.981) (xy 225.7465 86.1205) (xy 225.7465 87.0335) (xy 226.6595 87.0335)
+        (xy 226.799 86.894) (xy 226.799096 86.649506) (xy 226.799096 87.825494) (xy 226.799 87.581) (xy 226.6595 87.4415)
+        (xy 225.7465 87.4415) (xy 225.7465 88.3545) (xy 225.886 88.494) (xy 226.351506 88.494096) (xy 226.556668 88.409325)
+        (xy 226.713773 88.252494) (xy 226.798902 88.04748) (xy 226.799096 87.825494) (xy 226.799096 158.086373) (xy 226.507743 158.086288)
+        (xy 226.507743 113.089356) (xy 226.507743 111.565356) (xy 226.469277 111.472261) (xy 226.398113 111.400973) (xy 226.305085 111.362345)
+        (xy 226.204356 111.362257) (xy 225.3385 111.362257) (xy 225.3385 88.3545) (xy 225.3385 87.4415) (xy 225.3385 87.0335)
+        (xy 225.3385 86.1205) (xy 225.199 85.981) (xy 224.733494 85.980904) (xy 224.528332 86.065675) (xy 224.371227 86.222506)
+        (xy 224.286098 86.42752) (xy 224.285904 86.649506) (xy 224.286 86.894) (xy 224.4255 87.0335) (xy 225.3385 87.0335)
+        (xy 225.3385 87.4415) (xy 224.4255 87.4415) (xy 224.286 87.581) (xy 224.285904 87.825494) (xy 224.286098 88.04748)
+        (xy 224.371227 88.252494) (xy 224.528332 88.409325) (xy 224.733494 88.494096) (xy 225.199 88.494) (xy 225.3385 88.3545)
+        (xy 225.3385 111.362257) (xy 224.680356 111.362257) (xy 224.587261 111.400723) (xy 224.515973 111.471887) (xy 224.477345 111.564915)
+        (xy 224.477257 111.665644) (xy 224.477257 113.189644) (xy 224.515723 113.282739) (xy 224.586887 113.354027) (xy 224.679915 113.392655)
+        (xy 224.780644 113.392743) (xy 226.304644 113.392743) (xy 226.397739 113.354277) (xy 226.469027 113.283113) (xy 226.507655 113.190085)
+        (xy 226.507743 113.089356) (xy 226.507743 158.086288) (xy 224.59067 158.085733) (xy 224.59067 155.351627) (xy 224.543123 154.534338)
+        (xy 224.54067 154.528415) (xy 224.54067 142.201627) (xy 224.493123 141.384338) (xy 224.271834 140.8501) (xy 223.984217 140.679282)
+        (xy 223.850056 140.813443) (xy 223.850056 87.068028) (xy 223.792102 86.515617) (xy 223.6922 86.274433) (xy 223.47318 86.185319)
+        (xy 223.184681 86.473818) (xy 223.184681 85.89682) (xy 223.095567 85.6778) (xy 222.563028 85.519944) (xy 222.010617 85.577898)
+        (xy 221.769433 85.6778) (xy 221.680319 85.89682) (xy 222.4325 86.649) (xy 223.184681 85.89682) (xy 223.184681 86.473818)
+        (xy 222.721 86.9375) (xy 223.47318 87.689681) (xy 223.6922 87.600567) (xy 223.850056 87.068028) (xy 223.850056 140.813443)
+        (xy 223.695718 140.967781) (xy 223.695718 140.390783) (xy 223.5249 140.103166) (xy 223.184681 139.984885) (xy 223.184681 87.97818)
+        (xy 222.4325 87.226) (xy 222.144 87.514499) (xy 222.144 86.9375) (xy 221.39182 86.185319) (xy 221.1728 86.274433)
+        (xy 221.014944 86.806972) (xy 221.072898 87.359383) (xy 221.1728 87.600567) (xy 221.39182 87.689681) (xy 222.144 86.9375)
+        (xy 222.144 87.514499) (xy 221.680319 87.97818) (xy 221.769433 88.1972) (xy 222.301972 88.355056) (xy 222.854383 88.297102)
+        (xy 223.095567 88.1972) (xy 223.184681 87.97818) (xy 223.184681 139.984885) (xy 222.751627 139.83433) (xy 222.669383 139.839114)
+        (xy 222.669383 90.292085) (xy 222.596188 90.114939) (xy 222.460774 89.979288) (xy 222.283756 89.905784) (xy 222.092085 89.905617)
+        (xy 221.914939 89.978812) (xy 221.779288 90.114226) (xy 221.705784 90.291244) (xy 221.705617 90.482915) (xy 221.778812 90.660061)
+        (xy 221.914226 90.795712) (xy 222.091244 90.869216) (xy 222.282915 90.869383) (xy 222.460061 90.796188) (xy 222.595712 90.660774)
+        (xy 222.669216 90.483756) (xy 222.669383 90.292085) (xy 222.669383 139.839114) (xy 222.269383 139.862385) (xy 222.269383 100.842085)
+        (xy 222.196188 100.664939) (xy 222.094383 100.562955) (xy 222.094383 96.767085) (xy 222.021188 96.589939) (xy 221.885774 96.454288)
+        (xy 221.708756 96.380784) (xy 221.517085 96.380617) (xy 221.339939 96.453812) (xy 221.204288 96.589226) (xy 221.130784 96.766244)
+        (xy 221.130617 96.957915) (xy 221.203812 97.135061) (xy 221.249425 97.180754) (xy 221.092085 97.180617) (xy 220.984088 97.22524)
+        (xy 220.984088 86.72136) (xy 220.818283 86.32008) (xy 220.511535 86.012796) (xy 220.110545 85.846291) (xy 219.67636 85.845912)
+        (xy 219.27508 86.011717) (xy 218.967796 86.318465) (xy 218.801291 86.719455) (xy 218.800912 87.15364) (xy 218.966717 87.55492)
+        (xy 219.273465 87.862204) (xy 219.674455 88.028709) (xy 220.10864 88.029088) (xy 220.50992 87.863283) (xy 220.817204 87.556535)
+        (xy 220.983709 87.155545) (xy 220.984088 86.72136) (xy 220.984088 97.22524) (xy 220.921343 97.251165) (xy 220.921343 94.923285)
+        (xy 220.848148 94.746139) (xy 220.712734 94.610488) (xy 220.535716 94.536984) (xy 220.344045 94.536817) (xy 220.166899 94.610012)
+        (xy 220.031248 94.745426) (xy 219.957744 94.922444) (xy 219.957577 95.114115) (xy 220.030772 95.291261) (xy 220.166186 95.426912)
+        (xy 220.343204 95.500416) (xy 220.534875 95.500583) (xy 220.712021 95.427388) (xy 220.847672 95.291974) (xy 220.921176 95.114956)
+        (xy 220.921343 94.923285) (xy 220.921343 97.251165) (xy 220.914939 97.253812) (xy 220.779288 97.389226) (xy 220.705784 97.566244)
+        (xy 220.705617 97.757915) (xy 220.778812 97.935061) (xy 220.914226 98.070712) (xy 221.091244 98.144216) (xy 221.282915 98.144383)
+        (xy 221.460061 98.071188) (xy 221.595712 97.935774) (xy 221.669216 97.758756) (xy 221.669383 97.567085) (xy 221.596188 97.389939)
+        (xy 221.550574 97.344245) (xy 221.707915 97.344383) (xy 221.885061 97.271188) (xy 222.020712 97.135774) (xy 222.094216 96.958756)
+        (xy 222.094383 96.767085) (xy 222.094383 100.562955) (xy 222.060774 100.529288) (xy 221.883756 100.455784) (xy 221.692085 100.455617)
+        (xy 221.514939 100.528812) (xy 221.379288 100.664226) (xy 221.305784 100.841244) (xy 221.305617 101.032915) (xy 221.378812 101.210061)
+        (xy 221.514226 101.345712) (xy 221.691244 101.419216) (xy 221.882915 101.419383) (xy 222.060061 101.346188) (xy 222.195712 101.210774)
+        (xy 222.269216 101.033756) (xy 222.269383 100.842085) (xy 222.269383 139.862385) (xy 221.934338 139.881877) (xy 221.877743 139.905319)
+        (xy 221.877743 112.549356) (xy 221.877743 111.025356) (xy 221.839277 110.932261) (xy 221.794383 110.887288) (xy 221.794383 101.967085)
+        (xy 221.721188 101.789939) (xy 221.585774 101.654288) (xy 221.408756 101.580784) (xy 221.217085 101.580617) (xy 221.039939 101.653812)
+        (xy 220.904288 101.789226) (xy 220.830784 101.966244) (xy 220.830617 102.157915) (xy 220.903812 102.335061) (xy 221.039226 102.470712)
+        (xy 221.216244 102.544216) (xy 221.407915 102.544383) (xy 221.585061 102.471188) (xy 221.720712 102.335774) (xy 221.794216 102.158756)
+        (xy 221.794383 101.967085) (xy 221.794383 110.887288) (xy 221.768113 110.860973) (xy 221.675085 110.822345) (xy 221.574356 110.822257)
+        (xy 220.050356 110.822257) (xy 219.957261 110.860723) (xy 219.885973 110.931887) (xy 219.847345 111.024915) (xy 219.847257 111.125644)
+        (xy 219.847257 112.649644) (xy 219.885723 112.742739) (xy 219.956887 112.814027) (xy 220.049915 112.852655) (xy 220.150644 112.852743)
+        (xy 221.674644 112.852743) (xy 221.767739 112.814277) (xy 221.839027 112.743113) (xy 221.877655 112.650085) (xy 221.877743 112.549356)
+        (xy 221.877743 139.905319) (xy 221.4001 140.103166) (xy 221.239514 140.373554) (xy 221.239514 137.564888) (xy 221.239514 132.124208)
+        (xy 221.033963 131.626737) (xy 220.653685 131.245794) (xy 220.156573 131.039376) (xy 219.618308 131.038906) (xy 219.120837 131.244457)
+        (xy 218.739894 131.624735) (xy 218.547214 132.088762) (xy 218.547214 121.431873) (xy 218.494383 121.304012) (xy 218.494383 93.967085)
+        (xy 218.421188 93.789939) (xy 218.285774 93.654288) (xy 218.108756 93.580784) (xy 217.917085 93.580617) (xy 217.739939 93.653812)
+        (xy 217.604288 93.789226) (xy 217.530784 93.966244) (xy 217.530617 94.157915) (xy 217.603812 94.335061) (xy 217.739226 94.470712)
+        (xy 217.916244 94.544216) (xy 218.107915 94.544383) (xy 218.285061 94.471188) (xy 218.420712 94.335774) (xy 218.494216 94.158756)
+        (xy 218.494383 93.967085) (xy 218.494383 121.304012) (xy 218.447007 121.189355) (xy 218.261621 121.003645) (xy 218.019278 120.903015)
+        (xy 217.756873 120.902786) (xy 217.514355 121.002993) (xy 217.328645 121.188379) (xy 217.228015 121.430722) (xy 217.227786 121.693127)
+        (xy 217.327993 121.935645) (xy 217.513379 122.121355) (xy 217.755722 122.221985) (xy 218.018127 122.222214) (xy 218.260645 122.122007)
+        (xy 218.446355 121.936621) (xy 218.546985 121.694278) (xy 218.547214 121.431873) (xy 218.547214 132.088762) (xy 218.533476 132.121847)
+        (xy 218.533006 132.660112) (xy 218.738557 133.157583) (xy 219.118835 133.538526) (xy 219.615947 133.744944) (xy 220.154212 133.745414)
+        (xy 220.651683 133.539863) (xy 221.032626 133.159585) (xy 221.239044 132.662473) (xy 221.239514 132.124208) (xy 221.239514 137.564888)
+        (xy 221.033963 137.067417) (xy 220.653685 136.686474) (xy 220.161224 136.481987) (xy 220.161224 134.913714) (xy 220.008731 134.544653)
+        (xy 219.726612 134.262041) (xy 219.357818 134.108905) (xy 218.958494 134.108556) (xy 218.589433 134.261049) (xy 218.306821 134.543168)
+        (xy 218.157612 134.902504) (xy 218.009751 134.544653) (xy 217.727632 134.262041) (xy 217.358838 134.108905) (xy 217.094383 134.108673)
+        (xy 217.094383 102.667085) (xy 217.021188 102.489939) (xy 216.885774 102.354288) (xy 216.708756 102.280784) (xy 216.517085 102.280617)
+        (xy 216.339939 102.353812) (xy 216.204288 102.489226) (xy 216.144383 102.633493) (xy 216.144383 79.717085) (xy 216.071188 79.539939)
+        (xy 215.935774 79.404288) (xy 215.758756 79.330784) (xy 215.567085 79.330617) (xy 215.389939 79.403812) (xy 215.254288 79.539226)
+        (xy 215.180784 79.716244) (xy 215.180617 79.907915) (xy 215.253812 80.085061) (xy 215.389226 80.220712) (xy 215.566244 80.294216)
+        (xy 215.757915 80.294383) (xy 215.935061 80.221188) (xy 216.070712 80.085774) (xy 216.144216 79.908756) (xy 216.144383 79.717085)
+        (xy 216.144383 102.633493) (xy 216.130784 102.666244) (xy 216.130617 102.857915) (xy 216.203812 103.035061) (xy 216.339226 103.170712)
+        (xy 216.516244 103.244216) (xy 216.707915 103.244383) (xy 216.885061 103.171188) (xy 217.020712 103.035774) (xy 217.094216 102.858756)
+        (xy 217.094383 102.667085) (xy 217.094383 134.108673) (xy 216.959514 134.108556) (xy 216.590453 134.261049) (xy 216.307841 134.543168)
+        (xy 216.157359 134.90557) (xy 216.008231 134.544653) (xy 215.744383 134.280343) (xy 215.744383 125.867085) (xy 215.744383 124.792085)
+        (xy 215.744383 122.592085) (xy 215.694383 122.471075) (xy 215.694383 119.267085) (xy 215.644383 119.146075) (xy 215.644383 118.167085)
+        (xy 215.571188 117.989939) (xy 215.435774 117.854288) (xy 215.258756 117.780784) (xy 215.219383 117.780749) (xy 215.219383 102.467085)
+        (xy 215.146188 102.289939) (xy 215.010774 102.154288) (xy 214.833756 102.080784) (xy 214.642085 102.080617) (xy 214.544383 102.120986)
+        (xy 214.544383 93.692085) (xy 214.471188 93.514939) (xy 214.335774 93.379288) (xy 214.158756 93.305784) (xy 213.967085 93.305617)
+        (xy 213.789939 93.378812) (xy 213.654288 93.514226) (xy 213.580784 93.691244) (xy 213.580617 93.882915) (xy 213.653812 94.060061)
+        (xy 213.789226 94.195712) (xy 213.966244 94.269216) (xy 214.157915 94.269383) (xy 214.335061 94.196188) (xy 214.470712 94.060774)
+        (xy 214.544216 93.883756) (xy 214.544383 93.692085) (xy 214.544383 102.120986) (xy 214.464939 102.153812) (xy 214.329288 102.289226)
+        (xy 214.255784 102.466244) (xy 214.255617 102.657915) (xy 214.328812 102.835061) (xy 214.464226 102.970712) (xy 214.641244 103.044216)
+        (xy 214.832915 103.044383) (xy 215.010061 102.971188) (xy 215.145712 102.835774) (xy 215.219216 102.658756) (xy 215.219383 102.467085)
+        (xy 215.219383 117.780749) (xy 215.067085 117.780617) (xy 214.889939 117.853812) (xy 214.754288 117.989226) (xy 214.680784 118.166244)
+        (xy 214.680617 118.357915) (xy 214.753812 118.535061) (xy 214.889226 118.670712) (xy 215.066244 118.744216) (xy 215.257915 118.744383)
+        (xy 215.435061 118.671188) (xy 215.570712 118.535774) (xy 215.644216 118.358756) (xy 215.644383 118.167085) (xy 215.644383 119.146075)
+        (xy 215.621188 119.089939) (xy 215.485774 118.954288) (xy 215.308756 118.880784) (xy 215.117085 118.880617) (xy 214.939939 118.953812)
+        (xy 214.804288 119.089226) (xy 214.730784 119.266244) (xy 214.730617 119.457915) (xy 214.803812 119.635061) (xy 214.939226 119.770712)
+        (xy 215.116244 119.844216) (xy 215.307915 119.844383) (xy 215.485061 119.771188) (xy 215.620712 119.635774) (xy 215.694216 119.458756)
+        (xy 215.694383 119.267085) (xy 215.694383 122.471075) (xy 215.671188 122.414939) (xy 215.644383 122.388087) (xy 215.644383 120.392085)
+        (xy 215.571188 120.214939) (xy 215.435774 120.079288) (xy 215.258756 120.005784) (xy 215.067085 120.005617) (xy 214.889939 120.078812)
+        (xy 214.754288 120.214226) (xy 214.680784 120.391244) (xy 214.680617 120.582915) (xy 214.753812 120.760061) (xy 214.889226 120.895712)
+        (xy 215.066244 120.969216) (xy 215.257915 120.969383) (xy 215.435061 120.896188) (xy 215.570712 120.760774) (xy 215.644216 120.583756)
+        (xy 215.644383 120.392085) (xy 215.644383 122.388087) (xy 215.535774 122.279288) (xy 215.358756 122.205784) (xy 215.167085 122.205617)
+        (xy 214.989939 122.278812) (xy 214.854288 122.414226) (xy 214.780784 122.591244) (xy 214.780617 122.782915) (xy 214.853812 122.960061)
+        (xy 214.989226 123.095712) (xy 215.166244 123.169216) (xy 215.357915 123.169383) (xy 215.535061 123.096188) (xy 215.670712 122.960774)
+        (xy 215.744216 122.783756) (xy 215.744383 122.592085) (xy 215.744383 124.792085) (xy 215.671188 124.614939) (xy 215.535774 124.479288)
+        (xy 215.358756 124.405784) (xy 215.167085 124.405617) (xy 214.989939 124.478812) (xy 214.854288 124.614226) (xy 214.780784 124.791244)
+        (xy 214.780617 124.982915) (xy 214.853812 125.160061) (xy 214.989226 125.295712) (xy 215.166244 125.369216) (xy 215.357915 125.369383)
+        (xy 215.535061 125.296188) (xy 215.670712 125.160774) (xy 215.744216 124.983756) (xy 215.744383 124.792085) (xy 215.744383 125.867085)
+        (xy 215.671188 125.689939) (xy 215.535774 125.554288) (xy 215.358756 125.480784) (xy 215.167085 125.480617) (xy 214.989939 125.553812)
+        (xy 214.854288 125.689226) (xy 214.780784 125.866244) (xy 214.780617 126.057915) (xy 214.853812 126.235061) (xy 214.989226 126.370712)
+        (xy 215.166244 126.444216) (xy 215.357915 126.444383) (xy 215.535061 126.371188) (xy 215.670712 126.235774) (xy 215.744216 126.058756)
+        (xy 215.744383 125.867085) (xy 215.744383 134.280343) (xy 215.726112 134.262041) (xy 215.357318 134.108905) (xy 214.957994 134.108556)
+        (xy 214.588933 134.261049) (xy 214.567763 134.282182) (xy 214.567763 132.585505) (xy 214.51393 131.928184) (xy 214.365951 131.57093)
+        (xy 214.11986 131.44936) (xy 213.83136 131.73786) (xy 213.83136 131.16086) (xy 213.70979 130.914769) (xy 213.694383 130.909816)
+        (xy 213.694383 102.992085) (xy 213.621188 102.814939) (xy 213.577743 102.771417) (xy 213.577743 85.599356) (xy 213.577743 84.075356)
+        (xy 213.539277 83.982261) (xy 213.468113 83.910973) (xy 213.375085 83.872345) (xy 213.274356 83.872257) (xy 211.750356 83.872257)
+        (xy 211.657261 83.910723) (xy 211.585973 83.981887) (xy 211.547345 84.074915) (xy 211.547257 84.175644) (xy 211.547257 85.699644)
+        (xy 211.585723 85.792739) (xy 211.656887 85.864027) (xy 211.749915 85.902655) (xy 211.850644 85.902743) (xy 213.374644 85.902743)
+        (xy 213.467739 85.864277) (xy 213.539027 85.793113) (xy 213.577655 85.700085) (xy 213.577743 85.599356) (xy 213.577743 102.771417)
+        (xy 213.485774 102.679288) (xy 213.308756 102.605784) (xy 213.269383 102.605749) (xy 213.269383 101.817085) (xy 213.196188 101.639939)
+        (xy 213.060774 101.504288) (xy 213.044383 101.497481) (xy 213.044383 99.067085) (xy 212.971188 98.889939) (xy 212.835774 98.754288)
+        (xy 212.658756 98.680784) (xy 212.467085 98.680617) (xy 212.294383 98.751975) (xy 212.294383 97.242085) (xy 212.221188 97.064939)
+        (xy 212.085774 96.929288) (xy 211.908756 96.855784) (xy 211.717085 96.855617) (xy 211.539939 96.928812) (xy 211.404288 97.064226)
+        (xy 211.330784 97.241244) (xy 211.330617 97.432915) (xy 211.403812 97.610061) (xy 211.539226 97.745712) (xy 211.716244 97.819216)
+        (xy 211.907915 97.819383) (xy 212.085061 97.746188) (xy 212.220712 97.610774) (xy 212.294216 97.433756) (xy 212.294383 97.242085)
+        (xy 212.294383 98.751975) (xy 212.289939 98.753812) (xy 212.154288 98.889226) (xy 212.080784 99.066244) (xy 212.080617 99.257915)
+        (xy 212.153812 99.435061) (xy 212.289226 99.570712) (xy 212.466244 99.644216) (xy 212.657915 99.644383) (xy 212.835061 99.571188)
+        (xy 212.970712 99.435774) (xy 213.044216 99.258756) (xy 213.044383 99.067085) (xy 213.044383 101.497481) (xy 212.883756 101.430784)
+        (xy 212.692085 101.430617) (xy 212.514939 101.503812) (xy 212.379288 101.639226) (xy 212.305784 101.816244) (xy 212.305617 102.007915)
+        (xy 212.378812 102.185061) (xy 212.514226 102.320712) (xy 212.691244 102.394216) (xy 212.882915 102.394383) (xy 213.060061 102.321188)
+        (xy 213.195712 102.185774) (xy 213.269216 102.008756) (xy 213.269383 101.817085) (xy 213.269383 102.605749) (xy 213.117085 102.605617)
+        (xy 212.939939 102.678812) (xy 212.804288 102.814226) (xy 212.730784 102.991244) (xy 212.730617 103.182915) (xy 212.803812 103.360061)
+        (xy 212.939226 103.495712) (xy 213.116244 103.569216) (xy 213.307915 103.569383) (xy 213.485061 103.496188) (xy 213.620712 103.360774)
+        (xy 213.694216 103.183756) (xy 213.694383 102.992085) (xy 213.694383 130.909816) (xy 213.617743 130.885183) (xy 213.617743 107.509356)
+        (xy 213.617743 105.985356) (xy 213.579277 105.892261) (xy 213.508113 105.820973) (xy 213.415085 105.782345) (xy 213.314356 105.782257)
+        (xy 211.790356 105.782257) (xy 211.697261 105.820723) (xy 211.625973 105.891887) (xy 211.587345 105.984915) (xy 211.587257 106.085644)
+        (xy 211.587257 107.609644) (xy 211.625723 107.702739) (xy 211.696887 107.774027) (xy 211.789915 107.812655) (xy 211.890644 107.812743)
+        (xy 213.414644 107.812743) (xy 213.507739 107.774277) (xy 213.579027 107.703113) (xy 213.617655 107.610085) (xy 213.617743 107.509356)
+        (xy 213.617743 130.885183) (xy 213.081905 130.712957) (xy 212.424584 130.76679) (xy 212.06733 130.914769) (xy 211.94576 131.16086)
+        (xy 212.88856 132.10366) (xy 213.83136 131.16086) (xy 213.83136 131.73786) (xy 213.17706 132.39216) (xy 214.11986 133.33496)
+        (xy 214.365951 133.21339) (xy 214.567763 132.585505) (xy 214.567763 134.282182) (xy 214.345175 134.504381) (xy 214.344411 134.502535)
+        (xy 214.134428 134.424372) (xy 213.845928 134.712872) (xy 213.845928 134.135872) (xy 213.767765 133.925889) (xy 213.652994 133.893076)
+        (xy 213.70979 133.869551) (xy 213.83136 133.62346) (xy 212.88856 132.68066) (xy 212.60006 132.96916) (xy 212.60006 132.39216)
+        (xy 211.65726 131.44936) (xy 211.544383 131.505121) (xy 211.544383 100.792085) (xy 211.471188 100.614939) (xy 211.335774 100.479288)
+        (xy 211.158756 100.405784) (xy 210.967085 100.405617) (xy 210.789939 100.478812) (xy 210.654288 100.614226) (xy 210.580784 100.791244)
+        (xy 210.580617 100.982915) (xy 210.653812 101.160061) (xy 210.789226 101.295712) (xy 210.966244 101.369216) (xy 211.157915 101.369383)
+        (xy 211.335061 101.296188) (xy 211.470712 101.160774) (xy 211.544216 100.983756) (xy 211.544383 100.792085) (xy 211.544383 131.505121)
+        (xy 211.411169 131.57093) (xy 211.209357 132.198815) (xy 211.26319 132.856136) (xy 211.411169 133.21339) (xy 211.65726 133.33496)
+        (xy 212.60006 132.39216) (xy 212.60006 132.96916) (xy 211.94576 133.62346) (xy 212.06733 133.869551) (xy 212.515219 134.013509)
+        (xy 212.469672 134.135872) (xy 213.1578 134.824) (xy 213.845928 134.135872) (xy 213.845928 134.712872) (xy 213.4463 135.1125)
+        (xy 214.134428 135.800628) (xy 214.344411 135.722465) (xy 214.34507 135.720158) (xy 214.587448 135.962959) (xy 214.956242 136.116095)
+        (xy 215.355566 136.116444) (xy 215.724627 135.963951) (xy 216.007239 135.681832) (xy 216.15772 135.319429) (xy 216.306849 135.680347)
+        (xy 216.588968 135.962959) (xy 216.957762 136.116095) (xy 217.357086 136.116444) (xy 217.726147 135.963951) (xy 218.008759 135.681832)
+        (xy 218.157967 135.322495) (xy 218.305829 135.680347) (xy 218.587948 135.962959) (xy 218.956742 136.116095) (xy 219.356066 136.116444)
+        (xy 219.725127 135.963951) (xy 220.007739 135.681832) (xy 220.160875 135.313038) (xy 220.161224 134.913714) (xy 220.161224 136.481987)
+        (xy 220.156573 136.480056) (xy 219.618308 136.479586) (xy 219.120837 136.685137) (xy 218.739894 137.065415) (xy 218.533476 137.562527)
+        (xy 218.533006 138.100792) (xy 218.738557 138.598263) (xy 219.118835 138.979206) (xy 219.615947 139.185624) (xy 220.154212 139.186094)
+        (xy 220.651683 138.980543) (xy 221.032626 138.600265) (xy 221.239044 138.103153) (xy 221.239514 137.564888) (xy 221.239514 140.373554)
+        (xy 221.229282 140.390783) (xy 222.4625 141.624) (xy 223.695718 140.390783) (xy 223.695718 140.967781) (xy 222.751 141.9125)
+        (xy 223.984217 143.145718) (xy 224.271834 142.9749) (xy 224.54067 142.201627) (xy 224.54067 154.528415) (xy 224.321834 154.0001)
+        (xy 224.034217 153.829282) (xy 223.745718 154.117781) (xy 223.745718 153.540783) (xy 223.695718 153.456594) (xy 223.695718 143.434217)
+        (xy 222.4625 142.201) (xy 222.174 142.489499) (xy 222.174 141.9125) (xy 220.940783 140.679282) (xy 220.653166 140.8501)
+        (xy 220.38433 141.623373) (xy 220.431877 142.440662) (xy 220.653166 142.9749) (xy 220.940783 143.145718) (xy 222.174 141.9125)
+        (xy 222.174 142.489499) (xy 221.229282 143.434217) (xy 221.4001 143.721834) (xy 222.173373 143.99067) (xy 222.990662 143.943123)
+        (xy 223.5249 143.721834) (xy 223.695718 143.434217) (xy 223.695718 153.456594) (xy 223.5749 153.253166) (xy 222.801627 152.98433)
+        (xy 221.984338 153.031877) (xy 221.4501 153.253166) (xy 221.392209 153.35064) (xy 221.392209 145.071938) (xy 221.332871 144.55475)
+        (xy 221.249111 144.352535) (xy 221.039128 144.274372) (xy 220.750628 144.562872) (xy 220.750628 143.985872) (xy 220.672465 143.775889)
+        (xy 220.171938 143.632791) (xy 219.65475 143.692129) (xy 219.452535 143.775889) (xy 219.374372 143.985872) (xy 220.0625 144.674)
+        (xy 220.750628 143.985872) (xy 220.750628 144.562872) (xy 220.351 144.9625) (xy 221.039128 145.650628) (xy 221.249111 145.572465)
+        (xy 221.392209 145.071938) (xy 221.392209 153.35064) (xy 221.279282 153.540783) (xy 222.5125 154.774) (xy 223.745718 153.540783)
+        (xy 223.745718 154.117781) (xy 222.801 155.0625) (xy 224.034217 156.295718) (xy 224.321834 156.1249) (xy 224.59067 155.351627)
+        (xy 224.59067 158.085733) (xy 223.745718 158.085488) (xy 223.745718 156.584217) (xy 222.5125 155.351) (xy 222.224 155.639499)
+        (xy 222.224 155.0625) (xy 221.066444 153.904943) (xy 221.066444 147.263714) (xy 220.913951 146.894653) (xy 220.750628 146.731044)
+        (xy 220.750628 145.939128) (xy 220.0625 145.251) (xy 219.774 145.5395) (xy 219.774 144.9625) (xy 219.085872 144.274372)
+        (xy 218.875889 144.352535) (xy 218.86567 144.388278) (xy 218.86567 142.201627) (xy 218.818123 141.384338) (xy 218.596834 140.8501)
+        (xy 218.309217 140.679282) (xy 218.020718 140.967781) (xy 218.020718 140.390783) (xy 217.8499 140.103166) (xy 217.076627 139.83433)
+        (xy 216.259338 139.881877) (xy 215.7251 140.103166) (xy 215.554282 140.390783) (xy 216.7875 141.624) (xy 218.020718 140.390783)
+        (xy 218.020718 140.967781) (xy 217.076 141.9125) (xy 218.309217 143.145718) (xy 218.596834 142.9749) (xy 218.86567 142.201627)
+        (xy 218.86567 144.388278) (xy 218.757763 144.765713) (xy 218.732871 144.54875) (xy 218.649111 144.346535) (xy 218.439128 144.268372)
+        (xy 218.150628 144.556872) (xy 218.150628 143.979872) (xy 218.072465 143.769889) (xy 217.857807 143.708519) (xy 218.020718 143.434217)
+        (xy 216.7875 142.201) (xy 216.499 142.489499) (xy 216.499 141.9125) (xy 215.265783 140.679282) (xy 214.978166 140.8501)
+        (xy 214.70933 141.623373) (xy 214.756877 142.440662) (xy 214.978166 142.9749) (xy 215.265783 143.145718) (xy 216.499 141.9125)
+        (xy 216.499 142.489499) (xy 215.554282 143.434217) (xy 215.7251 143.721834) (xy 216.498373 143.99067) (xy 216.776372 143.974496)
+        (xy 216.774372 143.979872) (xy 217.4625 144.668) (xy 218.150628 143.979872) (xy 218.150628 144.556872) (xy 217.751 144.9565)
+        (xy 218.439128 145.644628) (xy 218.649111 145.566465) (xy 218.767236 145.153286) (xy 218.792129 145.37025) (xy 218.875889 145.572465)
+        (xy 219.085872 145.650628) (xy 219.774 144.9625) (xy 219.774 145.5395) (xy 219.374372 145.939128) (xy 219.452535 146.149111)
+        (xy 219.953062 146.292209) (xy 220.47025 146.232871) (xy 220.672465 146.149111) (xy 220.750628 145.939128) (xy 220.750628 146.731044)
+        (xy 220.631832 146.612041) (xy 220.263038 146.458905) (xy 219.863714 146.458556) (xy 219.494653 146.611049) (xy 219.212041 146.893168)
+        (xy 219.058905 147.261962) (xy 219.058556 147.661286) (xy 219.211049 148.030347) (xy 219.493168 148.312959) (xy 219.861962 148.466095)
+        (xy 220.261286 148.466444) (xy 220.630347 148.313951) (xy 220.912959 148.031832) (xy 221.066095 147.663038) (xy 221.066444 147.263714)
+        (xy 221.066444 153.904943) (xy 221.016444 153.854943) (xy 221.016444 151.913714) (xy 221.016444 149.313714) (xy 220.863951 148.944653)
+        (xy 220.581832 148.662041) (xy 220.213038 148.508905) (xy 219.813714 148.508556) (xy 219.444653 148.661049) (xy 219.162041 148.943168)
+        (xy 219.008905 149.311962) (xy 219.008556 149.711286) (xy 219.161049 150.080347) (xy 219.443168 150.362959) (xy 219.811962 150.516095)
+        (xy 220.211286 150.516444) (xy 220.580347 150.363951) (xy 220.862959 150.081832) (xy 221.016095 149.713038) (xy 221.016444 149.313714)
+        (xy 221.016444 151.913714) (xy 220.863951 151.544653) (xy 220.581832 151.262041) (xy 220.213038 151.108905) (xy 219.813714 151.108556)
+        (xy 219.444653 151.261049) (xy 219.162041 151.543168) (xy 219.008905 151.911962) (xy 219.008556 152.311286) (xy 219.161049 152.680347)
+        (xy 219.443168 152.962959) (xy 219.811962 153.116095) (xy 220.211286 153.116444) (xy 220.580347 152.963951) (xy 220.862959 152.681832)
+        (xy 221.016095 152.313038) (xy 221.016444 151.913714) (xy 221.016444 153.854943) (xy 220.990783 153.829282) (xy 220.703166 154.0001)
+        (xy 220.43433 154.773373) (xy 220.481877 155.590662) (xy 220.703166 156.1249) (xy 220.990783 156.295718) (xy 222.224 155.0625)
+        (xy 222.224 155.639499) (xy 221.279282 156.584217) (xy 221.4501 156.871834) (xy 222.223373 157.14067) (xy 223.040662 157.093123)
+        (xy 223.5749 156.871834) (xy 223.745718 156.584217) (xy 223.745718 158.085488) (xy 218.91567 158.084088) (xy 218.91567 155.476627)
+        (xy 218.868123 154.659338) (xy 218.646834 154.1251) (xy 218.491444 154.032812) (xy 218.491444 149.338714) (xy 218.466444 149.278209)
+        (xy 218.466444 147.288714) (xy 218.313951 146.919653) (xy 218.150628 146.756044) (xy 218.150628 145.933128) (xy 217.4625 145.245)
+        (xy 217.174 145.5335) (xy 217.174 144.9565) (xy 216.485872 144.268372) (xy 216.275889 144.346535) (xy 216.132791 144.847062)
+        (xy 216.192129 145.36425) (xy 216.275889 145.566465) (xy 216.485872 145.644628) (xy 217.174 144.9565) (xy 217.174 145.5335)
+        (xy 216.774372 145.933128) (xy 216.852535 146.143111) (xy 217.353062 146.286209) (xy 217.87025 146.226871) (xy 218.072465 146.143111)
+        (xy 218.150628 145.933128) (xy 218.150628 146.756044) (xy 218.031832 146.637041) (xy 217.663038 146.483905) (xy 217.263714 146.483556)
+        (xy 216.894653 146.636049) (xy 216.612041 146.918168) (xy 216.458905 147.286962) (xy 216.458556 147.686286) (xy 216.611049 148.055347)
+        (xy 216.893168 148.337959) (xy 217.261962 148.491095) (xy 217.661286 148.491444) (xy 218.030347 148.338951) (xy 218.312959 148.056832)
+        (xy 218.466095 147.688038) (xy 218.466444 147.288714) (xy 218.466444 149.278209) (xy 218.338951 148.969653) (xy 218.056832 148.687041)
+        (xy 217.688038 148.533905) (xy 217.288714 148.533556) (xy 216.919653 148.686049) (xy 216.637041 148.968168) (xy 216.483905 149.336962)
+        (xy 216.483556 149.736286) (xy 216.636049 150.105347) (xy 216.918168 150.387959) (xy 217.286962 150.541095) (xy 217.686286 150.541444)
+        (xy 218.055347 150.388951) (xy 218.337959 150.106832) (xy 218.491095 149.738038) (xy 218.491444 149.338714) (xy 218.491444 154.032812)
+        (xy 218.466444 154.017964) (xy 218.466444 151.869714) (xy 218.313951 151.500653) (xy 218.031832 151.218041) (xy 217.663038 151.064905)
+        (xy 217.263714 151.064556) (xy 216.894653 151.217049) (xy 216.612041 151.499168) (xy 216.458905 151.867962) (xy 216.458556 152.267286)
+        (xy 216.611049 152.636347) (xy 216.893168 152.918959) (xy 217.261962 153.072095) (xy 217.661286 153.072444) (xy 218.030347 152.919951)
+        (xy 218.312959 152.637832) (xy 218.466095 152.269038) (xy 218.466444 151.869714) (xy 218.466444 154.017964) (xy 218.359217 153.954282)
+        (xy 218.070718 154.242781) (xy 218.070718 153.665783) (xy 217.8999 153.378166) (xy 217.126627 153.10933) (xy 216.309338 153.156877)
+        (xy 215.7751 153.378166) (xy 215.604282 153.665783) (xy 216.8375 154.899) (xy 218.070718 153.665783) (xy 218.070718 154.242781)
+        (xy 217.126 155.1875) (xy 218.359217 156.420718) (xy 218.646834 156.2499) (xy 218.91567 155.476627) (xy 218.91567 158.084088)
+        (xy 218.070718 158.083843) (xy 218.070718 156.709217) (xy 216.8375 155.476) (xy 216.549 155.764499) (xy 216.549 155.1875)
+        (xy 215.315783 153.954282) (xy 215.028166 154.1251) (xy 214.75933 154.898373) (xy 214.806877 155.715662) (xy 215.028166 156.2499)
+        (xy 215.315783 156.420718) (xy 216.549 155.1875) (xy 216.549 155.764499) (xy 215.604282 156.709217) (xy 215.7751 156.996834)
+        (xy 216.548373 157.26567) (xy 217.365662 157.218123) (xy 217.8999 156.996834) (xy 218.070718 156.709217) (xy 218.070718 158.083843)
+        (xy 214.567763 158.082827) (xy 214.567763 138.026185) (xy 214.51393 137.368864) (xy 214.365951 137.01161) (xy 214.11986 136.89004)
+        (xy 213.845928 137.163972) (xy 213.845928 136.089128) (xy 213.1578 135.401) (xy 212.8693 135.6895) (xy 212.8693 135.1125)
+        (xy 212.181172 134.424372) (xy 211.971189 134.502535) (xy 211.828091 135.003062) (xy 211.887429 135.52025) (xy 211.971189 135.722465)
+        (xy 212.181172 135.800628) (xy 212.8693 135.1125) (xy 212.8693 135.6895) (xy 212.469672 136.089128) (xy 212.511085 136.200385)
+        (xy 212.424584 136.20747) (xy 212.06733 136.355449) (xy 211.94576 136.60154) (xy 212.88856 137.54434) (xy 213.83136 136.60154)
+        (xy 213.70979 136.355449) (xy 213.665849 136.341325) (xy 213.767765 136.299111) (xy 213.845928 136.089128) (xy 213.845928 137.163972)
+        (xy 213.17706 137.83284) (xy 214.11986 138.77564) (xy 214.365951 138.65407) (xy 214.567763 138.026185) (xy 214.567763 158.082827)
+        (xy 213.83136 158.082614) (xy 213.83136 139.06414) (xy 212.88856 138.12134) (xy 212.60006 138.40984) (xy 212.60006 137.83284)
+        (xy 211.65726 136.89004) (xy 211.411169 137.01161) (xy 211.209357 137.639495) (xy 211.26319 138.296816) (xy 211.411169 138.65407)
+        (xy 211.65726 138.77564) (xy 212.60006 137.83284) (xy 212.60006 138.40984) (xy 211.94576 139.06414) (xy 212.06733 139.310231)
+        (xy 212.695215 139.512043) (xy 213.352536 139.45821) (xy 213.70979 139.310231) (xy 213.83136 139.06414) (xy 213.83136 158.082614)
+        (xy 210.769383 158.081726) (xy 210.769383 125.867085) (xy 210.719383 125.746075) (xy 210.719383 124.767085) (xy 210.646188 124.589939)
+        (xy 210.619383 124.563087) (xy 210.619383 118.167085) (xy 210.546188 117.989939) (xy 210.410774 117.854288) (xy 210.233756 117.780784)
+        (xy 210.042085 117.780617) (xy 209.864939 117.853812) (xy 209.729288 117.989226) (xy 209.655784 118.166244) (xy 209.655617 118.357915)
+        (xy 209.728812 118.535061) (xy 209.864226 118.670712) (xy 210.041244 118.744216) (xy 210.232915 118.744383) (xy 210.410061 118.671188)
+        (xy 210.545712 118.535774) (xy 210.619216 118.358756) (xy 210.619383 118.167085) (xy 210.619383 124.563087) (xy 210.569383 124.512999)
+        (xy 210.569383 120.367085) (xy 210.519383 120.246075) (xy 210.519383 119.267085) (xy 210.446188 119.089939) (xy 210.310774 118.954288)
+        (xy 210.133756 118.880784) (xy 209.942085 118.880617) (xy 209.764939 118.953812) (xy 209.629288 119.089226) (xy 209.555784 119.266244)
+        (xy 209.555617 119.457915) (xy 209.628812 119.635061) (xy 209.764226 119.770712) (xy 209.941244 119.844216) (xy 210.132915 119.844383)
+        (xy 210.310061 119.771188) (xy 210.445712 119.635774) (xy 210.519216 119.458756) (xy 210.519383 119.267085) (xy 210.519383 120.246075)
+        (xy 210.496188 120.189939) (xy 210.360774 120.054288) (xy 210.183756 119.980784) (xy 209.992085 119.980617) (xy 209.814939 120.053812)
+        (xy 209.679288 120.189226) (xy 209.605784 120.366244) (xy 209.605617 120.557915) (xy 209.678812 120.735061) (xy 209.814226 120.870712)
+        (xy 209.991244 120.944216) (xy 210.182915 120.944383) (xy 210.360061 120.871188) (xy 210.495712 120.735774) (xy 210.569216 120.558756)
+        (xy 210.569383 120.367085) (xy 210.569383 124.512999) (xy 210.510774 124.454288) (xy 210.333756 124.380784) (xy 210.142085 124.380617)
+        (xy 210.094383 124.400327) (xy 210.094383 122.592085) (xy 210.021188 122.414939) (xy 209.885774 122.279288) (xy 209.708756 122.205784)
+        (xy 209.517085 122.205617) (xy 209.339939 122.278812) (xy 209.204288 122.414226) (xy 209.130784 122.591244) (xy 209.130617 122.782915)
+        (xy 209.203812 122.960061) (xy 209.339226 123.095712) (xy 209.516244 123.169216) (xy 209.707915 123.169383) (xy 209.885061 123.096188)
+        (xy 210.020712 122.960774) (xy 210.094216 122.783756) (xy 210.094383 122.592085) (xy 210.094383 124.400327) (xy 209.964939 124.453812)
+        (xy 209.829288 124.589226) (xy 209.755784 124.766244) (xy 209.755617 124.957915) (xy 209.828812 125.135061) (xy 209.964226 125.270712)
+        (xy 210.141244 125.344216) (xy 210.332915 125.344383) (xy 210.510061 125.271188) (xy 210.645712 125.135774) (xy 210.719216 124.958756)
+        (xy 210.719383 124.767085) (xy 210.719383 125.746075) (xy 210.696188 125.689939) (xy 210.560774 125.554288) (xy 210.383756 125.480784)
+        (xy 210.192085 125.480617) (xy 210.014939 125.553812) (xy 209.879288 125.689226) (xy 209.805784 125.866244) (xy 209.805617 126.057915)
+        (xy 209.878812 126.235061) (xy 210.014226 126.370712) (xy 210.191244 126.444216) (xy 210.382915 126.444383) (xy 210.560061 126.371188)
+        (xy 210.695712 126.235774) (xy 210.769216 126.058756) (xy 210.769383 125.867085) (xy 210.769383 158.081726) (xy 209.077743 158.081236)
+        (xy 209.077743 92.429356) (xy 209.077743 90.905356) (xy 209.039277 90.812261) (xy 208.968113 90.740973) (xy 208.875085 90.702345)
+        (xy 208.774356 90.702257) (xy 208.219827 90.702257) (xy 208.219827 79.743642) (xy 208.162675 79.170833) (xy 208.053425 78.907082)
+        (xy 207.829157 78.811642) (xy 207.540658 79.100141) (xy 207.540658 78.523143) (xy 207.445218 78.298875) (xy 206.894142 78.132473)
+        (xy 206.321333 78.189625) (xy 206.057582 78.298875) (xy 205.962142 78.523143) (xy 206.7514 79.3124) (xy 207.540658 78.523143)
+        (xy 207.540658 79.100141) (xy 207.0399 79.6009) (xy 207.829157 80.390158) (xy 208.053425 80.294718) (xy 208.219827 79.743642)
+        (xy 208.219827 90.702257) (xy 207.540658 90.702257) (xy 207.540658 80.678657) (xy 206.7514 79.8894) (xy 206.4629 80.177899)
+        (xy 206.4629 79.6009) (xy 205.673643 78.811642) (xy 205.449375 78.907082) (xy 205.282973 79.458158) (xy 205.340125 80.030967)
+        (xy 205.449375 80.294718) (xy 205.673643 80.390158) (xy 206.4629 79.6009) (xy 206.4629 80.177899) (xy 205.962142 80.678657)
+        (xy 206.057582 80.902925) (xy 206.608658 81.069327) (xy 207.181467 81.012175) (xy 207.445218 80.902925) (xy 207.540658 80.678657)
+        (xy 207.540658 90.702257) (xy 207.250356 90.702257) (xy 207.157261 90.740723) (xy 207.085973 90.811887) (xy 207.047345 90.904915)
+        (xy 207.047257 91.005644) (xy 207.047257 92.529644) (xy 207.085723 92.622739) (xy 207.156887 92.694027) (xy 207.249915 92.732655)
+        (xy 207.350644 92.732743) (xy 208.874644 92.732743) (xy 208.967739 92.694277) (xy 209.039027 92.623113) (xy 209.077655 92.530085)
+        (xy 209.077743 92.429356) (xy 209.077743 158.081236) (xy 208.494383 158.081067) (xy 208.494383 136.442085) (xy 208.421188 136.264939)
+        (xy 208.285774 136.129288) (xy 208.24432 136.112075) (xy 208.295712 136.060774) (xy 208.369216 135.883756) (xy 208.369383 135.692085)
+        (xy 208.296188 135.514939) (xy 208.160774 135.379288) (xy 207.983756 135.305784) (xy 207.866075 135.305681) (xy 208.010061 135.246188)
+        (xy 208.145712 135.110774) (xy 208.219216 134.933756) (xy 208.219383 134.742085) (xy 208.146188 134.564939) (xy 208.010774 134.429288)
+        (xy 207.833756 134.355784) (xy 207.642085 134.355617) (xy 207.464939 134.428812) (xy 207.407743 134.485908) (xy 207.407743 107.009356)
+        (xy 207.407743 105.485356) (xy 207.369277 105.392261) (xy 207.298113 105.320973) (xy 207.205085 105.282345) (xy 207.104356 105.282257)
+        (xy 206.019383 105.282257) (xy 206.019383 87.617085) (xy 205.946188 87.439939) (xy 205.810774 87.304288) (xy 205.633756 87.230784)
+        (xy 205.442085 87.230617) (xy 205.264939 87.303812) (xy 205.129288 87.439226) (xy 205.055784 87.616244) (xy 205.055617 87.807915)
+        (xy 205.128812 87.985061) (xy 205.264226 88.120712) (xy 205.441244 88.194216) (xy 205.632915 88.194383) (xy 205.810061 88.121188)
+        (xy 205.945712 87.985774) (xy 206.019216 87.808756) (xy 206.019383 87.617085) (xy 206.019383 105.282257) (xy 205.580356 105.282257)
+        (xy 205.547875 105.295677) (xy 205.547875 100.35645) (xy 205.547875 97.81645) (xy 205.393646 97.443187) (xy 205.108315 97.157358)
+        (xy 204.735322 97.002478) (xy 204.33145 97.002125) (xy 203.958187 97.156354) (xy 203.672358 97.441685) (xy 203.517478 97.814678)
+        (xy 203.517125 98.21855) (xy 203.671354 98.591813) (xy 203.956685 98.877642) (xy 204.329678 99.032522) (xy 204.73355 99.032875)
+        (xy 205.106813 98.878646) (xy 205.392642 98.593315) (xy 205.547522 98.220322) (xy 205.547875 97.81645) (xy 205.547875 100.35645)
+        (xy 205.393646 99.983187) (xy 205.108315 99.697358) (xy 204.735322 99.542478) (xy 204.33145 99.542125) (xy 203.958187 99.696354)
+        (xy 203.672358 99.981685) (xy 203.517478 100.354678) (xy 203.517125 100.75855) (xy 203.671354 101.131813) (xy 203.956685 101.417642)
+        (xy 204.329678 101.572522) (xy 204.73355 101.572875) (xy 205.106813 101.418646) (xy 205.392642 101.133315) (xy 205.547522 100.760322)
+        (xy 205.547875 100.35645) (xy 205.547875 105.295677) (xy 205.487261 105.320723) (xy 205.415973 105.391887) (xy 205.377345 105.484915)
+        (xy 205.377257 105.585644) (xy 205.377257 107.109644) (xy 205.415723 107.202739) (xy 205.486887 107.274027) (xy 205.579915 107.312655)
+        (xy 205.680644 107.312743) (xy 207.204644 107.312743) (xy 207.297739 107.274277) (xy 207.369027 107.203113) (xy 207.407655 107.110085)
+        (xy 207.407743 107.009356) (xy 207.407743 134.485908) (xy 207.329288 134.564226) (xy 207.255784 134.741244) (xy 207.255617 134.932915)
+        (xy 207.328812 135.110061) (xy 207.464226 135.245712) (xy 207.641244 135.319216) (xy 207.758924 135.319318) (xy 207.614939 135.378812)
+        (xy 207.479288 135.514226) (xy 207.405784 135.691244) (xy 207.405617 135.882915) (xy 207.478812 136.060061) (xy 207.614226 136.195712)
+        (xy 207.655679 136.212924) (xy 207.604288 136.264226) (xy 207.530784 136.441244) (xy 207.530617 136.632915) (xy 207.603812 136.810061)
+        (xy 207.739226 136.945712) (xy 207.916244 137.019216) (xy 208.107915 137.019383) (xy 208.285061 136.946188) (xy 208.420712 136.810774)
+        (xy 208.494216 136.633756) (xy 208.494383 136.442085) (xy 208.494383 158.081067) (xy 208.311235 158.081014) (xy 208.3605 157.9465)
+        (xy 208.3605 157.4215) (xy 208.3605 157.0135) (xy 208.3605 156.4885) (xy 208.182867 156.003479) (xy 207.833146 155.623355)
+        (xy 207.658969 155.5425) (xy 207.833146 155.461645) (xy 208.182867 155.081521) (xy 208.3605 154.5965) (xy 208.3605 154.0715)
+        (xy 208.3605 153.6635) (xy 208.3605 153.1385) (xy 208.219383 152.753184) (xy 208.219383 137.492085) (xy 208.146188 137.314939)
+        (xy 208.010774 137.179288) (xy 207.833756 137.105784) (xy 207.642085 137.105617) (xy 207.464939 137.178812) (xy 207.329288 137.314226)
+        (xy 207.255784 137.491244) (xy 207.255617 137.682915) (xy 207.328812 137.860061) (xy 207.464226 137.995712) (xy 207.641244 138.069216)
+        (xy 207.832915 138.069383) (xy 208.010061 137.996188) (xy 208.145712 137.860774) (xy 208.219216 137.683756) (xy 208.219383 137.492085)
+        (xy 208.219383 152.753184) (xy 208.182867 152.653479) (xy 208.164383 152.633388) (xy 208.164383 148.052085) (xy 208.091188 147.874939)
+        (xy 207.955774 147.739288) (xy 207.778756 147.665784) (xy 207.664383 147.665684) (xy 207.664383 147.112085) (xy 207.591188 146.934939)
+        (xy 207.455774 146.799288) (xy 207.278756 146.725784) (xy 207.087085 146.725617) (xy 206.909939 146.798812) (xy 206.774288 146.934226)
+        (xy 206.700784 147.111244) (xy 206.700617 147.302915) (xy 206.773812 147.480061) (xy 206.909226 147.615712) (xy 207.086244 147.689216)
+        (xy 207.277915 147.689383) (xy 207.455061 147.616188) (xy 207.590712 147.480774) (xy 207.664216 147.303756) (xy 207.664383 147.112085)
+        (xy 207.664383 147.665684) (xy 207.587085 147.665617) (xy 207.409939 147.738812) (xy 207.274288 147.874226) (xy 207.200784 148.051244)
+        (xy 207.200617 148.242915) (xy 207.273812 148.420061) (xy 207.409226 148.555712) (xy 207.586244 148.629216) (xy 207.777915 148.629383)
+        (xy 207.955061 148.556188) (xy 208.090712 148.420774) (xy 208.164216 148.243756) (xy 208.164383 148.052085) (xy 208.164383 152.633388)
+        (xy 207.833146 152.273355) (xy 207.456101 152.098326) (xy 207.2565 152.191946) (xy 207.2565 153.6635) (xy 208.3605 153.6635)
+        (xy 208.3605 154.0715) (xy 207.2565 154.0715) (xy 207.2565 155.541946) (xy 207.2565 155.543054) (xy 207.2565 157.0135)
+        (xy 208.3605 157.0135) (xy 208.3605 157.4215) (xy 207.3065 157.4215) (xy 207.2565 157.4215) (xy 206.8485 157.4215)
+        (xy 206.8485 157.0135) (xy 206.8485 155.543054) (xy 206.8485 155.541946) (xy 206.8485 154.0715) (xy 206.8485 153.6635)
+        (xy 206.8485 152.191946) (xy 206.648899 152.098326) (xy 206.271854 152.273355) (xy 205.922133 152.653479) (xy 205.7445 153.1385)
+        (xy 205.7445 153.6635) (xy 206.8485 153.6635) (xy 206.8485 154.0715) (xy 205.7445 154.0715) (xy 205.7445 154.5965)
+        (xy 205.771466 154.67013) (xy 205.685774 154.584288) (xy 205.508756 154.510784) (xy 205.317085 154.510617) (xy 205.139939 154.583812)
+        (xy 205.004288 154.719226) (xy 204.930784 154.896244) (xy 204.930617 155.087915) (xy 205.003812 155.265061) (xy 205.139226 155.400712)
+        (xy 205.316244 155.474216) (xy 205.507915 155.474383) (xy 205.685061 155.401188) (xy 205.820712 155.265774) (xy 205.894216 155.088756)
+        (xy 205.894288 155.005492) (xy 205.922133 155.081521) (xy 206.271854 155.461645) (xy 206.44603 155.5425) (xy 206.271854 155.623355)
+        (xy 205.922133 156.003479) (xy 205.7445 156.4885) (xy 205.7445 157.0135) (xy 206.8485 157.0135) (xy 206.8485 157.4215)
+        (xy 206.7985 157.4215) (xy 205.7445 157.4215) (xy 205.7445 157.9465) (xy 205.793496 158.080284) (xy 204.919383 158.08003)
+        (xy 204.919383 154.622085) (xy 204.846188 154.444939) (xy 204.710774 154.309288) (xy 204.533756 154.235784) (xy 204.469383 154.235727)
+        (xy 204.469383 106.892085) (xy 204.396188 106.714939) (xy 204.260774 106.579288) (xy 204.083756 106.505784) (xy 203.892085 106.505617)
+        (xy 203.714939 106.578812) (xy 203.579288 106.714226) (xy 203.57508 106.724359) (xy 203.571188 106.714939) (xy 203.435774 106.579288)
+        (xy 203.258756 106.505784) (xy 203.067085 106.505617) (xy 203.007875 106.530081) (xy 203.007875 100.35645) (xy 203.007875 97.81645)
+        (xy 202.853646 97.443187) (xy 202.813643 97.403114) (xy 202.813643 80.439756) (xy 202.813643 78.661756) (xy 202.775177 78.568661)
+        (xy 202.704013 78.497373) (xy 202.610985 78.458745) (xy 202.510256 78.458657) (xy 200.732256 78.458657) (xy 200.639161 78.497123)
+        (xy 200.567873 78.568287) (xy 200.529245 78.661315) (xy 200.529157 78.762044) (xy 200.529157 80.540044) (xy 200.567623 80.633139)
+        (xy 200.638787 80.704427) (xy 200.731815 80.743055) (xy 200.832544 80.743143) (xy 202.610544 80.743143) (xy 202.703639 80.704677)
+        (xy 202.774927 80.633513) (xy 202.813555 80.540485) (xy 202.813643 80.439756) (xy 202.813643 97.403114) (xy 202.568315 97.157358)
+        (xy 202.195322 97.002478) (xy 201.79145 97.002125) (xy 201.418187 97.156354) (xy 201.132358 97.441685) (xy 200.977478 97.814678)
+        (xy 200.977125 98.21855) (xy 201.131354 98.591813) (xy 201.416685 98.877642) (xy 201.789678 99.032522) (xy 202.19355 99.032875)
+        (xy 202.566813 98.878646) (xy 202.852642 98.593315) (xy 203.007522 98.220322) (xy 203.007875 97.81645) (xy 203.007875 100.35645)
+        (xy 202.853646 99.983187) (xy 202.568315 99.697358) (xy 202.195322 99.542478) (xy 201.79145 99.542125) (xy 201.418187 99.696354)
+        (xy 201.132358 99.981685) (xy 200.977478 100.354678) (xy 200.977125 100.75855) (xy 201.131354 101.131813) (xy 201.416685 101.417642)
+        (xy 201.789678 101.572522) (xy 202.19355 101.572875) (xy 202.566813 101.418646) (xy 202.852642 101.133315) (xy 203.007522 100.760322)
+        (xy 203.007875 100.35645) (xy 203.007875 106.530081) (xy 202.889939 106.578812) (xy 202.754288 106.714226) (xy 202.680784 106.891244)
+        (xy 202.680617 107.082915) (xy 202.753812 107.260061) (xy 202.881131 107.387603) (xy 202.762396 107.506131) (xy 202.635774 107.379288)
+        (xy 202.458756 107.305784) (xy 202.267085 107.305617) (xy 202.089939 107.378812) (xy 201.954288 107.514226) (xy 201.880784 107.691244)
+        (xy 201.880617 107.882915) (xy 201.953812 108.060061) (xy 202.081131 108.187603) (xy 201.954288 108.314226) (xy 201.880784 108.491244)
+        (xy 201.880617 108.682915) (xy 201.953812 108.860061) (xy 202.089226 108.995712) (xy 202.266244 109.069216) (xy 202.457915 109.069383)
+        (xy 202.635061 108.996188) (xy 202.762603 108.868868) (xy 202.889226 108.995712) (xy 203.066244 109.069216) (xy 203.257915 109.069383)
+        (xy 203.435061 108.996188) (xy 203.570712 108.860774) (xy 203.644216 108.683756) (xy 203.644383 108.492085) (xy 203.571188 108.314939)
+        (xy 203.443868 108.187396) (xy 203.570712 108.060774) (xy 203.644216 107.883756) (xy 203.644383 107.692085) (xy 203.571188 107.514939)
+        (xy 203.443868 107.387396) (xy 203.570712 107.260774) (xy 203.574919 107.25064) (xy 203.578812 107.260061) (xy 203.714226 107.395712)
+        (xy 203.891244 107.469216) (xy 204.082915 107.469383) (xy 204.260061 107.396188) (xy 204.395712 107.260774) (xy 204.469216 107.083756)
+        (xy 204.469383 106.892085) (xy 204.469383 154.235727) (xy 204.354383 154.235627) (xy 204.354383 147.732085) (xy 204.281188 147.554939)
+        (xy 204.243675 147.51736) (xy 204.243675 137.089691) (xy 204.184518 136.567905) (xy 204.098651 136.360604) (xy 203.917875 136.292463)
+        (xy 203.917875 134.23645) (xy 203.917875 131.69645) (xy 203.917875 129.15645) (xy 203.917875 126.61645) (xy 203.763646 126.243187)
+        (xy 203.478315 125.957358) (xy 203.105322 125.802478) (xy 202.844383 125.802249) (xy 202.844383 120.492085) (xy 202.844383 117.292085)
+        (xy 202.844383 115.692085) (xy 202.771188 115.514939) (xy 202.643868 115.387396) (xy 202.770712 115.260774) (xy 202.844216 115.083756)
+        (xy 202.844383 114.892085) (xy 202.771188 114.714939) (xy 202.635774 114.579288) (xy 202.458756 114.505784) (xy 202.267085 114.505617)
+        (xy 202.089939 114.578812) (xy 201.962396 114.706131) (xy 201.843868 114.587396) (xy 201.970712 114.460774) (xy 202.044216 114.283756)
+        (xy 202.044383 114.092085) (xy 201.971188 113.914939) (xy 201.843868 113.787396) (xy 201.970712 113.660774) (xy 202.044216 113.483756)
+        (xy 202.044383 113.292085) (xy 201.971188 113.114939) (xy 201.843868 112.987396) (xy 201.970712 112.860774) (xy 202.044216 112.683756)
+        (xy 202.044383 112.492085) (xy 201.971188 112.314939) (xy 201.835774 112.179288) (xy 201.658756 112.105784) (xy 201.467085 112.105617)
+        (xy 201.289939 112.178812) (xy 201.162396 112.306131) (xy 201.043868 112.187396) (xy 201.170712 112.060774) (xy 201.244216 111.883756)
+        (xy 201.244383 111.692085) (xy 201.244383 110.092085) (xy 201.171188 109.914939) (xy 201.035774 109.779288) (xy 200.858756 109.705784)
+        (xy 200.667085 109.705617) (xy 200.489939 109.778812) (xy 200.467875 109.800837) (xy 200.467875 100.35645) (xy 200.467875 97.81645)
+        (xy 200.313646 97.443187) (xy 200.028315 97.157358) (xy 199.655322 97.002478) (xy 199.25145 97.002125) (xy 198.878187 97.156354)
+        (xy 198.592358 97.441685) (xy 198.437478 97.814678) (xy 198.437125 98.21855) (xy 198.591354 98.591813) (xy 198.876685 98.877642)
+        (xy 199.249678 99.032522) (xy 199.65355 99.032875) (xy 200.026813 98.878646) (xy 200.312642 98.593315) (xy 200.467522 98.220322)
+        (xy 200.467875 97.81645) (xy 200.467875 100.35645) (xy 200.313646 99.983187) (xy 200.028315 99.697358) (xy 199.655322 99.542478)
+        (xy 199.25145 99.542125) (xy 198.878187 99.696354) (xy 198.592358 99.981685) (xy 198.437478 100.354678) (xy 198.437125 100.75855)
+        (xy 198.591354 101.131813) (xy 198.876685 101.417642) (xy 199.249678 101.572522) (xy 199.65355 101.572875) (xy 200.026813 101.418646)
+        (xy 200.312642 101.133315) (xy 200.467522 100.760322) (xy 200.467875 100.35645) (xy 200.467875 109.800837) (xy 200.354288 109.914226)
+        (xy 200.280784 110.091244) (xy 200.280617 110.282915) (xy 200.353812 110.460061) (xy 200.489226 110.595712) (xy 200.666244 110.669216)
+        (xy 200.857915 110.669383) (xy 201.035061 110.596188) (xy 201.170712 110.460774) (xy 201.244216 110.283756) (xy 201.244383 110.092085)
+        (xy 201.244383 111.692085) (xy 201.171188 111.514939) (xy 201.035774 111.379288) (xy 200.858756 111.305784) (xy 200.667085 111.305617)
+        (xy 200.489939 111.378812) (xy 200.354288 111.514226) (xy 200.280784 111.691244) (xy 200.280617 111.882915) (xy 200.353812 112.060061)
+        (xy 200.481131 112.187603) (xy 200.354288 112.314226) (xy 200.280784 112.491244) (xy 200.280617 112.682915) (xy 200.353812 112.860061)
+        (xy 200.481131 112.987603) (xy 200.354288 113.114226) (xy 200.280784 113.291244) (xy 200.280617 113.482915) (xy 200.353812 113.660061)
+        (xy 200.481131 113.787603) (xy 200.354288 113.914226) (xy 200.280784 114.091244) (xy 200.280617 114.282915) (xy 200.353812 114.460061)
+        (xy 200.489226 114.595712) (xy 200.666244 114.669216) (xy 200.857915 114.669383) (xy 201.035061 114.596188) (xy 201.162603 114.468868)
+        (xy 201.281131 114.587603) (xy 201.154288 114.714226) (xy 201.080784 114.891244) (xy 201.080617 115.082915) (xy 201.153812 115.260061)
+        (xy 201.281131 115.387603) (xy 201.173357 115.495189) (xy 201.171188 115.489939) (xy 201.035774 115.354288) (xy 200.858756 115.280784)
+        (xy 200.667085 115.280617) (xy 200.489939 115.353812) (xy 200.354288 115.489226) (xy 200.280784 115.666244) (xy 200.280617 115.857915)
+        (xy 200.353812 116.035061) (xy 200.489226 116.170712) (xy 200.666244 116.244216) (xy 200.857915 116.244383) (xy 201.035061 116.171188)
+        (xy 201.151642 116.05481) (xy 201.153812 116.060061) (xy 201.281131 116.187603) (xy 201.154288 116.314226) (xy 201.080784 116.491244)
+        (xy 201.080617 116.682915) (xy 201.153812 116.860061) (xy 201.289226 116.995712) (xy 201.466244 117.069216) (xy 201.657915 117.069383)
+        (xy 201.835061 116.996188) (xy 201.970712 116.860774) (xy 202.044216 116.683756) (xy 202.044383 116.492085) (xy 201.971188 116.314939)
+        (xy 201.843868 116.187396) (xy 201.962603 116.068868) (xy 202.089226 116.195712) (xy 202.266244 116.269216) (xy 202.457915 116.269383)
+        (xy 202.635061 116.196188) (xy 202.770712 116.060774) (xy 202.844216 115.883756) (xy 202.844383 115.692085) (xy 202.844383 117.292085)
+        (xy 202.771188 117.114939) (xy 202.635774 116.979288) (xy 202.458756 116.905784) (xy 202.267085 116.905617) (xy 202.089939 116.978812)
+        (xy 201.954288 117.114226) (xy 201.880784 117.291244) (xy 201.880617 117.482915) (xy 201.953812 117.660061) (xy 202.089226 117.795712)
+        (xy 202.266244 117.869216) (xy 202.457915 117.869383) (xy 202.635061 117.796188) (xy 202.770712 117.660774) (xy 202.844216 117.483756)
+        (xy 202.844383 117.292085) (xy 202.844383 120.492085) (xy 202.771188 120.314939) (xy 202.635774 120.179288) (xy 202.458756 120.105784)
+        (xy 202.267085 120.105617) (xy 202.089939 120.178812) (xy 202.044383 120.224288) (xy 202.044383 119.692085) (xy 201.971188 119.514939)
+        (xy 201.835774 119.379288) (xy 201.658756 119.305784) (xy 201.467085 119.305617) (xy 201.289939 119.378812) (xy 201.154288 119.514226)
+        (xy 201.080784 119.691244) (xy 201.080617 119.882915) (xy 201.153812 120.060061) (xy 201.289226 120.195712) (xy 201.466244 120.269216)
+        (xy 201.657915 120.269383) (xy 201.835061 120.196188) (xy 201.970712 120.060774) (xy 202.044216 119.883756) (xy 202.044383 119.692085)
+        (xy 202.044383 120.224288) (xy 201.954288 120.314226) (xy 201.880784 120.491244) (xy 201.880617 120.682915) (xy 201.953812 120.860061)
+        (xy 202.089226 120.995712) (xy 202.266244 121.069216) (xy 202.457915 121.069383) (xy 202.635061 120.996188) (xy 202.770712 120.860774)
+        (xy 202.844216 120.683756) (xy 202.844383 120.492085) (xy 202.844383 125.802249) (xy 202.834383 125.802241) (xy 202.834383 122.082085)
+        (xy 202.761188 121.904939) (xy 202.625774 121.769288) (xy 202.448756 121.695784) (xy 202.257085 121.695617) (xy 202.079939 121.768812)
+        (xy 201.944288 121.904226) (xy 201.870784 122.081244) (xy 201.870617 122.272915) (xy 201.943812 122.450061) (xy 202.079226 122.585712)
+        (xy 202.256244 122.659216) (xy 202.447915 122.659383) (xy 202.625061 122.586188) (xy 202.760712 122.450774) (xy 202.834216 122.273756)
+        (xy 202.834383 122.082085) (xy 202.834383 125.802241) (xy 202.70145 125.802125) (xy 202.328187 125.956354) (xy 202.042358 126.241685)
+        (xy 201.887478 126.614678) (xy 201.887125 127.01855) (xy 202.041354 127.391813) (xy 202.326685 127.677642) (xy 202.699678 127.832522)
+        (xy 203.10355 127.832875) (xy 203.476813 127.678646) (xy 203.762642 127.393315) (xy 203.917522 127.020322) (xy 203.917875 126.61645)
+        (xy 203.917875 129.15645) (xy 203.763646 128.783187) (xy 203.478315 128.497358) (xy 203.105322 128.342478) (xy 202.70145 128.342125)
+        (xy 202.328187 128.496354) (xy 202.042358 128.781685) (xy 201.887478 129.154678) (xy 201.887125 129.55855) (xy 202.041354 129.931813)
+        (xy 202.326685 130.217642) (xy 202.699678 130.372522) (xy 203.10355 130.372875) (xy 203.476813 130.218646) (xy 203.762642 129.933315)
+        (xy 203.917522 129.560322) (xy 203.917875 129.15645) (xy 203.917875 131.69645) (xy 203.763646 131.323187) (xy 203.478315 131.037358)
+        (xy 203.105322 130.882478) (xy 202.70145 130.882125) (xy 202.328187 131.036354) (xy 202.042358 131.321685) (xy 201.887478 131.694678)
+        (xy 201.887125 132.09855) (xy 202.041354 132.471813) (xy 202.326685 132.757642) (xy 202.699678 132.912522) (xy 203.10355 132.912875)
+        (xy 203.476813 132.758646) (xy 203.762642 132.473315) (xy 203.917522 132.100322) (xy 203.917875 131.69645) (xy 203.917875 134.23645)
+        (xy 203.763646 133.863187) (xy 203.478315 133.577358) (xy 203.105322 133.422478) (xy 202.70145 133.422125) (xy 202.328187 133.576354)
+        (xy 202.042358 133.861685) (xy 201.887478 134.234678) (xy 201.887125 134.63855) (xy 202.041354 135.011813) (xy 202.326685 135.297642)
+        (xy 202.699678 135.452522) (xy 203.10355 135.452875) (xy 203.476813 135.298646) (xy 203.762642 135.013315) (xy 203.917522 134.640322)
+        (xy 203.917875 134.23645) (xy 203.917875 136.292463) (xy 203.88749 136.28101) (xy 203.59899 136.56951) (xy 203.59899 135.99251)
+        (xy 203.519396 135.781349) (xy 203.014691 135.636325) (xy 202.492905 135.695482) (xy 202.285604 135.781349) (xy 202.20601 135.99251)
+        (xy 202.9025 136.689) (xy 203.59899 135.99251) (xy 203.59899 136.56951) (xy 203.191 136.9775) (xy 203.88749 137.67399)
+        (xy 204.098651 137.594396) (xy 204.243675 137.089691) (xy 204.243675 147.51736) (xy 204.145774 147.419288) (xy 203.968756 147.345784)
+        (xy 203.777085 147.345617) (xy 203.706656 147.374717) (xy 203.770712 147.310774) (xy 203.844216 147.133756) (xy 203.844383 146.942085)
+        (xy 203.771188 146.764939) (xy 203.635774 146.629288) (xy 203.59899 146.614014) (xy 203.59899 137.96249) (xy 202.9025 137.266)
+        (xy 202.614 137.5545) (xy 202.614 136.9775) (xy 201.91751 136.28101) (xy 201.706349 136.360604) (xy 201.703675 136.369909)
+        (xy 201.703675 132.009691) (xy 201.644518 131.487905) (xy 201.558651 131.280604) (xy 201.377875 131.212463) (xy 201.377875 129.15645)
+        (xy 201.377743 129.15613) (xy 201.377743 127.529356) (xy 201.377743 126.005356) (xy 201.339277 125.912261) (xy 201.268113 125.840973)
+        (xy 201.244383 125.831119) (xy 201.244383 120.492085) (xy 201.171188 120.314939) (xy 201.035774 120.179288) (xy 200.858756 120.105784)
+        (xy 200.667085 120.105617) (xy 200.489939 120.178812) (xy 200.354288 120.314226) (xy 200.280784 120.491244) (xy 200.280617 120.682915)
+        (xy 200.353812 120.860061) (xy 200.489226 120.995712) (xy 200.666244 121.069216) (xy 200.857915 121.069383) (xy 201.035061 120.996188)
+        (xy 201.170712 120.860774) (xy 201.244216 120.683756) (xy 201.244383 120.492085) (xy 201.244383 125.831119) (xy 201.175085 125.802345)
+        (xy 201.074356 125.802257) (xy 200.444383 125.802257) (xy 200.444383 123.692085) (xy 200.371188 123.514939) (xy 200.235774 123.379288)
+        (xy 200.058756 123.305784) (xy 199.867085 123.305617) (xy 199.689939 123.378812) (xy 199.554288 123.514226) (xy 199.480784 123.691244)
+        (xy 199.480617 123.882915) (xy 199.553812 124.060061) (xy 199.689226 124.195712) (xy 199.866244 124.269216) (xy 200.057915 124.269383)
+        (xy 200.235061 124.196188) (xy 200.370712 124.060774) (xy 200.444216 123.883756) (xy 200.444383 123.692085) (xy 200.444383 125.802257)
+        (xy 199.550356 125.802257) (xy 199.457261 125.840723) (xy 199.394383 125.903491) (xy 199.394383 116.192085) (xy 199.321188 116.014939)
+        (xy 199.244383 115.937999) (xy 199.244383 113.092085) (xy 199.244383 111.717085) (xy 199.171188 111.539939) (xy 199.035774 111.404288)
+        (xy 198.858756 111.330784) (xy 198.667085 111.330617) (xy 198.489939 111.403812) (xy 198.354288 111.539226) (xy 198.280784 111.716244)
+        (xy 198.280617 111.907915) (xy 198.353812 112.085061) (xy 198.489226 112.220712) (xy 198.666244 112.294216) (xy 198.857915 112.294383)
+        (xy 199.035061 112.221188) (xy 199.170712 112.085774) (xy 199.244216 111.908756) (xy 199.244383 111.717085) (xy 199.244383 113.092085)
+        (xy 199.171188 112.914939) (xy 199.035774 112.779288) (xy 198.858756 112.705784) (xy 198.667085 112.705617) (xy 198.489939 112.778812)
+        (xy 198.354288 112.914226) (xy 198.280784 113.091244) (xy 198.280617 113.282915) (xy 198.353812 113.460061) (xy 198.489226 113.595712)
+        (xy 198.666244 113.669216) (xy 198.857915 113.669383) (xy 199.035061 113.596188) (xy 199.170712 113.460774) (xy 199.244216 113.283756)
+        (xy 199.244383 113.092085) (xy 199.244383 115.937999) (xy 199.185774 115.879288) (xy 199.008756 115.805784) (xy 198.817085 115.805617)
+        (xy 198.639939 115.878812) (xy 198.504288 116.014226) (xy 198.430784 116.191244) (xy 198.430617 116.382915) (xy 198.503812 116.560061)
+        (xy 198.639226 116.695712) (xy 198.816244 116.769216) (xy 199.007915 116.769383) (xy 199.185061 116.696188) (xy 199.320712 116.560774)
+        (xy 199.394216 116.383756) (xy 199.394383 116.192085) (xy 199.394383 125.903491) (xy 199.385973 125.911887) (xy 199.347345 126.004915)
+        (xy 199.347257 126.105644) (xy 199.347257 127.629644) (xy 199.385723 127.722739) (xy 199.456887 127.794027) (xy 199.549915 127.832655)
+        (xy 199.650644 127.832743) (xy 201.174644 127.832743) (xy 201.267739 127.794277) (xy 201.339027 127.723113) (xy 201.377655 127.630085)
+        (xy 201.377743 127.529356) (xy 201.377743 129.15613) (xy 201.223646 128.783187) (xy 200.938315 128.497358) (xy 200.565322 128.342478)
+        (xy 200.16145 128.342125) (xy 199.788187 128.496354) (xy 199.502358 128.781685) (xy 199.347478 129.154678) (xy 199.347125 129.55855)
+        (xy 199.501354 129.931813) (xy 199.786685 130.217642) (xy 200.159678 130.372522) (xy 200.56355 130.372875) (xy 200.936813 130.218646)
+        (xy 201.222642 129.933315) (xy 201.377522 129.560322) (xy 201.377875 129.15645) (xy 201.377875 131.212463) (xy 201.34749 131.20101)
+        (xy 201.05899 131.48951) (xy 201.05899 130.91251) (xy 200.979396 130.701349) (xy 200.474691 130.556325) (xy 199.952905 130.615482)
+        (xy 199.745604 130.701349) (xy 199.66601 130.91251) (xy 200.3625 131.609) (xy 201.05899 130.91251) (xy 201.05899 131.48951)
+        (xy 200.651 131.8975) (xy 201.34749 132.59399) (xy 201.558651 132.514396) (xy 201.703675 132.009691) (xy 201.703675 136.369909)
+        (xy 201.561325 136.865309) (xy 201.620482 137.387095) (xy 201.706349 137.594396) (xy 201.91751 137.67399) (xy 202.614 136.9775)
+        (xy 202.614 137.5545) (xy 202.20601 137.96249) (xy 202.285604 138.173651) (xy 202.790309 138.318675) (xy 203.312095 138.259518)
+        (xy 203.519396 138.173651) (xy 203.59899 137.96249) (xy 203.59899 146.614014) (xy 203.458756 146.555784) (xy 203.267085 146.555617)
+        (xy 203.089939 146.628812) (xy 202.954288 146.764226) (xy 202.880784 146.941244) (xy 202.880617 147.132915) (xy 202.953812 147.310061)
+        (xy 203.089226 147.445712) (xy 203.266244 147.519216) (xy 203.457915 147.519383) (xy 203.528343 147.490282) (xy 203.464288 147.554226)
+        (xy 203.390784 147.731244) (xy 203.390617 147.922915) (xy 203.463812 148.100061) (xy 203.599226 148.235712) (xy 203.776244 148.309216)
+        (xy 203.967915 148.309383) (xy 204.145061 148.236188) (xy 204.280712 148.100774) (xy 204.354216 147.923756) (xy 204.354383 147.732085)
+        (xy 204.354383 154.235627) (xy 204.342085 154.235617) (xy 204.164939 154.308812) (xy 204.029288 154.444226) (xy 203.955784 154.621244)
+        (xy 203.955617 154.812915) (xy 203.987176 154.889294) (xy 203.939939 154.908812) (xy 203.804288 155.044226) (xy 203.730784 155.221244)
+        (xy 203.730617 155.412915) (xy 203.803812 155.590061) (xy 203.939226 155.725712) (xy 204.116244 155.799216) (xy 204.307915 155.799383)
+        (xy 204.485061 155.726188) (xy 204.620712 155.590774) (xy 204.694216 155.413756) (xy 204.694383 155.222085) (xy 204.662823 155.145705)
+        (xy 204.710061 155.126188) (xy 204.845712 154.990774) (xy 204.919216 154.813756) (xy 204.919383 154.622085) (xy 204.919383 158.08003)
+        (xy 203.704383 158.079678) (xy 203.704383 154.832085) (xy 203.631188 154.654939) (xy 203.495774 154.519288) (xy 203.318756 154.445784)
+        (xy 203.127085 154.445617) (xy 202.949939 154.518812) (xy 202.814288 154.654226) (xy 202.740784 154.831244) (xy 202.740617 155.022915)
+        (xy 202.813812 155.200061) (xy 202.949226 155.335712) (xy 203.126244 155.409216) (xy 203.317915 155.409383) (xy 203.495061 155.336188)
+        (xy 203.630712 155.200774) (xy 203.704216 155.023756) (xy 203.704383 154.832085) (xy 203.704383 158.079678) (xy 202.754383 158.079402)
+        (xy 202.754383 155.682085) (xy 202.681188 155.504939) (xy 202.545774 155.369288) (xy 202.368756 155.295784) (xy 202.177085 155.295617)
+        (xy 201.999939 155.368812) (xy 201.864288 155.504226) (xy 201.790784 155.681244) (xy 201.790732 155.740294) (xy 201.683146 155.623355)
+        (xy 201.483969 155.530894) (xy 201.633146 155.461645) (xy 201.982867 155.081521) (xy 202.1605 154.5965) (xy 202.1605 154.0715)
+        (xy 202.1605 153.6635) (xy 202.1605 153.1385) (xy 201.982867 152.653479) (xy 201.794383 152.448609) (xy 201.794383 144.017085)
+        (xy 201.721188 143.839939) (xy 201.585774 143.704288) (xy 201.408756 143.630784) (xy 201.377875 143.630757) (xy 201.377875 136.77645)
+        (xy 201.377875 134.23645) (xy 201.223646 133.863187) (xy 201.05899 133.698243) (xy 201.05899 132.88249) (xy 200.3625 132.186)
+        (xy 200.074 132.4745) (xy 200.074 131.8975) (xy 199.37751 131.20101) (xy 199.166349 131.280604) (xy 199.021325 131.785309)
+        (xy 199.080482 132.307095) (xy 199.166349 132.514396) (xy 199.37751 132.59399) (xy 200.074 131.8975) (xy 200.074 132.4745)
+        (xy 199.66601 132.88249) (xy 199.745604 133.093651) (xy 200.250309 133.238675) (xy 200.772095 133.179518) (xy 200.979396 133.093651)
+        (xy 201.05899 132.88249) (xy 201.05899 133.698243) (xy 200.938315 133.577358) (xy 200.565322 133.422478) (xy 200.16145 133.422125)
+        (xy 199.788187 133.576354) (xy 199.502358 133.861685) (xy 199.347478 134.234678) (xy 199.347125 134.63855) (xy 199.501354 135.011813)
+        (xy 199.786685 135.297642) (xy 200.159678 135.452522) (xy 200.56355 135.452875) (xy 200.936813 135.298646) (xy 201.222642 135.013315)
+        (xy 201.377522 134.640322) (xy 201.377875 134.23645) (xy 201.377875 136.77645) (xy 201.223646 136.403187) (xy 200.938315 136.117358)
+        (xy 200.565322 135.962478) (xy 200.16145 135.962125) (xy 199.788187 136.116354) (xy 199.502358 136.401685) (xy 199.347478 136.774678)
+        (xy 199.347125 137.17855) (xy 199.501354 137.551813) (xy 199.786685 137.837642) (xy 200.159678 137.992522) (xy 200.56355 137.992875)
+        (xy 200.936813 137.838646) (xy 201.222642 137.553315) (xy 201.377522 137.180322) (xy 201.377875 136.77645) (xy 201.377875 143.630757)
+        (xy 201.222851 143.630622) (xy 201.294216 143.458756) (xy 201.294383 143.267085) (xy 201.221188 143.089939) (xy 201.085774 142.954288)
+        (xy 200.908756 142.880784) (xy 200.717085 142.880617) (xy 200.539939 142.953812) (xy 200.404288 143.089226) (xy 200.330784 143.266244)
+        (xy 200.330617 143.457915) (xy 200.403812 143.635061) (xy 200.539226 143.770712) (xy 200.716244 143.844216) (xy 200.902148 143.844377)
+        (xy 200.830784 144.016244) (xy 200.830617 144.207915) (xy 200.903812 144.385061) (xy 201.039226 144.520712) (xy 201.216244 144.594216)
+        (xy 201.407915 144.594383) (xy 201.585061 144.521188) (xy 201.720712 144.385774) (xy 201.794216 144.208756) (xy 201.794383 144.017085)
+        (xy 201.794383 152.448609) (xy 201.633146 152.273355) (xy 201.256101 152.098326) (xy 201.174383 152.136654) (xy 201.174383 147.682085)
+        (xy 201.101188 147.504939) (xy 200.965774 147.369288) (xy 200.788756 147.295784) (xy 200.597085 147.295617) (xy 200.419939 147.368812)
+        (xy 200.294383 147.494148) (xy 200.294383 143.467085) (xy 200.221188 143.289939) (xy 200.085774 143.154288) (xy 199.908756 143.080784)
+        (xy 199.78935 143.080679) (xy 199.819216 143.008756) (xy 199.819383 142.817085) (xy 199.746188 142.639939) (xy 199.610774 142.504288)
+        (xy 199.433756 142.430784) (xy 199.242085 142.430617) (xy 199.064939 142.503812) (xy 199.019383 142.549288) (xy 199.019383 119.217085)
+        (xy 198.946188 119.039939) (xy 198.810774 118.904288) (xy 198.633756 118.830784) (xy 198.442085 118.830617) (xy 198.264939 118.903812)
+        (xy 198.129288 119.039226) (xy 198.055784 119.216244) (xy 198.055617 119.407915) (xy 198.128812 119.585061) (xy 198.264226 119.720712)
+        (xy 198.441244 119.794216) (xy 198.632915 119.794383) (xy 198.810061 119.721188) (xy 198.945712 119.585774) (xy 199.019216 119.408756)
+        (xy 199.019383 119.217085) (xy 199.019383 142.549288) (xy 198.929288 142.639226) (xy 198.855784 142.816244) (xy 198.855617 143.007915)
+        (xy 198.928812 143.185061) (xy 199.064226 143.320712) (xy 199.241244 143.394216) (xy 199.360649 143.39432) (xy 199.330784 143.466244)
+        (xy 199.330617 143.657915) (xy 199.403812 143.835061) (xy 199.539226 143.970712) (xy 199.716244 144.044216) (xy 199.907915 144.044383)
+        (xy 200.085061 143.971188) (xy 200.220712 143.835774) (xy 200.294216 143.658756) (xy 200.294383 143.467085) (xy 200.294383 147.494148)
+        (xy 200.284288 147.504226) (xy 200.210784 147.681244) (xy 200.210617 147.872915) (xy 200.283812 148.050061) (xy 200.419226 148.185712)
+        (xy 200.596244 148.259216) (xy 200.787915 148.259383) (xy 200.965061 148.186188) (xy 201.100712 148.050774) (xy 201.174216 147.873756)
+        (xy 201.174383 147.682085) (xy 201.174383 152.136654) (xy 201.0565 152.191946) (xy 201.0565 153.6635) (xy 202.1605 153.6635)
+        (xy 202.1605 154.0715) (xy 201.0565 154.0715) (xy 201.0565 155.543054) (xy 201.1065 155.566505) (xy 201.1065 157.0135)
+        (xy 202.2105 157.0135) (xy 202.2105 156.4885) (xy 202.11761 156.234869) (xy 202.176244 156.259216) (xy 202.367915 156.259383)
+        (xy 202.545061 156.186188) (xy 202.680712 156.050774) (xy 202.754216 155.873756) (xy 202.754383 155.682085) (xy 202.754383 158.079402)
+        (xy 202.161888 158.079231) (xy 202.2105 157.9465) (xy 202.2105 157.4215) (xy 201.1565 157.4215) (xy 201.1065 157.4215)
+        (xy 200.6985 157.4215) (xy 200.6985 157.0135) (xy 200.6985 155.541946) (xy 200.6485 155.518494) (xy 200.6485 154.0715)
+        (xy 200.6485 153.6635) (xy 200.6485 152.191946) (xy 200.448899 152.098326) (xy 200.294383 152.170054) (xy 200.294383 148.142085)
+        (xy 200.221188 147.964939) (xy 200.085774 147.829288) (xy 199.908756 147.755784) (xy 199.717085 147.755617) (xy 199.539939 147.828812)
+        (xy 199.404288 147.964226) (xy 199.330784 148.141244) (xy 199.330617 148.332915) (xy 199.403812 148.510061) (xy 199.539226 148.645712)
+        (xy 199.716244 148.719216) (xy 199.907915 148.719383) (xy 200.085061 148.646188) (xy 200.220712 148.510774) (xy 200.294216 148.333756)
+        (xy 200.294383 148.142085) (xy 200.294383 152.170054) (xy 200.071854 152.273355) (xy 199.722133 152.653479) (xy 199.5445 153.1385)
+        (xy 199.5445 153.6635) (xy 200.6485 153.6635) (xy 200.6485 154.0715) (xy 199.5445 154.0715) (xy 199.5445 154.5965)
+        (xy 199.722133 155.081521) (xy 200.071854 155.461645) (xy 200.27103 155.554105) (xy 200.121854 155.623355) (xy 199.772133 156.003479)
+        (xy 199.5945 156.4885) (xy 199.5945 157.0135) (xy 200.6985 157.0135) (xy 200.6985 157.4215) (xy 200.6485 157.4215)
+        (xy 199.5945 157.4215) (xy 199.5945 157.9465) (xy 199.642844 158.078501) (xy 199.324383 158.078408) (xy 199.324383 154.282085)
+        (xy 199.251188 154.104939) (xy 199.115774 153.969288) (xy 198.938756 153.895784) (xy 198.747085 153.895617) (xy 198.744383 153.896733)
+        (xy 198.744383 147.662085) (xy 198.671188 147.484939) (xy 198.535774 147.349288) (xy 198.358756 147.275784) (xy 198.167085 147.275617)
+        (xy 198.119383 147.295327) (xy 198.119383 130.842085) (xy 198.046188 130.664939) (xy 198.044383 130.66313) (xy 198.044383 122.092085)
+        (xy 197.971188 121.914939) (xy 197.843868 121.787396) (xy 197.970712 121.660774) (xy 198.044216 121.483756) (xy 198.044383 121.292085)
+        (xy 197.971188 121.114939) (xy 197.843868 120.987396) (xy 197.970712 120.860774) (xy 198.044216 120.683756) (xy 198.044383 120.492085)
+        (xy 198.044383 114.092085) (xy 198.044383 110.542085) (xy 198.044383 106.092085) (xy 197.971188 105.914939) (xy 197.835774 105.779288)
+        (xy 197.795463 105.762549) (xy 197.835061 105.746188) (xy 197.970712 105.610774) (xy 198.044216 105.433756) (xy 198.044383 105.242085)
+        (xy 197.971188 105.064939) (xy 197.927875 105.02155) (xy 197.927875 97.81645) (xy 197.773646 97.443187) (xy 197.488315 97.157358)
+        (xy 197.115322 97.002478) (xy 196.71145 97.002125) (xy 196.338187 97.156354) (xy 196.052358 97.441685) (xy 195.897478 97.814678)
+        (xy 195.897125 98.21855) (xy 196.051354 98.591813) (xy 196.336685 98.877642) (xy 196.709678 99.032522) (xy 197.11355 99.032875)
+        (xy 197.486813 98.878646) (xy 197.772642 98.593315) (xy 197.927522 98.220322) (xy 197.927875 97.81645) (xy 197.927875 105.02155)
+        (xy 197.927743 105.021417) (xy 197.927743 101.269356) (xy 197.927743 99.745356) (xy 197.889277 99.652261) (xy 197.818113 99.580973)
+        (xy 197.725085 99.542345) (xy 197.624356 99.542257) (xy 196.100356 99.542257) (xy 196.007261 99.580723) (xy 195.935973 99.651887)
+        (xy 195.897345 99.744915) (xy 195.897257 99.845644) (xy 195.897257 101.369644) (xy 195.935723 101.462739) (xy 196.006887 101.534027)
+        (xy 196.099915 101.572655) (xy 196.200644 101.572743) (xy 197.724644 101.572743) (xy 197.817739 101.534277) (xy 197.889027 101.463113)
+        (xy 197.927655 101.370085) (xy 197.927743 101.269356) (xy 197.927743 105.021417) (xy 197.835774 104.929288) (xy 197.658756 104.855784)
+        (xy 197.467085 104.855617) (xy 197.289939 104.928812) (xy 197.154288 105.064226) (xy 197.080784 105.241244) (xy 197.080617 105.432915)
+        (xy 197.153812 105.610061) (xy 197.289226 105.745712) (xy 197.329536 105.76245) (xy 197.289939 105.778812) (xy 197.154288 105.914226)
+        (xy 197.080784 106.091244) (xy 197.080617 106.282915) (xy 197.153812 106.460061) (xy 197.289226 106.595712) (xy 197.466244 106.669216)
+        (xy 197.657915 106.669383) (xy 197.835061 106.596188) (xy 197.970712 106.460774) (xy 198.044216 106.283756) (xy 198.044383 106.092085)
+        (xy 198.044383 110.542085) (xy 197.971188 110.364939) (xy 197.835774 110.229288) (xy 197.658756 110.155784) (xy 197.467085 110.155617)
+        (xy 197.289939 110.228812) (xy 197.154288 110.364226) (xy 197.080784 110.541244) (xy 197.080617 110.732915) (xy 197.153812 110.910061)
+        (xy 197.289226 111.045712) (xy 197.466244 111.119216) (xy 197.657915 111.119383) (xy 197.835061 111.046188) (xy 197.970712 110.910774)
+        (xy 198.044216 110.733756) (xy 198.044383 110.542085) (xy 198.044383 114.092085) (xy 197.971188 113.914939) (xy 197.835774 113.779288)
+        (xy 197.658756 113.705784) (xy 197.467085 113.705617) (xy 197.289939 113.778812) (xy 197.244383 113.824288) (xy 197.244383 111.692085)
+        (xy 197.171188 111.514939) (xy 197.035774 111.379288) (xy 196.858756 111.305784) (xy 196.667085 111.305617) (xy 196.489939 111.378812)
+        (xy 196.444383 111.424287) (xy 196.444383 105.267085) (xy 196.371188 105.089939) (xy 196.235774 104.954288) (xy 196.058756 104.880784)
+        (xy 195.867085 104.880617) (xy 195.689939 104.953812) (xy 195.594383 105.049201) (xy 195.594383 103.192085) (xy 195.521188 103.014939)
+        (xy 195.385774 102.879288) (xy 195.213675 102.807826) (xy 195.213675 87.194691) (xy 195.154518 86.672905) (xy 195.068651 86.465604)
+        (xy 194.887875 86.397463) (xy 194.887875 84.34145) (xy 194.733646 83.968187) (xy 194.448315 83.682358) (xy 194.075322 83.527478)
+        (xy 193.67145 83.527125) (xy 193.298187 83.681354) (xy 193.012358 83.966685) (xy 192.857478 84.339678) (xy 192.857125 84.74355)
+        (xy 193.011354 85.116813) (xy 193.296685 85.402642) (xy 193.669678 85.557522) (xy 194.07355 85.557875) (xy 194.446813 85.403646)
+        (xy 194.732642 85.118315) (xy 194.887522 84.745322) (xy 194.887875 84.34145) (xy 194.887875 86.397463) (xy 194.85749 86.38601)
+        (xy 194.56899 86.67451) (xy 194.56899 86.09751) (xy 194.489396 85.886349) (xy 193.984691 85.741325) (xy 193.462905 85.800482)
+        (xy 193.255604 85.886349) (xy 193.17601 86.09751) (xy 193.8725 86.794) (xy 194.56899 86.09751) (xy 194.56899 86.67451)
+        (xy 194.161 87.0825) (xy 194.85749 87.77899) (xy 195.068651 87.699396) (xy 195.213675 87.194691) (xy 195.213675 102.807826)
+        (xy 195.208756 102.805784) (xy 195.173832 102.805753) (xy 195.173832 89.561752) (xy 195.05819 89.281878) (xy 194.844248 89.067562)
+        (xy 194.56899 88.953264) (xy 194.56899 88.06749) (xy 193.8725 87.371) (xy 193.584 87.6595) (xy 193.584 87.0825)
+        (xy 192.88751 86.38601) (xy 192.676349 86.465604) (xy 192.531325 86.970309) (xy 192.590482 87.492095) (xy 192.676349 87.699396)
+        (xy 192.88751 87.77899) (xy 193.584 87.0825) (xy 193.584 87.6595) (xy 193.17601 88.06749) (xy 193.255604 88.278651)
+        (xy 193.760309 88.423675) (xy 194.282095 88.364518) (xy 194.489396 88.278651) (xy 194.56899 88.06749) (xy 194.56899 88.953264)
+        (xy 194.564576 88.951432) (xy 194.261752 88.951168) (xy 193.981878 89.06681) (xy 193.767562 89.280752) (xy 193.651432 89.560424)
+        (xy 193.651168 89.863248) (xy 193.76681 90.143122) (xy 193.980752 90.357438) (xy 194.260424 90.473568) (xy 194.563248 90.473832)
+        (xy 194.843122 90.35819) (xy 195.057438 90.144248) (xy 195.173568 89.864576) (xy 195.173832 89.561752) (xy 195.173832 102.805753)
+        (xy 195.017085 102.805617) (xy 194.839939 102.878812) (xy 194.704288 103.014226) (xy 194.630784 103.191244) (xy 194.630617 103.382915)
+        (xy 194.703812 103.560061) (xy 194.839226 103.695712) (xy 195.016244 103.769216) (xy 195.207915 103.769383) (xy 195.385061 103.696188)
+        (xy 195.520712 103.560774) (xy 195.594216 103.383756) (xy 195.594383 103.192085) (xy 195.594383 105.049201) (xy 195.554288 105.089226)
+        (xy 195.480784 105.266244) (xy 195.480617 105.457915) (xy 195.553812 105.635061) (xy 195.689226 105.770712) (xy 195.866244 105.844216)
+        (xy 196.057915 105.844383) (xy 196.235061 105.771188) (xy 196.370712 105.635774) (xy 196.444216 105.458756) (xy 196.444383 105.267085)
+        (xy 196.444383 111.424287) (xy 196.362396 111.506131) (xy 196.235774 111.379288) (xy 196.058756 111.305784) (xy 195.867085 111.305617)
+        (xy 195.689939 111.378812) (xy 195.644383 111.424287) (xy 195.644383 107.692085) (xy 195.571188 107.514939) (xy 195.443868 107.387396)
+        (xy 195.570712 107.260774) (xy 195.644216 107.083756) (xy 195.644383 106.892085) (xy 195.571188 106.714939) (xy 195.435774 106.579288)
+        (xy 195.258756 106.505784) (xy 195.067085 106.505617) (xy 194.889939 106.578812) (xy 194.754288 106.714226) (xy 194.680784 106.891244)
+        (xy 194.680617 107.082915) (xy 194.753812 107.260061) (xy 194.881131 107.387603) (xy 194.762396 107.506131) (xy 194.635774 107.379288)
+        (xy 194.458756 107.305784) (xy 194.267085 107.305617) (xy 194.089939 107.378812) (xy 193.962396 107.506131) (xy 193.835774 107.379288)
+        (xy 193.658756 107.305784) (xy 193.467085 107.305617) (xy 193.289939 107.378812) (xy 193.162396 107.506131) (xy 193.035774 107.379288)
+        (xy 192.858756 107.305784) (xy 192.667085 107.305617) (xy 192.489939 107.378812) (xy 192.362396 107.506131) (xy 192.347875 107.491584)
+        (xy 192.347875 86.88145) (xy 192.347875 84.34145) (xy 192.193646 83.968187) (xy 191.908315 83.682358) (xy 191.535322 83.527478)
+        (xy 191.13145 83.527125) (xy 190.758187 83.681354) (xy 190.472358 83.966685) (xy 190.317478 84.339678) (xy 190.317125 84.74355)
+        (xy 190.471354 85.116813) (xy 190.756685 85.402642) (xy 191.129678 85.557522) (xy 191.53355 85.557875) (xy 191.906813 85.403646)
+        (xy 192.192642 85.118315) (xy 192.347522 84.745322) (xy 192.347875 84.34145) (xy 192.347875 86.88145) (xy 192.193646 86.508187)
+        (xy 191.908315 86.222358) (xy 191.535322 86.067478) (xy 191.13145 86.067125) (xy 190.758187 86.221354) (xy 190.472358 86.506685)
+        (xy 190.317478 86.879678) (xy 190.317125 87.28355) (xy 190.471354 87.656813) (xy 190.756685 87.942642) (xy 191.129678 88.097522)
+        (xy 191.53355 88.097875) (xy 191.906813 87.943646) (xy 192.192642 87.658315) (xy 192.347522 87.285322) (xy 192.347875 86.88145)
+        (xy 192.347875 107.491584) (xy 192.235774 107.379288) (xy 192.058756 107.305784) (xy 191.867085 107.305617) (xy 191.689939 107.378812)
+        (xy 191.562396 107.506131) (xy 191.435774 107.379288) (xy 191.258756 107.305784) (xy 191.067085 107.305617) (xy 190.889939 107.378812)
+        (xy 190.762396 107.506131) (xy 190.635774 107.379288) (xy 190.458756 107.305784) (xy 190.267085 107.305617) (xy 190.089939 107.378812)
+        (xy 189.962396 107.506131) (xy 189.835774 107.379288) (xy 189.807875 107.367703) (xy 189.807875 86.88145) (xy 189.807875 84.34145)
+        (xy 189.653646 83.968187) (xy 189.368315 83.682358) (xy 188.995322 83.527478) (xy 188.59145 83.527125) (xy 188.218187 83.681354)
+        (xy 187.932358 83.966685) (xy 187.777478 84.339678) (xy 187.777125 84.74355) (xy 187.931354 85.116813) (xy 188.216685 85.402642)
+        (xy 188.589678 85.557522) (xy 188.99355 85.557875) (xy 189.366813 85.403646) (xy 189.652642 85.118315) (xy 189.807522 84.745322)
+        (xy 189.807875 84.34145) (xy 189.807875 86.88145) (xy 189.653646 86.508187) (xy 189.368315 86.222358) (xy 188.995322 86.067478)
+        (xy 188.59145 86.067125) (xy 188.218187 86.221354) (xy 187.932358 86.506685) (xy 187.777478 86.879678) (xy 187.777125 87.28355)
+        (xy 187.931354 87.656813) (xy 188.216685 87.942642) (xy 188.589678 88.097522) (xy 188.99355 88.097875) (xy 189.366813 87.943646)
+        (xy 189.652642 87.658315) (xy 189.807522 87.285322) (xy 189.807875 86.88145) (xy 189.807875 107.367703) (xy 189.658756 107.305784)
+        (xy 189.467085 107.305617) (xy 189.289939 107.378812) (xy 189.162396 107.506131) (xy 189.035774 107.379288) (xy 188.858756 107.305784)
+        (xy 188.667085 107.305617) (xy 188.489939 107.378812) (xy 188.354288 107.514226) (xy 188.280784 107.691244) (xy 188.280617 107.882915)
+        (xy 188.353812 108.060061) (xy 188.481131 108.187603) (xy 188.354288 108.314226) (xy 188.280784 108.491244) (xy 188.280617 108.682915)
+        (xy 188.353812 108.860061) (xy 188.489226 108.995712) (xy 188.666244 109.069216) (xy 188.857915 109.069383) (xy 189.035061 108.996188)
+        (xy 189.162603 108.868868) (xy 189.231131 108.937516) (xy 189.154288 109.014226) (xy 189.080784 109.191244) (xy 189.080617 109.382915)
+        (xy 189.153812 109.560061) (xy 189.289226 109.695712) (xy 189.466244 109.769216) (xy 189.657915 109.769383) (xy 189.835061 109.696188)
+        (xy 189.970712 109.560774) (xy 190.044216 109.383756) (xy 190.044383 109.192085) (xy 189.971188 109.014939) (xy 189.893868 108.937483)
+        (xy 189.962603 108.868868) (xy 190.089226 108.995712) (xy 190.266244 109.069216) (xy 190.457915 109.069383) (xy 190.635061 108.996188)
+        (xy 190.762603 108.868868) (xy 190.889226 108.995712) (xy 191.066244 109.069216) (xy 191.257915 109.069383) (xy 191.435061 108.996188)
+        (xy 191.569341 108.862141) (xy 191.578812 108.885061) (xy 191.714226 109.020712) (xy 191.891244 109.094216) (xy 192.082915 109.094383)
+        (xy 192.260061 109.021188) (xy 192.387581 108.893889) (xy 192.489226 108.995712) (xy 192.666244 109.069216) (xy 192.857915 109.069383)
+        (xy 193.035061 108.996188) (xy 193.162603 108.868868) (xy 193.289226 108.995712) (xy 193.466244 109.069216) (xy 193.657915 109.069383)
+        (xy 193.835061 108.996188) (xy 193.962603 108.868868) (xy 194.089226 108.995712) (xy 194.266244 109.069216) (xy 194.457915 109.069383)
+        (xy 194.635061 108.996188) (xy 194.770712 108.860774) (xy 194.844216 108.683756) (xy 194.844383 108.492085) (xy 194.771188 108.314939)
+        (xy 194.643868 108.187396) (xy 194.762603 108.068868) (xy 194.889226 108.195712) (xy 195.066244 108.269216) (xy 195.257915 108.269383)
+        (xy 195.435061 108.196188) (xy 195.570712 108.060774) (xy 195.644216 107.883756) (xy 195.644383 107.692085) (xy 195.644383 111.424287)
+        (xy 195.562396 111.506131) (xy 195.435774 111.379288) (xy 195.258756 111.305784) (xy 195.067085 111.305617) (xy 195.044383 111.314997)
+        (xy 195.044383 109.842085) (xy 194.971188 109.664939) (xy 194.835774 109.529288) (xy 194.658756 109.455784) (xy 194.467085 109.455617)
+        (xy 194.289939 109.528812) (xy 194.154288 109.664226) (xy 194.080784 109.841244) (xy 194.080617 110.032915) (xy 194.153812 110.210061)
+        (xy 194.289226 110.345712) (xy 194.466244 110.419216) (xy 194.657915 110.419383) (xy 194.835061 110.346188) (xy 194.970712 110.210774)
+        (xy 195.044216 110.033756) (xy 195.044383 109.842085) (xy 195.044383 111.314997) (xy 194.889939 111.378812) (xy 194.762396 111.506131)
+        (xy 194.635774 111.379288) (xy 194.458756 111.305784) (xy 194.267085 111.305617) (xy 194.089939 111.378812) (xy 193.954288 111.514226)
+        (xy 193.880784 111.691244) (xy 193.880617 111.882915) (xy 193.953812 112.060061) (xy 194.089226 112.195712) (xy 194.266244 112.269216)
+        (xy 194.457915 112.269383) (xy 194.635061 112.196188) (xy 194.762603 112.068868) (xy 194.889226 112.195712) (xy 195.066244 112.269216)
+        (xy 195.257915 112.269383) (xy 195.435061 112.196188) (xy 195.562603 112.068868) (xy 195.689226 112.195712) (xy 195.866244 112.269216)
+        (xy 196.057915 112.269383) (xy 196.235061 112.196188) (xy 196.362603 112.068868) (xy 196.489226 112.195712) (xy 196.666244 112.269216)
+        (xy 196.857915 112.269383) (xy 197.035061 112.196188) (xy 197.170712 112.060774) (xy 197.244216 111.883756) (xy 197.244383 111.692085)
+        (xy 197.244383 113.824288) (xy 197.154288 113.914226) (xy 197.080784 114.091244) (xy 197.080617 114.282915) (xy 197.153812 114.460061)
+        (xy 197.289226 114.595712) (xy 197.466244 114.669216) (xy 197.657915 114.669383) (xy 197.835061 114.596188) (xy 197.970712 114.460774)
+        (xy 198.044216 114.283756) (xy 198.044383 114.092085) (xy 198.044383 120.492085) (xy 197.971188 120.314939) (xy 197.835774 120.179288)
+        (xy 197.658756 120.105784) (xy 197.467085 120.105617) (xy 197.289939 120.178812) (xy 197.162396 120.306131) (xy 197.035774 120.179288)
+        (xy 196.858756 120.105784) (xy 196.667085 120.105617) (xy 196.489939 120.178812) (xy 196.362396 120.306131) (xy 196.235774 120.179288)
+        (xy 196.058756 120.105784) (xy 195.867085 120.105617) (xy 195.689939 120.178812) (xy 195.554288 120.314226) (xy 195.480784 120.491244)
+        (xy 195.480617 120.682915) (xy 195.553812 120.860061) (xy 195.681131 120.987603) (xy 195.562396 121.106131) (xy 195.435774 120.979288)
+        (xy 195.319383 120.930958) (xy 195.319383 118.292085) (xy 195.246188 118.114939) (xy 195.110774 117.979288) (xy 194.933756 117.905784)
+        (xy 194.742085 117.905617) (xy 194.564939 117.978812) (xy 194.429288 118.114226) (xy 194.355784 118.291244) (xy 194.355617 118.482915)
+        (xy 194.428812 118.660061) (xy 194.564226 118.795712) (xy 194.741244 118.869216) (xy 194.932915 118.869383) (xy 195.110061 118.796188)
+        (xy 195.245712 118.660774) (xy 195.319216 118.483756) (xy 195.319383 118.292085) (xy 195.319383 120.930958) (xy 195.258756 120.905784)
+        (xy 195.067085 120.905617) (xy 194.889939 120.978812) (xy 194.762396 121.106131) (xy 194.643868 120.987396) (xy 194.770712 120.860774)
+        (xy 194.844216 120.683756) (xy 194.844383 120.492085) (xy 194.771188 120.314939) (xy 194.635774 120.179288) (xy 194.458756 120.105784)
+        (xy 194.267085 120.105617) (xy 194.089939 120.178812) (xy 193.954288 120.314226) (xy 193.880784 120.491244) (xy 193.880617 120.682915)
+        (xy 193.953812 120.860061) (xy 194.081131 120.987603) (xy 193.962396 121.106131) (xy 193.835774 120.979288) (xy 193.658756 120.905784)
+        (xy 193.467085 120.905617) (xy 193.289939 120.978812) (xy 193.244383 121.024288) (xy 193.244383 117.292085) (xy 193.244383 111.692085)
+        (xy 193.171188 111.514939) (xy 193.035774 111.379288) (xy 192.858756 111.305784) (xy 192.667085 111.305617) (xy 192.489939 111.378812)
+        (xy 192.362396 111.506131) (xy 192.235774 111.379288) (xy 192.058756 111.305784) (xy 191.867085 111.305617) (xy 191.689939 111.378812)
+        (xy 191.554288 111.514226) (xy 191.480784 111.691244) (xy 191.480617 111.882915) (xy 191.553812 112.060061) (xy 191.681131 112.187603)
+        (xy 191.554288 112.314226) (xy 191.480784 112.491244) (xy 191.480617 112.682915) (xy 191.553812 112.860061) (xy 191.681131 112.987603)
+        (xy 191.554288 113.114226) (xy 191.480784 113.291244) (xy 191.480617 113.482915) (xy 191.553812 113.660061) (xy 191.681131 113.787603)
+        (xy 191.554288 113.914226) (xy 191.480784 114.091244) (xy 191.480617 114.282915) (xy 191.553812 114.460061) (xy 191.681131 114.587603)
+        (xy 191.554288 114.714226) (xy 191.480784 114.891244) (xy 191.480617 115.082915) (xy 191.553812 115.260061) (xy 191.681131 115.387603)
+        (xy 191.554288 115.514226) (xy 191.480784 115.691244) (xy 191.480617 115.882915) (xy 191.553812 116.060061) (xy 191.689226 116.195712)
+        (xy 191.866244 116.269216) (xy 192.057915 116.269383) (xy 192.235061 116.196188) (xy 192.370712 116.060774) (xy 192.444216 115.883756)
+        (xy 192.444383 115.692085) (xy 192.371188 115.514939) (xy 192.243868 115.387396) (xy 192.370712 115.260774) (xy 192.444216 115.083756)
+        (xy 192.444383 114.892085) (xy 192.371188 114.714939) (xy 192.243868 114.587396) (xy 192.370712 114.460774) (xy 192.444216 114.283756)
+        (xy 192.444383 114.092085) (xy 192.371188 113.914939) (xy 192.243868 113.787396) (xy 192.370712 113.660774) (xy 192.444216 113.483756)
+        (xy 192.444383 113.292085) (xy 192.371188 113.114939) (xy 192.243868 112.987396) (xy 192.370712 112.860774) (xy 192.444216 112.683756)
+        (xy 192.444383 112.492085) (xy 192.371188 112.314939) (xy 192.243868 112.187396) (xy 192.362603 112.068868) (xy 192.489226 112.195712)
+        (xy 192.666244 112.269216) (xy 192.857915 112.269383) (xy 193.035061 112.196188) (xy 193.170712 112.060774) (xy 193.244216 111.883756)
+        (xy 193.244383 111.692085) (xy 193.244383 117.292085) (xy 193.171188 117.114939) (xy 193.035774 116.979288) (xy 192.858756 116.905784)
+        (xy 192.667085 116.905617) (xy 192.489939 116.978812) (xy 192.362396 117.106131) (xy 192.235774 116.979288) (xy 192.058756 116.905784)
+        (xy 191.867085 116.905617) (xy 191.689939 116.978812) (xy 191.554288 117.114226) (xy 191.480784 117.291244) (xy 191.480617 117.482915)
+        (xy 191.553812 117.660061) (xy 191.689226 117.795712) (xy 191.866244 117.869216) (xy 192.057915 117.869383) (xy 192.235061 117.796188)
+        (xy 192.362603 117.668868) (xy 192.489226 117.795712) (xy 192.666244 117.869216) (xy 192.857915 117.869383) (xy 193.035061 117.796188)
+        (xy 193.170712 117.660774) (xy 193.244216 117.483756) (xy 193.244383 117.292085) (xy 193.244383 121.024288) (xy 193.154288 121.114226)
+        (xy 193.080784 121.291244) (xy 193.080617 121.482915) (xy 193.153812 121.660061) (xy 193.281131 121.787603) (xy 193.162396 121.906131)
+        (xy 193.035774 121.779288) (xy 192.858756 121.705784) (xy 192.667085 121.705617) (xy 192.489939 121.778812) (xy 192.444383 121.824288)
+        (xy 192.444383 121.292085) (xy 192.371188 121.114939) (xy 192.243868 120.987396) (xy 192.370712 120.860774) (xy 192.444216 120.683756)
+        (xy 192.444383 120.492085) (xy 192.371188 120.314939) (xy 192.235774 120.179288) (xy 192.058756 120.105784) (xy 191.867085 120.105617)
+        (xy 191.689939 120.178812) (xy 191.554288 120.314226) (xy 191.480784 120.491244) (xy 191.480617 120.682915) (xy 191.553812 120.860061)
+        (xy 191.681131 120.987603) (xy 191.562396 121.106131) (xy 191.435774 120.979288) (xy 191.258756 120.905784) (xy 191.067085 120.905617)
+        (xy 190.889939 120.978812) (xy 190.844383 121.024288) (xy 190.844383 119.717085) (xy 190.771188 119.539939) (xy 190.635774 119.404288)
+        (xy 190.458756 119.330784) (xy 190.267085 119.330617) (xy 190.119383 119.391646) (xy 190.119383 114.892085) (xy 190.046188 114.714939)
+        (xy 189.910774 114.579288) (xy 189.733756 114.505784) (xy 189.542085 114.505617) (xy 189.364939 114.578812) (xy 189.269383 114.674201)
+        (xy 189.269383 111.667085) (xy 189.196188 111.489939) (xy 189.068889 111.362418) (xy 189.170712 111.260774) (xy 189.244216 111.083756)
+        (xy 189.244383 110.892085) (xy 189.171188 110.714939) (xy 189.043868 110.587396) (xy 189.170712 110.460774) (xy 189.244216 110.283756)
+        (xy 189.244383 110.092085) (xy 189.171188 109.914939) (xy 189.035774 109.779288) (xy 188.858756 109.705784) (xy 188.667085 109.705617)
+        (xy 188.489939 109.778812) (xy 188.354288 109.914226) (xy 188.280784 110.091244) (xy 188.280617 110.282915) (xy 188.353812 110.460061)
+        (xy 188.481131 110.587603) (xy 188.362396 110.706131) (xy 188.235774 110.579288) (xy 188.058756 110.505784) (xy 187.867085 110.505617)
+        (xy 187.689939 110.578812) (xy 187.554288 110.714226) (xy 187.480784 110.891244) (xy 187.480617 111.082915) (xy 187.553812 111.260061)
+        (xy 187.689226 111.395712) (xy 187.866244 111.469216) (xy 188.057915 111.469383) (xy 188.235061 111.396188) (xy 188.362603 111.268868)
+        (xy 188.48111 111.387581) (xy 188.379288 111.489226) (xy 188.305784 111.666244) (xy 188.305617 111.857915) (xy 188.378812 112.035061)
+        (xy 188.514226 112.170712) (xy 188.691244 112.244216) (xy 188.882915 112.244383) (xy 189.060061 112.171188) (xy 189.195712 112.035774)
+        (xy 189.269216 111.858756) (xy 189.269383 111.667085) (xy 189.269383 114.674201) (xy 189.229288 114.714226) (xy 189.155784 114.891244)
+        (xy 189.155617 115.082915) (xy 189.228812 115.260061) (xy 189.364226 115.395712) (xy 189.541244 115.469216) (xy 189.732915 115.469383)
+        (xy 189.910061 115.396188) (xy 190.045712 115.260774) (xy 190.119216 115.083756) (xy 190.119383 114.892085) (xy 190.119383 119.391646)
+        (xy 190.089939 119.403812) (xy 190.069383 119.424332) (xy 190.069383 117.317085) (xy 189.996188 117.139939) (xy 189.860774 117.004288)
+        (xy 189.683756 116.930784) (xy 189.492085 116.930617) (xy 189.314939 117.003812) (xy 189.180658 117.137858) (xy 189.171188 117.114939)
+        (xy 189.035774 116.979288) (xy 188.858756 116.905784) (xy 188.667085 116.905617) (xy 188.489939 116.978812) (xy 188.362396 117.106131)
+        (xy 188.243868 116.987396) (xy 188.370712 116.860774) (xy 188.444216 116.683756) (xy 188.444383 116.492085) (xy 188.371188 116.314939)
+        (xy 188.243868 116.187396) (xy 188.370712 116.060774) (xy 188.444216 115.883756) (xy 188.444383 115.692085) (xy 188.371188 115.514939)
+        (xy 188.243868 115.387396) (xy 188.370712 115.260774) (xy 188.444216 115.083756) (xy 188.444383 114.892085) (xy 188.371188 114.714939)
+        (xy 188.243868 114.587396) (xy 188.362603 114.468868) (xy 188.489226 114.595712) (xy 188.666244 114.669216) (xy 188.857915 114.669383)
+        (xy 189.035061 114.596188) (xy 189.170712 114.460774) (xy 189.244216 114.283756) (xy 189.244383 114.092085) (xy 189.171188 113.914939)
+        (xy 189.043868 113.787396) (xy 189.170712 113.660774) (xy 189.244216 113.483756) (xy 189.244383 113.292085) (xy 189.171188 113.114939)
+        (xy 189.035774 112.979288) (xy 188.858756 112.905784) (xy 188.667085 112.905617) (xy 188.489939 112.978812) (xy 188.362396 113.106131)
+        (xy 188.235774 112.979288) (xy 188.058756 112.905784) (xy 187.867085 112.905617) (xy 187.689939 112.978812) (xy 187.644383 113.024287)
+        (xy 187.644383 111.667085) (xy 187.571188 111.489939) (xy 187.435774 111.354288) (xy 187.267875 111.28457) (xy 187.267875 84.34145)
+        (xy 187.113646 83.968187) (xy 186.828315 83.682358) (xy 186.455322 83.527478) (xy 186.05145 83.527125) (xy 185.678187 83.681354)
+        (xy 185.487875 83.871334) (xy 185.487875 79.15645) (xy 185.333646 78.783187) (xy 185.048315 78.497358) (xy 184.675322 78.342478)
+        (xy 184.27145 78.342125) (xy 183.898187 78.496354) (xy 183.612358 78.781685) (xy 183.457478 79.154678) (xy 183.457125 79.55855)
+        (xy 183.611354 79.931813) (xy 183.896685 80.217642) (xy 184.269678 80.372522) (xy 184.67355 80.372875) (xy 185.046813 80.218646)
+        (xy 185.332642 79.933315) (xy 185.487522 79.560322) (xy 185.487875 79.15645) (xy 185.487875 83.871334) (xy 185.392358 83.966685)
+        (xy 185.237478 84.339678) (xy 185.237125 84.74355) (xy 185.391354 85.116813) (xy 185.676685 85.402642) (xy 186.049678 85.557522)
+        (xy 186.45355 85.557875) (xy 186.826813 85.403646) (xy 187.112642 85.118315) (xy 187.267522 84.745322) (xy 187.267875 84.34145)
+        (xy 187.267875 111.28457) (xy 187.267743 111.284515) (xy 187.267743 87.794356) (xy 187.267743 86.270356) (xy 187.229277 86.177261)
+        (xy 187.158113 86.105973) (xy 187.065085 86.067345) (xy 186.964356 86.067257) (xy 185.440356 86.067257) (xy 185.347261 86.105723)
+        (xy 185.275973 86.176887) (xy 185.237345 86.269915) (xy 185.237257 86.370644) (xy 185.237257 87.894644) (xy 185.275723 87.987739)
+        (xy 185.346887 88.059027) (xy 185.439915 88.097655) (xy 185.540644 88.097743) (xy 187.064644 88.097743) (xy 187.157739 88.059277)
+        (xy 187.229027 87.988113) (xy 187.267655 87.895085) (xy 187.267743 87.794356) (xy 187.267743 111.284515) (xy 187.258756 111.280784)
+        (xy 187.067085 111.280617) (xy 186.889939 111.353812) (xy 186.754288 111.489226) (xy 186.680784 111.666244) (xy 186.680617 111.857915)
+        (xy 186.753812 112.035061) (xy 186.889226 112.170712) (xy 187.066244 112.244216) (xy 187.257915 112.244383) (xy 187.435061 112.171188)
+        (xy 187.570712 112.035774) (xy 187.644216 111.858756) (xy 187.644383 111.667085) (xy 187.644383 113.024287) (xy 187.562396 113.106131)
+        (xy 187.435774 112.979288) (xy 187.258756 112.905784) (xy 187.067085 112.905617) (xy 186.889939 112.978812) (xy 186.754288 113.114226)
+        (xy 186.680784 113.291244) (xy 186.680617 113.482915) (xy 186.753812 113.660061) (xy 186.881131 113.787603) (xy 186.754288 113.914226)
+        (xy 186.680784 114.091244) (xy 186.680617 114.282915) (xy 186.753812 114.460061) (xy 186.881131 114.587603) (xy 186.754288 114.714226)
+        (xy 186.680784 114.891244) (xy 186.680617 115.082915) (xy 186.753812 115.260061) (xy 186.881131 115.387603) (xy 186.754288 115.514226)
+        (xy 186.680784 115.691244) (xy 186.680617 115.882915) (xy 186.753812 116.060061) (xy 186.881131 116.187603) (xy 186.754288 116.314226)
+        (xy 186.680784 116.491244) (xy 186.680617 116.682915) (xy 186.753812 116.860061) (xy 186.881131 116.987603) (xy 186.754288 117.114226)
+        (xy 186.680784 117.291244) (xy 186.680617 117.482915) (xy 186.753812 117.660061) (xy 186.881131 117.787603) (xy 186.754288 117.914226)
+        (xy 186.680784 118.091244) (xy 186.680617 118.282915) (xy 186.753812 118.460061) (xy 186.881131 118.587603) (xy 186.754288 118.714226)
+        (xy 186.680784 118.891244) (xy 186.680617 119.082915) (xy 186.753812 119.260061) (xy 186.881131 119.387603) (xy 186.754288 119.514226)
+        (xy 186.680784 119.691244) (xy 186.680617 119.882915) (xy 186.753812 120.060061) (xy 186.881131 120.187603) (xy 186.754288 120.314226)
+        (xy 186.680784 120.491244) (xy 186.680617 120.682915) (xy 186.753812 120.860061) (xy 186.881131 120.987603) (xy 186.754288 121.114226)
+        (xy 186.680784 121.291244) (xy 186.680617 121.482915) (xy 186.753812 121.660061) (xy 186.881131 121.787603) (xy 186.754288 121.914226)
+        (xy 186.680784 122.091244) (xy 186.680617 122.282915) (xy 186.753812 122.460061) (xy 186.889226 122.595712) (xy 187.066244 122.669216)
+        (xy 187.257915 122.669383) (xy 187.435061 122.596188) (xy 187.570712 122.460774) (xy 187.644216 122.283756) (xy 187.644383 122.092085)
+        (xy 187.571188 121.914939) (xy 187.443868 121.787396) (xy 187.562603 121.668868) (xy 187.689226 121.795712) (xy 187.866244 121.869216)
+        (xy 188.057915 121.869383) (xy 188.235061 121.796188) (xy 188.370712 121.660774) (xy 188.444216 121.483756) (xy 188.444383 121.292085)
+        (xy 188.371188 121.114939) (xy 188.243868 120.987396) (xy 188.370712 120.860774) (xy 188.444216 120.683756) (xy 188.444383 120.492085)
+        (xy 188.371188 120.314939) (xy 188.243868 120.187396) (xy 188.362581 120.068889) (xy 188.464226 120.170712) (xy 188.641244 120.244216)
+        (xy 188.832915 120.244383) (xy 189.010061 120.171188) (xy 189.145712 120.035774) (xy 189.219216 119.858756) (xy 189.219383 119.667085)
+        (xy 189.146188 119.489939) (xy 189.010774 119.354288) (xy 188.833756 119.280784) (xy 188.642085 119.280617) (xy 188.464939 119.353812)
+        (xy 188.337418 119.48111) (xy 188.243868 119.387396) (xy 188.370712 119.260774) (xy 188.444216 119.083756) (xy 188.444383 118.892085)
+        (xy 188.371188 118.714939) (xy 188.243868 118.587396) (xy 188.370712 118.460774) (xy 188.444216 118.283756) (xy 188.444383 118.092085)
+        (xy 188.371188 117.914939) (xy 188.243868 117.787396) (xy 188.362603 117.668868) (xy 188.489226 117.795712) (xy 188.666244 117.869216)
+        (xy 188.857915 117.869383) (xy 189.035061 117.796188) (xy 189.169341 117.662141) (xy 189.178812 117.685061) (xy 189.314226 117.820712)
+        (xy 189.491244 117.894216) (xy 189.682915 117.894383) (xy 189.860061 117.821188) (xy 189.995712 117.685774) (xy 190.069216 117.508756)
+        (xy 190.069383 117.317085) (xy 190.069383 119.424332) (xy 189.954288 119.539226) (xy 189.880784 119.716244) (xy 189.880617 119.907915)
+        (xy 189.953812 120.085061) (xy 190.089226 120.220712) (xy 190.266244 120.294216) (xy 190.457915 120.294383) (xy 190.635061 120.221188)
+        (xy 190.770712 120.085774) (xy 190.844216 119.908756) (xy 190.844383 119.717085) (xy 190.844383 121.024288) (xy 190.754288 121.114226)
+        (xy 190.680784 121.291244) (xy 190.680617 121.482915) (xy 190.753812 121.660061) (xy 190.889226 121.795712) (xy 191.066244 121.869216)
+        (xy 191.257915 121.869383) (xy 191.435061 121.796188) (xy 191.562603 121.668868) (xy 191.689226 121.795712) (xy 191.866244 121.869216)
+        (xy 192.057915 121.869383) (xy 192.235061 121.796188) (xy 192.370712 121.660774) (xy 192.444216 121.483756) (xy 192.444383 121.292085)
+        (xy 192.444383 121.824288) (xy 192.354288 121.914226) (xy 192.280784 122.091244) (xy 192.280617 122.282915) (xy 192.353812 122.460061)
+        (xy 192.489226 122.595712) (xy 192.666244 122.669216) (xy 192.857915 122.669383) (xy 193.035061 122.596188) (xy 193.162603 122.468868)
+        (xy 193.289226 122.595712) (xy 193.466244 122.669216) (xy 193.657915 122.669383) (xy 193.835061 122.596188) (xy 193.962603 122.468868)
+        (xy 194.089226 122.595712) (xy 194.266244 122.669216) (xy 194.457915 122.669383) (xy 194.635061 122.596188) (xy 194.762603 122.468868)
+        (xy 194.889226 122.595712) (xy 195.066244 122.669216) (xy 195.257915 122.669383) (xy 195.435061 122.596188) (xy 195.562603 122.468868)
+        (xy 195.689226 122.595712) (xy 195.866244 122.669216) (xy 196.057915 122.669383) (xy 196.235061 122.596188) (xy 196.362603 122.468868)
+        (xy 196.489226 122.595712) (xy 196.666244 122.669216) (xy 196.857915 122.669383) (xy 197.035061 122.596188) (xy 197.162603 122.468868)
+        (xy 197.289226 122.595712) (xy 197.466244 122.669216) (xy 197.657915 122.669383) (xy 197.835061 122.596188) (xy 197.970712 122.460774)
+        (xy 198.044216 122.283756) (xy 198.044383 122.092085) (xy 198.044383 130.66313) (xy 197.910774 130.529288) (xy 197.733756 130.455784)
+        (xy 197.542085 130.455617) (xy 197.364939 130.528812) (xy 197.229288 130.664226) (xy 197.155784 130.841244) (xy 197.155617 131.032915)
+        (xy 197.228812 131.210061) (xy 197.364226 131.345712) (xy 197.541244 131.419216) (xy 197.732915 131.419383) (xy 197.910061 131.346188)
+        (xy 198.045712 131.210774) (xy 198.119216 131.033756) (xy 198.119383 130.842085) (xy 198.119383 147.295327) (xy 197.989939 147.348812)
+        (xy 197.854288 147.484226) (xy 197.780784 147.661244) (xy 197.780617 147.852915) (xy 197.853812 148.030061) (xy 197.989226 148.165712)
+        (xy 198.166244 148.239216) (xy 198.357915 148.239383) (xy 198.535061 148.166188) (xy 198.670712 148.030774) (xy 198.744216 147.853756)
+        (xy 198.744383 147.662085) (xy 198.744383 153.896733) (xy 198.654383 153.93392) (xy 198.654383 148.662085) (xy 198.581188 148.484939)
+        (xy 198.445774 148.349288) (xy 198.268756 148.275784) (xy 198.077085 148.275617) (xy 197.899939 148.348812) (xy 197.764288 148.484226)
+        (xy 197.690784 148.661244) (xy 197.690617 148.852915) (xy 197.763812 149.030061) (xy 197.899226 149.165712) (xy 198.076244 149.239216)
+        (xy 198.267915 149.239383) (xy 198.445061 149.166188) (xy 198.580712 149.030774) (xy 198.654216 148.853756) (xy 198.654383 148.662085)
+        (xy 198.654383 153.93392) (xy 198.569939 153.968812) (xy 198.434288 154.104226) (xy 198.360784 154.281244) (xy 198.360617 154.472915)
+        (xy 198.433812 154.650061) (xy 198.569226 154.785712) (xy 198.746244 154.859216) (xy 198.937915 154.859383) (xy 199.115061 154.786188)
+        (xy 199.250712 154.650774) (xy 199.324216 154.473756) (xy 199.324383 154.282085) (xy 199.324383 158.078408) (xy 197.392214 158.077848)
+        (xy 197.392214 154.156873) (xy 197.292007 153.914355) (xy 197.106621 153.728645) (xy 196.864278 153.628015) (xy 196.601873 153.627786)
+        (xy 196.359355 153.727993) (xy 196.173645 153.913379) (xy 196.073015 154.155722) (xy 196.072786 154.418127) (xy 196.172993 154.660645)
+        (xy 196.358379 154.846355) (xy 196.600722 154.946985) (xy 196.863127 154.947214) (xy 197.105645 154.847007) (xy 197.291355 154.661621)
+        (xy 197.391985 154.419278) (xy 197.392214 154.156873) (xy 197.392214 158.077848) (xy 194.21583 158.076927) (xy 194.21583 139.238337)
+        (xy 194.21583 137.238337) (xy 194.101404 136.961404) (xy 193.889711 136.74934) (xy 193.612978 136.634431) (xy 193.313337 136.63417)
+        (xy 193.036404 136.748596) (xy 192.82434 136.960289) (xy 192.709431 137.237022) (xy 192.70917 137.536663) (xy 192.823596 137.813596)
+        (xy 193.035289 138.02566) (xy 193.312022 138.140569) (xy 193.611663 138.14083) (xy 193.888596 138.026404) (xy 194.10066 137.814711)
+        (xy 194.215569 137.537978) (xy 194.21583 137.238337) (xy 194.21583 139.238337) (xy 194.101404 138.961404) (xy 193.889711 138.74934)
+        (xy 193.612978 138.634431) (xy 193.313337 138.63417) (xy 193.036404 138.748596) (xy 192.82434 138.960289) (xy 192.709431 139.237022)
+        (xy 192.70917 139.536663) (xy 192.823596 139.813596) (xy 193.035289 140.02566) (xy 193.312022 140.140569) (xy 193.611663 140.14083)
+        (xy 193.888596 140.026404) (xy 194.10066 139.814711) (xy 194.215569 139.537978) (xy 194.21583 139.238337) (xy 194.21583 158.076927)
+        (xy 193.522743 158.076727) (xy 193.522743 156.399356) (xy 193.522743 154.875356) (xy 193.484277 154.782261) (xy 193.413113 154.710973)
+        (xy 193.320085 154.672345) (xy 193.219356 154.672257) (xy 192.21583 154.672257) (xy 192.21583 139.238337) (xy 192.21583 137.238337)
+        (xy 192.101404 136.961404) (xy 192.044383 136.904283) (xy 192.044383 124.092085) (xy 191.971188 123.914939) (xy 191.835774 123.779288)
+        (xy 191.658756 123.705784) (xy 191.644383 123.705771) (xy 191.644383 122.892085) (xy 191.571188 122.714939) (xy 191.435774 122.579288)
+        (xy 191.258756 122.505784) (xy 191.067085 122.505617) (xy 190.889939 122.578812) (xy 190.844383 122.624288) (xy 190.844383 122.092085)
+        (xy 190.771188 121.914939) (xy 190.635774 121.779288) (xy 190.458756 121.705784) (xy 190.267085 121.705617) (xy 190.089939 121.778812)
+        (xy 189.962396 121.906131) (xy 189.843868 121.787396) (xy 189.970712 121.660774) (xy 190.044216 121.483756) (xy 190.044383 121.292085)
+        (xy 189.971188 121.114939) (xy 189.835774 120.979288) (xy 189.658756 120.905784) (xy 189.467085 120.905617) (xy 189.289939 120.978812)
+        (xy 189.154288 121.114226) (xy 189.080784 121.291244) (xy 189.080617 121.482915) (xy 189.153812 121.660061) (xy 189.281131 121.787603)
+        (xy 189.162396 121.906131) (xy 189.035774 121.779288) (xy 188.858756 121.705784) (xy 188.667085 121.705617) (xy 188.489939 121.778812)
+        (xy 188.354288 121.914226) (xy 188.280784 122.091244) (xy 188.280617 122.282915) (xy 188.353812 122.460061) (xy 188.489226 122.595712)
+        (xy 188.666244 122.669216) (xy 188.857915 122.669383) (xy 189.035061 122.596188) (xy 189.162603 122.468868) (xy 189.281131 122.587603)
+        (xy 189.154288 122.714226) (xy 189.080784 122.891244) (xy 189.080617 123.082915) (xy 189.153812 123.260061) (xy 189.289226 123.395712)
+        (xy 189.466244 123.469216) (xy 189.657915 123.469383) (xy 189.835061 123.396188) (xy 189.970712 123.260774) (xy 190.044216 123.083756)
+        (xy 190.044383 122.892085) (xy 189.971188 122.714939) (xy 189.843868 122.587396) (xy 189.962603 122.468868) (xy 190.089226 122.595712)
+        (xy 190.266244 122.669216) (xy 190.457915 122.669383) (xy 190.635061 122.596188) (xy 190.770712 122.460774) (xy 190.844216 122.283756)
+        (xy 190.844383 122.092085) (xy 190.844383 122.624288) (xy 190.754288 122.714226) (xy 190.680784 122.891244) (xy 190.680617 123.082915)
+        (xy 190.753812 123.260061) (xy 190.889226 123.395712) (xy 191.066244 123.469216) (xy 191.257915 123.469383) (xy 191.435061 123.396188)
+        (xy 191.570712 123.260774) (xy 191.644216 123.083756) (xy 191.644383 122.892085) (xy 191.644383 123.705771) (xy 191.467085 123.705617)
+        (xy 191.289939 123.778812) (xy 191.154288 123.914226) (xy 191.080784 124.091244) (xy 191.080617 124.282915) (xy 191.153812 124.460061)
+        (xy 191.289226 124.595712) (xy 191.466244 124.669216) (xy 191.657915 124.669383) (xy 191.835061 124.596188) (xy 191.970712 124.460774)
+        (xy 192.044216 124.283756) (xy 192.044383 124.092085) (xy 192.044383 136.904283) (xy 191.889711 136.74934) (xy 191.612978 136.634431)
+        (xy 191.344383 136.634197) (xy 191.344383 124.867085) (xy 191.271188 124.689939) (xy 191.135774 124.554288) (xy 190.958756 124.480784)
+        (xy 190.767085 124.480617) (xy 190.589939 124.553812) (xy 190.454288 124.689226) (xy 190.444383 124.713079) (xy 190.444383 123.792085)
+        (xy 190.371188 123.614939) (xy 190.235774 123.479288) (xy 190.058756 123.405784) (xy 189.867085 123.405617) (xy 189.689939 123.478812)
+        (xy 189.562396 123.606131) (xy 189.435774 123.479288) (xy 189.258756 123.405784) (xy 189.067085 123.405617) (xy 188.889939 123.478812)
+        (xy 188.754288 123.614226) (xy 188.680784 123.791244) (xy 188.680617 123.982915) (xy 188.753812 124.160061) (xy 188.889226 124.295712)
+        (xy 189.066244 124.369216) (xy 189.257915 124.369383) (xy 189.435061 124.296188) (xy 189.562603 124.168868) (xy 189.689226 124.295712)
+        (xy 189.866244 124.369216) (xy 190.057915 124.369383) (xy 190.235061 124.296188) (xy 190.370712 124.160774) (xy 190.444216 123.983756)
+        (xy 190.444383 123.792085) (xy 190.444383 124.713079) (xy 190.380784 124.866244) (xy 190.380617 125.057915) (xy 190.453812 125.235061)
+        (xy 190.589226 125.370712) (xy 190.766244 125.444216) (xy 190.957915 125.444383) (xy 191.135061 125.371188) (xy 191.270712 125.235774)
+        (xy 191.344216 125.058756) (xy 191.344383 124.867085) (xy 191.344383 136.634197) (xy 191.313337 136.63417) (xy 191.036404 136.748596)
+        (xy 190.82434 136.960289) (xy 190.709431 137.237022) (xy 190.70917 137.536663) (xy 190.823596 137.813596) (xy 191.035289 138.02566)
+        (xy 191.312022 138.140569) (xy 191.611663 138.14083) (xy 191.888596 138.026404) (xy 192.10066 137.814711) (xy 192.215569 137.537978)
+        (xy 192.21583 137.238337) (xy 192.21583 139.238337) (xy 192.101404 138.961404) (xy 191.889711 138.74934) (xy 191.612978 138.634431)
+        (xy 191.313337 138.63417) (xy 191.036404 138.748596) (xy 190.82434 138.960289) (xy 190.709431 139.237022) (xy 190.70917 139.536663)
+        (xy 190.823596 139.813596) (xy 191.035289 140.02566) (xy 191.312022 140.140569) (xy 191.611663 140.14083) (xy 191.888596 140.026404)
+        (xy 192.10066 139.814711) (xy 192.215569 139.537978) (xy 192.21583 139.238337) (xy 192.21583 154.672257) (xy 191.695356 154.672257)
+        (xy 191.602261 154.710723) (xy 191.530973 154.781887) (xy 191.492345 154.874915) (xy 191.492257 154.975644) (xy 191.492257 156.499644)
+        (xy 191.530723 156.592739) (xy 191.601887 156.664027) (xy 191.694915 156.702655) (xy 191.795644 156.702743) (xy 193.319644 156.702743)
+        (xy 193.412739 156.664277) (xy 193.484027 156.593113) (xy 193.522655 156.500085) (xy 193.522743 156.399356) (xy 193.522743 158.076727)
+        (xy 191.308675 158.076085) (xy 191.308675 155.799691) (xy 191.249518 155.277905) (xy 191.163651 155.070604) (xy 190.95249 154.99101)
+        (xy 190.66399 155.27951) (xy 190.66399 154.70251) (xy 190.584396 154.491349) (xy 190.21583 154.385443) (xy 190.21583 139.238337)
+        (xy 190.21583 137.238337) (xy 190.101404 136.961404) (xy 189.889711 136.74934) (xy 189.612978 136.634431) (xy 189.313337 136.63417)
+        (xy 189.036404 136.748596) (xy 189.019383 136.765587) (xy 189.019383 124.917085) (xy 188.946188 124.739939) (xy 188.810774 124.604288)
+        (xy 188.633756 124.530784) (xy 188.444383 124.530619) (xy 188.444383 123.792085) (xy 188.371188 123.614939) (xy 188.235774 123.479288)
+        (xy 188.058756 123.405784) (xy 187.867085 123.405617) (xy 187.689939 123.478812) (xy 187.554288 123.614226) (xy 187.480784 123.791244)
+        (xy 187.480617 123.982915) (xy 187.492166 124.010866) (xy 187.385774 123.904288) (xy 187.208756 123.830784) (xy 187.017085 123.830617)
+        (xy 186.839939 123.903812) (xy 186.704288 124.039226) (xy 186.630784 124.216244) (xy 186.630617 124.407915) (xy 186.703812 124.585061)
+        (xy 186.839226 124.720712) (xy 187.016244 124.794216) (xy 187.207915 124.794383) (xy 187.385061 124.721188) (xy 187.520712 124.585774)
+        (xy 187.594216 124.408756) (xy 187.594383 124.217085) (xy 187.582833 124.189133) (xy 187.689226 124.295712) (xy 187.866244 124.369216)
+        (xy 188.057915 124.369383) (xy 188.235061 124.296188) (xy 188.370712 124.160774) (xy 188.444216 123.983756) (xy 188.444383 123.792085)
+        (xy 188.444383 124.530619) (xy 188.442085 124.530617) (xy 188.264939 124.603812) (xy 188.129288 124.739226) (xy 188.055784 124.916244)
+        (xy 188.055617 125.107915) (xy 188.128812 125.285061) (xy 188.264226 125.420712) (xy 188.441244 125.494216) (xy 188.632915 125.494383)
+        (xy 188.810061 125.421188) (xy 188.945712 125.285774) (xy 189.019216 125.108756) (xy 189.019383 124.917085) (xy 189.019383 136.765587)
+        (xy 188.82434 136.960289) (xy 188.709431 137.237022) (xy 188.70917 137.536663) (xy 188.823596 137.813596) (xy 189.035289 138.02566)
+        (xy 189.312022 138.140569) (xy 189.611663 138.14083) (xy 189.888596 138.026404) (xy 190.10066 137.814711) (xy 190.215569 137.537978)
+        (xy 190.21583 137.238337) (xy 190.21583 139.238337) (xy 190.101404 138.961404) (xy 189.889711 138.74934) (xy 189.612978 138.634431)
+        (xy 189.313337 138.63417) (xy 189.036404 138.748596) (xy 188.82434 138.960289) (xy 188.709431 139.237022) (xy 188.70917 139.536663)
+        (xy 188.823596 139.813596) (xy 189.035289 140.02566) (xy 189.312022 140.140569) (xy 189.611663 140.14083) (xy 189.888596 140.026404)
+        (xy 190.10066 139.814711) (xy 190.215569 139.537978) (xy 190.21583 139.238337) (xy 190.21583 154.385443) (xy 190.079691 154.346325)
+        (xy 189.557905 154.405482) (xy 189.350604 154.491349) (xy 189.27101 154.70251) (xy 189.9675 155.399) (xy 190.66399 154.70251)
+        (xy 190.66399 155.27951) (xy 190.256 155.6875) (xy 190.95249 156.38399) (xy 191.163651 156.304396) (xy 191.308675 155.799691)
+        (xy 191.308675 158.076085) (xy 190.66399 158.075898) (xy 190.66399 156.67249) (xy 189.9675 155.976) (xy 189.679 156.2645)
+        (xy 189.679 155.6875) (xy 188.98251 154.99101) (xy 188.771349 155.070604) (xy 188.626325 155.575309) (xy 188.685482 156.097095)
+        (xy 188.771349 156.304396) (xy 188.98251 156.38399) (xy 189.679 155.6875) (xy 189.679 156.2645) (xy 189.27101 156.67249)
+        (xy 189.350604 156.883651) (xy 189.855309 157.028675) (xy 190.377095 156.969518) (xy 190.584396 156.883651) (xy 190.66399 156.67249)
+        (xy 190.66399 158.075898) (xy 188.21583 158.075188) (xy 188.21583 139.238337) (xy 188.21583 137.238337) (xy 188.101404 136.961404)
+        (xy 187.889711 136.74934) (xy 187.612978 136.634431) (xy 187.313337 136.63417) (xy 187.122214 136.71314) (xy 187.122214 127.381873)
+        (xy 187.022007 127.139355) (xy 186.836621 126.953645) (xy 186.594278 126.853015) (xy 186.331873 126.852786) (xy 186.089355 126.952993)
+        (xy 185.903645 127.138379) (xy 185.803015 127.380722) (xy 185.802786 127.643127) (xy 185.902993 127.885645) (xy 186.088379 128.071355)
+        (xy 186.330722 128.171985) (xy 186.593127 128.172214) (xy 186.835645 128.072007) (xy 187.021355 127.886621) (xy 187.121985 127.644278)
+        (xy 187.122214 127.381873) (xy 187.122214 136.71314) (xy 187.036404 136.748596) (xy 186.82434 136.960289) (xy 186.709431 137.237022)
+        (xy 186.70917 137.536663) (xy 186.823596 137.813596) (xy 187.035289 138.02566) (xy 187.312022 138.140569) (xy 187.611663 138.14083)
+        (xy 187.888596 138.026404) (xy 188.10066 137.814711) (xy 188.215569 137.537978) (xy 188.21583 137.238337) (xy 188.21583 139.238337)
+        (xy 188.101404 138.961404) (xy 187.889711 138.74934) (xy 187.612978 138.634431) (xy 187.313337 138.63417) (xy 187.036404 138.748596)
+        (xy 186.82434 138.960289) (xy 186.709431 139.237022) (xy 186.70917 139.536663) (xy 186.823596 139.813596) (xy 187.035289 140.02566)
+        (xy 187.312022 140.140569) (xy 187.611663 140.14083) (xy 187.888596 140.026404) (xy 188.10066 139.814711) (xy 188.215569 139.537978)
+        (xy 188.21583 139.238337) (xy 188.21583 158.075188) (xy 186.21583 158.074609) (xy 186.21583 139.238337) (xy 186.21583 137.238337)
+        (xy 186.101404 136.961404) (xy 185.889711 136.74934) (xy 185.612978 136.634431) (xy 185.313337 136.63417) (xy 185.036404 136.748596)
+        (xy 184.82434 136.960289) (xy 184.709431 137.237022) (xy 184.70917 137.536663) (xy 184.823596 137.813596) (xy 185.035289 138.02566)
+        (xy 185.312022 138.140569) (xy 185.611663 138.14083) (xy 185.888596 138.026404) (xy 186.10066 137.814711) (xy 186.215569 137.537978)
+        (xy 186.21583 137.238337) (xy 186.21583 139.238337) (xy 186.101404 138.961404) (xy 185.889711 138.74934) (xy 185.612978 138.634431)
+        (xy 185.313337 138.63417) (xy 185.036404 138.748596) (xy 184.82434 138.960289) (xy 184.709431 139.237022) (xy 184.70917 139.536663)
+        (xy 184.823596 139.813596) (xy 185.035289 140.02566) (xy 185.312022 140.140569) (xy 185.611663 140.14083) (xy 185.888596 140.026404)
+        (xy 186.10066 139.814711) (xy 186.215569 139.537978) (xy 186.21583 139.238337) (xy 186.21583 158.074609) (xy 184.21583 158.074029)
+        (xy 184.21583 139.238337) (xy 184.21583 137.238337) (xy 184.169383 137.125926) (xy 184.169383 126.817085) (xy 184.096188 126.639939)
+        (xy 183.960774 126.504288) (xy 183.783756 126.430784) (xy 183.669383 126.430684) (xy 183.669383 102.692085) (xy 183.596188 102.514939)
+        (xy 183.460774 102.379288) (xy 183.283756 102.305784) (xy 183.092085 102.305617) (xy 182.947875 102.365203) (xy 182.947875 79.15645)
+        (xy 182.793646 78.783187) (xy 182.508315 78.497358) (xy 182.135322 78.342478) (xy 181.73145 78.342125) (xy 181.358187 78.496354)
+        (xy 181.072358 78.781685) (xy 180.917478 79.154678) (xy 180.917125 79.55855) (xy 181.071354 79.931813) (xy 181.356685 80.217642)
+        (xy 181.729678 80.372522) (xy 182.13355 80.372875) (xy 182.506813 80.218646) (xy 182.792642 79.933315) (xy 182.947522 79.560322)
+        (xy 182.947875 79.15645) (xy 182.947875 102.365203) (xy 182.914939 102.378812) (xy 182.787505 102.506022) (xy 182.785774 102.504288)
+        (xy 182.608756 102.430784) (xy 182.519383 102.430706) (xy 182.519383 93.817085) (xy 182.446188 93.639939) (xy 182.310774 93.504288)
+        (xy 182.133756 93.430784) (xy 181.942085 93.430617) (xy 181.89431 93.450356) (xy 181.894383 93.367085) (xy 181.821188 93.189939)
+        (xy 181.685774 93.054288) (xy 181.508756 92.980784) (xy 181.317085 92.980617) (xy 181.139939 93.053812) (xy 181.004288 93.189226)
+        (xy 180.930784 93.366244) (xy 180.930617 93.557915) (xy 181.003812 93.735061) (xy 181.139226 93.870712) (xy 181.316244 93.944216)
+        (xy 181.507915 93.944383) (xy 181.555689 93.924643) (xy 181.555617 94.007915) (xy 181.628812 94.185061) (xy 181.764226 94.320712)
+        (xy 181.941244 94.394216) (xy 182.132915 94.394383) (xy 182.310061 94.321188) (xy 182.445712 94.185774) (xy 182.519216 94.008756)
+        (xy 182.519383 93.817085) (xy 182.519383 102.430706) (xy 182.417085 102.430617) (xy 182.239939 102.503812) (xy 182.104288 102.639226)
+        (xy 182.030784 102.816244) (xy 182.030617 103.007915) (xy 182.103812 103.185061) (xy 182.239226 103.320712) (xy 182.37007 103.375043)
+        (xy 182.239939 103.428812) (xy 182.104288 103.564226) (xy 182.030784 103.741244) (xy 182.030617 103.932915) (xy 182.103812 104.110061)
+        (xy 182.239226 104.245712) (xy 182.416244 104.319216) (xy 182.607915 104.319383) (xy 182.785061 104.246188) (xy 182.920712 104.110774)
+        (xy 182.994216 103.933756) (xy 182.994383 103.742085) (xy 182.921188 103.564939) (xy 182.785774 103.429288) (xy 182.654929 103.374956)
+        (xy 182.785061 103.321188) (xy 182.912494 103.193977) (xy 182.914226 103.195712) (xy 183.091244 103.269216) (xy 183.282915 103.269383)
+        (xy 183.460061 103.196188) (xy 183.595712 103.060774) (xy 183.669216 102.883756) (xy 183.669383 102.692085) (xy 183.669383 126.430684)
+        (xy 183.592085 126.430617) (xy 183.414939 126.503812) (xy 183.279288 126.639226) (xy 183.205784 126.816244) (xy 183.205617 127.007915)
+        (xy 183.278812 127.185061) (xy 183.321145 127.227468) (xy 183.264288 127.284226) (xy 183.190784 127.461244) (xy 183.190617 127.652915)
+        (xy 183.263812 127.830061) (xy 183.399226 127.965712) (xy 183.576244 128.039216) (xy 183.767915 128.039383) (xy 183.945061 127.966188)
+        (xy 184.080712 127.830774) (xy 184.154216 127.653756) (xy 184.154383 127.462085) (xy 184.081188 127.284939) (xy 184.038854 127.242531)
+        (xy 184.095712 127.185774) (xy 184.169216 127.008756) (xy 184.169383 126.817085) (xy 184.169383 137.125926) (xy 184.101404 136.961404)
+        (xy 184.094383 136.95437) (xy 184.094383 130.217085) (xy 184.021188 130.039939) (xy 183.885774 129.904288) (xy 183.708756 129.830784)
+        (xy 183.517085 129.830617) (xy 183.339939 129.903812) (xy 183.204288 130.039226) (xy 183.144383 130.183493) (xy 183.144383 113.067085)
+        (xy 183.071188 112.889939) (xy 182.935774 112.754288) (xy 182.876696 112.729756) (xy 182.895712 112.710774) (xy 182.969216 112.533756)
+        (xy 182.969383 112.342085) (xy 182.896188 112.164939) (xy 182.763306 112.031825) (xy 182.910061 111.971188) (xy 183.045712 111.835774)
+        (xy 183.119216 111.658756) (xy 183.119383 111.467085) (xy 183.046188 111.289939) (xy 182.910774 111.154288) (xy 182.733756 111.080784)
+        (xy 182.542085 111.080617) (xy 182.364939 111.153812) (xy 182.312505 111.206153) (xy 182.235774 111.129288) (xy 182.144383 111.091339)
+        (xy 182.144383 109.042085) (xy 182.071188 108.864939) (xy 181.935774 108.729288) (xy 181.758756 108.655784) (xy 181.567085 108.655617)
+        (xy 181.389939 108.728812) (xy 181.254288 108.864226) (xy 181.180784 109.041244) (xy 181.180617 109.232915) (xy 181.253812 109.410061)
+        (xy 181.389226 109.545712) (xy 181.566244 109.619216) (xy 181.757915 109.619383) (xy 181.935061 109.546188) (xy 182.070712 109.410774)
+        (xy 182.144216 109.233756) (xy 182.144383 109.042085) (xy 182.144383 111.091339) (xy 182.058756 111.055784) (xy 181.867085 111.055617)
+        (xy 181.689939 111.128812) (xy 181.554288 111.264226) (xy 181.480784 111.441244) (xy 181.480617 111.632915) (xy 181.553812 111.810061)
+        (xy 181.689226 111.945712) (xy 181.866244 112.019216) (xy 182.057915 112.019383) (xy 182.235061 111.946188) (xy 182.287494 111.893846)
+        (xy 182.361693 111.968174) (xy 182.214939 112.028812) (xy 182.079288 112.164226) (xy 182.029308 112.284591) (xy 182.021188 112.264939)
+        (xy 181.885774 112.129288) (xy 181.708756 112.055784) (xy 181.517085 112.055617) (xy 181.339939 112.128812) (xy 181.204288 112.264226)
+        (xy 181.130784 112.441244) (xy 181.130617 112.632915) (xy 181.203812 112.810061) (xy 181.339226 112.945712) (xy 181.516244 113.019216)
+        (xy 181.707915 113.019383) (xy 181.885061 112.946188) (xy 182.020712 112.810774) (xy 182.070691 112.690408) (xy 182.078812 112.710061)
+        (xy 182.214226 112.845712) (xy 182.273303 112.870243) (xy 182.254288 112.889226) (xy 182.180784 113.066244) (xy 182.180617 113.257915)
+        (xy 182.253812 113.435061) (xy 182.389226 113.570712) (xy 182.566244 113.644216) (xy 182.757915 113.644383) (xy 182.935061 113.571188)
+        (xy 183.070712 113.435774) (xy 183.144216 113.258756) (xy 183.144383 113.067085) (xy 183.144383 130.183493) (xy 183.130784 130.216244)
+        (xy 183.130617 130.407915) (xy 183.203812 130.585061) (xy 183.339226 130.720712) (xy 183.516244 130.794216) (xy 183.707915 130.794383)
+        (xy 183.885061 130.721188) (xy 184.020712 130.585774) (xy 184.094216 130.408756) (xy 184.094383 130.217085) (xy 184.094383 136.95437)
+        (xy 183.889711 136.74934) (xy 183.612978 136.634431) (xy 183.313337 136.63417) (xy 183.036404 136.748596) (xy 182.82434 136.960289)
+        (xy 182.709431 137.237022) (xy 182.70917 137.536663) (xy 182.823596 137.813596) (xy 183.035289 138.02566) (xy 183.312022 138.140569)
+        (xy 183.611663 138.14083) (xy 183.888596 138.026404) (xy 184.10066 137.814711) (xy 184.215569 137.537978) (xy 184.21583 137.238337)
+        (xy 184.21583 139.238337) (xy 184.101404 138.961404) (xy 183.889711 138.74934) (xy 183.612978 138.634431) (xy 183.313337 138.63417)
+        (xy 183.036404 138.748596) (xy 182.82434 138.960289) (xy 182.709431 139.237022) (xy 182.70917 139.536663) (xy 182.823596 139.813596)
+        (xy 183.035289 140.02566) (xy 183.312022 140.140569) (xy 183.611663 140.14083) (xy 183.888596 140.026404) (xy 184.10066 139.814711)
+        (xy 184.215569 139.537978) (xy 184.21583 139.238337) (xy 184.21583 158.074029) (xy 182.836066 158.073629) (xy 182.836066 150.670713)
+        (xy 182.417704 149.658199) (xy 182.21583 149.455972) (xy 182.21583 139.238337) (xy 182.21583 137.238337) (xy 182.101404 136.961404)
+        (xy 181.969383 136.829151) (xy 181.969383 127.167085) (xy 181.896188 126.989939) (xy 181.760774 126.854288) (xy 181.583756 126.780784)
+        (xy 181.392085 126.780617) (xy 181.214939 126.853812) (xy 181.079288 126.989226) (xy 181.005784 127.166244) (xy 181.005617 127.357915)
+        (xy 181.078812 127.535061) (xy 181.214226 127.670712) (xy 181.391244 127.744216) (xy 181.582915 127.744383) (xy 181.760061 127.671188)
+        (xy 181.895712 127.535774) (xy 181.969216 127.358756) (xy 181.969383 127.167085) (xy 181.969383 136.829151) (xy 181.889711 136.74934)
+        (xy 181.612978 136.634431) (xy 181.313337 136.63417) (xy 181.036404 136.748596) (xy 180.894383 136.890368) (xy 180.894383 90.242085)
+        (xy 180.821188 90.064939) (xy 180.685774 89.929288) (xy 180.508756 89.855784) (xy 180.407875 89.855696) (xy 180.407875 79.15645)
+        (xy 180.253646 78.783187) (xy 179.968315 78.497358) (xy 179.595322 78.342478) (xy 179.19145 78.342125) (xy 178.818187 78.496354)
+        (xy 178.532358 78.781685) (xy 178.377478 79.154678) (xy 178.377125 79.55855) (xy 178.531354 79.931813) (xy 178.816685 80.217642)
+        (xy 179.189678 80.372522) (xy 179.59355 80.372875) (xy 179.966813 80.218646) (xy 180.252642 79.933315) (xy 180.407522 79.560322)
+        (xy 180.407875 79.15645) (xy 180.407875 89.855696) (xy 180.317085 89.855617) (xy 180.139939 89.928812) (xy 180.004288 90.064226)
+        (xy 179.930784 90.241244) (xy 179.930617 90.432915) (xy 180.003812 90.610061) (xy 180.139226 90.745712) (xy 180.316244 90.819216)
+        (xy 180.507915 90.819383) (xy 180.685061 90.746188) (xy 180.820712 90.610774) (xy 180.894216 90.433756) (xy 180.894383 90.242085)
+        (xy 180.894383 136.890368) (xy 180.82434 136.960289) (xy 180.709431 137.237022) (xy 180.70917 137.536663) (xy 180.823596 137.813596)
+        (xy 181.035289 138.02566) (xy 181.312022 138.140569) (xy 181.611663 138.14083) (xy 181.888596 138.026404) (xy 182.10066 137.814711)
+        (xy 182.215569 137.537978) (xy 182.21583 137.238337) (xy 182.21583 139.238337) (xy 182.101404 138.961404) (xy 181.889711 138.74934)
+        (xy 181.612978 138.634431) (xy 181.313337 138.63417) (xy 181.036404 138.748596) (xy 180.82434 138.960289) (xy 180.709431 139.237022)
+        (xy 180.70917 139.536663) (xy 180.823596 139.813596) (xy 181.035289 140.02566) (xy 181.312022 140.140569) (xy 181.611663 140.14083)
+        (xy 181.888596 140.026404) (xy 182.10066 139.814711) (xy 182.215569 139.537978) (xy 182.21583 139.238337) (xy 182.21583 149.455972)
+        (xy 181.643716 148.882858) (xy 180.631934 148.46273) (xy 180.369383 148.4625) (xy 180.369383 93.342085) (xy 180.296188 93.164939)
+        (xy 180.160774 93.029288) (xy 179.983756 92.955784) (xy 179.792085 92.955617) (xy 179.614939 93.028812) (xy 179.479288 93.164226)
+        (xy 179.405784 93.341244) (xy 179.405617 93.532915) (xy 179.478812 93.710061) (xy 179.614226 93.845712) (xy 179.791244 93.919216)
+        (xy 179.982915 93.919383) (xy 180.160061 93.846188) (xy 180.295712 93.710774) (xy 180.369216 93.533756) (xy 180.369383 93.342085)
+        (xy 180.369383 148.4625) (xy 180.334383 148.46247) (xy 180.334383 110.342085) (xy 180.261188 110.164939) (xy 180.125774 110.029288)
+        (xy 179.948756 109.955784) (xy 179.757085 109.955617) (xy 179.579939 110.028812) (xy 179.444288 110.164226) (xy 179.370784 110.341244)
+        (xy 179.370617 110.532915) (xy 179.395613 110.593412) (xy 179.309939 110.628812) (xy 179.294383 110.64434) (xy 179.294383 109.717085)
+        (xy 179.221188 109.539939) (xy 179.085774 109.404288) (xy 178.908756 109.330784) (xy 178.717085 109.330617) (xy 178.539939 109.403812)
+        (xy 178.419383 109.524157) (xy 178.419383 92.392085) (xy 178.346188 92.214939) (xy 178.210774 92.079288) (xy 178.193675 92.072187)
+        (xy 178.193675 79.469691) (xy 178.134518 78.947905) (xy 178.048651 78.740604) (xy 177.83749 78.66101) (xy 177.54899 78.94951)
+        (xy 177.54899 78.37251) (xy 177.469396 78.161349) (xy 176.964691 78.016325) (xy 176.442905 78.075482) (xy 176.235604 78.161349)
+        (xy 176.15601 78.37251) (xy 176.8525 79.069) (xy 177.54899 78.37251) (xy 177.54899 78.94951) (xy 177.141 79.3575)
+        (xy 177.83749 80.05399) (xy 178.048651 79.974396) (xy 178.193675 79.469691) (xy 178.193675 92.072187) (xy 178.144383 92.05172)
+        (xy 178.144383 89.817085) (xy 178.071188 89.639939) (xy 177.935774 89.504288) (xy 177.758756 89.430784) (xy 177.567085 89.430617)
+        (xy 177.54899 89.438093) (xy 177.54899 80.34249) (xy 176.8525 79.646) (xy 176.564 79.9345) (xy 176.564 79.3575)
+        (xy 175.86751 78.66101) (xy 175.656349 78.740604) (xy 175.511325 79.245309) (xy 175.570482 79.767095) (xy 175.656349 79.974396)
+        (xy 175.86751 80.05399) (xy 176.564 79.3575) (xy 176.564 79.9345) (xy 176.15601 80.34249) (xy 176.235604 80.553651)
+        (xy 176.740309 80.698675) (xy 177.262095 80.639518) (xy 177.469396 80.553651) (xy 177.54899 80.34249) (xy 177.54899 89.438093)
+        (xy 177.389939 89.503812) (xy 177.254288 89.639226) (xy 177.180784 89.816244) (xy 177.180617 90.007915) (xy 177.253812 90.185061)
+        (xy 177.355588 90.287015) (xy 177.314939 90.303812) (xy 177.179288 90.439226) (xy 177.105784 90.616244) (xy 177.105617 90.807915)
+        (xy 177.178812 90.985061) (xy 177.314226 91.120712) (xy 177.365015 91.141801) (xy 177.214939 91.203812) (xy 177.079288 91.339226)
+        (xy 177.005784 91.516244) (xy 177.005617 91.707915) (xy 177.078812 91.885061) (xy 177.214226 92.020712) (xy 177.391244 92.094216)
+        (xy 177.582915 92.094383) (xy 177.760061 92.021188) (xy 177.895712 91.885774) (xy 177.969216 91.708756) (xy 177.969383 91.517085)
+        (xy 177.896188 91.339939) (xy 177.760774 91.204288) (xy 177.709984 91.183198) (xy 177.860061 91.121188) (xy 177.995712 90.985774)
+        (xy 178.069216 90.808756) (xy 178.069383 90.617085) (xy 177.996188 90.439939) (xy 177.894411 90.337984) (xy 177.935061 90.321188)
+        (xy 178.070712 90.185774) (xy 178.144216 90.008756) (xy 178.144383 89.817085) (xy 178.144383 92.05172) (xy 178.033756 92.005784)
+        (xy 177.842085 92.005617) (xy 177.664939 92.078812) (xy 177.529288 92.214226) (xy 177.455784 92.391244) (xy 177.455617 92.582915)
+        (xy 177.528812 92.760061) (xy 177.664226 92.895712) (xy 177.841244 92.969216) (xy 178.032915 92.969383) (xy 178.210061 92.896188)
+        (xy 178.345712 92.760774) (xy 178.419216 92.583756) (xy 178.419383 92.392085) (xy 178.419383 109.524157) (xy 178.404288 109.539226)
+        (xy 178.330784 109.716244) (xy 178.330617 109.907915) (xy 178.403812 110.085061) (xy 178.539226 110.220712) (xy 178.716244 110.294216)
+        (xy 178.907915 110.294383) (xy 179.085061 110.221188) (xy 179.220712 110.085774) (xy 179.294216 109.908756) (xy 179.294383 109.717085)
+        (xy 179.294383 110.64434) (xy 179.174288 110.764226) (xy 179.100784 110.941244) (xy 179.100617 111.132915) (xy 179.151337 111.255668)
+        (xy 179.092085 111.255617) (xy 178.914939 111.328812) (xy 178.779288 111.464226) (xy 178.705784 111.641244) (xy 178.705617 111.832915)
+        (xy 178.778812 112.010061) (xy 178.914226 112.145712) (xy 179.091244 112.219216) (xy 179.282915 112.219383) (xy 179.460061 112.146188)
+        (xy 179.595712 112.010774) (xy 179.669216 111.833756) (xy 179.669383 111.642085) (xy 179.618662 111.519331) (xy 179.677915 111.519383)
+        (xy 179.855061 111.446188) (xy 179.990712 111.310774) (xy 180.064216 111.133756) (xy 180.064383 110.942085) (xy 180.039386 110.881587)
+        (xy 180.125061 110.846188) (xy 180.260712 110.710774) (xy 180.334216 110.533756) (xy 180.334383 110.342085) (xy 180.334383 148.46247)
+        (xy 180.244383 148.462391) (xy 180.244383 124.292085) (xy 180.171188 124.114939) (xy 180.035774 123.979288) (xy 179.858756 123.905784)
+        (xy 179.667085 123.905617) (xy 179.489939 123.978812) (xy 179.354288 124.114226) (xy 179.280784 124.291244) (xy 179.280617 124.482915)
+        (xy 179.353812 124.660061) (xy 179.489226 124.795712) (xy 179.666244 124.869216) (xy 179.857915 124.869383) (xy 180.035061 124.796188)
+        (xy 180.170712 124.660774) (xy 180.244216 124.483756) (xy 180.244383 124.292085) (xy 180.244383 148.462391) (xy 180.21583 148.462366)
+        (xy 180.21583 139.238337) (xy 180.21583 137.238337) (xy 180.101404 136.961404) (xy 179.944383 136.804107) (xy 179.944383 125.842085)
+        (xy 179.871188 125.664939) (xy 179.735774 125.529288) (xy 179.558756 125.455784) (xy 179.367085 125.455617) (xy 179.189939 125.528812)
+        (xy 179.054288 125.664226) (xy 178.980784 125.841244) (xy 178.980617 126.032915) (xy 179.053812 126.210061) (xy 179.189226 126.345712)
+        (xy 179.366244 126.419216) (xy 179.557915 126.419383) (xy 179.735061 126.346188) (xy 179.870712 126.210774) (xy 179.944216 126.033756)
+        (xy 179.944383 125.842085) (xy 179.944383 136.804107) (xy 179.889711 136.74934) (xy 179.612978 136.634431) (xy 179.313337 136.63417)
+        (xy 179.036404 136.748596) (xy 178.82434 136.960289) (xy 178.819383 136.972226) (xy 178.819383 123.392085) (xy 178.746188 123.214939)
+        (xy 178.610774 123.079288) (xy 178.433756 123.005784) (xy 178.344383 123.005706) (xy 178.344383 110.717085) (xy 178.271188 110.539939)
+        (xy 178.135774 110.404288) (xy 177.958756 110.330784) (xy 177.767085 110.330617) (xy 177.589939 110.403812) (xy 177.454383 110.539131)
+        (xy 177.454383 106.872085) (xy 177.381188 106.694939) (xy 177.245774 106.559288) (xy 177.068756 106.485784) (xy 176.877085 106.485617)
+        (xy 176.699939 106.558812) (xy 176.592448 106.666114) (xy 176.505774 106.579288) (xy 176.344383 106.512272) (xy 176.344383 105.242085)
+        (xy 176.294383 105.121075) (xy 176.294383 93.817085) (xy 176.221188 93.639939) (xy 176.085774 93.504288) (xy 175.908756 93.430784)
+        (xy 175.717085 93.430617) (xy 175.539939 93.503812) (xy 175.404288 93.639226) (xy 175.330784 93.816244) (xy 175.330617 94.007915)
+        (xy 175.403812 94.185061) (xy 175.539226 94.320712) (xy 175.716244 94.394216) (xy 175.907915 94.394383) (xy 176.085061 94.321188)
+        (xy 176.220712 94.185774) (xy 176.294216 94.008756) (xy 176.294383 93.817085) (xy 176.294383 105.121075) (xy 176.271188 105.064939)
+        (xy 176.135774 104.929288) (xy 175.958756 104.855784) (xy 175.767085 104.855617) (xy 175.589939 104.928812) (xy 175.454288 105.064226)
+        (xy 175.380784 105.241244) (xy 175.380617 105.432915) (xy 175.453812 105.610061) (xy 175.589226 105.745712) (xy 175.766244 105.819216)
+        (xy 175.957915 105.819383) (xy 176.135061 105.746188) (xy 176.270712 105.610774) (xy 176.344216 105.433756) (xy 176.344383 105.242085)
+        (xy 176.344383 106.512272) (xy 176.328756 106.505784) (xy 176.137996 106.505617) (xy 176.121188 106.464939) (xy 175.985774 106.329288)
+        (xy 175.808756 106.255784) (xy 175.617085 106.255617) (xy 175.439939 106.328812) (xy 175.304288 106.464226) (xy 175.230784 106.641244)
+        (xy 175.230617 106.832915) (xy 175.303812 107.010061) (xy 175.439226 107.145712) (xy 175.616244 107.219216) (xy 175.807003 107.219382)
+        (xy 175.823812 107.260061) (xy 175.959226 107.395712) (xy 176.136244 107.469216) (xy 176.327915 107.469383) (xy 176.505061 107.396188)
+        (xy 176.612551 107.288885) (xy 176.699226 107.375712) (xy 176.876244 107.449216) (xy 177.067915 107.449383) (xy 177.245061 107.376188)
+        (xy 177.380712 107.240774) (xy 177.454216 107.063756) (xy 177.454383 106.872085) (xy 177.454383 110.539131) (xy 177.454288 110.539226)
+        (xy 177.380784 110.716244) (xy 177.380727 110.780716) (xy 177.267085 110.780617) (xy 177.089939 110.853812) (xy 176.954288 110.989226)
+        (xy 176.880784 111.166244) (xy 176.880617 111.357915) (xy 176.953812 111.535061) (xy 177.089226 111.670712) (xy 177.266244 111.744216)
+        (xy 177.457915 111.744383) (xy 177.635061 111.671188) (xy 177.770712 111.535774) (xy 177.844216 111.358756) (xy 177.844272 111.294283)
+        (xy 177.957915 111.294383) (xy 178.135061 111.221188) (xy 178.270712 111.085774) (xy 178.344216 110.908756) (xy 178.344383 110.717085)
+        (xy 178.344383 123.005706) (xy 178.242085 123.005617) (xy 178.064939 123.078812) (xy 177.929288 123.214226) (xy 177.855784 123.391244)
+        (xy 177.855617 123.582915) (xy 177.928812 123.760061) (xy 178.064226 123.895712) (xy 178.241244 123.969216) (xy 178.432915 123.969383)
+        (xy 178.610061 123.896188) (xy 178.745712 123.760774) (xy 178.819216 123.583756) (xy 178.819383 123.392085) (xy 178.819383 136.972226)
+        (xy 178.709431 137.237022) (xy 178.70917 137.536663) (xy 178.823596 137.813596) (xy 179.035289 138.02566) (xy 179.312022 138.140569)
+        (xy 179.611663 138.14083) (xy 179.888596 138.026404) (xy 180.10066 137.814711) (xy 180.215569 137.537978) (xy 180.21583 137.238337)
+        (xy 180.21583 139.238337) (xy 180.101404 138.961404) (xy 179.889711 138.74934) (xy 179.612978 138.634431) (xy 179.313337 138.63417)
+        (xy 179.036404 138.748596) (xy 178.82434 138.960289) (xy 178.709431 139.237022) (xy 178.70917 139.536663) (xy 178.823596 139.813596)
+        (xy 179.035289 140.02566) (xy 179.312022 140.140569) (xy 179.611663 140.14083) (xy 179.888596 140.026404) (xy 180.10066 139.814711)
+        (xy 180.215569 139.537978) (xy 180.21583 139.238337) (xy 180.21583 148.462366) (xy 179.536393 148.461774) (xy 178.523879 148.880136)
+        (xy 178.21583 149.187647) (xy 178.21583 139.238337) (xy 178.21583 137.238337) (xy 178.101404 136.961404) (xy 177.889711 136.74934)
+        (xy 177.612978 136.634431) (xy 177.369383 136.634218) (xy 177.369383 130.392085) (xy 177.296188 130.214939) (xy 177.219383 130.137999)
+        (xy 177.219383 123.592085) (xy 177.146188 123.414939) (xy 177.010774 123.279288) (xy 176.833756 123.205784) (xy 176.642085 123.205617)
+        (xy 176.569383 123.235656) (xy 176.569383 111.817085) (xy 176.496188 111.639939) (xy 176.360774 111.504288) (xy 176.183756 111.430784)
+        (xy 175.992085 111.430617) (xy 175.814939 111.503812) (xy 175.679288 111.639226) (xy 175.678418 111.641319) (xy 175.568756 111.595784)
+        (xy 175.377085 111.595617) (xy 175.269383 111.640118) (xy 175.269383 108.867085) (xy 175.196188 108.689939) (xy 175.060774 108.554288)
+        (xy 174.883756 108.480784) (xy 174.794383 108.480706) (xy 174.794383 105.217085) (xy 174.769383 105.15658) (xy 174.769383 102.342085)
+        (xy 174.696188 102.164939) (xy 174.560774 102.029288) (xy 174.383756 101.955784) (xy 174.192085 101.955617) (xy 174.014939 102.028812)
+        (xy 173.919383 102.124201) (xy 173.919383 101.317085) (xy 173.846188 101.139939) (xy 173.710774 101.004288) (xy 173.533756 100.930784)
+        (xy 173.342085 100.930617) (xy 173.164939 101.003812) (xy 173.131883 101.03681) (xy 173.131883 88.029585) (xy 173.058688 87.852439)
+        (xy 172.923274 87.716788) (xy 172.746256 87.643284) (xy 172.554585 87.643117) (xy 172.469383 87.678321) (xy 172.469383 81.717085)
+        (xy 172.396188 81.539939) (xy 172.260774 81.404288) (xy 172.083756 81.330784) (xy 171.892085 81.330617) (xy 171.714939 81.403812)
+        (xy 171.579288 81.539226) (xy 171.505784 81.716244) (xy 171.505617 81.907915) (xy 171.578812 82.085061) (xy 171.714226 82.220712)
+        (xy 171.891244 82.294216) (xy 172.082915 82.294383) (xy 172.260061 82.221188) (xy 172.395712 82.085774) (xy 172.469216 81.908756)
+        (xy 172.469383 81.717085) (xy 172.469383 87.678321) (xy 172.377439 87.716312) (xy 172.241788 87.851726) (xy 172.168284 88.028744)
+        (xy 172.168117 88.220415) (xy 172.241312 88.397561) (xy 172.376726 88.533212) (xy 172.553744 88.606716) (xy 172.745415 88.606883)
+        (xy 172.922561 88.533688) (xy 173.058212 88.398274) (xy 173.131716 88.221256) (xy 173.131883 88.029585) (xy 173.131883 101.03681)
+        (xy 173.029288 101.139226) (xy 172.955784 101.316244) (xy 172.955617 101.507915) (xy 173.028812 101.685061) (xy 173.164226 101.820712)
+        (xy 173.341244 101.894216) (xy 173.532915 101.894383) (xy 173.710061 101.821188) (xy 173.845712 101.685774) (xy 173.919216 101.508756)
+        (xy 173.919383 101.317085) (xy 173.919383 102.124201) (xy 173.879288 102.164226) (xy 173.805784 102.341244) (xy 173.805617 102.532915)
+        (xy 173.878812 102.710061) (xy 174.014226 102.845712) (xy 174.191244 102.919216) (xy 174.382915 102.919383) (xy 174.560061 102.846188)
+        (xy 174.695712 102.710774) (xy 174.769216 102.533756) (xy 174.769383 102.342085) (xy 174.769383 105.15658) (xy 174.721188 105.039939)
+        (xy 174.585774 104.904288) (xy 174.408756 104.830784) (xy 174.217085 104.830617) (xy 174.039939 104.903812) (xy 173.904288 105.039226)
+        (xy 173.830784 105.216244) (xy 173.830617 105.407915) (xy 173.903812 105.585061) (xy 174.039226 105.720712) (xy 174.216244 105.794216)
+        (xy 174.407915 105.794383) (xy 174.585061 105.721188) (xy 174.720712 105.585774) (xy 174.794216 105.408756) (xy 174.794383 105.217085)
+        (xy 174.794383 108.480706) (xy 174.692085 108.480617) (xy 174.514939 108.553812) (xy 174.379288 108.689226) (xy 174.305784 108.866244)
+        (xy 174.305617 109.057915) (xy 174.378812 109.235061) (xy 174.514226 109.370712) (xy 174.691244 109.444216) (xy 174.882915 109.444383)
+        (xy 175.060061 109.371188) (xy 175.195712 109.235774) (xy 175.269216 109.058756) (xy 175.269383 108.867085) (xy 175.269383 111.640118)
+        (xy 175.199939 111.668812) (xy 175.064288 111.804226) (xy 174.990784 111.981244) (xy 174.990617 112.172915) (xy 175.063812 112.350061)
+        (xy 175.199226 112.485712) (xy 175.376244 112.559216) (xy 175.567915 112.559383) (xy 175.745061 112.486188) (xy 175.880712 112.350774)
+        (xy 175.881581 112.34868) (xy 175.991244 112.394216) (xy 176.182915 112.394383) (xy 176.360061 112.321188) (xy 176.495712 112.185774)
+        (xy 176.569216 112.008756) (xy 176.569383 111.817085) (xy 176.569383 123.235656) (xy 176.464939 123.278812) (xy 176.329288 123.414226)
+        (xy 176.280899 123.530759) (xy 176.210104 123.530698) (xy 176.219216 123.508756) (xy 176.219383 123.317085) (xy 176.146188 123.139939)
+        (xy 176.010774 123.004288) (xy 175.833756 122.930784) (xy 175.642085 122.930617) (xy 175.464939 123.003812) (xy 175.329288 123.139226)
+        (xy 175.255784 123.316244) (xy 175.255617 123.507915) (xy 175.328812 123.685061) (xy 175.464226 123.820712) (xy 175.641244 123.894216)
+        (xy 175.739895 123.894301) (xy 175.730784 123.916244) (xy 175.730617 124.107915) (xy 175.803812 124.285061) (xy 175.939226 124.420712)
+        (xy 176.116244 124.494216) (xy 176.307915 124.494383) (xy 176.485061 124.421188) (xy 176.620712 124.285774) (xy 176.6691 124.16924)
+        (xy 176.832915 124.169383) (xy 177.010061 124.096188) (xy 177.145712 123.960774) (xy 177.219216 123.783756) (xy 177.219383 123.592085)
+        (xy 177.219383 130.137999) (xy 177.160774 130.079288) (xy 176.983756 130.005784) (xy 176.792085 130.005617) (xy 176.614939 130.078812)
+        (xy 176.479288 130.214226) (xy 176.405784 130.391244) (xy 176.405617 130.582915) (xy 176.478812 130.760061) (xy 176.614226 130.895712)
+        (xy 176.791244 130.969216) (xy 176.982915 130.969383) (xy 177.160061 130.896188) (xy 177.295712 130.760774) (xy 177.369216 130.583756)
+        (xy 177.369383 130.392085) (xy 177.369383 136.634218) (xy 177.313337 136.63417) (xy 177.036404 136.748596) (xy 176.82434 136.960289)
+        (xy 176.709431 137.237022) (xy 176.70917 137.536663) (xy 176.823596 137.813596) (xy 177.035289 138.02566) (xy 177.312022 138.140569)
+        (xy 177.611663 138.14083) (xy 177.888596 138.026404) (xy 178.10066 137.814711) (xy 178.215569 137.537978) (xy 178.21583 137.238337)
+        (xy 178.21583 139.238337) (xy 178.101404 138.961404) (xy 177.889711 138.74934) (xy 177.612978 138.634431) (xy 177.313337 138.63417)
+        (xy 177.036404 138.748596) (xy 176.82434 138.960289) (xy 176.709431 139.237022) (xy 176.70917 139.536663) (xy 176.823596 139.813596)
+        (xy 177.035289 140.02566) (xy 177.312022 140.140569) (xy 177.611663 140.14083) (xy 177.888596 140.026404) (xy 178.10066 139.814711)
+        (xy 178.215569 139.537978) (xy 178.21583 139.238337) (xy 178.21583 149.187647) (xy 177.748538 149.654124) (xy 177.32841 150.665906)
+        (xy 177.327454 151.761447) (xy 177.745816 152.773961) (xy 178.519804 153.549302) (xy 179.531586 153.96943) (xy 180.627127 153.970386)
+        (xy 181.639641 153.552024) (xy 182.414982 152.778036) (xy 182.83511 151.766254) (xy 182.836066 150.670713) (xy 182.836066 158.073629)
+        (xy 176.264383 158.071724) (xy 176.264383 131.002085) (xy 176.191188 130.824939) (xy 176.055774 130.689288) (xy 175.878756 130.615784)
+        (xy 175.687085 130.615617) (xy 175.509939 130.688812) (xy 175.374288 130.824226) (xy 175.300784 131.001244) (xy 175.300617 131.192915)
+        (xy 175.373812 131.370061) (xy 175.509226 131.505712) (xy 175.686244 131.579216) (xy 175.877915 131.579383) (xy 176.055061 131.506188)
+        (xy 176.190712 131.370774) (xy 176.264216 131.193756) (xy 176.264383 131.002085) (xy 176.264383 158.071724) (xy 176.21583 158.07171)
+        (xy 176.21583 139.238337) (xy 176.21583 137.238337) (xy 176.101404 136.961404) (xy 175.889711 136.74934) (xy 175.844383 136.730518)
+        (xy 175.844383 133.417085) (xy 175.771188 133.239939) (xy 175.635774 133.104288) (xy 175.458756 133.030784) (xy 175.267085 133.030617)
+        (xy 175.089939 133.103812) (xy 174.954288 133.239226) (xy 174.880784 133.416244) (xy 174.880617 133.607915) (xy 174.953812 133.785061)
+        (xy 175.089226 133.920712) (xy 175.266244 133.994216) (xy 175.457915 133.994383) (xy 175.635061 133.921188) (xy 175.770712 133.785774)
+        (xy 175.844216 133.608756) (xy 175.844383 133.417085) (xy 175.844383 136.730518) (xy 175.612978 136.634431) (xy 175.313337 136.63417)
+        (xy 175.036404 136.748596) (xy 174.82434 136.960289) (xy 174.709431 137.237022) (xy 174.70917 137.536663) (xy 174.823596 137.813596)
+        (xy 175.035289 138.02566) (xy 175.312022 138.140569) (xy 175.611663 138.14083) (xy 175.888596 138.026404) (xy 176.10066 137.814711)
+        (xy 176.215569 137.537978) (xy 176.21583 137.238337) (xy 176.21583 139.238337) (xy 176.101404 138.961404) (xy 175.889711 138.74934)
+        (xy 175.612978 138.634431) (xy 175.313337 138.63417) (xy 175.036404 138.748596) (xy 174.82434 138.960289) (xy 174.709431 139.237022)
+        (xy 174.70917 139.536663) (xy 174.823596 139.813596) (xy 175.035289 140.02566) (xy 175.312022 140.140569) (xy 175.611663 140.14083)
+        (xy 175.888596 140.026404) (xy 176.10066 139.814711) (xy 176.215569 139.537978) (xy 176.21583 139.238337) (xy 176.21583 158.07171)
+        (xy 174.444383 158.071197) (xy 174.444383 131.092085) (xy 174.371188 130.914939) (xy 174.235774 130.779288) (xy 174.058756 130.705784)
+        (xy 173.994383 130.705727) (xy 173.994383 123.292085) (xy 173.921188 123.114939) (xy 173.785774 122.979288) (xy 173.769383 122.972481)
+        (xy 173.769383 108.317085) (xy 173.696188 108.139939) (xy 173.560774 108.004288) (xy 173.383756 107.930784) (xy 173.192085 107.930617)
+        (xy 173.014939 108.003812) (xy 172.975071 108.04361) (xy 172.960774 108.029288) (xy 172.783756 107.955784) (xy 172.592085 107.955617)
+        (xy 172.444383 108.016646) (xy 172.444383 106.617085) (xy 172.371188 106.439939) (xy 172.319383 106.388043) (xy 172.319383 102.117085)
+        (xy 172.246188 101.939939) (xy 172.110774 101.804288) (xy 171.933756 101.730784) (xy 171.825673 101.730689) (xy 171.895712 101.660774)
+        (xy 171.969216 101.483756) (xy 171.969383 101.292085) (xy 171.896188 101.114939) (xy 171.760774 100.979288) (xy 171.583756 100.905784)
+        (xy 171.392085 100.905617) (xy 171.256883 100.961481) (xy 171.256883 81.779585) (xy 171.183688 81.602439) (xy 171.048274 81.466788)
+        (xy 170.871256 81.393284) (xy 170.679585 81.393117) (xy 170.502439 81.466312) (xy 170.366788 81.601726) (xy 170.293284 81.778744)
+        (xy 170.293117 81.970415) (xy 170.366312 82.147561) (xy 170.501726 82.283212) (xy 170.678744 82.356716) (xy 170.870415 82.356883)
+        (xy 171.047561 82.283688) (xy 171.183212 82.148274) (xy 171.256716 81.971256) (xy 171.256883 81.779585) (xy 171.256883 100.961481)
+        (xy 171.222214 100.975806) (xy 171.222214 88.156873) (xy 171.122007 87.914355) (xy 170.936621 87.728645) (xy 170.894383 87.711106)
+        (xy 170.894383 84.217085) (xy 170.821188 84.039939) (xy 170.685774 83.904288) (xy 170.508756 83.830784) (xy 170.47552 83.830755)
+        (xy 170.595712 83.710774) (xy 170.669216 83.533756) (xy 170.669383 83.342085) (xy 170.596188 83.164939) (xy 170.460774 83.029288)
+        (xy 170.283756 82.955784) (xy 170.092085 82.955617) (xy 169.919383 83.026975) (xy 169.919383 80.242085) (xy 169.846188 80.064939)
+        (xy 169.710774 79.929288) (xy 169.533756 79.855784) (xy 169.342085 79.855617) (xy 169.164939 79.928812) (xy 169.029288 80.064226)
+        (xy 168.955784 80.241244) (xy 168.955617 80.432915) (xy 169.028812 80.610061) (xy 169.164226 80.745712) (xy 169.341244 80.819216)
+        (xy 169.532915 80.819383) (xy 169.710061 80.746188) (xy 169.845712 80.610774) (xy 169.919216 80.433756) (xy 169.919383 80.242085)
+        (xy 169.919383 83.026975) (xy 169.914939 83.028812) (xy 169.779288 83.164226) (xy 169.705784 83.341244) (xy 169.705617 83.532915)
+        (xy 169.778812 83.710061) (xy 169.914226 83.845712) (xy 170.091244 83.919216) (xy 170.124479 83.919244) (xy 170.004288 84.039226)
+        (xy 169.930784 84.216244) (xy 169.930617 84.407915) (xy 170.003812 84.585061) (xy 170.139226 84.720712) (xy 170.316244 84.794216)
+        (xy 170.507915 84.794383) (xy 170.685061 84.721188) (xy 170.820712 84.585774) (xy 170.894216 84.408756) (xy 170.894383 84.217085)
+        (xy 170.894383 87.711106) (xy 170.694278 87.628015) (xy 170.431873 87.627786) (xy 170.189355 87.727993) (xy 170.003645 87.913379)
+        (xy 169.903015 88.155722) (xy 169.902786 88.418127) (xy 170.002993 88.660645) (xy 170.188379 88.846355) (xy 170.430722 88.946985)
+        (xy 170.693127 88.947214) (xy 170.935645 88.847007) (xy 171.121355 88.661621) (xy 171.221985 88.419278) (xy 171.222214 88.156873)
+        (xy 171.222214 100.975806) (xy 171.214939 100.978812) (xy 171.079288 101.114226) (xy 171.005784 101.291244) (xy 171.005617 101.482915)
+        (xy 171.078812 101.660061) (xy 171.214226 101.795712) (xy 171.391244 101.869216) (xy 171.499326 101.86931) (xy 171.429288 101.939226)
+        (xy 171.355784 102.116244) (xy 171.355617 102.307915) (xy 171.428812 102.485061) (xy 171.564226 102.620712) (xy 171.741244 102.694216)
+        (xy 171.932915 102.694383) (xy 172.110061 102.621188) (xy 172.245712 102.485774) (xy 172.319216 102.308756) (xy 172.319383 102.117085)
+        (xy 172.319383 106.388043) (xy 172.235774 106.304288) (xy 172.119262 106.255908) (xy 172.119383 106.117085) (xy 172.046188 105.939939)
+        (xy 171.910774 105.804288) (xy 171.733756 105.730784) (xy 171.542085 105.730617) (xy 171.364939 105.803812) (xy 171.229288 105.939226)
+        (xy 171.155784 106.116244) (xy 171.155617 106.307915) (xy 171.228812 106.485061) (xy 171.364226 106.620712) (xy 171.480737 106.669091)
+        (xy 171.480617 106.807915) (xy 171.553812 106.985061) (xy 171.689226 107.120712) (xy 171.866244 107.194216) (xy 172.057915 107.194383)
+        (xy 172.235061 107.121188) (xy 172.370712 106.985774) (xy 172.444216 106.808756) (xy 172.444383 106.617085) (xy 172.444383 108.016646)
+        (xy 172.414939 108.028812) (xy 172.279288 108.164226) (xy 172.205784 108.341244) (xy 172.205617 108.532915) (xy 172.278812 108.710061)
+        (xy 172.414226 108.845712) (xy 172.591244 108.919216) (xy 172.782915 108.919383) (xy 172.960061 108.846188) (xy 172.999928 108.806389)
+        (xy 173.014226 108.820712) (xy 173.191244 108.894216) (xy 173.382915 108.894383) (xy 173.560061 108.821188) (xy 173.695712 108.685774)
+        (xy 173.769216 108.508756) (xy 173.769383 108.317085) (xy 173.769383 122.972481) (xy 173.608756 122.905784) (xy 173.417085 122.905617)
+        (xy 173.239939 122.978812) (xy 173.104288 123.114226) (xy 173.030784 123.291244) (xy 173.030617 123.482915) (xy 173.103812 123.660061)
+        (xy 173.239226 123.795712) (xy 173.416244 123.869216) (xy 173.607915 123.869383) (xy 173.785061 123.796188) (xy 173.920712 123.660774)
+        (xy 173.994216 123.483756) (xy 173.994383 123.292085) (xy 173.994383 130.705727) (xy 173.867085 130.705617) (xy 173.689939 130.778812)
+        (xy 173.554288 130.914226) (xy 173.480784 131.091244) (xy 173.480617 131.282915) (xy 173.553812 131.460061) (xy 173.689226 131.595712)
+        (xy 173.866244 131.669216) (xy 174.057915 131.669383) (xy 174.235061 131.596188) (xy 174.370712 131.460774) (xy 174.444216 131.283756)
+        (xy 174.444383 131.092085) (xy 174.444383 158.071197) (xy 174.221276 158.071132) (xy 174.221276 150.788682) (xy 174.21583 150.748425)
+        (xy 174.21583 139.238337) (xy 174.21583 137.238337) (xy 174.101404 136.961404) (xy 173.889711 136.74934) (xy 173.644383 136.647471)
+        (xy 173.644383 133.992085) (xy 173.571188 133.814939) (xy 173.435774 133.679288) (xy 173.258756 133.605784) (xy 173.067085 133.605617)
+        (xy 172.889939 133.678812) (xy 172.794383 133.774201) (xy 172.794383 109.242085) (xy 172.721188 109.064939) (xy 172.585774 108.929288)
+        (xy 172.408756 108.855784) (xy 172.217085 108.855617) (xy 172.039939 108.928812) (xy 171.904288 109.064226) (xy 171.830784 109.241244)
+        (xy 171.830617 109.432915) (xy 171.903812 109.610061) (xy 172.039226 109.745712) (xy 172.216244 109.819216) (xy 172.407915 109.819383)
+        (xy 172.585061 109.746188) (xy 172.720712 109.610774) (xy 172.794216 109.433756) (xy 172.794383 109.242085) (xy 172.794383 133.774201)
+        (xy 172.754288 133.814226) (xy 172.719383 133.898286) (xy 172.719383 132.292085) (xy 172.646188 132.114939) (xy 172.510774 131.979288)
+        (xy 172.333756 131.905784) (xy 172.142085 131.905617) (xy 171.964939 131.978812) (xy 171.869383 132.074201) (xy 171.869383 123.642085)
+        (xy 171.796188 123.464939) (xy 171.660774 123.329288) (xy 171.483756 123.255784) (xy 171.419383 123.255727) (xy 171.419383 109.292085)
+        (xy 171.346188 109.114939) (xy 171.210774 108.979288) (xy 171.033756 108.905784) (xy 171.019383 108.905771) (xy 171.019383 102.117085)
+        (xy 170.946188 101.939939) (xy 170.810774 101.804288) (xy 170.633756 101.730784) (xy 170.442085 101.730617) (xy 170.264939 101.803812)
+        (xy 170.219383 101.849288) (xy 170.219383 94.867085) (xy 170.146188 94.689939) (xy 170.010774 94.554288) (xy 169.833756 94.480784)
+        (xy 169.719383 94.480684) (xy 169.719383 84.317085) (xy 169.646188 84.139939) (xy 169.510774 84.004288) (xy 169.369383 83.945577)
+        (xy 169.369383 83.092085) (xy 169.296188 82.914939) (xy 169.160774 82.779288) (xy 168.983756 82.705784) (xy 168.792085 82.705617)
+        (xy 168.614939 82.778812) (xy 168.479288 82.914226) (xy 168.405784 83.091244) (xy 168.405617 83.282915) (xy 168.478812 83.460061)
+        (xy 168.614226 83.595712) (xy 168.791244 83.669216) (xy 168.982915 83.669383) (xy 169.160061 83.596188) (xy 169.295712 83.460774)
+        (xy 169.369216 83.283756) (xy 169.369383 83.092085) (xy 169.369383 83.945577) (xy 169.333756 83.930784) (xy 169.142085 83.930617)
+        (xy 168.964939 84.003812) (xy 168.829288 84.139226) (xy 168.755784 84.316244) (xy 168.755617 84.507915) (xy 168.828812 84.685061)
+        (xy 168.964226 84.820712) (xy 169.141244 84.894216) (xy 169.332915 84.894383) (xy 169.510061 84.821188) (xy 169.645712 84.685774)
+        (xy 169.719216 84.508756) (xy 169.719383 84.317085) (xy 169.719383 94.480684) (xy 169.642085 94.480617) (xy 169.464939 94.553812)
+        (xy 169.329288 94.689226) (xy 169.255784 94.866244) (xy 169.255617 95.057915) (xy 169.328812 95.235061) (xy 169.464226 95.370712)
+        (xy 169.641244 95.444216) (xy 169.832915 95.444383) (xy 170.010061 95.371188) (xy 170.145712 95.235774) (xy 170.219216 95.058756)
+        (xy 170.219383 94.867085) (xy 170.219383 101.849288) (xy 170.129288 101.939226) (xy 170.055784 102.116244) (xy 170.055617 102.307915)
+        (xy 170.128812 102.485061) (xy 170.264226 102.620712) (xy 170.441244 102.694216) (xy 170.632915 102.694383) (xy 170.810061 102.621188)
+        (xy 170.945712 102.485774) (xy 171.019216 102.308756) (xy 171.019383 102.117085) (xy 171.019383 108.905771) (xy 170.842085 108.905617)
+        (xy 170.664939 108.978812) (xy 170.529288 109.114226) (xy 170.455784 109.291244) (xy 170.455617 109.482915) (xy 170.481905 109.546539)
+        (xy 170.383756 109.505784) (xy 170.192085 109.505617) (xy 170.014939 109.578812) (xy 169.879288 109.714226) (xy 169.844383 109.798286)
+        (xy 169.844383 104.692085) (xy 169.771188 104.514939) (xy 169.635774 104.379288) (xy 169.458756 104.305784) (xy 169.267085 104.305617)
+        (xy 169.089939 104.378812) (xy 168.962396 104.506131) (xy 168.835774 104.379288) (xy 168.719383 104.330958) (xy 168.719383 83.942085)
+        (xy 168.646188 83.764939) (xy 168.510774 83.629288) (xy 168.333756 83.555784) (xy 168.142085 83.555617) (xy 167.964939 83.628812)
+        (xy 167.829288 83.764226) (xy 167.755784 83.941244) (xy 167.755617 84.132915) (xy 167.828812 84.310061) (xy 167.964226 84.445712)
+        (xy 168.141244 84.519216) (xy 168.332915 84.519383) (xy 168.510061 84.446188) (xy 168.645712 84.310774) (xy 168.719216 84.133756)
+        (xy 168.719383 83.942085) (xy 168.719383 104.330958) (xy 168.658756 104.305784) (xy 168.467085 104.305617) (xy 168.439058 104.317197)
+        (xy 168.570712 104.185774) (xy 168.644216 104.008756) (xy 168.644383 103.817085) (xy 168.571188 103.639939) (xy 168.435774 103.504288)
+        (xy 168.258756 103.430784) (xy 168.067085 103.430617) (xy 167.889939 103.503812) (xy 167.754288 103.639226) (xy 167.719383 103.723286)
+        (xy 167.719383 83.267085) (xy 167.646188 83.089939) (xy 167.510774 82.954288) (xy 167.333756 82.880784) (xy 167.142085 82.880617)
+        (xy 166.964939 82.953812) (xy 166.829288 83.089226) (xy 166.755784 83.266244) (xy 166.755617 83.457915) (xy 166.828812 83.635061)
+        (xy 166.964226 83.770712) (xy 167.141244 83.844216) (xy 167.332915 83.844383) (xy 167.510061 83.771188) (xy 167.645712 83.635774)
+        (xy 167.719216 83.458756) (xy 167.719383 83.267085) (xy 167.719383 103.723286) (xy 167.680784 103.816244) (xy 167.680617 104.007915)
+        (xy 167.753812 104.185061) (xy 167.885345 104.316825) (xy 167.858756 104.305784) (xy 167.667085 104.305617) (xy 167.489939 104.378812)
+        (xy 167.354288 104.514226) (xy 167.280784 104.691244) (xy 167.280617 104.882915) (xy 167.353812 105.060061) (xy 167.489226 105.195712)
+        (xy 167.666244 105.269216) (xy 167.857915 105.269383) (xy 168.035061 105.196188) (xy 168.162603 105.068868) (xy 168.289226 105.195712)
+        (xy 168.466244 105.269216) (xy 168.657915 105.269383) (xy 168.835061 105.196188) (xy 168.962603 105.068868) (xy 169.089226 105.195712)
+        (xy 169.266244 105.269216) (xy 169.457915 105.269383) (xy 169.635061 105.196188) (xy 169.770712 105.060774) (xy 169.844216 104.883756)
+        (xy 169.844383 104.692085) (xy 169.844383 109.798286) (xy 169.805784 109.891244) (xy 169.805617 110.082915) (xy 169.878812 110.260061)
+        (xy 170.014226 110.395712) (xy 170.191244 110.469216) (xy 170.382915 110.469383) (xy 170.560061 110.396188) (xy 170.695712 110.260774)
+        (xy 170.769216 110.083756) (xy 170.769383 109.892085) (xy 170.743094 109.82846) (xy 170.841244 109.869216) (xy 171.032915 109.869383)
+        (xy 171.210061 109.796188) (xy 171.345712 109.660774) (xy 171.419216 109.483756) (xy 171.419383 109.292085) (xy 171.419383 123.255727)
+        (xy 171.292085 123.255617) (xy 171.114939 123.328812) (xy 171.012483 123.431088) (xy 170.960774 123.379288) (xy 170.783756 123.305784)
+        (xy 170.592085 123.305617) (xy 170.414939 123.378812) (xy 170.294246 123.499294) (xy 170.294383 123.342085) (xy 170.221188 123.164939)
+        (xy 170.085774 123.029288) (xy 169.908756 122.955784) (xy 169.717085 122.955617) (xy 169.539939 123.028812) (xy 169.404288 123.164226)
+        (xy 169.330784 123.341244) (xy 169.330617 123.532915) (xy 169.403812 123.710061) (xy 169.539226 123.845712) (xy 169.716244 123.919216)
+        (xy 169.907915 123.919383) (xy 170.085061 123.846188) (xy 170.205753 123.725705) (xy 170.205617 123.882915) (xy 170.278812 124.060061)
+        (xy 170.414226 124.195712) (xy 170.591244 124.269216) (xy 170.782915 124.269383) (xy 170.960061 124.196188) (xy 171.062516 124.093911)
+        (xy 171.114226 124.145712) (xy 171.291244 124.219216) (xy 171.482915 124.219383) (xy 171.660061 124.146188) (xy 171.795712 124.010774)
+        (xy 171.869216 123.833756) (xy 171.869383 123.642085) (xy 171.869383 132.074201) (xy 171.829288 132.114226) (xy 171.755784 132.291244)
+        (xy 171.755617 132.482915) (xy 171.828812 132.660061) (xy 171.964226 132.795712) (xy 172.141244 132.869216) (xy 172.332915 132.869383)
+        (xy 172.510061 132.796188) (xy 172.645712 132.660774) (xy 172.719216 132.483756) (xy 172.719383 132.292085) (xy 172.719383 133.898286)
+        (xy 172.680784 133.991244) (xy 172.680617 134.182915) (xy 172.753812 134.360061) (xy 172.889226 134.495712) (xy 173.066244 134.569216)
+        (xy 173.257915 134.569383) (xy 173.435061 134.496188) (xy 173.570712 134.360774) (xy 173.644216 134.183756) (xy 173.644383 133.992085)
+        (xy 173.644383 136.647471) (xy 173.612978 136.634431) (xy 173.313337 136.63417) (xy 173.036404 136.748596) (xy 172.82434 136.960289)
+        (xy 172.709431 137.237022) (xy 172.70917 137.536663) (xy 172.823596 137.813596) (xy 173.035289 138.02566) (xy 173.312022 138.140569)
+        (xy 173.611663 138.14083) (xy 173.888596 138.026404) (xy 174.10066 137.814711) (xy 174.215569 137.537978) (xy 174.21583 137.238337)
+        (xy 174.21583 139.238337) (xy 174.101404 138.961404) (xy 173.889711 138.74934) (xy 173.612978 138.634431) (xy 173.313337 138.63417)
+        (xy 173.036404 138.748596) (xy 172.82434 138.960289) (xy 172.709431 139.237022) (xy 172.70917 139.536663) (xy 172.823596 139.813596)
+        (xy 173.035289 140.02566) (xy 173.312022 140.140569) (xy 173.611663 140.14083) (xy 173.888596 140.026404) (xy 174.10066 139.814711)
+        (xy 174.215569 139.537978) (xy 174.21583 139.238337) (xy 174.21583 150.748425) (xy 174.159546 150.33238) (xy 174.103688 150.197528)
+        (xy 173.909218 150.138402) (xy 173.620718 150.426902) (xy 173.33192 150.7157) (xy 173.909218 151.292998) (xy 174.103688 151.233872)
+        (xy 174.221276 150.788682) (xy 174.221276 158.071132) (xy 173.620718 158.070958) (xy 173.620718 151.581498) (xy 173.078775 151.039555)
+        (xy 173.04342 151.0042) (xy 172.75492 150.7157) (xy 173.04342 150.4272) (xy 173.078775 150.391845) (xy 173.620718 149.849902)
+        (xy 173.561592 149.655432) (xy 173.116402 149.537844) (xy 172.662417 149.59926) (xy 172.750371 149.387445) (xy 172.750667 149.048244)
+        (xy 172.621134 148.734749) (xy 172.381492 148.494689) (xy 172.21583 148.4259) (xy 172.21583 139.238337) (xy 172.21583 137.238337)
+        (xy 172.101404 136.961404) (xy 171.889711 136.74934) (xy 171.612978 136.634431) (xy 171.313337 136.63417) (xy 171.036404 136.748596)
+        (xy 170.82434 136.960289) (xy 170.769383 137.09264) (xy 170.769383 127.892085) (xy 170.696188 127.714939) (xy 170.560774 127.579288)
+        (xy 170.383756 127.505784) (xy 170.244383 127.505662) (xy 170.244383 125.842085) (xy 170.171188 125.664939) (xy 170.035774 125.529288)
+        (xy 169.858756 125.455784) (xy 169.667085 125.455617) (xy 169.489939 125.528812) (xy 169.354288 125.664226) (xy 169.280784 125.841244)
+        (xy 169.280617 126.032915) (xy 169.353812 126.210061) (xy 169.489226 126.345712) (xy 169.666244 126.419216) (xy 169.857915 126.419383)
+        (xy 170.035061 126.346188) (xy 170.170712 126.210774) (xy 170.244216 126.033756) (xy 170.244383 125.842085) (xy 170.244383 127.505662)
+        (xy 170.192085 127.505617) (xy 170.014939 127.578812) (xy 169.879288 127.714226) (xy 169.805784 127.891244) (xy 169.805617 128.082915)
+        (xy 169.878812 128.260061) (xy 170.014226 128.395712) (xy 170.191244 128.469216) (xy 170.382915 128.469383) (xy 170.560061 128.396188)
+        (xy 170.695712 128.260774) (xy 170.769216 128.083756) (xy 170.769383 127.892085) (xy 170.769383 137.09264) (xy 170.709431 137.237022)
+        (xy 170.70917 137.536663) (xy 170.823596 137.813596) (xy 171.035289 138.02566) (xy 171.312022 138.140569) (xy 171.611663 138.14083)
+        (xy 171.888596 138.026404) (xy 172.10066 137.814711) (xy 172.215569 137.537978) (xy 172.21583 137.238337) (xy 172.21583 139.238337)
+        (xy 172.101404 138.961404) (xy 171.889711 138.74934) (xy 171.612978 138.634431) (xy 171.313337 138.63417) (xy 171.036404 138.748596)
+        (xy 170.82434 138.960289) (xy 170.709431 139.237022) (xy 170.70917 139.536663) (xy 170.823596 139.813596) (xy 171.035289 140.02566)
+        (xy 171.312022 140.140569) (xy 171.611663 140.14083) (xy 171.888596 140.026404) (xy 172.10066 139.814711) (xy 172.215569 139.537978)
+        (xy 172.21583 139.238337) (xy 172.21583 148.4259) (xy 172.068225 148.364609) (xy 171.729024 148.364313) (xy 171.727743 148.364842)
+        (xy 171.727743 143.174356) (xy 171.727743 141.650356) (xy 171.689277 141.557261) (xy 171.618113 141.485973) (xy 171.525085 141.447345)
+        (xy 171.424356 141.447257) (xy 170.594383 141.447257) (xy 170.594383 131.562085) (xy 170.521188 131.384939) (xy 170.385774 131.249288)
+        (xy 170.208756 131.175784) (xy 170.017085 131.175617) (xy 169.839939 131.248812) (xy 169.704288 131.384226) (xy 169.630784 131.561244)
+        (xy 169.630617 131.752915) (xy 169.703812 131.930061) (xy 169.839226 132.065712) (xy 170.016244 132.139216) (xy 170.207915 132.139383)
+        (xy 170.385061 132.066188) (xy 170.520712 131.930774) (xy 170.594216 131.753756) (xy 170.594383 131.562085) (xy 170.594383 141.447257)
+        (xy 170.21583 141.447257) (xy 170.21583 139.238337) (xy 170.21583 137.238337) (xy 170.101404 136.961404) (xy 169.889711 136.74934)
+        (xy 169.612978 136.634431) (xy 169.313337 136.63417) (xy 169.044383 136.745299) (xy 169.044383 132.292085) (xy 169.044383 127.492085)
+        (xy 168.971188 127.314939) (xy 168.843868 127.187396) (xy 168.970712 127.060774) (xy 169.044216 126.883756) (xy 169.044383 126.692085)
+        (xy 168.971188 126.514939) (xy 168.835774 126.379288) (xy 168.819383 126.372481) (xy 168.819383 123.342085) (xy 168.746188 123.164939)
+        (xy 168.644383 123.062955) (xy 168.644383 111.192085) (xy 168.571188 111.014939) (xy 168.435774 110.879288) (xy 168.258756 110.805784)
+        (xy 168.244383 110.805771) (xy 168.244383 108.542085) (xy 168.171188 108.364939) (xy 168.035774 108.229288) (xy 167.858756 108.155784)
+        (xy 167.667085 108.155617) (xy 167.489939 108.228812) (xy 167.354288 108.364226) (xy 167.280784 108.541244) (xy 167.280617 108.732915)
+        (xy 167.353812 108.910061) (xy 167.489226 109.045712) (xy 167.666244 109.119216) (xy 167.857915 109.119383) (xy 168.035061 109.046188)
+        (xy 168.170712 108.910774) (xy 168.244216 108.733756) (xy 168.244383 108.542085) (xy 168.244383 110.805771) (xy 168.067085 110.805617)
+        (xy 167.889939 110.878812) (xy 167.762396 111.006131) (xy 167.635774 110.879288) (xy 167.458756 110.805784) (xy 167.444383 110.805771)
+        (xy 167.444383 109.492085) (xy 167.371188 109.314939) (xy 167.269383 109.212955) (xy 167.269383 102.642085) (xy 167.196188 102.464939)
+        (xy 167.060774 102.329288) (xy 166.883756 102.255784) (xy 166.692085 102.255617) (xy 166.514939 102.328812) (xy 166.379288 102.464226)
+        (xy 166.305784 102.641244) (xy 166.305617 102.832915) (xy 166.378812 103.010061) (xy 166.514226 103.145712) (xy 166.691244 103.219216)
+        (xy 166.882915 103.219383) (xy 167.060061 103.146188) (xy 167.195712 103.010774) (xy 167.269216 102.833756) (xy 167.269383 102.642085)
+        (xy 167.269383 109.212955) (xy 167.235774 109.179288) (xy 167.058756 109.105784) (xy 166.867085 109.105617) (xy 166.689939 109.178812)
+        (xy 166.644383 109.224287) (xy 166.644383 104.692085) (xy 166.571188 104.514939) (xy 166.435774 104.379288) (xy 166.258756 104.305784)
+        (xy 166.067085 104.305617) (xy 166.039058 104.317197) (xy 166.170712 104.185774) (xy 166.244216 104.008756) (xy 166.244383 103.817085)
+        (xy 166.171188 103.639939) (xy 166.035774 103.504288) (xy 166.019383 103.497481) (xy 166.019383 94.142085) (xy 165.946188 93.964939)
+        (xy 165.810774 93.829288) (xy 165.633756 93.755784) (xy 165.442085 93.755617) (xy 165.264939 93.828812) (xy 165.129288 93.964226)
+        (xy 165.055784 94.141244) (xy 165.055617 94.332915) (xy 165.128812 94.510061) (xy 165.264226 94.645712) (xy 165.441244 94.719216)
+        (xy 165.632915 94.719383) (xy 165.810061 94.646188) (xy 165.945712 94.510774) (xy 166.019216 94.333756) (xy 166.019383 94.142085)
+        (xy 166.019383 103.497481) (xy 165.858756 103.430784) (xy 165.844262 103.430771) (xy 165.844383 103.292085) (xy 165.771188 103.114939)
+        (xy 165.635774 102.979288) (xy 165.458756 102.905784) (xy 165.267085 102.905617) (xy 165.089939 102.978812) (xy 164.954288 103.114226)
+        (xy 164.906883 103.22839) (xy 164.906883 90.329585) (xy 164.894383 90.299332) (xy 164.894383 86.667085) (xy 164.821188 86.489939)
+        (xy 164.685774 86.354288) (xy 164.508756 86.280784) (xy 164.317085 86.280617) (xy 164.139939 86.353812) (xy 164.004288 86.489226)
+        (xy 163.930784 86.666244) (xy 163.930617 86.857915) (xy 163.960663 86.930633) (xy 163.942085 86.930617) (xy 163.764939 87.003812)
+        (xy 163.629288 87.139226) (xy 163.555784 87.316244) (xy 163.555617 87.507915) (xy 163.628812 87.685061) (xy 163.764226 87.820712)
+        (xy 163.941244 87.894216) (xy 164.132915 87.894383) (xy 164.310061 87.821188) (xy 164.445712 87.685774) (xy 164.519216 87.508756)
+        (xy 164.519383 87.317085) (xy 164.489336 87.244366) (xy 164.507915 87.244383) (xy 164.685061 87.171188) (xy 164.820712 87.035774)
+        (xy 164.894216 86.858756) (xy 164.894383 86.667085) (xy 164.894383 90.299332) (xy 164.833688 90.152439) (xy 164.698274 90.016788)
+        (xy 164.521256 89.943284) (xy 164.329585 89.943117) (xy 164.152439 90.016312) (xy 164.016788 90.151726) (xy 163.943284 90.328744)
+        (xy 163.943117 90.520415) (xy 164.016312 90.697561) (xy 164.151726 90.833212) (xy 164.328744 90.906716) (xy 164.520415 90.906883)
+        (xy 164.697561 90.833688) (xy 164.833212 90.698274) (xy 164.906716 90.521256) (xy 164.906883 90.329585) (xy 164.906883 103.22839)
+        (xy 164.880784 103.291244) (xy 164.880617 103.482915) (xy 164.953812 103.660061) (xy 165.089226 103.795712) (xy 165.266244 103.869216)
+        (xy 165.280737 103.869228) (xy 165.280617 104.007915) (xy 165.353812 104.185061) (xy 165.485345 104.316825) (xy 165.458756 104.305784)
+        (xy 165.267085 104.305617) (xy 165.089939 104.378812) (xy 164.954288 104.514226) (xy 164.880784 104.691244) (xy 164.880617 104.882915)
+        (xy 164.953812 105.060061) (xy 165.089226 105.195712) (xy 165.266244 105.269216) (xy 165.457915 105.269383) (xy 165.635061 105.196188)
+        (xy 165.762603 105.068868) (xy 165.889226 105.195712) (xy 166.066244 105.269216) (xy 166.257915 105.269383) (xy 166.435061 105.196188)
+        (xy 166.570712 105.060774) (xy 166.644216 104.883756) (xy 166.644383 104.692085) (xy 166.644383 109.224287) (xy 166.562396 109.306131)
+        (xy 166.435774 109.179288) (xy 166.258756 109.105784) (xy 166.067085 109.105617) (xy 165.889939 109.178812) (xy 165.754288 109.314226)
+        (xy 165.680784 109.491244) (xy 165.680617 109.682915) (xy 165.753812 109.860061) (xy 165.881131 109.987603) (xy 165.754288 110.114226)
+        (xy 165.680784 110.291244) (xy 165.680617 110.482915) (xy 165.753812 110.660061) (xy 165.889226 110.795712) (xy 166.066244 110.869216)
+        (xy 166.257915 110.869383) (xy 166.435061 110.796188) (xy 166.570712 110.660774) (xy 166.644216 110.483756) (xy 166.644383 110.292085)
+        (xy 166.571188 110.114939) (xy 166.443868 109.987396) (xy 166.562603 109.868868) (xy 166.689226 109.995712) (xy 166.866244 110.069216)
+        (xy 167.057915 110.069383) (xy 167.235061 109.996188) (xy 167.370712 109.860774) (xy 167.444216 109.683756) (xy 167.444383 109.492085)
+        (xy 167.444383 110.805771) (xy 167.267085 110.805617) (xy 167.089939 110.878812) (xy 166.962396 111.006131) (xy 166.835774 110.879288)
+        (xy 166.658756 110.805784) (xy 166.467085 110.805617) (xy 166.289939 110.878812) (xy 166.154288 111.014226) (xy 166.080784 111.191244)
+        (xy 166.080617 111.382915) (xy 166.153812 111.560061) (xy 166.289226 111.695712) (xy 166.466244 111.769216) (xy 166.657915 111.769383)
+        (xy 166.835061 111.696188) (xy 166.962603 111.568868) (xy 167.089226 111.695712) (xy 167.266244 111.769216) (xy 167.457915 111.769383)
+        (xy 167.635061 111.696188) (xy 167.762603 111.568868) (xy 167.889226 111.695712) (xy 168.066244 111.769216) (xy 168.257915 111.769383)
+        (xy 168.435061 111.696188) (xy 168.570712 111.560774) (xy 168.644216 111.383756) (xy 168.644383 111.192085) (xy 168.644383 123.062955)
+        (xy 168.610774 123.029288) (xy 168.433756 122.955784) (xy 168.242085 122.955617) (xy 168.064939 123.028812) (xy 167.929288 123.164226)
+        (xy 167.855784 123.341244) (xy 167.855617 123.532915) (xy 167.928812 123.710061) (xy 168.064226 123.845712) (xy 168.241244 123.919216)
+        (xy 168.432915 123.919383) (xy 168.610061 123.846188) (xy 168.745712 123.710774) (xy 168.819216 123.533756) (xy 168.819383 123.342085)
+        (xy 168.819383 126.372481) (xy 168.658756 126.305784) (xy 168.467085 126.305617) (xy 168.439058 126.317197) (xy 168.570712 126.185774)
+        (xy 168.644216 126.008756) (xy 168.644383 125.817085) (xy 168.571188 125.639939) (xy 168.435774 125.504288) (xy 168.258756 125.430784)
+        (xy 168.067085 125.430617) (xy 167.889939 125.503812) (xy 167.754288 125.639226) (xy 167.680784 125.816244) (xy 167.680617 126.007915)
+        (xy 167.753812 126.185061) (xy 167.885345 126.316825) (xy 167.858756 126.305784) (xy 167.667085 126.305617) (xy 167.489939 126.378812)
+        (xy 167.444383 126.424288) (xy 167.444383 125.167085) (xy 167.371188 124.989939) (xy 167.235774 124.854288) (xy 167.058756 124.780784)
+        (xy 167.004383 124.780736) (xy 167.004383 123.372085) (xy 166.931188 123.194939) (xy 166.795774 123.059288) (xy 166.618756 122.985784)
+        (xy 166.427085 122.985617) (xy 166.249939 123.058812) (xy 166.114288 123.194226) (xy 166.040784 123.371244) (xy 166.040617 123.562915)
+        (xy 166.113812 123.740061) (xy 166.249226 123.875712) (xy 166.426244 123.949216) (xy 166.617915 123.949383) (xy 166.795061 123.876188)
+        (xy 166.930712 123.740774) (xy 167.004216 123.563756) (xy 167.004383 123.372085) (xy 167.004383 124.780736) (xy 166.867085 124.780617)
+        (xy 166.689939 124.853812) (xy 166.554288 124.989226) (xy 166.480784 125.166244) (xy 166.480617 125.357915) (xy 166.553812 125.535061)
+        (xy 166.689226 125.670712) (xy 166.866244 125.744216) (xy 167.057915 125.744383) (xy 167.235061 125.671188) (xy 167.370712 125.535774)
+        (xy 167.444216 125.358756) (xy 167.444383 125.167085) (xy 167.444383 126.424288) (xy 167.354288 126.514226) (xy 167.280784 126.691244)
+        (xy 167.280617 126.882915) (xy 167.353812 127.060061) (xy 167.481131 127.187603) (xy 167.354288 127.314226) (xy 167.280784 127.491244)
+        (xy 167.280617 127.682915) (xy 167.353812 127.860061) (xy 167.489226 127.995712) (xy 167.666244 128.069216) (xy 167.857915 128.069383)
+        (xy 168.035061 127.996188) (xy 168.162603 127.868868) (xy 168.289226 127.995712) (xy 168.466244 128.069216) (xy 168.657915 128.069383)
+        (xy 168.835061 127.996188) (xy 168.970712 127.860774) (xy 169.044216 127.683756) (xy 169.044383 127.492085) (xy 169.044383 132.292085)
+        (xy 168.971188 132.114939) (xy 168.835774 131.979288) (xy 168.658756 131.905784) (xy 168.467085 131.905617) (xy 168.454383 131.910865)
+        (xy 168.454383 130.182085) (xy 168.381188 130.004939) (xy 168.245774 129.869288) (xy 168.068756 129.795784) (xy 167.877085 129.795617)
+        (xy 167.699939 129.868812) (xy 167.564288 130.004226) (xy 167.490784 130.181244) (xy 167.490617 130.372915) (xy 167.563812 130.550061)
+        (xy 167.699226 130.685712) (xy 167.876244 130.759216) (xy 168.067915 130.759383) (xy 168.245061 130.686188) (xy 168.380712 130.550774)
+        (xy 168.454216 130.373756) (xy 168.454383 130.182085) (xy 168.454383 131.910865) (xy 168.289939 131.978812) (xy 168.244383 132.024288)
+        (xy 168.244383 131.492085) (xy 168.171188 131.314939) (xy 168.035774 131.179288) (xy 167.858756 131.105784) (xy 167.667085 131.105617)
+        (xy 167.489939 131.178812) (xy 167.354288 131.314226) (xy 167.280784 131.491244) (xy 167.280617 131.682915) (xy 167.353812 131.860061)
+        (xy 167.489226 131.995712) (xy 167.666244 132.069216) (xy 167.857915 132.069383) (xy 168.035061 131.996188) (xy 168.170712 131.860774)
+        (xy 168.244216 131.683756) (xy 168.244383 131.492085) (xy 168.244383 132.024288) (xy 168.154288 132.114226) (xy 168.080784 132.291244)
+        (xy 168.080617 132.482915) (xy 168.153812 132.660061) (xy 168.289226 132.795712) (xy 168.466244 132.869216) (xy 168.657915 132.869383)
+        (xy 168.835061 132.796188) (xy 168.970712 132.660774) (xy 169.044216 132.483756) (xy 169.044383 132.292085) (xy 169.044383 136.745299)
+        (xy 169.036404 136.748596) (xy 168.82434 136.960289) (xy 168.709431 137.237022) (xy 168.70917 137.536663) (xy 168.823596 137.813596)
+        (xy 169.035289 138.02566) (xy 169.312022 138.140569) (xy 169.611663 138.14083) (xy 169.888596 138.026404) (xy 170.10066 137.814711)
+        (xy 170.215569 137.537978) (xy 170.21583 137.238337) (xy 170.21583 139.238337) (xy 170.101404 138.961404) (xy 169.889711 138.74934)
+        (xy 169.612978 138.634431) (xy 169.313337 138.63417) (xy 169.036404 138.748596) (xy 168.82434 138.960289) (xy 168.709431 139.237022)
+        (xy 168.70917 139.536663) (xy 168.823596 139.813596) (xy 169.035289 140.02566) (xy 169.312022 140.140569) (xy 169.611663 140.14083)
+        (xy 169.888596 140.026404) (xy 170.10066 139.814711) (xy 170.215569 139.537978) (xy 170.21583 139.238337) (xy 170.21583 141.447257)
+        (xy 169.900356 141.447257) (xy 169.807261 141.485723) (xy 169.735973 141.556887) (xy 169.697345 141.649915) (xy 169.697257 141.750644)
+        (xy 169.697257 143.274644) (xy 169.735723 143.367739) (xy 169.806887 143.439027) (xy 169.899915 143.477655) (xy 170.000644 143.477743)
+        (xy 171.524644 143.477743) (xy 171.617739 143.439277) (xy 171.689027 143.368113) (xy 171.727655 143.275085) (xy 171.727743 143.174356)
+        (xy 171.727743 148.364842) (xy 171.415529 148.493846) (xy 171.175469 148.733488) (xy 171.045389 149.046755) (xy 171.045093 149.385956)
+        (xy 171.142463 149.62161) (xy 170.825322 149.537844) (xy 170.371337 149.59926) (xy 170.459291 149.387445) (xy 170.459587 149.048244)
+        (xy 170.330054 148.734749) (xy 170.090412 148.494689) (xy 169.777145 148.364609) (xy 169.437944 148.364313) (xy 169.124449 148.493846)
+        (xy 168.884389 148.733488) (xy 168.754309 149.046755) (xy 168.754013 149.385956) (xy 168.851383 149.62161) (xy 168.644383 149.566935)
+        (xy 168.644383 133.192085) (xy 168.571188 133.014939) (xy 168.435774 132.879288) (xy 168.258756 132.805784) (xy 168.067085 132.805617)
+        (xy 167.889939 132.878812) (xy 167.762396 133.006131) (xy 167.635774 132.879288) (xy 167.458756 132.805784) (xy 167.267085 132.805617)
+        (xy 167.089939 132.878812) (xy 166.962396 133.006131) (xy 166.835774 132.879288) (xy 166.658756 132.805784) (xy 166.467085 132.805617)
+        (xy 166.304383 132.872843) (xy 166.304383 130.592085) (xy 166.244383 130.446873) (xy 166.244383 125.842085) (xy 166.171188 125.664939)
+        (xy 166.035774 125.529288) (xy 165.858756 125.455784) (xy 165.669383 125.455619) (xy 165.669383 123.817085) (xy 165.596188 123.639939)
+        (xy 165.460774 123.504288) (xy 165.444383 123.497481) (xy 165.444383 108.592085) (xy 165.371188 108.414939) (xy 165.235774 108.279288)
+        (xy 165.058756 108.205784) (xy 165.019383 108.205749) (xy 165.019383 105.467085) (xy 164.946188 105.289939) (xy 164.810774 105.154288)
+        (xy 164.644383 105.085196) (xy 164.644383 103.792085) (xy 164.571188 103.614939) (xy 164.435774 103.479288) (xy 164.258756 103.405784)
+        (xy 164.067085 103.405617) (xy 163.889939 103.478812) (xy 163.754288 103.614226) (xy 163.680784 103.791244) (xy 163.680617 103.982915)
+        (xy 163.753812 104.160061) (xy 163.889226 104.295712) (xy 164.066244 104.369216) (xy 164.257915 104.369383) (xy 164.435061 104.296188)
+        (xy 164.570712 104.160774) (xy 164.644216 103.983756) (xy 164.644383 103.792085) (xy 164.644383 105.085196) (xy 164.633756 105.080784)
+        (xy 164.442085 105.080617) (xy 164.264939 105.153812) (xy 164.129288 105.289226) (xy 164.055784 105.466244) (xy 164.055617 105.657915)
+        (xy 164.128812 105.835061) (xy 164.264226 105.970712) (xy 164.441244 106.044216) (xy 164.632915 106.044383) (xy 164.810061 105.971188)
+        (xy 164.945712 105.835774) (xy 165.019216 105.658756) (xy 165.019383 105.467085) (xy 165.019383 108.205749) (xy 164.867085 108.205617)
+        (xy 164.689939 108.278812) (xy 164.644383 108.324288) (xy 164.644383 106.617085) (xy 164.571188 106.439939) (xy 164.435774 106.304288)
+        (xy 164.258756 106.230784) (xy 164.067085 106.230617) (xy 163.889939 106.303812) (xy 163.754288 106.439226) (xy 163.680784 106.616244)
+        (xy 163.680617 106.807915) (xy 163.753812 106.985061) (xy 163.889226 107.120712) (xy 164.066244 107.194216) (xy 164.257915 107.194383)
+        (xy 164.435061 107.121188) (xy 164.570712 106.985774) (xy 164.644216 106.808756) (xy 164.644383 106.617085) (xy 164.644383 108.324288)
+        (xy 164.554288 108.414226) (xy 164.480784 108.591244) (xy 164.480617 108.782915) (xy 164.553812 108.960061) (xy 164.689226 109.095712)
+        (xy 164.866244 109.169216) (xy 165.057915 109.169383) (xy 165.235061 109.096188) (xy 165.370712 108.960774) (xy 165.444216 108.783756)
+        (xy 165.444383 108.592085) (xy 165.444383 123.497481) (xy 165.283756 123.430784) (xy 165.092085 123.430617) (xy 165.044383 123.450327)
+        (xy 165.044383 112.242085) (xy 165.044383 109.492085) (xy 164.971188 109.314939) (xy 164.835774 109.179288) (xy 164.658756 109.105784)
+        (xy 164.467085 109.105617) (xy 164.289939 109.178812) (xy 164.154288 109.314226) (xy 164.080784 109.491244) (xy 164.080617 109.682915)
+        (xy 164.153812 109.860061) (xy 164.289226 109.995712) (xy 164.466244 110.069216) (xy 164.657915 110.069383) (xy 164.835061 109.996188)
+        (xy 164.970712 109.860774) (xy 165.044216 109.683756) (xy 165.044383 109.492085) (xy 165.044383 112.242085) (xy 164.971188 112.064939)
+        (xy 164.835774 111.929288) (xy 164.658756 111.855784) (xy 164.644383 111.855771) (xy 164.644383 111.217085) (xy 164.571188 111.039939)
+        (xy 164.435774 110.904288) (xy 164.258756 110.830784) (xy 164.067085 110.830617) (xy 163.889939 110.903812) (xy 163.754288 111.039226)
+        (xy 163.680784 111.216244) (xy 163.680617 111.407915) (xy 163.753812 111.585061) (xy 163.889226 111.720712) (xy 164.066244 111.794216)
+        (xy 164.257915 111.794383) (xy 164.435061 111.721188) (xy 164.570712 111.585774) (xy 164.644216 111.408756) (xy 164.644383 111.217085)
+        (xy 164.644383 111.855771) (xy 164.467085 111.855617) (xy 164.289939 111.928812) (xy 164.154288 112.064226) (xy 164.080784 112.241244)
+        (xy 164.080617 112.432915) (xy 164.153812 112.610061) (xy 164.289226 112.745712) (xy 164.466244 112.819216) (xy 164.657915 112.819383)
+        (xy 164.835061 112.746188) (xy 164.970712 112.610774) (xy 165.044216 112.433756) (xy 165.044383 112.242085) (xy 165.044383 123.450327)
+        (xy 164.914939 123.503812) (xy 164.779288 123.639226) (xy 164.705784 123.816244) (xy 164.705617 124.007915) (xy 164.778812 124.185061)
+        (xy 164.914226 124.320712) (xy 165.091244 124.394216) (xy 165.282915 124.394383) (xy 165.460061 124.321188) (xy 165.595712 124.185774)
+        (xy 165.669216 124.008756) (xy 165.669383 123.817085) (xy 165.669383 125.455619) (xy 165.667085 125.455617) (xy 165.489939 125.528812)
+        (xy 165.354288 125.664226) (xy 165.280784 125.841244) (xy 165.280617 126.032915) (xy 165.353812 126.210061) (xy 165.449359 126.305775)
+        (xy 165.267085 126.305617) (xy 165.089939 126.378812) (xy 164.962396 126.506131) (xy 164.919383 126.463042) (xy 164.919383 124.542085)
+        (xy 164.846188 124.364939) (xy 164.710774 124.229288) (xy 164.533756 124.155784) (xy 164.342085 124.155617) (xy 164.164939 124.228812)
+        (xy 164.029288 124.364226) (xy 163.955784 124.541244) (xy 163.955617 124.732915) (xy 164.028812 124.910061) (xy 164.164226 125.045712)
+        (xy 164.341244 125.119216) (xy 164.532915 125.119383) (xy 164.710061 125.046188) (xy 164.845712 124.910774) (xy 164.919216 124.733756)
+        (xy 164.919383 124.542085) (xy 164.919383 126.463042) (xy 164.835774 126.379288) (xy 164.658756 126.305784) (xy 164.467085 126.305617)
+        (xy 164.344383 126.356316) (xy 164.344383 125.792085) (xy 164.271188 125.614939) (xy 164.135774 125.479288) (xy 163.958756 125.405784)
+        (xy 163.767085 125.405617) (xy 163.589939 125.478812) (xy 163.454288 125.614226) (xy 163.444383 125.638079) (xy 163.444383 110.292085)
+        (xy 163.371188 110.114939) (xy 163.243868 109.987396) (xy 163.370712 109.860774) (xy 163.444216 109.683756) (xy 163.444383 109.492085)
+        (xy 163.394383 109.371075) (xy 163.394383 106.342085) (xy 163.321188 106.164939) (xy 163.185774 106.029288) (xy 163.056883 105.975767)
+        (xy 163.056883 83.404585) (xy 162.983688 83.227439) (xy 162.848274 83.091788) (xy 162.671256 83.018284) (xy 162.479585 83.018117)
+        (xy 162.302439 83.091312) (xy 162.166788 83.226726) (xy 162.093284 83.403744) (xy 162.093117 83.595415) (xy 162.166312 83.772561)
+        (xy 162.301726 83.908212) (xy 162.478744 83.981716) (xy 162.670415 83.981883) (xy 162.847561 83.908688) (xy 162.983212 83.773274)
+        (xy 163.056716 83.596256) (xy 163.056883 83.404585) (xy 163.056883 105.975767) (xy 163.008756 105.955784) (xy 162.817085 105.955617)
+        (xy 162.644383 106.026975) (xy 162.644383 104.692085) (xy 162.571188 104.514939) (xy 162.435774 104.379288) (xy 162.258756 104.305784)
+        (xy 162.169383 104.305706) (xy 162.169383 102.842085) (xy 162.096188 102.664939) (xy 161.960774 102.529288) (xy 161.783756 102.455784)
+        (xy 161.704214 102.455714) (xy 161.704214 89.792355) (xy 161.651957 89.094934) (xy 161.485601 88.693315) (xy 161.22912 88.55938)
+        (xy 160.94062 88.84788) (xy 160.94062 88.27088) (xy 160.806685 88.014399) (xy 160.142355 87.795786) (xy 159.659321 87.831979)
+        (xy 159.730782 87.760643) (xy 160.06314 86.960235) (xy 160.063897 86.093567) (xy 159.820375 85.504198) (xy 159.820375 81.42395)
+        (xy 159.666146 81.050687) (xy 159.380815 80.764858) (xy 159.007822 80.609978) (xy 158.60395 80.609625) (xy 158.230687 80.763854)
+        (xy 157.944858 81.049185) (xy 157.789978 81.422178) (xy 157.789625 81.82605) (xy 157.943854 82.199313) (xy 158.229185 82.485142)
+        (xy 158.602178 82.640022) (xy 159.00605 82.640375) (xy 159.379313 82.486146) (xy 159.665142 82.200815) (xy 159.820022 81.827822)
+        (xy 159.820375 81.42395) (xy 159.820375 85.504198) (xy 159.732936 85.29258) (xy 159.120643 84.679218) (xy 158.320235 84.34686)
+        (xy 157.453567 84.346103) (xy 157.270375 84.421796) (xy 157.270375 81.42395) (xy 157.116146 81.050687) (xy 156.830815 80.764858)
+        (xy 156.457822 80.609978) (xy 156.05395 80.609625) (xy 155.680687 80.763854) (xy 155.394858 81.049185) (xy 155.239978 81.422178)
+        (xy 155.239625 81.82605) (xy 155.393854 82.199313) (xy 155.679185 82.485142) (xy 156.052178 82.640022) (xy 156.45605 82.640375)
+        (xy 156.829313 82.486146) (xy 157.115142 82.200815) (xy 157.270022 81.827822) (xy 157.270375 81.42395) (xy 157.270375 84.421796)
+        (xy 156.65258 84.677064) (xy 156.039218 85.289357) (xy 155.70686 86.089765) (xy 155.706103 86.956433) (xy 156.037064 87.75742)
+        (xy 156.649357 88.370782) (xy 157.449765 88.70314) (xy 158.316433 88.703897) (xy 158.449134 88.649065) (xy 158.364399 88.693315)
+        (xy 158.145786 89.357645) (xy 158.198043 90.055066) (xy 158.364399 90.456685) (xy 158.62088 90.59062) (xy 159.601145 89.610355)
+        (xy 159.6365 89.575) (xy 159.925 89.2865) (xy 159.925 89.286499) (xy 159.960355 89.251145) (xy 160.94062 88.27088)
+        (xy 160.94062 88.84788) (xy 160.2135 89.575) (xy 161.22912 90.59062) (xy 161.485601 90.456685) (xy 161.704214 89.792355)
+        (xy 161.704214 102.455714) (xy 161.592085 102.455617) (xy 161.414939 102.528812) (xy 161.279288 102.664226) (xy 161.205784 102.841244)
+        (xy 161.205617 103.032915) (xy 161.278812 103.210061) (xy 161.414226 103.345712) (xy 161.591244 103.419216) (xy 161.782915 103.419383)
+        (xy 161.960061 103.346188) (xy 162.095712 103.210774) (xy 162.169216 103.033756) (xy 162.169383 102.842085) (xy 162.169383 104.305706)
+        (xy 162.067085 104.305617) (xy 161.889939 104.378812) (xy 161.754288 104.514226) (xy 161.680784 104.691244) (xy 161.680617 104.882915)
+        (xy 161.753812 105.060061) (xy 161.889226 105.195712) (xy 162.066244 105.269216) (xy 162.257915 105.269383) (xy 162.435061 105.196188)
+        (xy 162.570712 105.060774) (xy 162.644216 104.883756) (xy 162.644383 104.692085) (xy 162.644383 106.026975) (xy 162.639939 106.028812)
+        (xy 162.562439 106.106175) (xy 162.435774 105.979288) (xy 162.258756 105.905784) (xy 162.067085 105.905617) (xy 161.889939 105.978812)
+        (xy 161.762396 106.106131) (xy 161.635774 105.979288) (xy 161.458756 105.905784) (xy 161.267085 105.905617) (xy 161.089939 105.978812)
+        (xy 160.962396 106.106131) (xy 160.94062 106.084316) (xy 160.94062 90.87912) (xy 159.925 89.8635) (xy 158.90938 90.87912)
+        (xy 159.043315 91.135601) (xy 159.707645 91.354214) (xy 160.405066 91.301957) (xy 160.806685 91.135601) (xy 160.94062 90.87912)
+        (xy 160.94062 106.084316) (xy 160.835774 105.979288) (xy 160.658756 105.905784) (xy 160.644383 105.905771) (xy 160.644383 103.792085)
+        (xy 160.571188 103.614939) (xy 160.435774 103.479288) (xy 160.258756 103.405784) (xy 160.067085 103.405617) (xy 159.889939 103.478812)
+        (xy 159.754288 103.614226) (xy 159.680784 103.791244) (xy 159.680617 103.982915) (xy 159.753812 104.160061) (xy 159.889226 104.295712)
+        (xy 160.066244 104.369216) (xy 160.257915 104.369383) (xy 160.435061 104.296188) (xy 160.570712 104.160774) (xy 160.644216 103.983756)
+        (xy 160.644383 103.792085) (xy 160.644383 105.905771) (xy 160.467085 105.905617) (xy 160.289939 105.978812) (xy 160.162396 106.106131)
+        (xy 160.035774 105.979288) (xy 159.858756 105.905784) (xy 159.667085 105.905617) (xy 159.489939 105.978812) (xy 159.354288 106.114226)
+        (xy 159.291777 106.264769) (xy 159.271188 106.214939) (xy 159.135774 106.079288) (xy 159.044383 106.041339) (xy 159.044383 103.792085)
+        (xy 158.971188 103.614939) (xy 158.835774 103.479288) (xy 158.658756 103.405784) (xy 158.467085 103.405617) (xy 158.289939 103.478812)
+        (xy 158.154288 103.614226) (xy 158.080784 103.791244) (xy 158.080617 103.982915) (xy 158.153812 104.160061) (xy 158.289226 104.295712)
+        (xy 158.466244 104.369216) (xy 158.657915 104.369383) (xy 158.835061 104.296188) (xy 158.970712 104.160774) (xy 159.044216 103.983756)
+        (xy 159.044383 103.792085) (xy 159.044383 106.041339) (xy 158.958756 106.005784) (xy 158.767085 106.005617) (xy 158.589939 106.078812)
+        (xy 158.454288 106.214226) (xy 158.380784 106.391244) (xy 158.380617 106.582915) (xy 158.453812 106.760061) (xy 158.589226 106.895712)
+        (xy 158.766244 106.969216) (xy 158.957915 106.969383) (xy 159.135061 106.896188) (xy 159.270712 106.760774) (xy 159.333222 106.61023)
+        (xy 159.353812 106.660061) (xy 159.489226 106.795712) (xy 159.666244 106.869216) (xy 159.857915 106.869383) (xy 160.035061 106.796188)
+        (xy 160.162603 106.668868) (xy 160.289226 106.795712) (xy 160.466244 106.869216) (xy 160.657915 106.869383) (xy 160.835061 106.796188)
+        (xy 160.962603 106.668868) (xy 161.089226 106.795712) (xy 161.266244 106.869216) (xy 161.457915 106.869383) (xy 161.635061 106.796188)
+        (xy 161.762603 106.668868) (xy 161.889226 106.795712) (xy 162.066244 106.869216) (xy 162.257915 106.869383) (xy 162.435061 106.796188)
+        (xy 162.51256 106.718824) (xy 162.639226 106.845712) (xy 162.816244 106.919216) (xy 163.007915 106.919383) (xy 163.185061 106.846188)
+        (xy 163.320712 106.710774) (xy 163.394216 106.533756) (xy 163.394383 106.342085) (xy 163.394383 109.371075) (xy 163.371188 109.314939)
+        (xy 163.235774 109.179288) (xy 163.058756 109.105784) (xy 162.867085 109.105617) (xy 162.689939 109.178812) (xy 162.562396 109.306131)
+        (xy 162.435774 109.179288) (xy 162.258756 109.105784) (xy 162.067085 109.105617) (xy 161.889939 109.178812) (xy 161.762396 109.306131)
+        (xy 161.635774 109.179288) (xy 161.458756 109.105784) (xy 161.267085 109.105617) (xy 161.089939 109.178812) (xy 160.954288 109.314226)
+        (xy 160.880784 109.491244) (xy 160.880617 109.682915) (xy 160.953812 109.860061) (xy 161.089226 109.995712) (xy 161.266244 110.069216)
+        (xy 161.457915 110.069383) (xy 161.635061 109.996188) (xy 161.762603 109.868868) (xy 161.889226 109.995712) (xy 162.066244 110.069216)
+        (xy 162.257915 110.069383) (xy 162.435061 109.996188) (xy 162.562603 109.868868) (xy 162.681131 109.987603) (xy 162.554288 110.114226)
+        (xy 162.480784 110.291244) (xy 162.480617 110.482915) (xy 162.553812 110.660061) (xy 162.689226 110.795712) (xy 162.866244 110.869216)
+        (xy 163.057915 110.869383) (xy 163.235061 110.796188) (xy 163.370712 110.660774) (xy 163.444216 110.483756) (xy 163.444383 110.292085)
+        (xy 163.444383 125.638079) (xy 163.380784 125.791244) (xy 163.380617 125.982915) (xy 163.453812 126.160061) (xy 163.589226 126.295712)
+        (xy 163.766244 126.369216) (xy 163.957915 126.369383) (xy 164.135061 126.296188) (xy 164.270712 126.160774) (xy 164.344216 125.983756)
+        (xy 164.344383 125.792085) (xy 164.344383 126.356316) (xy 164.289939 126.378812) (xy 164.154288 126.514226) (xy 164.080784 126.691244)
+        (xy 164.080617 126.882915) (xy 164.153812 127.060061) (xy 164.281131 127.187603) (xy 164.154288 127.314226) (xy 164.080784 127.491244)
+        (xy 164.080617 127.682915) (xy 164.153812 127.860061) (xy 164.289226 127.995712) (xy 164.466244 128.069216) (xy 164.657915 128.069383)
+        (xy 164.835061 127.996188) (xy 164.970712 127.860774) (xy 165.044216 127.683756) (xy 165.044383 127.492085) (xy 164.971188 127.314939)
+        (xy 164.843868 127.187396) (xy 164.962603 127.068868) (xy 165.089226 127.195712) (xy 165.266244 127.269216) (xy 165.457915 127.269383)
+        (xy 165.635061 127.196188) (xy 165.770712 127.060774) (xy 165.844216 126.883756) (xy 165.844383 126.692085) (xy 165.771188 126.514939)
+        (xy 165.67564 126.419224) (xy 165.857915 126.419383) (xy 166.035061 126.346188) (xy 166.170712 126.210774) (xy 166.244216 126.033756)
+        (xy 166.244383 125.842085) (xy 166.244383 130.446873) (xy 166.231188 130.414939) (xy 166.095774 130.279288) (xy 165.918756 130.205784)
+        (xy 165.727085 130.205617) (xy 165.549939 130.278812) (xy 165.414288 130.414226) (xy 165.340784 130.591244) (xy 165.340617 130.782915)
+        (xy 165.413812 130.960061) (xy 165.549226 131.095712) (xy 165.726244 131.169216) (xy 165.917915 131.169383) (xy 166.095061 131.096188)
+        (xy 166.230712 130.960774) (xy 166.304216 130.783756) (xy 166.304383 130.592085) (xy 166.304383 132.872843) (xy 166.289939 132.878812)
+        (xy 166.154288 133.014226) (xy 166.080784 133.191244) (xy 166.080617 133.382915) (xy 166.153812 133.560061) (xy 166.289226 133.695712)
+        (xy 166.466244 133.769216) (xy 166.657915 133.769383) (xy 166.835061 133.696188) (xy 166.962603 133.568868) (xy 167.089226 133.695712)
+        (xy 167.266244 133.769216) (xy 167.457915 133.769383) (xy 167.635061 133.696188) (xy 167.762603 133.568868) (xy 167.889226 133.695712)
+        (xy 168.066244 133.769216) (xy 168.257915 133.769383) (xy 168.435061 133.696188) (xy 168.570712 133.560774) (xy 168.644216 133.383756)
+        (xy 168.644383 133.192085) (xy 168.644383 149.566935) (xy 168.534242 149.537844) (xy 168.21583 149.580919) (xy 168.21583 139.238337)
+        (xy 168.21583 137.238337) (xy 168.101404 136.961404) (xy 167.889711 136.74934) (xy 167.612978 136.634431) (xy 167.313337 136.63417)
+        (xy 167.036404 136.748596) (xy 166.82434 136.960289) (xy 166.709431 137.237022) (xy 166.70917 137.536663) (xy 166.823596 137.813596)
+        (xy 167.035289 138.02566) (xy 167.312022 138.140569) (xy 167.611663 138.14083) (xy 167.888596 138.026404) (xy 168.10066 137.814711)
+        (xy 168.215569 137.537978) (xy 168.21583 137.238337) (xy 168.21583 139.238337) (xy 168.101404 138.961404) (xy 167.889711 138.74934)
+        (xy 167.612978 138.634431) (xy 167.313337 138.63417) (xy 167.036404 138.748596) (xy 166.82434 138.960289) (xy 166.709431 139.237022)
+        (xy 166.70917 139.536663) (xy 166.823596 139.813596) (xy 167.035289 140.02566) (xy 167.312022 140.140569) (xy 167.611663 140.14083)
+        (xy 167.888596 140.026404) (xy 168.10066 139.814711) (xy 168.215569 139.537978) (xy 168.21583 139.238337) (xy 168.21583 149.580919)
+        (xy 168.085639 149.598532) (xy 168.173291 149.387445) (xy 168.173587 149.048244) (xy 168.044054 148.734749) (xy 167.804412 148.494689)
+        (xy 167.491145 148.364609) (xy 167.151944 148.364313) (xy 166.838449 148.493846) (xy 166.598389 148.733488) (xy 166.540117 148.873822)
+        (xy 166.540117 137.436416) (xy 166.476807 137.020307) (xy 166.439368 136.929921) (xy 166.255028 136.883471) (xy 165.966529 137.17197)
+        (xy 165.966529 136.594972) (xy 165.920079 136.410632) (xy 165.511416 136.309883) (xy 165.095307 136.373193) (xy 165.044383 136.394286)
+        (xy 165.044383 131.492085) (xy 164.971188 131.314939) (xy 164.835774 131.179288) (xy 164.658756 131.105784) (xy 164.467085 131.105617)
+        (xy 164.289939 131.178812) (xy 164.154288 131.314226) (xy 164.094383 131.458493) (xy 164.094383 130.467085) (xy 164.021188 130.289939)
+        (xy 163.885774 130.154288) (xy 163.708756 130.080784) (xy 163.517085 130.080617) (xy 163.339939 130.153812) (xy 163.204288 130.289226)
+        (xy 163.130784 130.466244) (xy 163.130617 130.657915) (xy 163.203812 130.835061) (xy 163.339226 130.970712) (xy 163.516244 131.044216)
+        (xy 163.707915 131.044383) (xy 163.885061 130.971188) (xy 164.020712 130.835774) (xy 164.094216 130.658756) (xy 164.094383 130.467085)
+        (xy 164.094383 131.458493) (xy 164.080784 131.491244) (xy 164.080617 131.682915) (xy 164.153812 131.860061) (xy 164.289226 131.995712)
+        (xy 164.466244 132.069216) (xy 164.657915 132.069383) (xy 164.835061 131.996188) (xy 164.970712 131.860774) (xy 165.044216 131.683756)
+        (xy 165.044383 131.492085) (xy 165.044383 136.394286) (xy 165.004921 136.410632) (xy 164.958471 136.594972) (xy 165.4625 137.099)
+        (xy 165.966529 136.594972) (xy 165.966529 137.17197) (xy 165.751 137.3875) (xy 166.255028 137.891529) (xy 166.439368 137.845079)
+        (xy 166.540117 137.436416) (xy 166.540117 148.873822) (xy 166.468309 149.046755) (xy 166.468013 149.385956) (xy 166.597546 149.699451)
+        (xy 166.837188 149.939511) (xy 167.150455 150.069591) (xy 167.456207 150.069857) (xy 167.541238 150.154888) (xy 167.400992 150.197528)
+        (xy 167.283404 150.642718) (xy 167.345134 151.09902) (xy 167.400992 151.233872) (xy 167.541238 151.276511) (xy 167.455969 151.36178)
+        (xy 167.149404 151.361513) (xy 167.025507 151.412706) (xy 167.025507 150.546844) (xy 166.895974 150.233349) (xy 166.656332 149.993289)
+        (xy 166.343065 149.863209) (xy 166.21583 149.863097) (xy 166.21583 139.238337) (xy 166.101404 138.961404) (xy 165.966529 138.826292)
+        (xy 165.966529 138.180028) (xy 165.4625 137.676) (xy 165.174 137.964499) (xy 165.174 137.3875) (xy 164.744383 136.957882)
+        (xy 164.744383 134.092085) (xy 164.671188 133.914939) (xy 164.535774 133.779288) (xy 164.38523 133.716777) (xy 164.435061 133.696188)
+        (xy 164.570712 133.560774) (xy 164.644216 133.383756) (xy 164.644383 133.192085) (xy 164.571188 133.014939) (xy 164.435774 132.879288)
+        (xy 164.258756 132.805784) (xy 164.067085 132.805617) (xy 163.889939 132.878812) (xy 163.754288 133.014226) (xy 163.680784 133.191244)
+        (xy 163.680617 133.382915) (xy 163.753812 133.560061) (xy 163.889226 133.695712) (xy 164.039769 133.758222) (xy 163.989939 133.778812)
+        (xy 163.854288 133.914226) (xy 163.780784 134.091244) (xy 163.780617 134.282915) (xy 163.853812 134.460061) (xy 163.989226 134.595712)
+        (xy 164.166244 134.669216) (xy 164.357915 134.669383) (xy 164.535061 134.596188) (xy 164.670712 134.460774) (xy 164.744216 134.283756)
+        (xy 164.744383 134.092085) (xy 164.744383 136.957882) (xy 164.669972 136.883471) (xy 164.485632 136.929921) (xy 164.384883 137.338584)
+        (xy 164.448193 137.754693) (xy 164.485632 137.845079) (xy 164.669972 137.891529) (xy 165.174 137.3875) (xy 165.174 137.964499)
+        (xy 164.958471 138.180028) (xy 165.004921 138.364368) (xy 165.413584 138.465117) (xy 165.829693 138.401807) (xy 165.920079 138.364368)
+        (xy 165.966529 138.180028) (xy 165.966529 138.826292) (xy 165.889711 138.74934) (xy 165.612978 138.634431) (xy 165.313337 138.63417)
+        (xy 165.036404 138.748596) (xy 164.82434 138.960289) (xy 164.709431 139.237022) (xy 164.70917 139.536663) (xy 164.823596 139.813596)
+        (xy 165.035289 140.02566) (xy 165.312022 140.140569) (xy 165.611663 140.14083) (xy 165.888596 140.026404) (xy 166.10066 139.814711)
+        (xy 166.215569 139.537978) (xy 166.21583 139.238337) (xy 166.21583 149.863097) (xy 166.003864 149.862913) (xy 165.879967 149.914106)
+        (xy 165.690369 149.992446) (xy 165.450309 150.232088) (xy 165.320229 150.545355) (xy 165.319933 150.884556) (xy 165.449466 151.198051)
+        (xy 165.689108 151.438111) (xy 166.002375 151.568191) (xy 166.341576 151.568487) (xy 166.655071 151.438954) (xy 166.895131 151.199312)
+        (xy 167.025211 150.886045) (xy 167.025507 150.546844) (xy 167.025507 151.412706) (xy 166.835909 151.491046) (xy 166.595849 151.730688)
+        (xy 166.465769 152.043955) (xy 166.465473 152.383156) (xy 166.595006 152.696651) (xy 166.834648 152.936711) (xy 167.147915 153.066791)
+        (xy 167.487116 153.067087) (xy 167.800611 152.937554) (xy 168.040671 152.697912) (xy 168.170751 152.384645) (xy 168.171047 152.045444)
+        (xy 168.073988 151.810542) (xy 168.388278 151.893556) (xy 168.84338 151.831988) (xy 168.754309 152.046495) (xy 168.754013 152.385696)
+        (xy 168.883546 152.699191) (xy 169.123188 152.939251) (xy 169.436455 153.069331) (xy 169.775656 153.069627) (xy 170.089151 152.940094)
+        (xy 170.329211 152.700452) (xy 170.459291 152.387185) (xy 170.459587 152.047984) (xy 170.361038 151.809478) (xy 170.679358 151.893556)
+        (xy 170.790093 151.878575) (xy 170.720024 152.143858) (xy 170.781754 152.60016) (xy 170.837612 152.735012) (xy 171.032082 152.794138)
+        (xy 171.574025 152.252195) (xy 171.60938 152.21684) (xy 171.89788 151.92834) (xy 172.18638 152.21684) (xy 172.221735 152.252195)
+        (xy 172.763678 152.794138) (xy 172.958148 152.735012) (xy 173.075736 152.289822) (xy 173.021198 151.886688) (xy 173.42674 151.831826)
+        (xy 173.561592 151.775968) (xy 173.620718 151.581498) (xy 173.620718 158.070958) (xy 172.475178 158.070626) (xy 172.475178 153.082638)
+        (xy 171.89788 152.50534) (xy 171.60938 152.79384) (xy 171.320582 153.082638) (xy 171.379708 153.277108) (xy 171.824898 153.394696)
+        (xy 172.2812 153.332966) (xy 172.416052 153.277108) (xy 172.475178 153.082638) (xy 172.475178 158.070626) (xy 165.879967 158.068714)
+        (xy 165.879967 152.047984) (xy 165.750434 151.734489) (xy 165.510792 151.494429) (xy 165.197525 151.364349) (xy 165.059496 151.364228)
+        (xy 164.889229 151.364079) (xy 164.801661 151.276511) (xy 164.941908 151.233872) (xy 165.059496 150.788682) (xy 164.997766 150.33238)
+        (xy 164.941908 150.197528) (xy 164.801661 150.154888) (xy 164.886932 150.069617) (xy 165.196036 150.069887) (xy 165.509531 149.940354)
+        (xy 165.749591 149.700712) (xy 165.879671 149.387445) (xy 165.879967 149.048244) (xy 165.750434 148.734749) (xy 165.510792 148.494689)
+        (xy 165.197525 148.364609) (xy 164.858324 148.364313) (xy 164.544829 148.493846) (xy 164.304769 148.733488) (xy 164.174689 149.046755)
+        (xy 164.174393 149.385956) (xy 164.271763 149.62161) (xy 163.954622 149.537844) (xy 163.847216 149.552374) (xy 163.916496 149.290082)
+        (xy 163.854766 148.83378) (xy 163.798908 148.698928) (xy 163.604438 148.639802) (xy 163.444383 148.799857) (xy 163.444383 131.492085)
+        (xy 163.371188 131.314939) (xy 163.235774 131.179288) (xy 163.058756 131.105784) (xy 162.867085 131.105617) (xy 162.689939 131.178812)
+        (xy 162.644383 131.224287) (xy 162.644383 127.492085) (xy 162.571188 127.314939) (xy 162.443868 127.187396) (xy 162.570712 127.060774)
+        (xy 162.644216 126.883756) (xy 162.644383 126.692085) (xy 162.571188 126.514939) (xy 162.435774 126.379288) (xy 162.258756 126.305784)
+        (xy 162.169383 126.305706) (xy 162.169383 117.292085) (xy 162.096188 117.114939) (xy 161.960774 116.979288) (xy 161.783756 116.905784)
+        (xy 161.592085 116.905617) (xy 161.414939 116.978812) (xy 161.279288 117.114226) (xy 161.205784 117.291244) (xy 161.205617 117.482915)
+        (xy 161.278812 117.660061) (xy 161.414226 117.795712) (xy 161.591244 117.869216) (xy 161.782915 117.869383) (xy 161.960061 117.796188)
+        (xy 162.095712 117.660774) (xy 162.169216 117.483756) (xy 162.169383 117.292085) (xy 162.169383 126.305706) (xy 162.144383 126.305684)
+        (xy 162.144383 123.642085) (xy 162.071188 123.464939) (xy 162.019383 123.413043) (xy 162.019383 122.092085) (xy 161.946188 121.914939)
+        (xy 161.810774 121.779288) (xy 161.744383 121.75172) (xy 161.744383 120.092085) (xy 161.671188 119.914939) (xy 161.535774 119.779288)
+        (xy 161.358756 119.705784) (xy 161.167085 119.705617) (xy 161.044383 119.756316) (xy 161.044383 110.292085) (xy 160.971188 110.114939)
+        (xy 160.835774 109.979288) (xy 160.658756 109.905784) (xy 160.467085 109.905617) (xy 160.289939 109.978812) (xy 160.154288 110.114226)
+        (xy 160.080784 110.291244) (xy 160.080617 110.482915) (xy 160.153812 110.660061) (xy 160.289226 110.795712) (xy 160.466244 110.869216)
+        (xy 160.657915 110.869383) (xy 160.835061 110.796188) (xy 160.970712 110.660774) (xy 161.044216 110.483756) (xy 161.044383 110.292085)
+        (xy 161.044383 119.756316) (xy 160.989939 119.778812) (xy 160.854288 119.914226) (xy 160.780784 120.091244) (xy 160.780617 120.282915)
+        (xy 160.853812 120.460061) (xy 160.989226 120.595712) (xy 161.166244 120.669216) (xy 161.357915 120.669383) (xy 161.535061 120.596188)
+        (xy 161.670712 120.460774) (xy 161.744216 120.283756) (xy 161.744383 120.092085) (xy 161.744383 121.75172) (xy 161.633756 121.705784)
+        (xy 161.442085 121.705617) (xy 161.264939 121.778812) (xy 161.129288 121.914226) (xy 161.055784 122.091244) (xy 161.055617 122.282915)
+        (xy 161.128812 122.460061) (xy 161.264226 122.595712) (xy 161.441244 122.669216) (xy 161.632915 122.669383) (xy 161.810061 122.596188)
+        (xy 161.945712 122.460774) (xy 162.019216 122.283756) (xy 162.019383 122.092085) (xy 162.019383 123.413043) (xy 161.935774 123.329288)
+        (xy 161.758756 123.255784) (xy 161.567085 123.255617) (xy 161.389939 123.328812) (xy 161.254288 123.464226) (xy 161.180784 123.641244)
+        (xy 161.180617 123.832915) (xy 161.247907 123.99577) (xy 161.212461 124.031153) (xy 161.110774 123.929288) (xy 160.933756 123.855784)
+        (xy 160.742085 123.855617) (xy 160.644383 123.895986) (xy 160.644383 111.192085) (xy 160.571188 111.014939) (xy 160.435774 110.879288)
+        (xy 160.258756 110.805784) (xy 160.067085 110.805617) (xy 159.889939 110.878812) (xy 159.754288 111.014226) (xy 159.680784 111.191244)
+        (xy 159.680617 111.382915) (xy 159.753812 111.560061) (xy 159.889226 111.695712) (xy 160.066244 111.769216) (xy 160.257915 111.769383)
+        (xy 160.435061 111.696188) (xy 160.570712 111.560774) (xy 160.644216 111.383756) (xy 160.644383 111.192085) (xy 160.644383 123.895986)
+        (xy 160.564939 123.928812) (xy 160.519383 123.974288) (xy 160.519383 114.092085) (xy 160.446188 113.914939) (xy 160.310774 113.779288)
+        (xy 160.133756 113.705784) (xy 159.942085 113.705617) (xy 159.919383 113.714997) (xy 159.919383 113.292085) (xy 159.846188 113.114939)
+        (xy 159.710774 112.979288) (xy 159.533756 112.905784) (xy 159.342085 112.905617) (xy 159.164939 112.978812) (xy 159.044383 113.099157)
+        (xy 159.044383 111.192085) (xy 158.971188 111.014939) (xy 158.835774 110.879288) (xy 158.658756 110.805784) (xy 158.467085 110.805617)
+        (xy 158.289939 110.878812) (xy 158.154288 111.014226) (xy 158.080784 111.191244) (xy 158.080617 111.382915) (xy 158.153812 111.560061)
+        (xy 158.289226 111.695712) (xy 158.466244 111.769216) (xy 158.657915 111.769383) (xy 158.835061 111.696188) (xy 158.970712 111.560774)
+        (xy 159.044216 111.383756) (xy 159.044383 111.192085) (xy 159.044383 113.099157) (xy 159.029288 113.114226) (xy 158.955784 113.291244)
+        (xy 158.955727 113.35643) (xy 158.833756 113.305784) (xy 158.642085 113.305617) (xy 158.464939 113.378812) (xy 158.329288 113.514226)
+        (xy 158.255784 113.691244) (xy 158.255617 113.882915) (xy 158.328812 114.060061) (xy 158.464226 114.195712) (xy 158.641244 114.269216)
+        (xy 158.832915 114.269383) (xy 159.010061 114.196188) (xy 159.145712 114.060774) (xy 159.219216 113.883756) (xy 159.219272 113.818569)
+        (xy 159.341244 113.869216) (xy 159.532915 113.869383) (xy 159.710061 113.796188) (xy 159.845712 113.660774) (xy 159.919216 113.483756)
+        (xy 159.919383 113.292085) (xy 159.919383 113.714997) (xy 159.764939 113.778812) (xy 159.629288 113.914226) (xy 159.555784 114.091244)
+        (xy 159.555763 114.114922) (xy 159.533756 114.105784) (xy 159.342085 114.105617) (xy 159.164939 114.178812) (xy 159.029288 114.314226)
+        (xy 158.955784 114.491244) (xy 158.955617 114.682915) (xy 159.028812 114.860061) (xy 159.123288 114.954702) (xy 159.064939 114.978812)
+        (xy 158.929288 115.114226) (xy 158.855784 115.291244) (xy 158.855617 115.482915) (xy 158.928812 115.660061) (xy 158.974381 115.70571)
+        (xy 158.867085 115.705617) (xy 158.689939 115.778812) (xy 158.554288 115.914226) (xy 158.480784 116.091244) (xy 158.480617 116.282915)
+        (xy 158.553812 116.460061) (xy 158.662889 116.569329) (xy 158.639939 116.578812) (xy 158.544224 116.674359) (xy 158.544383 116.492085)
+        (xy 158.471188 116.314939) (xy 158.343868 116.187396) (xy 158.470712 116.060774) (xy 158.544216 115.883756) (xy 158.544383 115.692085)
+        (xy 158.471188 115.514939) (xy 158.425661 115.469333) (xy 158.482915 115.469383) (xy 158.660061 115.396188) (xy 158.795712 115.260774)
+        (xy 158.869216 115.083756) (xy 158.869383 114.892085) (xy 158.796188 114.714939) (xy 158.660774 114.579288) (xy 158.483756 114.505784)
+        (xy 158.292085 114.505617) (xy 158.114939 114.578812) (xy 157.979288 114.714226) (xy 157.905784 114.891244) (xy 157.905617 115.082915)
+        (xy 157.978812 115.260061) (xy 158.024338 115.305666) (xy 157.967085 115.305617) (xy 157.789939 115.378812) (xy 157.654288 115.514226)
+        (xy 157.640375 115.547732) (xy 157.640375 92.67395) (xy 157.486146 92.300687) (xy 157.200815 92.014858) (xy 156.827822 91.859978)
+        (xy 156.42395 91.859625) (xy 156.050687 92.013854) (xy 155.764858 92.299185) (xy 155.609978 92.672178) (xy 155.609625 93.07605)
+        (xy 155.763854 93.449313) (xy 156.049185 93.735142) (xy 156.422178 93.890022) (xy 156.82605 93.890375) (xy 157.199313 93.736146)
+        (xy 157.485142 93.450815) (xy 157.640022 93.077822) (xy 157.640375 92.67395) (xy 157.640375 115.547732) (xy 157.580784 115.691244)
+        (xy 157.580617 115.882915) (xy 157.653812 116.060061) (xy 157.781131 116.187603) (xy 157.654288 116.314226) (xy 157.580784 116.491244)
+        (xy 157.580617 116.682915) (xy 157.653812 116.860061) (xy 157.789226 116.995712) (xy 157.966244 117.069216) (xy 158.157915 117.069383)
+        (xy 158.335061 116.996188) (xy 158.430775 116.90064) (xy 158.430617 117.082915) (xy 158.503812 117.260061) (xy 158.639226 117.395712)
+        (xy 158.698396 117.420281) (xy 158.604288 117.514226) (xy 158.530784 117.691244) (xy 158.530617 117.882915) (xy 158.603812 118.060061)
+        (xy 158.739226 118.195712) (xy 158.851364 118.242275) (xy 158.779288 118.314226) (xy 158.705784 118.491244) (xy 158.705617 118.682915)
+        (xy 158.778812 118.860061) (xy 158.914226 118.995712) (xy 158.920429 118.998287) (xy 158.804288 119.114226) (xy 158.730784 119.291244)
+        (xy 158.730617 119.482915) (xy 158.803812 119.660061) (xy 158.939226 119.795712) (xy 159.116244 119.869216) (xy 159.307915 119.869383)
+        (xy 159.485061 119.796188) (xy 159.620712 119.660774) (xy 159.694216 119.483756) (xy 159.694383 119.292085) (xy 159.621188 119.114939)
+        (xy 159.485774 118.979288) (xy 159.47957 118.976712) (xy 159.595712 118.860774) (xy 159.669216 118.683756) (xy 159.669383 118.492085)
+        (xy 159.596188 118.314939) (xy 159.460774 118.179288) (xy 159.348635 118.132724) (xy 159.420712 118.060774) (xy 159.494216 117.883756)
+        (xy 159.494383 117.692085) (xy 159.421188 117.514939) (xy 159.285774 117.379288) (xy 159.226603 117.354718) (xy 159.320712 117.260774)
+        (xy 159.394216 117.083756) (xy 159.394383 116.892085) (xy 159.321188 116.714939) (xy 159.21211 116.60567) (xy 159.235061 116.596188)
+        (xy 159.370712 116.460774) (xy 159.444216 116.283756) (xy 159.444383 116.092085) (xy 159.371188 115.914939) (xy 159.325618 115.869289)
+        (xy 159.432915 115.869383) (xy 159.610061 115.796188) (xy 159.745712 115.660774) (xy 159.819216 115.483756) (xy 159.819383 115.292085)
+        (xy 159.746188 115.114939) (xy 159.651711 115.020297) (xy 159.710061 114.996188) (xy 159.845712 114.860774) (xy 159.919216 114.683756)
+        (xy 159.919236 114.660077) (xy 159.941244 114.669216) (xy 160.132915 114.669383) (xy 160.310061 114.596188) (xy 160.445712 114.460774)
+        (xy 160.519216 114.283756) (xy 160.519383 114.092085) (xy 160.519383 123.974288) (xy 160.429288 124.064226) (xy 160.355784 124.241244)
+        (xy 160.355617 124.432915) (xy 160.428812 124.610061) (xy 160.564226 124.745712) (xy 160.741244 124.819216) (xy 160.932915 124.819383)
+        (xy 161.110061 124.746188) (xy 161.187538 124.668846) (xy 161.289226 124.770712) (xy 161.466244 124.844216) (xy 161.657915 124.844383)
+        (xy 161.835061 124.771188) (xy 161.970712 124.635774) (xy 162.044216 124.458756) (xy 162.044383 124.267085) (xy 161.977092 124.104229)
+        (xy 162.070712 124.010774) (xy 162.144216 123.833756) (xy 162.144383 123.642085) (xy 162.144383 126.305684) (xy 162.067085 126.305617)
+        (xy 161.889939 126.378812) (xy 161.754288 126.514226) (xy 161.680784 126.691244) (xy 161.680617 126.882915) (xy 161.753812 127.060061)
+        (xy 161.881131 127.187603) (xy 161.754288 127.314226) (xy 161.680784 127.491244) (xy 161.680617 127.682915) (xy 161.753812 127.860061)
+        (xy 161.887858 127.994341) (xy 161.864939 128.003812) (xy 161.762418 128.106153) (xy 161.635774 127.979288) (xy 161.458756 127.905784)
+        (xy 161.267085 127.905617) (xy 161.089939 127.978812) (xy 160.954288 128.114226) (xy 160.880784 128.291244) (xy 160.880617 128.482915)
+        (xy 160.953812 128.660061) (xy 161.089226 128.795712) (xy 161.266244 128.869216) (xy 161.457915 128.869383) (xy 161.635061 128.796188)
+        (xy 161.737581 128.693846) (xy 161.864226 128.820712) (xy 162.041244 128.894216) (xy 162.232915 128.894383) (xy 162.410061 128.821188)
+        (xy 162.545712 128.685774) (xy 162.619216 128.508756) (xy 162.619383 128.317085) (xy 162.546188 128.139939) (xy 162.412141 128.005658)
+        (xy 162.435061 127.996188) (xy 162.570712 127.860774) (xy 162.644216 127.683756) (xy 162.644383 127.492085) (xy 162.644383 131.224287)
+        (xy 162.562396 131.306131) (xy 162.435774 131.179288) (xy 162.258756 131.105784) (xy 162.219383 131.105749) (xy 162.219383 129.517085)
+        (xy 162.146188 129.339939) (xy 162.010774 129.204288) (xy 161.833756 129.130784) (xy 161.642085 129.130617) (xy 161.464939 129.203812)
+        (xy 161.329288 129.339226) (xy 161.255784 129.516244) (xy 161.255617 129.707915) (xy 161.328812 129.885061) (xy 161.464226 130.020712)
+        (xy 161.641244 130.094216) (xy 161.832915 130.094383) (xy 162.010061 130.021188) (xy 162.145712 129.885774) (xy 162.219216 129.708756)
+        (xy 162.219383 129.517085) (xy 162.219383 131.105749) (xy 162.067085 131.105617) (xy 161.889939 131.178812) (xy 161.754288 131.314226)
+        (xy 161.680784 131.491244) (xy 161.680617 131.682915) (xy 161.753812 131.860061) (xy 161.889226 131.995712) (xy 162.066244 132.069216)
+        (xy 162.257915 132.069383) (xy 162.435061 131.996188) (xy 162.562603 131.868868) (xy 162.689226 131.995712) (xy 162.866244 132.069216)
+        (xy 163.057915 132.069383) (xy 163.235061 131.996188) (xy 163.370712 131.860774) (xy 163.444216 131.683756) (xy 163.444383 131.492085)
+        (xy 163.444383 148.799857) (xy 163.315938 148.928302) (xy 163.315938 148.351302) (xy 163.256812 148.156832) (xy 162.811622 148.039244)
+        (xy 162.644383 148.061868) (xy 162.644383 133.092085) (xy 162.571188 132.914939) (xy 162.435774 132.779288) (xy 162.258756 132.705784)
+        (xy 162.067085 132.705617) (xy 161.889939 132.778812) (xy 161.754288 132.914226) (xy 161.680784 133.091244) (xy 161.680617 133.282915)
+        (xy 161.753812 133.460061) (xy 161.889226 133.595712) (xy 162.066244 133.669216) (xy 162.257915 133.669383) (xy 162.435061 133.596188)
+        (xy 162.570712 133.460774) (xy 162.644216 133.283756) (xy 162.644383 133.092085) (xy 162.644383 148.061868) (xy 162.35532 148.100974)
+        (xy 162.220468 148.156832) (xy 162.161342 148.351302) (xy 162.73864 148.9286) (xy 163.315938 148.351302) (xy 163.315938 148.928302)
+        (xy 163.062495 149.181745) (xy 163.02714 149.2171) (xy 162.73864 149.5056) (xy 162.703285 149.540955) (xy 162.45014 149.7941)
+        (xy 162.45014 149.2171) (xy 161.872842 148.639802) (xy 161.678372 148.698928) (xy 161.560784 149.144118) (xy 161.622514 149.60042)
+        (xy 161.678372 149.735272) (xy 161.872842 149.794398) (xy 162.45014 149.2171) (xy 162.45014 149.7941) (xy 162.161342 150.082898)
+        (xy 162.220468 150.277368) (xy 162.665658 150.394956) (xy 162.773063 150.380425) (xy 162.703784 150.642718) (xy 162.765514 151.09902)
+        (xy 162.821372 151.233872) (xy 162.961618 151.276511) (xy 162.873811 151.364318) (xy 162.569784 151.364053) (xy 162.256289 151.493586)
+        (xy 162.016229 151.733228) (xy 161.886149 152.046495) (xy 161.885853 152.385696) (xy 162.015386 152.699191) (xy 162.255028 152.939251)
+        (xy 162.568295 153.069331) (xy 162.907496 153.069627) (xy 163.220991 152.940094) (xy 163.461051 152.700452) (xy 163.591131 152.387185)
+        (xy 163.591427 152.047984) (xy 163.49319 151.810231) (xy 163.808658 151.893556) (xy 164.26376 151.831988) (xy 164.174689 152.046495)
+        (xy 164.174393 152.385696) (xy 164.303926 152.699191) (xy 164.543568 152.939251) (xy 164.856835 153.069331) (xy 165.196036 153.069627)
+        (xy 165.509531 152.940094) (xy 165.749591 152.700452) (xy 165.879671 152.387185) (xy 165.879967 152.047984) (xy 165.879967 158.068714)
+        (xy 161.044383 158.067313) (xy 161.044383 131.492085) (xy 160.971188 131.314939) (xy 160.869383 131.212955) (xy 160.869383 128.467085)
+        (xy 160.796188 128.289939) (xy 160.660774 128.154288) (xy 160.644383 128.147481) (xy 160.644383 125.892085) (xy 160.571188 125.714939)
+        (xy 160.435774 125.579288) (xy 160.258756 125.505784) (xy 160.067085 125.505617) (xy 159.889939 125.578812) (xy 159.819383 125.649244)
+        (xy 159.819383 120.892085) (xy 159.746188 120.714939) (xy 159.610774 120.579288) (xy 159.433756 120.505784) (xy 159.242085 120.505617)
+        (xy 159.064939 120.578812) (xy 158.929288 120.714226) (xy 158.855784 120.891244) (xy 158.855617 121.082915) (xy 158.928812 121.260061)
+        (xy 159.020968 121.352378) (xy 158.908756 121.305784) (xy 158.717085 121.305617) (xy 158.544309 121.377006) (xy 158.544383 121.292085)
+        (xy 158.471188 121.114939) (xy 158.343868 120.987396) (xy 158.470712 120.860774) (xy 158.544216 120.683756) (xy 158.544383 120.492085)
+        (xy 158.471188 120.314939) (xy 158.343868 120.187396) (xy 158.470712 120.060774) (xy 158.544216 119.883756) (xy 158.544383 119.692085)
+        (xy 158.471188 119.514939) (xy 158.343868 119.387396) (xy 158.470712 119.260774) (xy 158.544216 119.083756) (xy 158.544383 118.892085)
+        (xy 158.471188 118.714939) (xy 158.343868 118.587396) (xy 158.470712 118.460774) (xy 158.544216 118.283756) (xy 158.544383 118.092085)
+        (xy 158.471188 117.914939) (xy 158.335774 117.779288) (xy 158.158756 117.705784) (xy 157.967085 117.705617) (xy 157.789939 117.778812)
+        (xy 157.654288 117.914226) (xy 157.580784 118.091244) (xy 157.580617 118.282915) (xy 157.653812 118.460061) (xy 157.781131 118.587603)
+        (xy 157.654288 118.714226) (xy 157.580784 118.891244) (xy 157.580617 119.082915) (xy 157.653812 119.260061) (xy 157.781131 119.387603)
+        (xy 157.654288 119.514226) (xy 157.580784 119.691244) (xy 157.580617 119.882915) (xy 157.653812 120.060061) (xy 157.781131 120.187603)
+        (xy 157.654288 120.314226) (xy 157.580784 120.491244) (xy 157.580617 120.682915) (xy 157.653812 120.860061) (xy 157.781131 120.987603)
+        (xy 157.654288 121.114226) (xy 157.580784 121.291244) (xy 157.580617 121.482915) (xy 157.653812 121.660061) (xy 157.781131 121.787603)
+        (xy 157.654288 121.914226) (xy 157.580784 122.091244) (xy 157.580617 122.282915) (xy 157.653812 122.460061) (xy 157.789226 122.595712)
+        (xy 157.813085 122.605619) (xy 157.704288 122.714226) (xy 157.630784 122.891244) (xy 157.630617 123.082915) (xy 157.703812 123.260061)
+        (xy 157.839226 123.395712) (xy 158.016244 123.469216) (xy 158.207915 123.469383) (xy 158.385061 123.396188) (xy 158.520712 123.260774)
+        (xy 158.594216 123.083756) (xy 158.594353 122.925717) (xy 158.664226 122.995712) (xy 158.841244 123.069216) (xy 159.032915 123.069383)
+        (xy 159.210061 122.996188) (xy 159.345712 122.860774) (xy 159.419216 122.683756) (xy 159.419383 122.492085) (xy 159.346188 122.314939)
+        (xy 159.210774 122.179288) (xy 159.133947 122.147386) (xy 159.220712 122.060774) (xy 159.294216 121.883756) (xy 159.294383 121.692085)
+        (xy 159.221188 121.514939) (xy 159.129031 121.422621) (xy 159.241244 121.469216) (xy 159.432915 121.469383) (xy 159.610061 121.396188)
+        (xy 159.745712 121.260774) (xy 159.819216 121.083756) (xy 159.819383 120.892085) (xy 159.819383 125.649244) (xy 159.754288 125.714226)
+        (xy 159.680784 125.891244) (xy 159.680617 126.082915) (xy 159.753812 126.260061) (xy 159.889226 126.395712) (xy 160.066244 126.469216)
+        (xy 160.257915 126.469383) (xy 160.435061 126.396188) (xy 160.570712 126.260774) (xy 160.644216 126.083756) (xy 160.644383 125.892085)
+        (xy 160.644383 128.147481) (xy 160.483756 128.080784) (xy 160.292085 128.080617) (xy 160.176704 128.12829) (xy 160.171188 128.114939)
+        (xy 160.035774 127.979288) (xy 159.858756 127.905784) (xy 159.667085 127.905617) (xy 159.489939 127.978812) (xy 159.362396 128.106131)
+        (xy 159.235774 127.979288) (xy 159.058756 127.905784) (xy 159.044383 127.905771) (xy 159.044383 125.892085) (xy 158.971188 125.714939)
+        (xy 158.835774 125.579288) (xy 158.658756 125.505784) (xy 158.467085 125.505617) (xy 158.289939 125.578812) (xy 158.154288 125.714226)
+        (xy 158.080784 125.891244) (xy 158.080617 126.082915) (xy 158.153812 126.260061) (xy 158.289226 126.395712) (xy 158.466244 126.469216)
+        (xy 158.657915 126.469383) (xy 158.835061 126.396188) (xy 158.970712 126.260774) (xy 159.044216 126.083756) (xy 159.044383 125.892085)
+        (xy 159.044383 127.905771) (xy 158.867085 127.905617) (xy 158.689939 127.978812) (xy 158.554288 128.114226) (xy 158.480784 128.291244)
+        (xy 158.480617 128.482915) (xy 158.553812 128.660061) (xy 158.689226 128.795712) (xy 158.866244 128.869216) (xy 159.057915 128.869383)
+        (xy 159.235061 128.796188) (xy 159.362603 128.668868) (xy 159.489226 128.795712) (xy 159.666244 128.869216) (xy 159.857915 128.869383)
+        (xy 159.973295 128.821709) (xy 159.978812 128.835061) (xy 160.114226 128.970712) (xy 160.291244 129.044216) (xy 160.482915 129.044383)
+        (xy 160.660061 128.971188) (xy 160.795712 128.835774) (xy 160.869216 128.658756) (xy 160.869383 128.467085) (xy 160.869383 131.212955)
+        (xy 160.835774 131.179288) (xy 160.658756 131.105784) (xy 160.467085 131.105617) (xy 160.289939 131.178812) (xy 160.154288 131.314226)
+        (xy 160.080784 131.491244) (xy 160.080617 131.682915) (xy 160.153812 131.860061) (xy 160.289226 131.995712) (xy 160.466244 132.069216)
+        (xy 160.657915 132.069383) (xy 160.835061 131.996188) (xy 160.970712 131.860774) (xy 161.044216 131.683756) (xy 161.044383 131.492085)
+        (xy 161.044383 158.067313) (xy 160.644383 158.067197) (xy 160.644383 133.192085) (xy 160.571188 133.014939) (xy 160.435774 132.879288)
+        (xy 160.258756 132.805784) (xy 160.067085 132.805617) (xy 159.889939 132.878812) (xy 159.754288 133.014226) (xy 159.680784 133.191244)
+        (xy 159.680617 133.382915) (xy 159.753812 133.560061) (xy 159.889226 133.695712) (xy 160.066244 133.769216) (xy 160.257915 133.769383)
+        (xy 160.435061 133.696188) (xy 160.570712 133.560774) (xy 160.644216 133.383756) (xy 160.644383 133.192085) (xy 160.644383 158.067197)
+        (xy 159.044383 158.066733) (xy 159.044383 133.217085) (xy 158.971188 133.039939) (xy 158.835774 132.904288) (xy 158.658756 132.830784)
+        (xy 158.467085 132.830617) (xy 158.289939 132.903812) (xy 158.154288 133.039226) (xy 158.080784 133.216244) (xy 158.080617 133.407915)
+        (xy 158.153812 133.585061) (xy 158.289226 133.720712) (xy 158.466244 133.794216) (xy 158.657915 133.794383) (xy 158.835061 133.721188)
+        (xy 158.970712 133.585774) (xy 159.044216 133.408756) (xy 159.044383 133.217085) (xy 159.044383 158.066733) (xy 157.847546 158.066386)
+        (xy 157.847546 150.670713) (xy 157.644383 150.17902) (xy 157.644383 131.542085) (xy 157.571188 131.364939) (xy 157.569383 131.36313)
+        (xy 157.569383 120.092085) (xy 157.544383 120.03158) (xy 157.544383 110.267085) (xy 157.519383 110.20658) (xy 157.519383 108.992085)
+        (xy 157.446188 108.814939) (xy 157.444383 108.81313) (xy 157.444383 105.892085) (xy 157.371188 105.714939) (xy 157.235774 105.579288)
+        (xy 157.058756 105.505784) (xy 156.867085 105.505617) (xy 156.689939 105.578812) (xy 156.554288 105.714226) (xy 156.480784 105.891244)
+        (xy 156.480617 106.082915) (xy 156.553812 106.260061) (xy 156.689226 106.395712) (xy 156.866244 106.469216) (xy 157.057915 106.469383)
+        (xy 157.235061 106.396188) (xy 157.370712 106.260774) (xy 157.444216 106.083756) (xy 157.444383 105.892085) (xy 157.444383 108.81313)
+        (xy 157.310774 108.679288) (xy 157.133756 108.605784) (xy 156.942085 108.605617) (xy 156.764939 108.678812) (xy 156.629288 108.814226)
+        (xy 156.555784 108.991244) (xy 156.555617 109.182915) (xy 156.628812 109.360061) (xy 156.764226 109.495712) (xy 156.941244 109.569216)
+        (xy 157.132915 109.569383) (xy 157.310061 109.496188) (xy 157.445712 109.360774) (xy 157.519216 109.183756) (xy 157.519383 108.992085)
+        (xy 157.519383 110.20658) (xy 157.471188 110.089939) (xy 157.335774 109.954288) (xy 157.158756 109.880784) (xy 156.967085 109.880617)
+        (xy 156.869248 109.921041) (xy 156.869383 109.767085) (xy 156.796188 109.589939) (xy 156.660774 109.454288) (xy 156.483756 109.380784)
+        (xy 156.370375 109.380685) (xy 156.370375 95.21395) (xy 156.216146 94.840687) (xy 155.930815 94.554858) (xy 155.557822 94.399978)
+        (xy 155.15395 94.399625) (xy 155.100375 94.421761) (xy 155.100375 92.67395) (xy 154.946146 92.300687) (xy 154.660815 92.014858)
+        (xy 154.287822 91.859978) (xy 153.88395 91.859625) (xy 153.510687 92.013854) (xy 153.224858 92.299185) (xy 153.069978 92.672178)
+        (xy 153.069625 93.07605) (xy 153.223854 93.449313) (xy 153.509185 93.735142) (xy 153.882178 93.890022) (xy 154.28605 93.890375)
+        (xy 154.659313 93.736146) (xy 154.945142 93.450815) (xy 155.100022 93.077822) (xy 155.100375 92.67395) (xy 155.100375 94.421761)
+        (xy 154.780687 94.553854) (xy 154.494858 94.839185) (xy 154.339978 95.212178) (xy 154.339625 95.61605) (xy 154.493854 95.989313)
+        (xy 154.779185 96.275142) (xy 155.152178 96.430022) (xy 155.55605 96.430375) (xy 155.929313 96.276146) (xy 156.215142 95.990815)
+        (xy 156.370022 95.617822) (xy 156.370375 95.21395) (xy 156.370375 109.380685) (xy 156.292085 109.380617) (xy 156.114939 109.453812)
+        (xy 155.979288 109.589226) (xy 155.905784 109.766244) (xy 155.905617 109.957915) (xy 155.978812 110.135061) (xy 156.114226 110.270712)
+        (xy 156.291244 110.344216) (xy 156.482915 110.344383) (xy 156.580751 110.303958) (xy 156.580617 110.457915) (xy 156.653812 110.635061)
+        (xy 156.789226 110.770712) (xy 156.966244 110.844216) (xy 157.157915 110.844383) (xy 157.335061 110.771188) (xy 157.470712 110.635774)
+        (xy 157.544216 110.458756) (xy 157.544383 110.267085) (xy 157.544383 120.03158) (xy 157.496188 119.914939) (xy 157.360774 119.779288)
+        (xy 157.183756 119.705784) (xy 156.992085 119.705617) (xy 156.944383 119.725327) (xy 156.944383 117.292085) (xy 156.871188 117.114939)
+        (xy 156.735774 116.979288) (xy 156.558756 116.905784) (xy 156.367085 116.905617) (xy 156.189939 116.978812) (xy 156.054288 117.114226)
+        (xy 155.980784 117.291244) (xy 155.980617 117.482915) (xy 156.053812 117.660061) (xy 156.189226 117.795712) (xy 156.366244 117.869216)
+        (xy 156.557915 117.869383) (xy 156.735061 117.796188) (xy 156.870712 117.660774) (xy 156.944216 117.483756) (xy 156.944383 117.292085)
+        (xy 156.944383 119.725327) (xy 156.814939 119.778812) (xy 156.679288 119.914226) (xy 156.605784 120.091244) (xy 156.605617 120.282915)
+        (xy 156.678812 120.460061) (xy 156.814226 120.595712) (xy 156.991244 120.669216) (xy 157.182915 120.669383) (xy 157.360061 120.596188)
+        (xy 157.495712 120.460774) (xy 157.569216 120.283756) (xy 157.569383 120.092085) (xy 157.569383 131.36313) (xy 157.435774 131.229288)
+        (xy 157.258756 131.155784) (xy 157.067085 131.155617) (xy 156.889939 131.228812) (xy 156.754288 131.364226) (xy 156.680784 131.541244)
+        (xy 156.680617 131.732915) (xy 156.753812 131.910061) (xy 156.831175 131.98756) (xy 156.704288 132.114226) (xy 156.644383 132.258493)
+        (xy 156.644383 131.492085) (xy 156.571188 131.314939) (xy 156.435774 131.179288) (xy 156.258756 131.105784) (xy 156.067085 131.105617)
+        (xy 155.889939 131.178812) (xy 155.769383 131.299157) (xy 155.769383 120.492085) (xy 155.696188 120.314939) (xy 155.560774 120.179288)
+        (xy 155.383756 120.105784) (xy 155.269383 120.105684) (xy 155.269383 109.317085) (xy 155.196188 109.139939) (xy 155.060774 109.004288)
+        (xy 154.883756 108.930784) (xy 154.692085 108.930617) (xy 154.514939 109.003812) (xy 154.379288 109.139226) (xy 154.305784 109.316244)
+        (xy 154.305617 109.507915) (xy 154.352323 109.620954) (xy 154.285774 109.554288) (xy 154.108756 109.480784) (xy 153.917085 109.480617)
+        (xy 153.846627 109.509729) (xy 153.920712 109.435774) (xy 153.994216 109.258756) (xy 153.994383 109.067085) (xy 153.921188 108.889939)
+        (xy 153.830375 108.798967) (xy 153.830375 95.21395) (xy 153.676146 94.840687) (xy 153.390815 94.554858) (xy 153.017822 94.399978)
+        (xy 152.61395 94.399625) (xy 152.560375 94.421761) (xy 152.560375 92.67395) (xy 152.406146 92.300687) (xy 152.120815 92.014858)
+        (xy 151.747822 91.859978) (xy 151.34395 91.859625) (xy 150.970687 92.013854) (xy 150.684858 92.299185) (xy 150.529978 92.672178)
+        (xy 150.529625 93.07605) (xy 150.683854 93.449313) (xy 150.969185 93.735142) (xy 151.342178 93.890022) (xy 151.74605 93.890375)
+        (xy 152.119313 93.736146) (xy 152.405142 93.450815) (xy 152.560022 93.077822) (xy 152.560375 92.67395) (xy 152.560375 94.421761)
+        (xy 152.240687 94.553854) (xy 151.954858 94.839185) (xy 151.799978 95.212178) (xy 151.799625 95.61605) (xy 151.953854 95.989313)
+        (xy 152.239185 96.275142) (xy 152.612178 96.430022) (xy 153.01605 96.430375) (xy 153.389313 96.276146) (xy 153.675142 95.990815)
+        (xy 153.830022 95.617822) (xy 153.830375 95.21395) (xy 153.830375 108.798967) (xy 153.785774 108.754288) (xy 153.608756 108.680784)
+        (xy 153.417085 108.680617) (xy 153.239939 108.753812) (xy 153.104288 108.889226) (xy 153.030784 109.066244) (xy 153.030617 109.257915)
+        (xy 153.103812 109.435061) (xy 153.239226 109.570712) (xy 153.416244 109.644216) (xy 153.607915 109.644383) (xy 153.678372 109.61527)
+        (xy 153.604288 109.689226) (xy 153.530784 109.866244) (xy 153.530617 110.057915) (xy 153.542166 110.085866) (xy 153.435774 109.979288)
+        (xy 153.258756 109.905784) (xy 153.067085 109.905617) (xy 153.019383 109.925327) (xy 153.019383 108.917085) (xy 152.946188 108.739939)
+        (xy 152.810774 108.604288) (xy 152.633756 108.530784) (xy 152.544383 108.530706) (xy 152.544383 104.692085) (xy 152.471188 104.514939)
+        (xy 152.335774 104.379288) (xy 152.244383 104.341339) (xy 152.244383 103.642085) (xy 152.171188 103.464939) (xy 152.035774 103.329288)
+        (xy 151.858756 103.255784) (xy 151.667085 103.255617) (xy 151.489939 103.328812) (xy 151.354288 103.464226) (xy 151.302132 103.58983)
+        (xy 151.290375 103.561375) (xy 151.290375 95.21395) (xy 151.136146 94.840687) (xy 150.850815 94.554858) (xy 150.477822 94.399978)
+        (xy 150.07395 94.399625) (xy 150.020375 94.421761) (xy 150.020375 92.67395) (xy 149.866146 92.300687) (xy 149.580815 92.014858)
+        (xy 149.207822 91.859978) (xy 149.110375 91.859892) (xy 149.110375 81.42395) (xy 148.956146 81.050687) (xy 148.670815 80.764858)
+        (xy 148.297822 80.609978) (xy 147.89395 80.609625) (xy 147.520687 80.763854) (xy 147.234858 81.049185) (xy 147.079978 81.422178)
+        (xy 147.079625 81.82605) (xy 147.233854 82.199313) (xy 147.519185 82.485142) (xy 147.892178 82.640022) (xy 148.29605 82.640375)
+        (xy 148.669313 82.486146) (xy 148.955142 82.200815) (xy 149.110022 81.827822) (xy 149.110375 81.42395) (xy 149.110375 91.859892)
+        (xy 148.80395 91.859625) (xy 148.430687 92.013854) (xy 148.144858 92.299185) (xy 147.989978 92.672178) (xy 147.989625 93.07605)
+        (xy 148.143854 93.449313) (xy 148.429185 93.735142) (xy 148.802178 93.890022) (xy 149.20605 93.890375) (xy 149.579313 93.736146)
+        (xy 149.865142 93.450815) (xy 150.020022 93.077822) (xy 150.020375 92.67395) (xy 150.020375 94.421761) (xy 149.700687 94.553854)
+        (xy 149.414858 94.839185) (xy 149.259978 95.212178) (xy 149.259625 95.61605) (xy 149.413854 95.989313) (xy 149.699185 96.275142)
+        (xy 150.072178 96.430022) (xy 150.47605 96.430375) (xy 150.849313 96.276146) (xy 151.135142 95.990815) (xy 151.290022 95.617822)
+        (xy 151.290375 95.21395) (xy 151.290375 103.561375) (xy 151.271188 103.514939) (xy 151.135774 103.379288) (xy 150.958756 103.305784)
+        (xy 150.767085 103.305617) (xy 150.589939 103.378812) (xy 150.462396 103.506131) (xy 150.335774 103.379288) (xy 150.158756 103.305784)
+        (xy 149.967085 103.305617) (xy 149.789939 103.378812) (xy 149.654288 103.514226) (xy 149.580784 103.691244) (xy 149.580617 103.882915)
+        (xy 149.653812 104.060061) (xy 149.789226 104.195712) (xy 149.966244 104.269216) (xy 150.157915 104.269383) (xy 150.335061 104.196188)
+        (xy 150.462603 104.068868) (xy 150.589226 104.195712) (xy 150.766244 104.269216) (xy 150.957915 104.269383) (xy 151.135061 104.196188)
+        (xy 151.270712 104.060774) (xy 151.322867 103.935169) (xy 151.353812 104.010061) (xy 151.489226 104.145712) (xy 151.666244 104.219216)
+        (xy 151.857915 104.219383) (xy 152.035061 104.146188) (xy 152.170712 104.010774) (xy 152.244216 103.833756) (xy 152.244383 103.642085)
+        (xy 152.244383 104.341339) (xy 152.158756 104.305784) (xy 151.967085 104.305617) (xy 151.789939 104.378812) (xy 151.654288 104.514226)
+        (xy 151.580784 104.691244) (xy 151.580617 104.882915) (xy 151.653812 105.060061) (xy 151.789226 105.195712) (xy 151.966244 105.269216)
+        (xy 152.157915 105.269383) (xy 152.335061 105.196188) (xy 152.470712 105.060774) (xy 152.544216 104.883756) (xy 152.544383 104.692085)
+        (xy 152.544383 108.530706) (xy 152.442085 108.530617) (xy 152.264939 108.603812) (xy 152.129288 108.739226) (xy 152.055784 108.916244)
+        (xy 152.055617 109.107915) (xy 152.128812 109.285061) (xy 152.264226 109.420712) (xy 152.441244 109.494216) (xy 152.632915 109.494383)
+        (xy 152.810061 109.421188) (xy 152.945712 109.285774) (xy 153.019216 109.108756) (xy 153.019383 108.917085) (xy 153.019383 109.925327)
+        (xy 152.889939 109.978812) (xy 152.754288 110.114226) (xy 152.680784 110.291244) (xy 152.680617 110.482915) (xy 152.753812 110.660061)
+        (xy 152.889226 110.795712) (xy 153.066244 110.869216) (xy 153.257915 110.869383) (xy 153.435061 110.796188) (xy 153.570712 110.660774)
+        (xy 153.644216 110.483756) (xy 153.644383 110.292085) (xy 153.632833 110.264133) (xy 153.739226 110.370712) (xy 153.916244 110.444216)
+        (xy 154.107915 110.444383) (xy 154.205729 110.403967) (xy 154.205617 110.532915) (xy 154.278812 110.710061) (xy 154.414226 110.845712)
+        (xy 154.591244 110.919216) (xy 154.782915 110.919383) (xy 154.960061 110.846188) (xy 155.095712 110.710774) (xy 155.169216 110.533756)
+        (xy 155.169383 110.342085) (xy 155.096188 110.164939) (xy 154.960774 110.029288) (xy 154.783756 109.955784) (xy 154.592085 109.955617)
+        (xy 154.49427 109.996032) (xy 154.494383 109.867085) (xy 154.447676 109.754045) (xy 154.514226 109.820712) (xy 154.691244 109.894216)
+        (xy 154.882915 109.894383) (xy 155.060061 109.821188) (xy 155.195712 109.685774) (xy 155.269216 109.508756) (xy 155.269383 109.317085)
+        (xy 155.269383 120.105684) (xy 155.192085 120.105617) (xy 155.069383 120.156316) (xy 155.069383 117.692085) (xy 154.996188 117.514939)
+        (xy 154.944383 117.463043) (xy 154.944383 114.492085) (xy 154.871188 114.314939) (xy 154.735774 114.179288) (xy 154.558756 114.105784)
+        (xy 154.367085 114.105617) (xy 154.189939 114.178812) (xy 154.054288 114.314226) (xy 153.994383 114.458493) (xy 153.994383 114.092085)
+        (xy 153.921188 113.914939) (xy 153.785774 113.779288) (xy 153.608756 113.705784) (xy 153.417085 113.705617) (xy 153.239939 113.778812)
+        (xy 153.104288 113.914226) (xy 153.030784 114.091244) (xy 153.030617 114.282915) (xy 153.103812 114.460061) (xy 153.239226 114.595712)
+        (xy 153.416244 114.669216) (xy 153.607915 114.669383) (xy 153.785061 114.596188) (xy 153.920712 114.460774) (xy 153.994216 114.283756)
+        (xy 153.994383 114.092085) (xy 153.994383 114.458493) (xy 153.980784 114.491244) (xy 153.980617 114.682915) (xy 154.053812 114.860061)
+        (xy 154.189226 114.995712) (xy 154.366244 115.069216) (xy 154.557915 115.069383) (xy 154.735061 114.996188) (xy 154.870712 114.860774)
+        (xy 154.944216 114.683756) (xy 154.944383 114.492085) (xy 154.944383 117.463043) (xy 154.860774 117.379288) (xy 154.683756 117.305784)
+        (xy 154.492085 117.305617) (xy 154.394383 117.345986) (xy 154.394383 116.492085) (xy 154.321188 116.314939) (xy 154.185774 116.179288)
+        (xy 154.008756 116.105784) (xy 153.817085 116.105617) (xy 153.639939 116.178812) (xy 153.504288 116.314226) (xy 153.444383 116.458493)
+        (xy 153.444383 115.692085) (xy 153.371188 115.514939) (xy 153.235774 115.379288) (xy 153.058756 115.305784) (xy 152.944383 115.305684)
+        (xy 152.944383 111.142085) (xy 152.871188 110.964939) (xy 152.735774 110.829288) (xy 152.558756 110.755784) (xy 152.367085 110.755617)
+        (xy 152.189939 110.828812) (xy 152.054288 110.964226) (xy 151.980784 111.141244) (xy 151.980617 111.332915) (xy 152.053812 111.510061)
+        (xy 152.189226 111.645712) (xy 152.366244 111.719216) (xy 152.557915 111.719383) (xy 152.735061 111.646188) (xy 152.870712 111.510774)
+        (xy 152.944216 111.333756) (xy 152.944383 111.142085) (xy 152.944383 115.305684) (xy 152.867085 115.305617) (xy 152.689939 115.378812)
+        (xy 152.554288 115.514226) (xy 152.480784 115.691244) (xy 152.480617 115.882915) (xy 152.553812 116.060061) (xy 152.689226 116.195712)
+        (xy 152.866244 116.269216) (xy 153.057915 116.269383) (xy 153.235061 116.196188) (xy 153.370712 116.060774) (xy 153.444216 115.883756)
+        (xy 153.444383 115.692085) (xy 153.444383 116.458493) (xy 153.430784 116.491244) (xy 153.430617 116.682915) (xy 153.503812 116.860061)
+        (xy 153.639226 116.995712) (xy 153.816244 117.069216) (xy 154.007915 117.069383) (xy 154.185061 116.996188) (xy 154.320712 116.860774)
+        (xy 154.394216 116.683756) (xy 154.394383 116.492085) (xy 154.394383 117.345986) (xy 154.314939 117.378812) (xy 154.179288 117.514226)
+        (xy 154.105784 117.691244) (xy 154.105617 117.882915) (xy 154.178812 118.060061) (xy 154.314226 118.195712) (xy 154.491244 118.269216)
+        (xy 154.682915 118.269383) (xy 154.860061 118.196188) (xy 154.995712 118.060774) (xy 155.069216 117.883756) (xy 155.069383 117.692085)
+        (xy 155.069383 120.156316) (xy 155.014939 120.178812) (xy 154.879288 120.314226) (xy 154.805784 120.491244) (xy 154.805617 120.682915)
+        (xy 154.878812 120.860061) (xy 155.014226 120.995712) (xy 155.191244 121.069216) (xy 155.382915 121.069383) (xy 155.560061 120.996188)
+        (xy 155.695712 120.860774) (xy 155.769216 120.683756) (xy 155.769383 120.492085) (xy 155.769383 131.299157) (xy 155.754288 131.314226)
+        (xy 155.680784 131.491244) (xy 155.680617 131.682915) (xy 155.753812 131.860061) (xy 155.889226 131.995712) (xy 156.066244 132.069216)
+        (xy 156.257915 132.069383) (xy 156.435061 131.996188) (xy 156.570712 131.860774) (xy 156.644216 131.683756) (xy 156.644383 131.492085)
+        (xy 156.644383 132.258493) (xy 156.630784 132.291244) (xy 156.630617 132.482915) (xy 156.703812 132.660061) (xy 156.839226 132.795712)
+        (xy 157.016244 132.869216) (xy 157.207915 132.869383) (xy 157.385061 132.796188) (xy 157.520712 132.660774) (xy 157.594216 132.483756)
+        (xy 157.594383 132.292085) (xy 157.521188 132.114939) (xy 157.443824 132.037439) (xy 157.570712 131.910774) (xy 157.644216 131.733756)
+        (xy 157.644383 131.542085) (xy 157.644383 150.17902) (xy 157.469383 149.755488) (xy 157.469383 141.892085) (xy 157.396188 141.714939)
+        (xy 157.260774 141.579288) (xy 157.083756 141.505784) (xy 156.892085 141.505617) (xy 156.714939 141.578812) (xy 156.579288 141.714226)
+        (xy 156.505784 141.891244) (xy 156.505617 142.082915) (xy 156.578812 142.260061) (xy 156.714226 142.395712) (xy 156.891244 142.469216)
+        (xy 157.082915 142.469383) (xy 157.260061 142.396188) (xy 157.395712 142.260774) (xy 157.469216 142.083756) (xy 157.469383 141.892085)
+        (xy 157.469383 149.755488) (xy 157.429184 149.658199) (xy 156.655196 148.882858) (xy 155.644383 148.463132) (xy 155.644383 134.392085)
+        (xy 155.571188 134.214939) (xy 155.519383 134.163043) (xy 155.519383 121.692085) (xy 155.446188 121.514939) (xy 155.310774 121.379288)
+        (xy 155.133756 121.305784) (xy 154.942085 121.305617) (xy 154.764939 121.378812) (xy 154.629288 121.514226) (xy 154.555784 121.691244)
+        (xy 154.555617 121.882915) (xy 154.628812 122.060061) (xy 154.764226 122.195712) (xy 154.941244 122.269216) (xy 155.132915 122.269383)
+        (xy 155.310061 122.196188) (xy 155.445712 122.060774) (xy 155.519216 121.883756) (xy 155.519383 121.692085) (xy 155.519383 134.163043)
+        (xy 155.435774 134.079288) (xy 155.258756 134.005784) (xy 155.067085 134.005617) (xy 154.889939 134.078812) (xy 154.754288 134.214226)
+        (xy 154.680784 134.391244) (xy 154.680617 134.582915) (xy 154.753812 134.760061) (xy 154.889226 134.895712) (xy 155.066244 134.969216)
+        (xy 155.257915 134.969383) (xy 155.435061 134.896188) (xy 155.570712 134.760774) (xy 155.644216 134.583756) (xy 155.644383 134.392085)
+        (xy 155.644383 148.463132) (xy 155.643414 148.46273) (xy 154.919383 148.462098) (xy 154.919383 141.442085) (xy 154.846188 141.264939)
+        (xy 154.710774 141.129288) (xy 154.533756 141.055784) (xy 154.342085 141.055617) (xy 154.169383 141.126975) (xy 154.169383 134.342085)
+        (xy 154.096188 134.164939) (xy 153.960774 134.029288) (xy 153.783756 133.955784) (xy 153.592085 133.955617) (xy 153.414939 134.028812)
+        (xy 153.279288 134.164226) (xy 153.205784 134.341244) (xy 153.205617 134.532915) (xy 153.278812 134.710061) (xy 153.414226 134.845712)
+        (xy 153.591244 134.919216) (xy 153.782915 134.919383) (xy 153.960061 134.846188) (xy 154.095712 134.710774) (xy 154.169216 134.533756)
+        (xy 154.169383 134.342085) (xy 154.169383 141.126975) (xy 154.164939 141.128812) (xy 154.029288 141.264226) (xy 153.955784 141.441244)
+        (xy 153.955617 141.632915) (xy 154.028812 141.810061) (xy 154.164226 141.945712) (xy 154.341244 142.019216) (xy 154.532915 142.019383)
+        (xy 154.710061 141.946188) (xy 154.845712 141.810774) (xy 154.919216 141.633756) (xy 154.919383 141.442085) (xy 154.919383 148.462098)
+        (xy 154.547873 148.461774) (xy 153.535359 148.880136) (xy 152.994383 149.420167) (xy 152.994383 131.167085) (xy 152.921188 130.989939)
+        (xy 152.794383 130.862912) (xy 152.794383 126.942085) (xy 152.769383 126.88158) (xy 152.769383 122.892085) (xy 152.696188 122.714939)
+        (xy 152.560774 122.579288) (xy 152.383756 122.505784) (xy 152.294383 122.505706) (xy 152.294383 114.892085) (xy 152.221188 114.714939)
+        (xy 152.085774 114.579288) (xy 151.908756 114.505784) (xy 151.717085 114.505617) (xy 151.539939 114.578812) (xy 151.404288 114.714226)
+        (xy 151.330784 114.891244) (xy 151.330617 115.082915) (xy 151.403812 115.260061) (xy 151.539226 115.395712) (xy 151.716244 115.469216)
+        (xy 151.907915 115.469383) (xy 152.085061 115.396188) (xy 152.220712 115.260774) (xy 152.294216 115.083756) (xy 152.294383 114.892085)
+        (xy 152.294383 122.505706) (xy 152.192085 122.505617) (xy 152.119383 122.535656) (xy 152.119383 121.292085) (xy 152.046188 121.114939)
+        (xy 151.910774 120.979288) (xy 151.733756 120.905784) (xy 151.542085 120.905617) (xy 151.364939 120.978812) (xy 151.344383 120.999332)
+        (xy 151.344383 116.892085) (xy 151.271188 116.714939) (xy 151.135774 116.579288) (xy 150.958756 116.505784) (xy 150.944383 116.505771)
+        (xy 150.944383 104.692085) (xy 150.871188 104.514939) (xy 150.735774 104.379288) (xy 150.558756 104.305784) (xy 150.367085 104.305617)
+        (xy 150.189939 104.378812) (xy 150.062396 104.506131) (xy 149.935774 104.379288) (xy 149.758756 104.305784) (xy 149.567085 104.305617)
+        (xy 149.389939 104.378812) (xy 149.28067 104.487889) (xy 149.271188 104.464939) (xy 149.135774 104.329288) (xy 149.105096 104.316549)
+        (xy 149.105096 96.066494) (xy 149.105096 94.763506) (xy 149.104902 94.54152) (xy 149.019773 94.336506) (xy 148.862668 94.179675)
+        (xy 148.657506 94.094904) (xy 148.1285 94.095) (xy 147.989 94.2345) (xy 147.989 95.211) (xy 148.9655 95.211)
+        (xy 149.105 95.0715) (xy 149.105096 94.763506) (xy 149.105096 96.066494) (xy 149.105 95.7585) (xy 148.9655 95.619)
+        (xy 147.989 95.619) (xy 147.989 96.5955) (xy 148.1285 96.735) (xy 148.657506 96.735096) (xy 148.862668 96.650325)
+        (xy 149.019773 96.493494) (xy 149.104902 96.28848) (xy 149.105096 96.066494) (xy 149.105096 104.316549) (xy 148.958756 104.255784)
+        (xy 148.944383 104.255771) (xy 148.944383 103.692085) (xy 148.871188 103.514939) (xy 148.735774 103.379288) (xy 148.558756 103.305784)
+        (xy 148.367085 103.305617) (xy 148.189939 103.378812) (xy 148.054288 103.514226) (xy 147.980784 103.691244) (xy 147.980617 103.882915)
+        (xy 148.053812 104.060061) (xy 148.189226 104.195712) (xy 148.366244 104.269216) (xy 148.557915 104.269383) (xy 148.735061 104.196188)
+        (xy 148.870712 104.060774) (xy 148.944216 103.883756) (xy 148.944383 103.692085) (xy 148.944383 104.255771) (xy 148.767085 104.255617)
+        (xy 148.589939 104.328812) (xy 148.454288 104.464226) (xy 148.380784 104.641244) (xy 148.380617 104.832915) (xy 148.453812 105.010061)
+        (xy 148.589226 105.145712) (xy 148.766244 105.219216) (xy 148.821053 105.219263) (xy 148.780784 105.316244) (xy 148.780617 105.507915)
+        (xy 148.853812 105.685061) (xy 148.989226 105.820712) (xy 149.166244 105.894216) (xy 149.357915 105.894383) (xy 149.535061 105.821188)
+        (xy 149.670712 105.685774) (xy 149.744216 105.508756) (xy 149.744383 105.317085) (xy 149.724661 105.269354) (xy 149.757915 105.269383)
+        (xy 149.935061 105.196188) (xy 150.062603 105.068868) (xy 150.189226 105.195712) (xy 150.366244 105.269216) (xy 150.557915 105.269383)
+        (xy 150.735061 105.196188) (xy 150.870712 105.060774) (xy 150.944216 104.883756) (xy 150.944383 104.692085) (xy 150.944383 116.505771)
+        (xy 150.797842 116.505643) (xy 150.869216 116.333756) (xy 150.869383 116.142085) (xy 150.796188 115.964939) (xy 150.660774 115.829288)
+        (xy 150.483756 115.755784) (xy 150.292085 115.755617) (xy 150.114939 115.828812) (xy 150.044383 115.899244) (xy 150.044383 115.292085)
+        (xy 149.971188 115.114939) (xy 149.835774 114.979288) (xy 149.658756 114.905784) (xy 149.467085 114.905617) (xy 149.289939 114.978812)
+        (xy 149.269383 114.999332) (xy 149.269383 113.292085) (xy 149.196188 113.114939) (xy 149.060774 112.979288) (xy 148.883756 112.905784)
+        (xy 148.692085 112.905617) (xy 148.514939 112.978812) (xy 148.379288 113.114226) (xy 148.305784 113.291244) (xy 148.305771 113.305781)
+        (xy 148.119383 113.305618) (xy 148.119383 104.492085) (xy 148.046188 104.314939) (xy 147.910774 104.179288) (xy 147.733756 104.105784)
+        (xy 147.581 104.10565) (xy 147.581 96.5955) (xy 147.581 95.619) (xy 147.581 95.211) (xy 147.581 94.2345)
+        (xy 147.4415 94.095) (xy 146.912494 94.094904) (xy 146.707332 94.179675) (xy 146.550227 94.336506) (xy 146.465098 94.54152)
+        (xy 146.464904 94.763506) (xy 146.465 95.0715) (xy 146.6045 95.211) (xy 147.581 95.211) (xy 147.581 95.619)
+        (xy 146.6045 95.619) (xy 146.465 95.7585) (xy 146.464904 96.066494) (xy 146.465098 96.28848) (xy 146.550227 96.493494)
+        (xy 146.707332 96.650325) (xy 146.912494 96.735096) (xy 147.4415 96.735) (xy 147.581 96.5955) (xy 147.581 104.10565)
+        (xy 147.542085 104.105617) (xy 147.364939 104.178812) (xy 147.229288 104.314226) (xy 147.155784 104.491244) (xy 147.155617 104.682915)
+        (xy 147.228812 104.860061) (xy 147.364226 104.995712) (xy 147.541244 105.069216) (xy 147.732915 105.069383) (xy 147.910061 104.996188)
+        (xy 148.045712 104.860774) (xy 148.119216 104.683756) (xy 148.119383 104.492085) (xy 148.119383 113.305618) (xy 148.117085 113.305617)
+        (xy 147.939939 113.378812) (xy 147.804288 113.514226) (xy 147.730784 113.691244) (xy 147.730617 113.882915) (xy 147.803812 114.060061)
+        (xy 147.939226 114.195712) (xy 148.116244 114.269216) (xy 148.307915 114.269383) (xy 148.485061 114.196188) (xy 148.620712 114.060774)
+        (xy 148.694216 113.883756) (xy 148.694228 113.869218) (xy 148.882915 113.869383) (xy 149.060061 113.796188) (xy 149.195712 113.660774)
+        (xy 149.269216 113.483756) (xy 149.269383 113.292085) (xy 149.269383 114.999332) (xy 149.154288 115.114226) (xy 149.080784 115.291244)
+        (xy 149.080617 115.482915) (xy 149.153812 115.660061) (xy 149.289226 115.795712) (xy 149.466244 115.869216) (xy 149.657915 115.869383)
+        (xy 149.835061 115.796188) (xy 149.970712 115.660774) (xy 150.044216 115.483756) (xy 150.044383 115.292085) (xy 150.044383 115.899244)
+        (xy 149.979288 115.964226) (xy 149.905784 116.141244) (xy 149.905617 116.332915) (xy 149.978812 116.510061) (xy 150.114226 116.645712)
+        (xy 150.291244 116.719216) (xy 150.452157 116.719356) (xy 150.380784 116.891244) (xy 150.380617 117.082915) (xy 150.453812 117.260061)
+        (xy 150.589226 117.395712) (xy 150.766244 117.469216) (xy 150.957915 117.469383) (xy 151.135061 117.396188) (xy 151.270712 117.260774)
+        (xy 151.344216 117.083756) (xy 151.344383 116.892085) (xy 151.344383 120.999332) (xy 151.229288 121.114226) (xy 151.155784 121.291244)
+        (xy 151.155617 121.482915) (xy 151.228812 121.660061) (xy 151.364226 121.795712) (xy 151.541244 121.869216) (xy 151.732915 121.869383)
+        (xy 151.910061 121.796188) (xy 152.045712 121.660774) (xy 152.119216 121.483756) (xy 152.119383 121.292085) (xy 152.119383 122.535656)
+        (xy 152.014939 122.578812) (xy 151.879288 122.714226) (xy 151.805784 122.891244) (xy 151.805617 123.082915) (xy 151.878812 123.260061)
+        (xy 152.014226 123.395712) (xy 152.191244 123.469216) (xy 152.382915 123.469383) (xy 152.560061 123.396188) (xy 152.695712 123.260774)
+        (xy 152.769216 123.083756) (xy 152.769383 122.892085) (xy 152.769383 126.88158) (xy 152.721188 126.764939) (xy 152.585774 126.629288)
+        (xy 152.408756 126.555784) (xy 152.217085 126.555617) (xy 152.144383 126.585656) (xy 152.144383 125.692085) (xy 152.071188 125.514939)
+        (xy 151.935774 125.379288) (xy 151.758756 125.305784) (xy 151.567085 125.305617) (xy 151.389939 125.378812) (xy 151.262396 125.506131)
+        (xy 151.135774 125.379288) (xy 150.958756 125.305784) (xy 150.767085 125.305617) (xy 150.589939 125.378812) (xy 150.493601 125.474981)
+        (xy 150.481188 125.444939) (xy 150.345774 125.309288) (xy 150.294383 125.287948) (xy 150.294383 119.292085) (xy 150.221188 119.114939)
+        (xy 150.085774 118.979288) (xy 149.908756 118.905784) (xy 149.717085 118.905617) (xy 149.539939 118.978812) (xy 149.404288 119.114226)
+        (xy 149.330784 119.291244) (xy 149.330617 119.482915) (xy 149.403812 119.660061) (xy 149.539226 119.795712) (xy 149.716244 119.869216)
+        (xy 149.907915 119.869383) (xy 150.085061 119.796188) (xy 150.220712 119.660774) (xy 150.294216 119.483756) (xy 150.294383 119.292085)
+        (xy 150.294383 125.287948) (xy 150.168756 125.235784) (xy 149.977085 125.235617) (xy 149.799939 125.308812) (xy 149.664288 125.444226)
+        (xy 149.594383 125.612576) (xy 149.594383 120.892085) (xy 149.521188 120.714939) (xy 149.385774 120.579288) (xy 149.208756 120.505784)
+        (xy 149.017085 120.505617) (xy 148.839939 120.578812) (xy 148.704288 120.714226) (xy 148.630784 120.891244) (xy 148.630617 121.082915)
+        (xy 148.703812 121.260061) (xy 148.839226 121.395712) (xy 149.016244 121.469216) (xy 149.207915 121.469383) (xy 149.385061 121.396188)
+        (xy 149.520712 121.260774) (xy 149.594216 121.083756) (xy 149.594383 120.892085) (xy 149.594383 125.612576) (xy 149.590784 125.621244)
+        (xy 149.590726 125.687225) (xy 149.571188 125.639939) (xy 149.435774 125.504288) (xy 149.258756 125.430784) (xy 149.112145 125.430656)
+        (xy 149.135061 125.421188) (xy 149.270712 125.285774) (xy 149.344216 125.108756) (xy 149.344383 124.917085) (xy 149.271188 124.739939)
+        (xy 149.135774 124.604288) (xy 148.958756 124.530784) (xy 148.767085 124.530617) (xy 148.589939 124.603812) (xy 148.454288 124.739226)
+        (xy 148.380784 124.916244) (xy 148.380617 125.107915) (xy 148.453812 125.285061) (xy 148.589226 125.420712) (xy 148.766244 125.494216)
+        (xy 148.912854 125.494343) (xy 148.889939 125.503812) (xy 148.754288 125.639226) (xy 148.680784 125.816244) (xy 148.680617 126.007915)
+        (xy 148.753812 126.185061) (xy 148.889226 126.320712) (xy 149.066244 126.394216) (xy 149.257915 126.394383) (xy 149.435061 126.321188)
+        (xy 149.570712 126.185774) (xy 149.644216 126.008756) (xy 149.644273 125.942774) (xy 149.663812 125.990061) (xy 149.799226 126.125712)
+        (xy 149.976244 126.199216) (xy 150.167915 126.199383) (xy 150.345061 126.126188) (xy 150.441398 126.030018) (xy 150.453812 126.060061)
+        (xy 150.589226 126.195712) (xy 150.766244 126.269216) (xy 150.957915 126.269383) (xy 151.135061 126.196188) (xy 151.262603 126.068868)
+        (xy 151.389226 126.195712) (xy 151.566244 126.269216) (xy 151.757915 126.269383) (xy 151.935061 126.196188) (xy 152.070712 126.060774)
+        (xy 152.144216 125.883756) (xy 152.144383 125.692085) (xy 152.144383 126.585656) (xy 152.039939 126.628812) (xy 151.904288 126.764226)
+        (xy 151.830784 126.941244) (xy 151.830617 127.132915) (xy 151.903812 127.310061) (xy 152.039226 127.445712) (xy 152.216244 127.519216)
+        (xy 152.407915 127.519383) (xy 152.585061 127.446188) (xy 152.720712 127.310774) (xy 152.794216 127.133756) (xy 152.794383 126.942085)
+        (xy 152.794383 130.862912) (xy 152.785774 130.854288) (xy 152.608756 130.780784) (xy 152.417085 130.780617) (xy 152.239939 130.853812)
+        (xy 152.104288 130.989226) (xy 152.030784 131.166244) (xy 152.030617 131.357915) (xy 152.103812 131.535061) (xy 152.239226 131.670712)
+        (xy 152.416244 131.744216) (xy 152.607915 131.744383) (xy 152.785061 131.671188) (xy 152.920712 131.535774) (xy 152.994216 131.358756)
+        (xy 152.994383 131.167085) (xy 152.994383 149.420167) (xy 152.969383 149.445124) (xy 152.969383 134.392085) (xy 152.896188 134.214939)
+        (xy 152.844383 134.163043) (xy 152.844383 132.142085) (xy 152.771188 131.964939) (xy 152.635774 131.829288) (xy 152.458756 131.755784)
+        (xy 152.267085 131.755617) (xy 152.089939 131.828812) (xy 151.954288 131.964226) (xy 151.880784 132.141244) (xy 151.880617 132.332915)
+        (xy 151.953812 132.510061) (xy 152.089226 132.645712) (xy 152.266244 132.719216) (xy 152.457915 132.719383) (xy 152.635061 132.646188)
+        (xy 152.770712 132.510774) (xy 152.844216 132.333756) (xy 152.844383 132.142085) (xy 152.844383 134.163043) (xy 152.760774 134.079288)
+        (xy 152.583756 134.005784) (xy 152.392085 134.005617) (xy 152.214939 134.078812) (xy 152.079288 134.214226) (xy 152.005784 134.391244)
+        (xy 152.005617 134.582915) (xy 152.078812 134.760061) (xy 152.214226 134.895712) (xy 152.391244 134.969216) (xy 152.582915 134.969383)
+        (xy 152.760061 134.896188) (xy 152.895712 134.760774) (xy 152.969216 134.583756) (xy 152.969383 134.392085) (xy 152.969383 149.445124)
+        (xy 152.760018 149.654124) (xy 152.33989 150.665906) (xy 152.338934 151.761447) (xy 152.757296 152.773961) (xy 153.531284 153.549302)
+        (xy 154.543066 153.96943) (xy 155.638607 153.970386) (xy 156.651121 153.552024) (xy 157.426462 152.778036) (xy 157.84659 151.766254)
+        (xy 157.847546 150.670713) (xy 157.847546 158.066386) (xy 151.894383 158.06466) (xy 151.894383 133.567085) (xy 151.821188 133.389939)
+        (xy 151.744383 133.312999) (xy 151.744383 127.752085) (xy 151.671188 127.574939) (xy 151.535774 127.439288) (xy 151.358756 127.365784)
+        (xy 151.167085 127.365617) (xy 150.989939 127.438812) (xy 150.854288 127.574226) (xy 150.780784 127.751244) (xy 150.780617 127.942915)
+        (xy 150.853812 128.120061) (xy 150.989226 128.255712) (xy 151.166244 128.329216) (xy 151.357915 128.329383) (xy 151.535061 128.256188)
+        (xy 151.670712 128.120774) (xy 151.744216 127.943756) (xy 151.744383 127.752085) (xy 151.744383 133.312999) (xy 151.685774 133.254288)
+        (xy 151.508756 133.180784) (xy 151.317085 133.180617) (xy 151.139939 133.253812) (xy 151.004288 133.389226) (xy 150.930784 133.566244)
+        (xy 150.930617 133.757915) (xy 151.003812 133.935061) (xy 151.139226 134.070712) (xy 151.316244 134.144216) (xy 151.507915 134.144383)
+        (xy 151.685061 134.071188) (xy 151.820712 133.935774) (xy 151.894216 133.758756) (xy 151.894383 133.567085) (xy 151.894383 158.06466)
+        (xy 150.294383 158.064197) (xy 150.294383 131.892085) (xy 150.221188 131.714939) (xy 150.085774 131.579288) (xy 149.908756 131.505784)
+        (xy 149.717085 131.505617) (xy 149.539939 131.578812) (xy 149.404288 131.714226) (xy 149.330784 131.891244) (xy 149.330617 132.082915)
+        (xy 149.403812 132.260061) (xy 149.539226 132.395712) (xy 149.716244 132.469216) (xy 149.907915 132.469383) (xy 150.085061 132.396188)
+        (xy 150.220712 132.260774) (xy 150.294216 132.083756) (xy 150.294383 131.892085) (xy 150.294383 158.064197) (xy 150.072214 158.064132)
+        (xy 150.072214 140.806873) (xy 149.972007 140.564355) (xy 149.786621 140.378645) (xy 149.544278 140.278015) (xy 149.281873 140.277786)
+        (xy 149.039355 140.377993) (xy 148.853645 140.563379) (xy 148.753015 140.805722) (xy 148.752786 141.068127) (xy 148.852993 141.310645)
+        (xy 149.038379 141.496355) (xy 149.280722 141.596985) (xy 149.543127 141.597214) (xy 149.785645 141.497007) (xy 149.971355 141.311621)
+        (xy 150.071985 141.069278) (xy 150.072214 140.806873) (xy 150.072214 158.064132) (xy 148.619383 158.063711) (xy 148.619383 126.892085)
+        (xy 148.546188 126.714939) (xy 148.410774 126.579288) (xy 148.233756 126.505784) (xy 148.042085 126.505617) (xy 148.019383 126.514996)
+        (xy 148.019383 119.692085) (xy 147.946188 119.514939) (xy 147.810774 119.379288) (xy 147.633756 119.305784) (xy 147.444383 119.305619)
+        (xy 147.444383 118.092085) (xy 147.371188 117.914939) (xy 147.344383 117.888087) (xy 147.344383 105.692085) (xy 147.271188 105.514939)
+        (xy 147.135774 105.379288) (xy 146.958756 105.305784) (xy 146.767085 105.305617) (xy 146.589939 105.378812) (xy 146.454288 105.514226)
+        (xy 146.380784 105.691244) (xy 146.380617 105.882915) (xy 146.453812 106.060061) (xy 146.589226 106.195712) (xy 146.766244 106.269216)
+        (xy 146.957915 106.269383) (xy 147.135061 106.196188) (xy 147.270712 106.060774) (xy 147.344216 105.883756) (xy 147.344383 105.692085)
+        (xy 147.344383 117.888087) (xy 147.235774 117.779288) (xy 147.058756 117.705784) (xy 146.867085 117.705617) (xy 146.689939 117.778812)
+        (xy 146.554288 117.914226) (xy 146.480784 118.091244) (xy 146.480617 118.282915) (xy 146.553812 118.460061) (xy 146.689226 118.595712)
+        (xy 146.866244 118.669216) (xy 147.057915 118.669383) (xy 147.235061 118.596188) (xy 147.370712 118.460774) (xy 147.444216 118.283756)
+        (xy 147.444383 118.092085) (xy 147.444383 119.305619) (xy 147.442085 119.305617) (xy 147.264939 119.378812) (xy 147.129288 119.514226)
+        (xy 147.055784 119.691244) (xy 147.055617 119.882915) (xy 147.128812 120.060061) (xy 147.264226 120.195712) (xy 147.441244 120.269216)
+        (xy 147.632915 120.269383) (xy 147.810061 120.196188) (xy 147.945712 120.060774) (xy 148.019216 119.883756) (xy 148.019383 119.692085)
+        (xy 148.019383 126.514996) (xy 147.944248 126.546041) (xy 147.944383 126.392085) (xy 147.871188 126.214939) (xy 147.735774 126.079288)
+        (xy 147.558756 126.005784) (xy 147.367085 126.005617) (xy 147.278399 126.042261) (xy 147.344216 125.883756) (xy 147.344383 125.692085)
+        (xy 147.271188 125.514939) (xy 147.135774 125.379288) (xy 146.958756 125.305784) (xy 146.767085 125.305617) (xy 146.619383 125.366646)
+        (xy 146.619383 119.242085) (xy 146.546188 119.064939) (xy 146.444383 118.962955) (xy 146.444383 108.392085) (xy 146.371188 108.214939)
+        (xy 146.235774 108.079288) (xy 146.058756 108.005784) (xy 145.867085 108.005617) (xy 145.689939 108.078812) (xy 145.554288 108.214226)
+        (xy 145.480784 108.391244) (xy 145.480617 108.582915) (xy 145.553812 108.760061) (xy 145.689226 108.895712) (xy 145.866244 108.969216)
+        (xy 146.057915 108.969383) (xy 146.235061 108.896188) (xy 146.370712 108.760774) (xy 146.444216 108.583756) (xy 146.444383 108.392085)
+        (xy 146.444383 118.962955) (xy 146.410774 118.929288) (xy 146.233756 118.855784) (xy 146.042085 118.855617) (xy 145.92912 118.902292)
+        (xy 145.970712 118.860774) (xy 146.044216 118.683756) (xy 146.044383 118.492085) (xy 145.971188 118.314939) (xy 145.894383 118.237999)
+        (xy 145.894383 109.842085) (xy 145.821188 109.664939) (xy 145.685774 109.529288) (xy 145.508756 109.455784) (xy 145.317085 109.455617)
+        (xy 145.139939 109.528812) (xy 145.004288 109.664226) (xy 144.930784 109.841244) (xy 144.930617 110.032915) (xy 145.003812 110.210061)
+        (xy 145.139226 110.345712) (xy 145.316244 110.419216) (xy 145.507915 110.419383) (xy 145.685061 110.346188) (xy 145.820712 110.210774)
+        (xy 145.894216 110.033756) (xy 145.894383 109.842085) (xy 145.894383 118.237999) (xy 145.835774 118.179288) (xy 145.658756 118.105784)
+        (xy 145.467085 118.105617) (xy 145.289939 118.178812) (xy 145.154288 118.314226) (xy 145.080784 118.491244) (xy 145.080617 118.682915)
+        (xy 145.153812 118.860061) (xy 145.289226 118.995712) (xy 145.466244 119.069216) (xy 145.657915 119.069383) (xy 145.770879 119.022707)
+        (xy 145.729288 119.064226) (xy 145.655784 119.241244) (xy 145.655617 119.432915) (xy 145.728812 119.610061) (xy 145.864226 119.745712)
+        (xy 146.041244 119.819216) (xy 146.232915 119.819383) (xy 146.410061 119.746188) (xy 146.545712 119.610774) (xy 146.619216 119.433756)
+        (xy 146.619383 119.242085) (xy 146.619383 125.366646) (xy 146.589939 125.378812) (xy 146.454288 125.514226) (xy 146.380784 125.691244)
+        (xy 146.380617 125.882915) (xy 146.453812 126.060061) (xy 146.589226 126.195712) (xy 146.766244 126.269216) (xy 146.957915 126.269383)
+        (xy 147.0466 126.232738) (xy 146.980784 126.391244) (xy 146.980617 126.582915) (xy 147.053812 126.760061) (xy 147.189226 126.895712)
+        (xy 147.366244 126.969216) (xy 147.557915 126.969383) (xy 147.655751 126.928958) (xy 147.655617 127.082915) (xy 147.728812 127.260061)
+        (xy 147.864226 127.395712) (xy 148.041244 127.469216) (xy 148.232915 127.469383) (xy 148.410061 127.396188) (xy 148.545712 127.260774)
+        (xy 148.619216 127.083756) (xy 148.619383 126.892085) (xy 148.619383 158.063711) (xy 144.487197 158.062514) (xy 144.480435 156.949915)
+        (xy 145.292952 157.287302) (xy 146.20206 157.288095) (xy 147.04227 156.940927) (xy 147.685668 156.298652) (xy 148.034302 155.459048)
+        (xy 148.035095 154.54994) (xy 147.687927 153.70973) (xy 147.045652 153.066332) (xy 146.206048 152.717698) (xy 145.794383 152.717338)
+        (xy 145.794383 126.667085) (xy 145.721188 126.489939) (xy 145.585774 126.354288) (xy 145.408756 126.280784) (xy 145.217085 126.280617)
+        (xy 145.039939 126.353812) (xy 144.904288 126.489226) (xy 144.830784 126.666244) (xy 144.830617 126.857915) (xy 144.903812 127.035061)
+        (xy 145.039226 127.170712) (xy 145.216244 127.244216) (xy 145.407915 127.244383) (xy 145.585061 127.171188) (xy 145.720712 127.035774)
+        (xy 145.794216 126.858756) (xy 145.794383 126.667085) (xy 145.794383 152.717338) (xy 145.29694 152.716905) (xy 144.45682 153.064035)
+        (xy 144.081606 91.32261) (xy 144.177645 91.354214) (xy 144.875066 91.301957) (xy 145.276685 91.135601) (xy 145.41062 90.87912)
+        (xy 144.430355 89.898855) (xy 144.395 89.8635) (xy 144.1065 89.575) (xy 144.395 89.2865) (xy 144.6835 89.575)
+        (xy 144.718855 89.610355) (xy 145.69912 90.59062) (xy 145.955601 90.456685) (xy 146.174214 89.792355) (xy 146.121957 89.094934)
+        (xy 145.955601 88.693315) (xy 145.798372 88.61121) (xy 146.019765 88.70314) (xy 146.886433 88.703897) (xy 147.68742 88.372936)
+        (xy 148.300782 87.760643) (xy 148.63314 86.960235) (xy 148.633897 86.093567) (xy 148.302936 85.29258) (xy 147.690643 84.679218)
+        (xy 146.890235 84.34686) (xy 146.570375 84.34658) (xy 146.570375 81.42395) (xy 146.416146 81.050687) (xy 146.130815 80.764858)
+        (xy 145.757822 80.609978) (xy 145.35395 80.609625) (xy 144.980687 80.763854) (xy 144.694858 81.049185) (xy 144.539978 81.422178)
+        (xy 144.539625 81.82605) (xy 144.693854 82.199313) (xy 144.979185 82.485142) (xy 145.352178 82.640022) (xy 145.75605 82.640375)
+        (xy 146.129313 82.486146) (xy 146.415142 82.200815) (xy 146.570022 81.827822) (xy 146.570375 81.42395) (xy 146.570375 84.34658)
+        (xy 146.023567 84.346103) (xy 145.22258 84.677064) (xy 144.609218 85.289357) (xy 144.27686 86.089765) (xy 144.276103 86.956433)
+        (xy 144.607064 87.75742) (xy 144.66151 87.811961) (xy 144.612355 87.795786) (xy 144.060424 87.837141) (xy 143.987501 75.837529)
+        (xy 164.893774 75.849568) (xy 164.513832 76.228848) (xy 164.165198 77.068452) (xy 164.164405 77.97756) (xy 164.511573 78.81777)
+        (xy 165.153848 79.461168) (xy 165.993452 79.809802) (xy 166.90256 79.810595) (xy 167.74277 79.463427) (xy 168.386168 78.821152)
+        (xy 168.734802 77.981548) (xy 168.735595 77.07244) (xy 168.388427 76.23223) (xy 168.008223 75.851361) (xy 176.520424 75.856263)
+        (xy 176.278187 75.956354) (xy 175.992358 76.241685) (xy 175.837478 76.614678) (xy 175.837125 77.01855) (xy 175.991354 77.391813)
+        (xy 176.276685 77.677642) (xy 176.649678 77.832522) (xy 177.05355 77.832875) (xy 177.426813 77.678646) (xy 177.712642 77.393315)
+        (xy 177.867522 77.020322) (xy 177.867875 76.61645) (xy 177.713646 76.243187) (xy 177.428315 75.957358) (xy 177.185775 75.856646)
+        (xy 179.056889 75.857724) (xy 178.818187 75.956354) (xy 178.532358 76.241685) (xy 178.377478 76.614678) (xy 178.377125 77.01855)
+        (xy 178.531354 77.391813) (xy 178.816685 77.677642) (xy 179.189678 77.832522) (xy 179.59355 77.832875) (xy 179.966813 77.678646)
+        (xy 180.252642 77.393315) (xy 180.407522 77.020322) (xy 180.407875 76.61645) (xy 180.253646 76.243187) (xy 179.968315 75.957358)
+        (xy 179.729302 75.858111) (xy 181.593354 75.859184) (xy 181.358187 75.956354) (xy 181.072358 76.241685) (xy 180.917478 76.614678)
+        (xy 180.917125 77.01855) (xy 181.071354 77.391813) (xy 181.356685 77.677642) (xy 181.729678 77.832522) (xy 182.13355 77.832875)
+        (xy 182.506813 77.678646) (xy 182.792642 77.393315) (xy 182.947522 77.020322) (xy 182.947875 76.61645) (xy 182.793646 76.243187)
+        (xy 182.508315 75.957358) (xy 182.27283 75.859576) (xy 183.547639 75.86031) (xy 183.495973 75.911887) (xy 183.457345 76.004915)
+        (xy 183.457257 76.105644) (xy 183.457257 77.629644) (xy 183.495723 77.722739) (xy 183.566887 77.794027) (xy 183.659915 77.832655)
+        (xy 183.760644 77.832743) (xy 185.284644 77.832743) (xy 185.377739 77.794277) (xy 185.449027 77.723113) (xy 185.487655 77.630085)
+        (xy 185.487743 77.529356) (xy 185.487743 76.005356) (xy 185.449277 75.912261) (xy 185.39848 75.861376) (xy 230.712454 75.887471)
+      )
+    )
+  )
+  (zone (net 187) (net_name GND) (layer GND-L5) (tstamp 5188A126) (hatch edge 0.508)
+    (connect_pads (clearance 0.2032))
+    (min_thickness 0.15)
+    (fill (arc_segments 16) (thermal_gap 0.508) (thermal_bridge_width 0.508))
+    (polygon
+      (pts
+        (xy 144.1375 75.7375) (xy 231.1125 75.5625) (xy 231.0375 157.7375) (xy 145.4375 156.5375) (xy 144.1375 75.8375)
+      )
+    )
+    (filled_polygon
+      (pts
+        (xy 164.134784 150.7157) (xy 163.88164 150.968844) (xy 163.628496 150.7157) (xy 163.88164 150.462556) (xy 164.134784 150.7157)
+      )
+    )
+    (filled_polygon
+      (pts
+        (xy 168.714404 150.7157) (xy 168.46126 150.968844) (xy 168.208116 150.7157) (xy 168.46126 150.462556) (xy 168.714404 150.7157)
+      )
+    )
+    (filled_polygon
+      (pts
+        (xy 171.005484 150.7157) (xy 170.75234 150.968844) (xy 170.499196 150.7157) (xy 170.75234 150.462556) (xy 171.005484 150.7157)
+      )
+    )
+    (filled_polygon
+      (pts
+        (xy 231.037431 75.637651) (xy 230.962569 157.661442) (xy 229.059368 157.634761) (xy 229.059368 87.044075) (xy 228.9826 86.858281)
+        (xy 228.9826 80.159043) (xy 228.9826 78.865957) (xy 228.982398 78.634026) (xy 228.893454 78.419826) (xy 228.729311 78.255969)
+        (xy 228.514957 78.1674) (xy 227.96225 78.1675) (xy 227.8165 78.31325) (xy 227.8165 79.3335) (xy 228.83675 79.3335)
+        (xy 228.9825 79.18775) (xy 228.9826 78.865957) (xy 228.9826 80.159043) (xy 228.9825 79.83725) (xy 228.83675 79.6915)
+        (xy 227.8165 79.6915) (xy 227.8165 80.71175) (xy 227.96225 80.8575) (xy 228.514957 80.8576) (xy 228.729311 80.769031)
+        (xy 228.893454 80.605174) (xy 228.982398 80.390974) (xy 228.9826 80.159043) (xy 228.9826 86.858281) (xy 228.910988 86.684967)
+        (xy 228.677748 86.451319) (xy 228.677748 83.569405) (xy 228.677748 82.045405) (xy 228.635484 81.943118) (xy 228.557293 81.864791)
+        (xy 228.45508 81.822348) (xy 228.344405 81.822252) (xy 227.4585 81.822252) (xy 227.4585 80.71175) (xy 227.4585 79.6915)
+        (xy 227.4585 79.3335) (xy 227.4585 78.31325) (xy 227.31275 78.1675) (xy 226.760043 78.1674) (xy 226.545689 78.255969)
+        (xy 226.381546 78.419826) (xy 226.292602 78.634026) (xy 226.2924 78.865957) (xy 226.2925 79.18775) (xy 226.43825 79.3335)
+        (xy 227.4585 79.3335) (xy 227.4585 79.6915) (xy 226.43825 79.6915) (xy 226.2925 79.83725) (xy 226.2924 80.159043)
+        (xy 226.292602 80.390974) (xy 226.381546 80.605174) (xy 226.545689 80.769031) (xy 226.760043 80.8576) (xy 227.31275 80.8575)
+        (xy 227.4585 80.71175) (xy 227.4585 81.822252) (xy 226.820405 81.822252) (xy 226.718118 81.864516) (xy 226.639791 81.942707)
+        (xy 226.597348 82.04492) (xy 226.597252 82.155595) (xy 226.597252 83.679595) (xy 226.639516 83.781882) (xy 226.717707 83.860209)
+        (xy 226.81992 83.902652) (xy 226.930595 83.902748) (xy 228.454595 83.902748) (xy 228.556882 83.860484) (xy 228.635209 83.782293)
+        (xy 228.677652 83.68008) (xy 228.677748 83.569405) (xy 228.677748 86.451319) (xy 228.636478 86.409977) (xy 228.27763 86.260971)
+        (xy 227.889075 86.260632) (xy 227.529967 86.409012) (xy 227.254977 86.683522) (xy 227.105971 87.04237) (xy 227.105632 87.430925)
+        (xy 227.254012 87.790033) (xy 227.528522 88.065023) (xy 227.88737 88.214029) (xy 228.275925 88.214368) (xy 228.635033 88.065988)
+        (xy 228.910023 87.791478) (xy 229.059029 87.43263) (xy 229.059368 87.044075) (xy 229.059368 157.634761) (xy 226.8241 157.603426)
+        (xy 226.8241 87.820543) (xy 226.8241 86.654457) (xy 226.823898 86.422526) (xy 226.734954 86.208326) (xy 226.570811 86.044469)
+        (xy 226.356457 85.9559) (xy 225.86725 85.956) (xy 225.7215 86.10175) (xy 225.7215 87.0585) (xy 226.67825 87.0585)
+        (xy 226.824 86.91275) (xy 226.8241 86.654457) (xy 226.8241 87.820543) (xy 226.824 87.56225) (xy 226.67825 87.4165)
+        (xy 225.7215 87.4165) (xy 225.7215 88.37325) (xy 225.86725 88.519) (xy 226.356457 88.5191) (xy 226.570811 88.430531)
+        (xy 226.734954 88.266674) (xy 226.823898 88.052474) (xy 226.8241 87.820543) (xy 226.8241 157.603426) (xy 226.532748 157.599341)
+        (xy 226.532748 113.084405) (xy 226.532748 111.560405) (xy 226.490484 111.458118) (xy 226.412293 111.379791) (xy 226.31008 111.337348)
+        (xy 226.199405 111.337252) (xy 225.3635 111.337252) (xy 225.3635 88.37325) (xy 225.3635 87.4165) (xy 225.3635 87.0585)
+        (xy 225.3635 86.10175) (xy 225.21775 85.956) (xy 224.728543 85.9559) (xy 224.514189 86.044469) (xy 224.350046 86.208326)
+        (xy 224.261102 86.422526) (xy 224.2609 86.654457) (xy 224.261 86.91275) (xy 224.40675 87.0585) (xy 225.3635 87.0585)
+        (xy 225.3635 87.4165) (xy 224.40675 87.4165) (xy 224.261 87.56225) (xy 224.2609 87.820543) (xy 224.261102 88.052474)
+        (xy 224.350046 88.266674) (xy 224.514189 88.430531) (xy 224.728543 88.5191) (xy 225.21775 88.519) (xy 225.3635 88.37325)
+        (xy 225.3635 111.337252) (xy 224.675405 111.337252) (xy 224.573118 111.379516) (xy 224.494791 111.457707) (xy 224.452348 111.55992)
+        (xy 224.452252 111.670595) (xy 224.452252 113.194595) (xy 224.494516 113.296882) (xy 224.572707 113.375209) (xy 224.67492 113.417652)
+        (xy 224.785595 113.417748) (xy 226.309595 113.417748) (xy 226.411882 113.375484) (xy 226.490209 113.297293) (xy 226.532652 113.19508)
+        (xy 226.532748 113.084405) (xy 226.532748 157.599341) (xy 224.611935 157.572414) (xy 224.611935 155.382443) (xy 224.574562 154.55467)
+        (xy 224.561935 154.524185) (xy 224.561935 142.232443) (xy 224.524562 141.40467) (xy 224.279688 140.813492) (xy 223.981937 140.646208)
+        (xy 223.8725 140.755644) (xy 223.8725 87.099127) (xy 223.824738 86.53576) (xy 223.701034 86.237112) (xy 223.471905 86.151239)
+        (xy 223.218761 86.404383) (xy 223.218761 85.898095) (xy 223.132888 85.668966) (xy 222.594127 85.4975) (xy 222.03076 85.545262)
+        (xy 221.732112 85.668966) (xy 221.646239 85.898095) (xy 222.4325 86.684356) (xy 223.218761 85.898095) (xy 223.218761 86.404383)
+        (xy 222.685644 86.9375) (xy 223.471905 87.723761) (xy 223.701034 87.637888) (xy 223.8725 87.099127) (xy 223.8725 140.755644)
+        (xy 223.728792 140.899352) (xy 223.728792 140.393063) (xy 223.561508 140.095312) (xy 223.218761 139.971138) (xy 223.218761 87.976905)
+        (xy 222.4325 87.190644) (xy 222.179356 87.443788) (xy 222.179356 86.9375) (xy 221.393095 86.151239) (xy 221.163966 86.237112)
+        (xy 221.009086 86.723756) (xy 221.009093 86.716409) (xy 220.839489 86.305937) (xy 220.525715 85.991614) (xy 220.11554 85.821295)
+        (xy 219.671409 85.820907) (xy 219.260937 85.990511) (xy 218.946614 86.304285) (xy 218.776295 86.71446) (xy 218.775907 87.158591)
+        (xy 218.945511 87.569063) (xy 219.259285 87.883386) (xy 219.66946 88.053705) (xy 220.113591 88.054093) (xy 220.524063 87.884489)
+        (xy 220.838386 87.570715) (xy 221.008705 87.16054) (xy 221.008872 86.968989) (xy 221.040262 87.33924) (xy 221.163966 87.637888)
+        (xy 221.393095 87.723761) (xy 222.179356 86.9375) (xy 222.179356 87.443788) (xy 221.646239 87.976905) (xy 221.732112 88.206034)
+        (xy 222.270873 88.3775) (xy 222.83424 88.329738) (xy 223.132888 88.206034) (xy 223.218761 87.976905) (xy 223.218761 139.971138)
+        (xy 222.782443 139.813065) (xy 222.694388 139.81704) (xy 222.694388 90.287133) (xy 222.617395 90.100796) (xy 222.474954 89.958106)
+        (xy 222.288751 89.880788) (xy 222.087133 89.880612) (xy 221.900796 89.957605) (xy 221.758106 90.100046) (xy 221.680788 90.286249)
+        (xy 221.680612 90.487867) (xy 221.757605 90.674204) (xy 221.900046 90.816894) (xy 222.086249 90.894212) (xy 222.287867 90.894388)
+        (xy 222.474204 90.817395) (xy 222.616894 90.674954) (xy 222.694212 90.488751) (xy 222.694388 90.287133) (xy 222.694388 139.81704)
+        (xy 222.294388 139.8351) (xy 222.294388 100.837133) (xy 222.217395 100.650796) (xy 222.119388 100.552617) (xy 222.119388 96.762133)
+        (xy 222.042395 96.575796) (xy 221.899954 96.433106) (xy 221.713751 96.355788) (xy 221.512133 96.355612) (xy 221.325796 96.432605)
+        (xy 221.183106 96.575046) (xy 221.105788 96.761249) (xy 221.105612 96.962867) (xy 221.182605 97.149204) (xy 221.18909 97.155701)
+        (xy 221.087133 97.155612) (xy 220.946348 97.213783) (xy 220.946348 94.918333) (xy 220.869355 94.731996) (xy 220.726914 94.589306)
+        (xy 220.540711 94.511988) (xy 220.339093 94.511812) (xy 220.152756 94.588805) (xy 220.010066 94.731246) (xy 219.932748 94.917449)
+        (xy 219.932572 95.119067) (xy 220.009565 95.305404) (xy 220.152006 95.448094) (xy 220.338209 95.525412) (xy 220.539827 95.525588)
+        (xy 220.726164 95.448595) (xy 220.868854 95.306154) (xy 220.946172 95.119951) (xy 220.946348 94.918333) (xy 220.946348 97.213783)
+        (xy 220.900796 97.232605) (xy 220.758106 97.375046) (xy 220.680788 97.561249) (xy 220.680612 97.762867) (xy 220.757605 97.949204)
+        (xy 220.900046 98.091894) (xy 221.086249 98.169212) (xy 221.287867 98.169388) (xy 221.474204 98.092395) (xy 221.616894 97.949954)
+        (xy 221.694212 97.763751) (xy 221.694388 97.562133) (xy 221.617395 97.375796) (xy 221.610909 97.369298) (xy 221.712867 97.369388)
+        (xy 221.899204 97.292395) (xy 222.041894 97.149954) (xy 222.119212 96.963751) (xy 222.119388 96.762133) (xy 222.119388 100.552617)
+        (xy 222.074954 100.508106) (xy 221.888751 100.430788) (xy 221.687133 100.430612) (xy 221.500796 100.507605) (xy 221.358106 100.650046)
+        (xy 221.280788 100.836249) (xy 221.280612 101.037867) (xy 221.357605 101.224204) (xy 221.500046 101.366894) (xy 221.686249 101.444212)
+        (xy 221.887867 101.444388) (xy 222.074204 101.367395) (xy 222.216894 101.224954) (xy 222.294212 101.038751) (xy 222.294388 100.837133)
+        (xy 222.294388 139.8351) (xy 221.95467 139.850438) (xy 221.902748 139.871944) (xy 221.902748 112.544405) (xy 221.902748 111.020405)
+        (xy 221.860484 110.918118) (xy 221.819388 110.87695) (xy 221.819388 101.962133) (xy 221.742395 101.775796) (xy 221.599954 101.633106)
+        (xy 221.413751 101.555788) (xy 221.212133 101.555612) (xy 221.025796 101.632605) (xy 220.883106 101.775046) (xy 220.805788 101.961249)
+        (xy 220.805612 102.162867) (xy 220.882605 102.349204) (xy 221.025046 102.491894) (xy 221.211249 102.569212) (xy 221.412867 102.569388)
+        (xy 221.599204 102.492395) (xy 221.741894 102.349954) (xy 221.819212 102.163751) (xy 221.819388 101.962133) (xy 221.819388 110.87695)
+        (xy 221.782293 110.839791) (xy 221.68008 110.797348) (xy 221.569405 110.797252) (xy 220.045405 110.797252) (xy 219.943118 110.839516)
+        (xy 219.864791 110.917707) (xy 219.822348 111.01992) (xy 219.822252 111.130595) (xy 219.822252 112.654595) (xy 219.864516 112.756882)
+        (xy 219.942707 112.835209) (xy 220.04492 112.877652) (xy 220.155595 112.877748) (xy 221.679595 112.877748) (xy 221.781882 112.835484)
+        (xy 221.860209 112.757293) (xy 221.902652 112.65508) (xy 221.902748 112.544405) (xy 221.902748 139.871944) (xy 221.363492 140.095312)
+        (xy 221.264519 140.271475) (xy 221.264519 137.559937) (xy 221.264519 132.119257) (xy 221.05517 131.612594) (xy 220.667865 131.224613)
+        (xy 220.161568 131.01438) (xy 219.613357 131.013901) (xy 219.106694 131.22325) (xy 218.718713 131.610555) (xy 218.572218 131.963353)
+        (xy 218.572218 121.426922) (xy 218.519388 121.299063) (xy 218.519388 93.962133) (xy 218.442395 93.775796) (xy 218.299954 93.633106)
+        (xy 218.113751 93.555788) (xy 217.912133 93.555612) (xy 217.725796 93.632605) (xy 217.583106 93.775046) (xy 217.505788 93.961249)
+        (xy 217.505612 94.162867) (xy 217.582605 94.349204) (xy 217.725046 94.491894) (xy 217.911249 94.569212) (xy 218.112867 94.569388)
+        (xy 218.299204 94.492395) (xy 218.441894 94.349954) (xy 218.519212 94.163751) (xy 218.519388 93.962133) (xy 218.519388 121.299063)
+        (xy 218.468214 121.175212) (xy 218.275801 120.982463) (xy 218.024273 120.878019) (xy 217.751922 120.877782) (xy 217.500212 120.981786)
+        (xy 217.307463 121.174199) (xy 217.203019 121.425727) (xy 217.202782 121.698078) (xy 217.306786 121.949788) (xy 217.499199 122.142537)
+        (xy 217.750727 122.246981) (xy 218.023078 122.247218) (xy 218.274788 122.143214) (xy 218.467537 121.950801) (xy 218.571981 121.699273)
+        (xy 218.572218 121.426922) (xy 218.572218 131.963353) (xy 218.50848 132.116852) (xy 218.508001 132.665063) (xy 218.71735 133.171726)
+        (xy 219.104655 133.559707) (xy 219.610952 133.76994) (xy 220.159163 133.770419) (xy 220.665826 133.56107) (xy 221.053807 133.173765)
+        (xy 221.26404 132.667468) (xy 221.264519 132.119257) (xy 221.264519 137.559937) (xy 221.05517 137.053274) (xy 220.667865 136.665293)
+        (xy 220.186227 136.465299) (xy 220.186227 134.908763) (xy 220.029937 134.53051) (xy 219.740792 134.24086) (xy 219.362813 134.08391)
+        (xy 218.953543 134.083553) (xy 218.57529 134.239843) (xy 218.28564 134.528988) (xy 218.157668 134.837177) (xy 218.030957 134.53051)
+        (xy 217.741812 134.24086) (xy 217.363833 134.08391) (xy 217.119388 134.083696) (xy 217.119388 102.662133) (xy 217.042395 102.475796)
+        (xy 216.899954 102.333106) (xy 216.713751 102.255788) (xy 216.512133 102.255612) (xy 216.325796 102.332605) (xy 216.183106 102.475046)
+        (xy 216.169388 102.508082) (xy 216.169388 79.712133) (xy 216.092395 79.525796) (xy 215.949954 79.383106) (xy 215.763751 79.305788)
+        (xy 215.562133 79.305612) (xy 215.375796 79.382605) (xy 215.233106 79.525046) (xy 215.155788 79.711249) (xy 215.155612 79.912867)
+        (xy 215.232605 80.099204) (xy 215.375046 80.241894) (xy 215.561249 80.319212) (xy 215.762867 80.319388) (xy 215.949204 80.242395)
+        (xy 216.091894 80.099954) (xy 216.169212 79.913751) (xy 216.169388 79.712133) (xy 216.169388 102.508082) (xy 216.105788 102.661249)
+        (xy 216.105612 102.862867) (xy 216.182605 103.049204) (xy 216.325046 103.191894) (xy 216.511249 103.269212) (xy 216.712867 103.269388)
+        (xy 216.899204 103.192395) (xy 217.041894 103.049954) (xy 217.119212 102.863751) (xy 217.119388 102.662133) (xy 217.119388 134.083696)
+        (xy 216.954563 134.083553) (xy 216.57631 134.239843) (xy 216.28666 134.528988) (xy 216.157415 134.840244) (xy 216.029437 134.53051)
+        (xy 215.769388 134.270006) (xy 215.769388 125.862133) (xy 215.769388 124.787133) (xy 215.769388 122.587133) (xy 215.719388 122.466123)
+        (xy 215.719388 119.262133) (xy 215.669388 119.141123) (xy 215.669388 118.162133) (xy 215.592395 117.975796) (xy 215.449954 117.833106)
+        (xy 215.263751 117.755788) (xy 215.244388 117.755771) (xy 215.244388 102.462133) (xy 215.167395 102.275796) (xy 215.024954 102.133106)
+        (xy 214.838751 102.055788) (xy 214.637133 102.055612) (xy 214.569388 102.083603) (xy 214.569388 93.687133) (xy 214.492395 93.500796)
+        (xy 214.349954 93.358106) (xy 214.163751 93.280788) (xy 213.962133 93.280612) (xy 213.775796 93.357605) (xy 213.633106 93.500046)
+        (xy 213.602748 93.573156) (xy 213.602748 85.594405) (xy 213.602748 84.070405) (xy 213.560484 83.968118) (xy 213.482293 83.889791)
+        (xy 213.38008 83.847348) (xy 213.269405 83.847252) (xy 211.745405 83.847252) (xy 211.643118 83.889516) (xy 211.564791 83.967707)
+        (xy 211.522348 84.06992) (xy 211.522252 84.180595) (xy 211.522252 85.704595) (xy 211.564516 85.806882) (xy 211.642707 85.885209)
+        (xy 211.74492 85.927652) (xy 211.855595 85.927748) (xy 213.379595 85.927748) (xy 213.481882 85.885484) (xy 213.560209 85.807293)
+        (xy 213.602652 85.70508) (xy 213.602748 85.594405) (xy 213.602748 93.573156) (xy 213.555788 93.686249) (xy 213.555612 93.887867)
+        (xy 213.632605 94.074204) (xy 213.775046 94.216894) (xy 213.961249 94.294212) (xy 214.162867 94.294388) (xy 214.349204 94.217395)
+        (xy 214.491894 94.074954) (xy 214.569212 93.888751) (xy 214.569388 93.687133) (xy 214.569388 102.083603) (xy 214.450796 102.132605)
+        (xy 214.308106 102.275046) (xy 214.230788 102.461249) (xy 214.230612 102.662867) (xy 214.307605 102.849204) (xy 214.450046 102.991894)
+        (xy 214.636249 103.069212) (xy 214.837867 103.069388) (xy 215.024204 102.992395) (xy 215.166894 102.849954) (xy 215.244212 102.663751)
+        (xy 215.244388 102.462133) (xy 215.244388 117.755771) (xy 215.062133 117.755612) (xy 214.875796 117.832605) (xy 214.733106 117.975046)
+        (xy 214.655788 118.161249) (xy 214.655612 118.362867) (xy 214.732605 118.549204) (xy 214.875046 118.691894) (xy 215.061249 118.769212)
+        (xy 215.262867 118.769388) (xy 215.449204 118.692395) (xy 215.591894 118.549954) (xy 215.669212 118.363751) (xy 215.669388 118.162133)
+        (xy 215.669388 119.141123) (xy 215.642395 119.075796) (xy 215.499954 118.933106) (xy 215.313751 118.855788) (xy 215.112133 118.855612)
+        (xy 214.925796 118.932605) (xy 214.783106 119.075046) (xy 214.705788 119.261249) (xy 214.705612 119.462867) (xy 214.782605 119.649204)
+        (xy 214.925046 119.791894) (xy 215.111249 119.869212) (xy 215.312867 119.869388) (xy 215.499204 119.792395) (xy 215.641894 119.649954)
+        (xy 215.719212 119.463751) (xy 215.719388 119.262133) (xy 215.719388 122.466123) (xy 215.692395 122.400796) (xy 215.669388 122.377748)
+        (xy 215.669388 120.387133) (xy 215.592395 120.200796) (xy 215.449954 120.058106) (xy 215.263751 119.980788) (xy 215.062133 119.980612)
+        (xy 214.875796 120.057605) (xy 214.733106 120.200046) (xy 214.655788 120.386249) (xy 214.655612 120.587867) (xy 214.732605 120.774204)
+        (xy 214.875046 120.916894) (xy 215.061249 120.994212) (xy 215.262867 120.994388) (xy 215.449204 120.917395) (xy 215.591894 120.774954)
+        (xy 215.669212 120.588751) (xy 215.669388 120.387133) (xy 215.669388 122.377748) (xy 215.549954 122.258106) (xy 215.363751 122.180788)
+        (xy 215.162133 122.180612) (xy 214.975796 122.257605) (xy 214.833106 122.400046) (xy 214.755788 122.586249) (xy 214.755612 122.787867)
+        (xy 214.832605 122.974204) (xy 214.975046 123.116894) (xy 215.161249 123.194212) (xy 215.362867 123.194388) (xy 215.549204 123.117395)
+        (xy 215.691894 122.974954) (xy 215.769212 122.788751) (xy 215.769388 122.587133) (xy 215.769388 124.787133) (xy 215.692395 124.600796)
+        (xy 215.549954 124.458106) (xy 215.363751 124.380788) (xy 215.162133 124.380612) (xy 214.975796 124.457605) (xy 214.833106 124.600046)
+        (xy 214.755788 124.786249) (xy 214.755612 124.987867) (xy 214.832605 125.174204) (xy 214.975046 125.316894) (xy 215.161249 125.394212)
+        (xy 215.362867 125.394388) (xy 215.549204 125.317395) (xy 215.691894 125.174954) (xy 215.769212 124.988751) (xy 215.769388 124.787133)
+        (xy 215.769388 125.862133) (xy 215.692395 125.675796) (xy 215.549954 125.533106) (xy 215.363751 125.455788) (xy 215.162133 125.455612)
+        (xy 214.975796 125.532605) (xy 214.833106 125.675046) (xy 214.755788 125.861249) (xy 214.755612 126.062867) (xy 214.832605 126.249204)
+        (xy 214.975046 126.391894) (xy 215.161249 126.469212) (xy 215.362867 126.469388) (xy 215.549204 126.392395) (xy 215.691894 126.249954)
+        (xy 215.769212 126.063751) (xy 215.769388 125.862133) (xy 215.769388 134.270006) (xy 215.740292 134.24086) (xy 215.362313 134.08391)
+        (xy 214.953043 134.083553) (xy 214.589623 134.233714) (xy 214.589623 132.616463) (xy 214.545974 131.94842) (xy 214.3743 131.533963)
+        (xy 214.118077 131.415787) (xy 213.864933 131.668931) (xy 213.864933 131.162643) (xy 213.746757 130.90642) (xy 213.719388 130.897123)
+        (xy 213.719388 102.987133) (xy 213.642395 102.800796) (xy 213.499954 102.658106) (xy 213.313751 102.580788) (xy 213.294388 102.580771)
+        (xy 213.294388 101.812133) (xy 213.217395 101.625796) (xy 213.074954 101.483106) (xy 213.069388 101.480794) (xy 213.069388 99.062133)
+        (xy 212.992395 98.875796) (xy 212.849954 98.733106) (xy 212.663751 98.655788) (xy 212.462133 98.655612) (xy 212.319388 98.714593)
+        (xy 212.319388 97.237133) (xy 212.242395 97.050796) (xy 212.099954 96.908106) (xy 211.913751 96.830788) (xy 211.712133 96.830612)
+        (xy 211.525796 96.907605) (xy 211.383106 97.050046) (xy 211.305788 97.236249) (xy 211.305612 97.437867) (xy 211.382605 97.624204)
+        (xy 211.525046 97.766894) (xy 211.711249 97.844212) (xy 211.912867 97.844388) (xy 212.099204 97.767395) (xy 212.241894 97.624954)
+        (xy 212.319212 97.438751) (xy 212.319388 97.237133) (xy 212.319388 98.714593) (xy 212.275796 98.732605) (xy 212.133106 98.875046)
+        (xy 212.055788 99.061249) (xy 212.055612 99.262867) (xy 212.132605 99.449204) (xy 212.275046 99.591894) (xy 212.461249 99.669212)
+        (xy 212.662867 99.669388) (xy 212.849204 99.592395) (xy 212.991894 99.449954) (xy 213.069212 99.263751) (xy 213.069388 99.062133)
+        (xy 213.069388 101.480794) (xy 212.888751 101.405788) (xy 212.687133 101.405612) (xy 212.500796 101.482605) (xy 212.358106 101.625046)
+        (xy 212.280788 101.811249) (xy 212.280612 102.012867) (xy 212.357605 102.199204) (xy 212.500046 102.341894) (xy 212.686249 102.419212)
+        (xy 212.887867 102.419388) (xy 213.074204 102.342395) (xy 213.216894 102.199954) (xy 213.294212 102.013751) (xy 213.294388 101.812133)
+        (xy 213.294388 102.580771) (xy 213.112133 102.580612) (xy 212.925796 102.657605) (xy 212.783106 102.800046) (xy 212.705788 102.986249)
+        (xy 212.705612 103.187867) (xy 212.782605 103.374204) (xy 212.925046 103.516894) (xy 213.111249 103.594212) (xy 213.312867 103.594388)
+        (xy 213.499204 103.517395) (xy 213.641894 103.374954) (xy 213.719212 103.188751) (xy 213.719388 102.987133) (xy 213.719388 130.897123)
+        (xy 213.642748 130.871089) (xy 213.642748 107.504405) (xy 213.642748 105.980405) (xy 213.600484 105.878118) (xy 213.522293 105.799791)
+        (xy 213.42008 105.757348) (xy 213.309405 105.757252) (xy 211.785405 105.757252) (xy 211.683118 105.799516) (xy 211.604791 105.877707)
+        (xy 211.569388 105.962965) (xy 211.569388 100.787133) (xy 211.492395 100.600796) (xy 211.349954 100.458106) (xy 211.163751 100.380788)
+        (xy 210.962133 100.380612) (xy 210.775796 100.457605) (xy 210.633106 100.600046) (xy 210.555788 100.786249) (xy 210.555612 100.987867)
+        (xy 210.632605 101.174204) (xy 210.775046 101.316894) (xy 210.961249 101.394212) (xy 211.162867 101.394388) (xy 211.349204 101.317395)
+        (xy 211.491894 101.174954) (xy 211.569212 100.988751) (xy 211.569388 100.787133) (xy 211.569388 105.962965) (xy 211.562348 105.97992)
+        (xy 211.562252 106.090595) (xy 211.562252 107.614595) (xy 211.604516 107.716882) (xy 211.682707 107.795209) (xy 211.78492 107.837652)
+        (xy 211.895595 107.837748) (xy 213.419595 107.837748) (xy 213.521882 107.795484) (xy 213.600209 107.717293) (xy 213.642652 107.61508)
+        (xy 213.642748 107.504405) (xy 213.642748 130.871089) (xy 213.112863 130.691097) (xy 212.44482 130.734746) (xy 212.030363 130.90642)
+        (xy 211.912187 131.162643) (xy 212.88856 132.139016) (xy 213.864933 131.162643) (xy 213.864933 131.668931) (xy 213.141704 132.39216)
+        (xy 214.118077 133.368533) (xy 214.3743 133.250357) (xy 214.589623 132.616463) (xy 214.589623 134.233714) (xy 214.57479 134.239843)
+        (xy 214.350268 134.463973) (xy 214.133378 134.390066) (xy 213.880234 134.64321) (xy 213.880234 134.136922) (xy 213.80524 133.916843)
+        (xy 213.717929 133.88984) (xy 213.746757 133.8779) (xy 213.864933 133.621677) (xy 212.88856 132.645304) (xy 212.635416 132.898448)
+        (xy 212.635416 132.39216) (xy 211.659043 131.415787) (xy 211.40282 131.533963) (xy 211.187497 132.167857) (xy 211.231146 132.8359)
+        (xy 211.40282 133.250357) (xy 211.659043 133.368533) (xy 212.635416 132.39216) (xy 212.635416 132.898448) (xy 211.912187 133.621677)
+        (xy 212.030363 133.8779) (xy 212.472457 134.028072) (xy 212.435366 134.136922) (xy 213.1578 134.859356) (xy 213.880234 134.136922)
+        (xy 213.880234 134.64321) (xy 213.410944 135.1125) (xy 214.133378 135.834934) (xy 214.350473 135.760956) (xy 214.573268 135.98414)
+        (xy 214.951247 136.14109) (xy 215.360517 136.141447) (xy 215.73877 135.985157) (xy 216.02842 135.696012) (xy 216.157664 135.384755)
+        (xy 216.285643 135.69449) (xy 216.574788 135.98414) (xy 216.952767 136.14109) (xy 217.362037 136.141447) (xy 217.74029 135.985157)
+        (xy 218.02994 135.696012) (xy 218.157911 135.387822) (xy 218.284623 135.69449) (xy 218.573768 135.98414) (xy 218.951747 136.14109)
+        (xy 219.361017 136.141447) (xy 219.73927 135.985157) (xy 220.02892 135.696012) (xy 220.18587 135.318033) (xy 220.186227 134.908763)
+        (xy 220.186227 136.465299) (xy 220.161568 136.45506) (xy 219.613357 136.454581) (xy 219.106694 136.66393) (xy 218.718713 137.051235)
+        (xy 218.50848 137.557532) (xy 218.508001 138.105743) (xy 218.71735 138.612406) (xy 219.104655 139.000387) (xy 219.610952 139.21062)
+        (xy 220.159163 139.211099) (xy 220.665826 139.00175) (xy 221.053807 138.614445) (xy 221.26404 138.108148) (xy 221.264519 137.559937)
+        (xy 221.264519 140.271475) (xy 221.196208 140.393063) (xy 222.4625 141.659356) (xy 223.728792 140.393063) (xy 223.728792 140.899352)
+        (xy 222.715644 141.9125) (xy 223.981937 143.178792) (xy 224.279688 143.011508) (xy 224.561935 142.232443) (xy 224.561935 154.524185)
+        (xy 224.329688 153.963492) (xy 224.031937 153.796208) (xy 223.778792 154.049352) (xy 223.778792 153.543063) (xy 223.728792 153.454067)
+        (xy 223.728792 143.431937) (xy 222.4625 142.165644) (xy 222.209356 142.418788) (xy 222.209356 141.9125) (xy 220.943063 140.646208)
+        (xy 220.645312 140.813492) (xy 220.363065 141.592557) (xy 220.400438 142.42033) (xy 220.645312 143.011508) (xy 220.943063 143.178792)
+        (xy 222.209356 141.9125) (xy 222.209356 142.418788) (xy 221.196208 143.431937) (xy 221.363492 143.729688) (xy 222.142557 144.011935)
+        (xy 222.97033 143.974562) (xy 223.561508 143.729688) (xy 223.728792 143.431937) (xy 223.728792 153.454067) (xy 223.611508 153.245312)
+        (xy 222.832443 152.963065) (xy 222.00467 153.000438) (xy 221.414907 153.244725) (xy 221.414907 145.103098) (xy 221.365766 144.574853)
+        (xy 221.258157 144.31506) (xy 221.038078 144.240066) (xy 220.784934 144.49321) (xy 220.784934 143.986922) (xy 220.70994 143.766843)
+        (xy 220.203098 143.610093) (xy 219.674853 143.659234) (xy 219.41506 143.766843) (xy 219.340066 143.986922) (xy 220.0625 144.709356)
+        (xy 220.784934 143.986922) (xy 220.784934 144.49321) (xy 220.315644 144.9625) (xy 221.038078 145.684934) (xy 221.258157 145.60994)
+        (xy 221.414907 145.103098) (xy 221.414907 153.244725) (xy 221.413492 153.245312) (xy 221.246208 153.543063) (xy 222.5125 154.809356)
+        (xy 223.778792 153.543063) (xy 223.778792 154.049352) (xy 222.765644 155.0625) (xy 224.031937 156.328792) (xy 224.329688 156.161508)
+        (xy 224.611935 155.382443) (xy 224.611935 157.572414) (xy 223.778792 157.560734) (xy 223.778792 156.581937) (xy 222.5125 155.315644)
+        (xy 222.259356 155.568788) (xy 222.259356 155.0625) (xy 221.091447 153.894591) (xy 221.091447 147.258763) (xy 220.935157 146.88051)
+        (xy 220.784934 146.730024) (xy 220.784934 145.938078) (xy 220.0625 145.215644) (xy 219.809356 145.468788) (xy 219.809356 144.9625)
+        (xy 219.086922 144.240066) (xy 218.886935 144.308213) (xy 218.886935 142.232443) (xy 218.849562 141.40467) (xy 218.604688 140.813492)
+        (xy 218.306937 140.646208) (xy 218.053792 140.899352) (xy 218.053792 140.393063) (xy 217.886508 140.095312) (xy 217.107443 139.813065)
+        (xy 216.27967 139.850438) (xy 215.688492 140.095312) (xy 215.521208 140.393063) (xy 216.7875 141.659356) (xy 218.053792 140.393063)
+        (xy 218.053792 140.899352) (xy 217.040644 141.9125) (xy 218.306937 143.178792) (xy 218.604688 143.011508) (xy 218.886935 142.232443)
+        (xy 218.886935 144.308213) (xy 218.866843 144.31506) (xy 218.770989 144.624998) (xy 218.765766 144.568853) (xy 218.658157 144.30906)
+        (xy 218.438078 144.234066) (xy 218.184934 144.48721) (xy 218.184934 143.980922) (xy 218.10994 143.760843) (xy 217.90467 143.697359)
+        (xy 218.053792 143.431937) (xy 216.7875 142.165644) (xy 216.534356 142.418788) (xy 216.534356 141.9125) (xy 215.268063 140.646208)
+        (xy 214.970312 140.813492) (xy 214.688065 141.592557) (xy 214.725438 142.42033) (xy 214.970312 143.011508) (xy 215.268063 143.178792)
+        (xy 216.534356 141.9125) (xy 216.534356 142.418788) (xy 215.521208 143.431937) (xy 215.688492 143.729688) (xy 216.467557 144.011935)
+        (xy 216.487126 144.011051) (xy 216.322484 144.175694) (xy 216.407813 144.261023) (xy 216.266843 144.30906) (xy 216.110093 144.815902)
+        (xy 216.159234 145.344147) (xy 216.266843 145.60394) (xy 216.486922 145.678934) (xy 217.156322 145.009532) (xy 217.156323 145.009533)
+        (xy 217.209355 144.9565) (xy 217.209356 144.9565) (xy 217.4625 144.703356) (xy 217.515532 144.650323) (xy 217.515533 144.650323)
+        (xy 218.184934 143.980922) (xy 218.184934 144.48721) (xy 217.715644 144.9565) (xy 218.438078 145.678934) (xy 218.658157 145.60394)
+        (xy 218.75401 145.294001) (xy 218.759234 145.350147) (xy 218.866843 145.60994) (xy 219.086922 145.684934) (xy 219.809356 144.9625)
+        (xy 219.809356 145.468788) (xy 219.340066 145.938078) (xy 219.41506 146.158157) (xy 219.921902 146.314907) (xy 220.450147 146.265766)
+        (xy 220.70994 146.158157) (xy 220.784934 145.938078) (xy 220.784934 146.730024) (xy 220.646012 146.59086) (xy 220.268033 146.43391)
+        (xy 219.858763 146.433553) (xy 219.48051 146.589843) (xy 219.19086 146.878988) (xy 219.03391 147.256967) (xy 219.033553 147.666237)
+        (xy 219.189843 148.04449) (xy 219.478988 148.33414) (xy 219.838879 148.483579) (xy 219.808763 148.483553) (xy 219.43051 148.639843)
+        (xy 219.14086 148.928988) (xy 218.98391 149.306967) (xy 218.983553 149.716237) (xy 219.139843 150.09449) (xy 219.428988 150.38414)
+        (xy 219.806967 150.54109) (xy 220.216237 150.541447) (xy 220.59449 150.385157) (xy 220.88414 150.096012) (xy 221.04109 149.718033)
+        (xy 221.041447 149.308763) (xy 220.885157 148.93051) (xy 220.596012 148.64086) (xy 220.23612 148.49142) (xy 220.266237 148.491447)
+        (xy 220.64449 148.335157) (xy 220.93414 148.046012) (xy 221.09109 147.668033) (xy 221.091447 147.258763) (xy 221.091447 153.894591)
+        (xy 221.041447 153.844591) (xy 221.041447 151.908763) (xy 220.885157 151.53051) (xy 220.596012 151.24086) (xy 220.218033 151.08391)
+        (xy 219.808763 151.083553) (xy 219.43051 151.239843) (xy 219.14086 151.528988) (xy 218.98391 151.906967) (xy 218.983553 152.316237)
+        (xy 219.139843 152.69449) (xy 219.428988 152.98414) (xy 219.806967 153.14109) (xy 220.216237 153.141447) (xy 220.59449 152.985157)
+        (xy 220.88414 152.696012) (xy 221.04109 152.318033) (xy 221.041447 151.908763) (xy 221.041447 153.844591) (xy 220.993063 153.796208)
+        (xy 220.695312 153.963492) (xy 220.413065 154.742557) (xy 220.450438 155.57033) (xy 220.695312 156.161508) (xy 220.993063 156.328792)
+        (xy 222.259356 155.0625) (xy 222.259356 155.568788) (xy 221.246208 156.581937) (xy 221.413492 156.879688) (xy 222.192557 157.161935)
+        (xy 223.02033 157.124562) (xy 223.611508 156.879688) (xy 223.778792 156.581937) (xy 223.778792 157.560734) (xy 218.936935 157.492858)
+        (xy 218.936935 155.507443) (xy 218.899562 154.67967) (xy 218.654688 154.088492) (xy 218.516447 154.010824) (xy 218.516447 149.333763)
+        (xy 218.360157 148.95551) (xy 218.071012 148.66586) (xy 217.693033 148.50891) (xy 217.684496 148.508902) (xy 218.04449 148.360157)
+        (xy 218.33414 148.071012) (xy 218.49109 147.693033) (xy 218.491447 147.283763) (xy 218.335157 146.90551) (xy 218.184934 146.755024)
+        (xy 218.184934 145.932078) (xy 217.4625 145.209644) (xy 217.209356 145.462788) (xy 216.740066 145.932078) (xy 216.81506 146.152157)
+        (xy 217.321902 146.308907) (xy 217.850147 146.259766) (xy 218.10994 146.152157) (xy 218.184934 145.932078) (xy 218.184934 146.755024)
+        (xy 218.046012 146.61586) (xy 217.668033 146.45891) (xy 217.258763 146.458553) (xy 216.88051 146.614843) (xy 216.59086 146.903988)
+        (xy 216.43391 147.281967) (xy 216.433553 147.691237) (xy 216.589843 148.06949) (xy 216.878988 148.35914) (xy 217.256967 148.51609)
+        (xy 217.265503 148.516097) (xy 216.90551 148.664843) (xy 216.61586 148.953988) (xy 216.45891 149.331967) (xy 216.458553 149.741237)
+        (xy 216.614843 150.11949) (xy 216.903988 150.40914) (xy 217.281967 150.56609) (xy 217.691237 150.566447) (xy 218.06949 150.410157)
+        (xy 218.35914 150.121012) (xy 218.51609 149.743033) (xy 218.516447 149.333763) (xy 218.516447 154.010824) (xy 218.491447 153.996779)
+        (xy 218.491447 151.864763) (xy 218.335157 151.48651) (xy 218.046012 151.19686) (xy 217.668033 151.03991) (xy 217.258763 151.039553)
+        (xy 216.88051 151.195843) (xy 216.59086 151.484988) (xy 216.43391 151.862967) (xy 216.433553 152.272237) (xy 216.589843 152.65049)
+        (xy 216.878988 152.94014) (xy 217.256967 153.09709) (xy 217.666237 153.097447) (xy 218.04449 152.941157) (xy 218.33414 152.652012)
+        (xy 218.49109 152.274033) (xy 218.491447 151.864763) (xy 218.491447 153.996779) (xy 218.356937 153.921208) (xy 218.103792 154.174352)
+        (xy 218.103792 153.668063) (xy 217.936508 153.370312) (xy 217.157443 153.088065) (xy 216.32967 153.125438) (xy 215.738492 153.370312)
+        (xy 215.571208 153.668063) (xy 216.8375 154.934356) (xy 218.103792 153.668063) (xy 218.103792 154.174352) (xy 217.090644 155.1875)
+        (xy 218.356937 156.453792) (xy 218.654688 156.286508) (xy 218.936935 155.507443) (xy 218.936935 157.492858) (xy 218.103792 157.481178)
+        (xy 218.103792 156.706937) (xy 216.8375 155.440644) (xy 216.584356 155.693788) (xy 216.584356 155.1875) (xy 215.318063 153.921208)
+        (xy 215.020312 154.088492) (xy 214.738065 154.867557) (xy 214.775438 155.69533) (xy 215.020312 156.286508) (xy 215.318063 156.453792)
+        (xy 216.584356 155.1875) (xy 216.584356 155.693788) (xy 215.571208 156.706937) (xy 215.738492 157.004688) (xy 216.517557 157.286935)
+        (xy 217.34533 157.249562) (xy 217.936508 157.004688) (xy 218.103792 156.706937) (xy 218.103792 157.481178) (xy 214.589623 157.431914)
+        (xy 214.589623 138.057143) (xy 214.545974 137.3891) (xy 214.3743 136.974643) (xy 214.118077 136.856467) (xy 213.880234 137.09431)
+        (xy 213.880234 136.088078) (xy 213.1578 135.365644) (xy 212.904656 135.618788) (xy 212.904656 135.1125) (xy 212.182222 134.390066)
+        (xy 211.962143 134.46506) (xy 211.805393 134.971902) (xy 211.854534 135.500147) (xy 211.962143 135.75994) (xy 212.182222 135.834934)
+        (xy 212.904656 135.1125) (xy 212.904656 135.618788) (xy 212.435366 136.088078) (xy 212.464688 136.174127) (xy 212.44482 136.175426)
+        (xy 212.030363 136.3471) (xy 211.912187 136.603323) (xy 212.88856 137.579696) (xy 213.864933 136.603323) (xy 213.746757 136.3471)
+        (xy 213.727233 136.340468) (xy 213.80524 136.308157) (xy 213.880234 136.088078) (xy 213.880234 137.09431) (xy 213.141704 137.83284)
+        (xy 214.118077 138.809213) (xy 214.3743 138.691037) (xy 214.589623 138.057143) (xy 214.589623 157.431914) (xy 213.864933 157.421755)
+        (xy 213.864933 139.062357) (xy 212.88856 138.085984) (xy 212.635416 138.339128) (xy 212.635416 137.83284) (xy 211.659043 136.856467)
+        (xy 211.40282 136.974643) (xy 211.187497 137.608537) (xy 211.231146 138.27658) (xy 211.40282 138.691037) (xy 211.659043 138.809213)
+        (xy 212.635416 137.83284) (xy 212.635416 138.339128) (xy 211.912187 139.062357) (xy 212.030363 139.31858) (xy 212.664257 139.533903)
+        (xy 213.3323 139.490254) (xy 213.746757 139.31858) (xy 213.864933 139.062357) (xy 213.864933 157.421755) (xy 210.794388 157.37871)
+        (xy 210.794388 125.862133) (xy 210.744388 125.741123) (xy 210.744388 124.762133) (xy 210.667395 124.575796) (xy 210.644388 124.552748)
+        (xy 210.644388 118.162133) (xy 210.567395 117.975796) (xy 210.424954 117.833106) (xy 210.238751 117.755788) (xy 210.037133 117.755612)
+        (xy 209.850796 117.832605) (xy 209.708106 117.975046) (xy 209.630788 118.161249) (xy 209.630612 118.362867) (xy 209.707605 118.549204)
+        (xy 209.850046 118.691894) (xy 210.036249 118.769212) (xy 210.237867 118.769388) (xy 210.424204 118.692395) (xy 210.566894 118.549954)
+        (xy 210.644212 118.363751) (xy 210.644388 118.162133) (xy 210.644388 124.552748) (xy 210.594388 124.502661) (xy 210.594388 120.362133)
+        (xy 210.544388 120.241123) (xy 210.544388 119.262133) (xy 210.467395 119.075796) (xy 210.324954 118.933106) (xy 210.138751 118.855788)
+        (xy 209.937133 118.855612) (xy 209.750796 118.932605) (xy 209.608106 119.075046) (xy 209.530788 119.261249) (xy 209.530612 119.462867)
+        (xy 209.607605 119.649204) (xy 209.750046 119.791894) (xy 209.936249 119.869212) (xy 210.137867 119.869388) (xy 210.324204 119.792395)
+        (xy 210.466894 119.649954) (xy 210.544212 119.463751) (xy 210.544388 119.262133) (xy 210.544388 120.241123) (xy 210.517395 120.175796)
+        (xy 210.374954 120.033106) (xy 210.188751 119.955788) (xy 209.987133 119.955612) (xy 209.800796 120.032605) (xy 209.658106 120.175046)
+        (xy 209.580788 120.361249) (xy 209.580612 120.562867) (xy 209.657605 120.749204) (xy 209.800046 120.891894) (xy 209.986249 120.969212)
+        (xy 210.187867 120.969388) (xy 210.374204 120.892395) (xy 210.516894 120.749954) (xy 210.594212 120.563751) (xy 210.594388 120.362133)
+        (xy 210.594388 124.502661) (xy 210.524954 124.433106) (xy 210.338751 124.355788) (xy 210.137133 124.355612) (xy 210.119388 124.362944)
+        (xy 210.119388 122.587133) (xy 210.042395 122.400796) (xy 209.899954 122.258106) (xy 209.713751 122.180788) (xy 209.512133 122.180612)
+        (xy 209.325796 122.257605) (xy 209.183106 122.400046) (xy 209.105788 122.586249) (xy 209.105612 122.787867) (xy 209.182605 122.974204)
+        (xy 209.325046 123.116894) (xy 209.511249 123.194212) (xy 209.712867 123.194388) (xy 209.899204 123.117395) (xy 210.041894 122.974954)
+        (xy 210.119212 122.788751) (xy 210.119388 122.587133) (xy 210.119388 124.362944) (xy 209.950796 124.432605) (xy 209.808106 124.575046)
+        (xy 209.730788 124.761249) (xy 209.730612 124.962867) (xy 209.807605 125.149204) (xy 209.950046 125.291894) (xy 210.136249 125.369212)
+        (xy 210.337867 125.369388) (xy 210.524204 125.292395) (xy 210.666894 125.149954) (xy 210.744212 124.963751) (xy 210.744388 124.762133)
+        (xy 210.744388 125.741123) (xy 210.717395 125.675796) (xy 210.574954 125.533106) (xy 210.388751 125.455788) (xy 210.187133 125.455612)
+        (xy 210.000796 125.532605) (xy 209.858106 125.675046) (xy 209.780788 125.861249) (xy 209.780612 126.062867) (xy 209.857605 126.249204)
+        (xy 210.000046 126.391894) (xy 210.186249 126.469212) (xy 210.387867 126.469388) (xy 210.574204 126.392395) (xy 210.716894 126.249954)
+        (xy 210.794212 126.063751) (xy 210.794388 125.862133) (xy 210.794388 157.37871) (xy 209.102748 157.354995) (xy 209.102748 92.424405)
+        (xy 209.102748 90.900405) (xy 209.060484 90.798118) (xy 208.982293 90.719791) (xy 208.88008 90.677348) (xy 208.769405 90.677252)
+        (xy 208.242139 90.677252) (xy 208.242139 79.774708) (xy 208.195177 79.190997) (xy 208.06215 78.869841) (xy 207.827767 78.777677)
+        (xy 207.574623 79.030821) (xy 207.574623 78.524533) (xy 207.482459 78.29015) (xy 206.925208 78.110161) (xy 206.341497 78.157123)
+        (xy 206.020341 78.29015) (xy 205.928177 78.524533) (xy 206.7514 79.347756) (xy 207.574623 78.524533) (xy 207.574623 79.030821)
+        (xy 207.004544 79.6009) (xy 207.827767 80.424123) (xy 208.06215 80.331959) (xy 208.242139 79.774708) (xy 208.242139 90.677252)
+        (xy 207.574623 90.677252) (xy 207.574623 80.677267) (xy 206.7514 79.854044) (xy 206.498256 80.107188) (xy 206.498256 79.6009)
+        (xy 205.675033 78.777677) (xy 205.44065 78.869841) (xy 205.260661 79.427092) (xy 205.307623 80.010803) (xy 205.44065 80.331959)
+        (xy 205.675033 80.424123) (xy 206.498256 79.6009) (xy 206.498256 80.107188) (xy 205.928177 80.677267) (xy 206.020341 80.91165)
+        (xy 206.577592 81.091639) (xy 207.161303 81.044677) (xy 207.482459 80.91165) (xy 207.574623 80.677267) (xy 207.574623 90.677252)
+        (xy 207.245405 90.677252) (xy 207.143118 90.719516) (xy 207.064791 90.797707) (xy 207.022348 90.89992) (xy 207.022252 91.010595)
+        (xy 207.022252 92.534595) (xy 207.064516 92.636882) (xy 207.142707 92.715209) (xy 207.24492 92.757652) (xy 207.355595 92.757748)
+        (xy 208.879595 92.757748) (xy 208.981882 92.715484) (xy 209.060209 92.637293) (xy 209.102652 92.53508) (xy 209.102748 92.424405)
+        (xy 209.102748 157.354995) (xy 208.519388 157.346817) (xy 208.519388 136.437133) (xy 208.442395 136.250796) (xy 208.299954 136.108106)
+        (xy 208.288463 136.103334) (xy 208.316894 136.074954) (xy 208.394212 135.888751) (xy 208.394388 135.687133) (xy 208.317395 135.500796)
+        (xy 208.174954 135.358106) (xy 207.990267 135.281417) (xy 208.024204 135.267395) (xy 208.166894 135.124954) (xy 208.244212 134.938751)
+        (xy 208.244388 134.737133) (xy 208.167395 134.550796) (xy 208.024954 134.408106) (xy 207.838751 134.330788) (xy 207.637133 134.330612)
+        (xy 207.450796 134.407605) (xy 207.432748 134.425621) (xy 207.432748 107.004405) (xy 207.432748 105.480405) (xy 207.390484 105.378118)
+        (xy 207.312293 105.299791) (xy 207.21008 105.257348) (xy 207.099405 105.257252) (xy 206.044388 105.257252) (xy 206.044388 87.612133)
+        (xy 205.967395 87.425796) (xy 205.824954 87.283106) (xy 205.638751 87.205788) (xy 205.437133 87.205612) (xy 205.250796 87.282605)
+        (xy 205.108106 87.425046) (xy 205.030788 87.611249) (xy 205.030612 87.812867) (xy 205.107605 87.999204) (xy 205.250046 88.141894)
+        (xy 205.436249 88.219212) (xy 205.637867 88.219388) (xy 205.824204 88.142395) (xy 205.966894 87.999954) (xy 206.044212 87.813751)
+        (xy 206.044388 87.612133) (xy 206.044388 105.257252) (xy 205.575405 105.257252) (xy 205.572879 105.258295) (xy 205.572879 100.351499)
+        (xy 205.572879 97.811499) (xy 205.414852 97.429044) (xy 205.122495 97.136176) (xy 204.740316 96.977482) (xy 204.326499 96.977121)
+        (xy 203.944044 97.135148) (xy 203.651176 97.427505) (xy 203.492482 97.809684) (xy 203.492121 98.223501) (xy 203.650148 98.605956)
+        (xy 203.942505 98.898824) (xy 204.324684 99.057518) (xy 204.738501 99.057879) (xy 205.120956 98.899852) (xy 205.413824 98.607495)
+        (xy 205.572518 98.225316) (xy 205.572879 97.811499) (xy 205.572879 100.351499) (xy 205.414852 99.969044) (xy 205.122495 99.676176)
+        (xy 204.740316 99.517482) (xy 204.326499 99.517121) (xy 203.944044 99.675148) (xy 203.651176 99.967505) (xy 203.492482 100.349684)
+        (xy 203.492121 100.763501) (xy 203.650148 101.145956) (xy 203.942505 101.438824) (xy 204.324684 101.597518) (xy 204.738501 101.597879)
+        (xy 205.120956 101.439852) (xy 205.413824 101.147495) (xy 205.572518 100.765316) (xy 205.572879 100.351499) (xy 205.572879 105.258295)
+        (xy 205.473118 105.299516) (xy 205.394791 105.377707) (xy 205.352348 105.47992) (xy 205.352252 105.590595) (xy 205.352252 107.114595)
+        (xy 205.394516 107.216882) (xy 205.472707 107.295209) (xy 205.57492 107.337652) (xy 205.685595 107.337748) (xy 207.209595 107.337748)
+        (xy 207.311882 107.295484) (xy 207.390209 107.217293) (xy 207.432652 107.11508) (xy 207.432748 107.004405) (xy 207.432748 134.425621)
+        (xy 207.308106 134.550046) (xy 207.230788 134.736249) (xy 207.230612 134.937867) (xy 207.307605 135.124204) (xy 207.450046 135.266894)
+        (xy 207.634732 135.343582) (xy 207.600796 135.357605) (xy 207.458106 135.500046) (xy 207.380788 135.686249) (xy 207.380612 135.887867)
+        (xy 207.457605 136.074204) (xy 207.600046 136.216894) (xy 207.611536 136.221665) (xy 207.583106 136.250046) (xy 207.505788 136.436249)
+        (xy 207.505612 136.637867) (xy 207.582605 136.824204) (xy 207.725046 136.966894) (xy 207.911249 137.044212) (xy 208.112867 137.044388)
+        (xy 208.299204 136.967395) (xy 208.441894 136.824954) (xy 208.519212 136.638751) (xy 208.519388 136.437133) (xy 208.519388 157.346817)
+        (xy 208.3855 157.34494) (xy 208.3855 157.0385) (xy 208.3855 156.5135) (xy 208.215531 156.017009) (xy 207.868501 155.623355)
+        (xy 207.699959 155.5425) (xy 207.868501 155.461645) (xy 208.215531 155.067991) (xy 208.3855 154.5715) (xy 208.3855 154.0465)
+        (xy 208.3855 153.6885) (xy 208.3855 153.1635) (xy 208.244388 152.751302) (xy 208.244388 137.487133) (xy 208.167395 137.300796)
+        (xy 208.024954 137.158106) (xy 207.838751 137.080788) (xy 207.637133 137.080612) (xy 207.450796 137.157605) (xy 207.308106 137.300046)
+        (xy 207.230788 137.486249) (xy 207.230612 137.687867) (xy 207.307605 137.874204) (xy 207.450046 138.016894) (xy 207.636249 138.094212)
+        (xy 207.837867 138.094388) (xy 208.024204 138.017395) (xy 208.166894 137.874954) (xy 208.244212 137.688751) (xy 208.244388 137.487133)
+        (xy 208.244388 152.751302) (xy 208.215531 152.667009) (xy 208.189388 152.637353) (xy 208.189388 148.047133) (xy 208.112395 147.860796)
+        (xy 207.969954 147.718106) (xy 207.783751 147.640788) (xy 207.689388 147.640705) (xy 207.689388 147.107133) (xy 207.612395 146.920796)
+        (xy 207.469954 146.778106) (xy 207.283751 146.700788) (xy 207.082133 146.700612) (xy 206.895796 146.777605) (xy 206.753106 146.920046)
+        (xy 206.675788 147.106249) (xy 206.675612 147.307867) (xy 206.752605 147.494204) (xy 206.895046 147.636894) (xy 207.081249 147.714212)
+        (xy 207.282867 147.714388) (xy 207.469204 147.637395) (xy 207.611894 147.494954) (xy 207.689212 147.308751) (xy 207.689388 147.107133)
+        (xy 207.689388 147.640705) (xy 207.582133 147.640612) (xy 207.395796 147.717605) (xy 207.253106 147.860046) (xy 207.175788 148.046249)
+        (xy 207.175612 148.247867) (xy 207.252605 148.434204) (xy 207.395046 148.576894) (xy 207.581249 148.654212) (xy 207.782867 148.654388)
+        (xy 207.969204 148.577395) (xy 208.111894 148.434954) (xy 208.189212 148.248751) (xy 208.189388 148.047133) (xy 208.189388 152.637353)
+        (xy 207.868501 152.273355) (xy 207.435935 152.065839) (xy 207.2315 152.168822) (xy 207.2315 153.6885) (xy 208.3855 153.6885)
+        (xy 208.3855 154.0465) (xy 207.2315 154.0465) (xy 207.2315 155.518822) (xy 207.2315 155.566178) (xy 207.2315 157.0385)
+        (xy 208.3855 157.0385) (xy 208.3855 157.34494) (xy 206.8735 157.323744) (xy 206.8735 157.0385) (xy 206.8735 155.566178)
+        (xy 206.8735 155.518822) (xy 206.8735 154.0465) (xy 206.8735 153.6885) (xy 206.8735 152.168822) (xy 206.669065 152.065839)
+        (xy 206.236499 152.273355) (xy 205.889469 152.667009) (xy 205.7195 153.1635) (xy 205.7195 153.6885) (xy 206.8735 153.6885)
+        (xy 206.8735 154.0465) (xy 205.7195 154.0465) (xy 205.7195 154.5715) (xy 205.725328 154.588524) (xy 205.699954 154.563106)
+        (xy 205.513751 154.485788) (xy 205.312133 154.485612) (xy 205.125796 154.562605) (xy 204.983106 154.705046) (xy 204.944229 154.798671)
+        (xy 204.944388 154.617133) (xy 204.867395 154.430796) (xy 204.724954 154.288106) (xy 204.538751 154.210788) (xy 204.494388 154.210749)
+        (xy 204.494388 106.887133) (xy 204.417395 106.700796) (xy 204.274954 106.558106) (xy 204.088751 106.480788) (xy 203.887133 106.480612)
+        (xy 203.700796 106.557605) (xy 203.574905 106.683275) (xy 203.449954 106.558106) (xy 203.263751 106.480788) (xy 203.062133 106.480612)
+        (xy 203.032879 106.492699) (xy 203.032879 100.351499) (xy 203.032879 97.811499) (xy 202.874852 97.429044) (xy 202.838648 97.392776)
+        (xy 202.838648 80.434805) (xy 202.838648 78.656805) (xy 202.796384 78.554518) (xy 202.718193 78.476191) (xy 202.61598 78.433748)
+        (xy 202.505305 78.433652) (xy 200.727305 78.433652) (xy 200.625018 78.475916) (xy 200.546691 78.554107) (xy 200.504248 78.65632)
+        (xy 200.504152 78.766995) (xy 200.504152 80.544995) (xy 200.546416 80.647282) (xy 200.624607 80.725609) (xy 200.72682 80.768052)
+        (xy 200.837495 80.768148) (xy 202.615495 80.768148) (xy 202.717782 80.725884) (xy 202.796109 80.647693) (xy 202.838552 80.54548)
+        (xy 202.838648 80.434805) (xy 202.838648 97.392776) (xy 202.582495 97.136176) (xy 202.200316 96.977482) (xy 201.786499 96.977121)
+        (xy 201.404044 97.135148) (xy 201.111176 97.427505) (xy 200.952482 97.809684) (xy 200.952121 98.223501) (xy 201.110148 98.605956)
+        (xy 201.402505 98.898824) (xy 201.784684 99.057518) (xy 202.198501 99.057879) (xy 202.580956 98.899852) (xy 202.873824 98.607495)
+        (xy 203.032518 98.225316) (xy 203.032879 97.811499) (xy 203.032879 100.351499) (xy 202.874852 99.969044) (xy 202.582495 99.676176)
+        (xy 202.200316 99.517482) (xy 201.786499 99.517121) (xy 201.404044 99.675148) (xy 201.111176 99.967505) (xy 200.952482 100.349684)
+        (xy 200.952121 100.763501) (xy 201.110148 101.145956) (xy 201.402505 101.438824) (xy 201.784684 101.597518) (xy 202.198501 101.597879)
+        (xy 202.580956 101.439852) (xy 202.873824 101.147495) (xy 203.032518 100.765316) (xy 203.032879 100.351499) (xy 203.032879 106.492699)
+        (xy 202.875796 106.557605) (xy 202.733106 106.700046) (xy 202.655788 106.886249) (xy 202.655612 107.087867) (xy 202.732605 107.274204)
+        (xy 202.845775 107.387572) (xy 202.762427 107.470775) (xy 202.649954 107.358106) (xy 202.463751 107.280788) (xy 202.262133 107.280612)
+        (xy 202.075796 107.357605) (xy 201.933106 107.500046) (xy 201.855788 107.686249) (xy 201.855612 107.887867) (xy 201.932605 108.074204)
+        (xy 202.045775 108.187572) (xy 201.933106 108.300046) (xy 201.855788 108.486249) (xy 201.855612 108.687867) (xy 201.932605 108.874204)
+        (xy 202.075046 109.016894) (xy 202.261249 109.094212) (xy 202.462867 109.094388) (xy 202.649204 109.017395) (xy 202.762572 108.904224)
+        (xy 202.875046 109.016894) (xy 203.061249 109.094212) (xy 203.262867 109.094388) (xy 203.449204 109.017395) (xy 203.591894 108.874954)
+        (xy 203.669212 108.688751) (xy 203.669388 108.487133) (xy 203.592395 108.300796) (xy 203.479224 108.187427) (xy 203.591894 108.074954)
+        (xy 203.669212 107.888751) (xy 203.669388 107.687133) (xy 203.592395 107.500796) (xy 203.479224 107.387427) (xy 203.575094 107.291724)
+        (xy 203.700046 107.416894) (xy 203.886249 107.494212) (xy 204.087867 107.494388) (xy 204.274204 107.417395) (xy 204.416894 107.274954)
+        (xy 204.494212 107.088751) (xy 204.494388 106.887133) (xy 204.494388 154.210749) (xy 204.379388 154.210648) (xy 204.379388 147.727133)
+        (xy 204.302395 147.540796) (xy 204.266337 147.504674) (xy 204.266337 137.120843) (xy 204.217376 136.588014) (xy 204.107667 136.323151)
+        (xy 203.942879 136.266238) (xy 203.942879 134.231499) (xy 203.942879 131.691499) (xy 203.942879 129.151499) (xy 203.942879 126.611499)
+        (xy 203.784852 126.229044) (xy 203.492495 125.936176) (xy 203.110316 125.777482) (xy 202.869388 125.777271) (xy 202.869388 120.487133)
+        (xy 202.869388 117.287133) (xy 202.869388 115.687133) (xy 202.792395 115.500796) (xy 202.679224 115.387427) (xy 202.791894 115.274954)
+        (xy 202.869212 115.088751) (xy 202.869388 114.887133) (xy 202.792395 114.700796) (xy 202.649954 114.558106) (xy 202.463751 114.480788)
+        (xy 202.262133 114.480612) (xy 202.075796 114.557605) (xy 201.962427 114.670775) (xy 201.879224 114.587427) (xy 201.991894 114.474954)
+        (xy 202.069212 114.288751) (xy 202.069388 114.087133) (xy 201.992395 113.900796) (xy 201.879224 113.787427) (xy 201.991894 113.674954)
+        (xy 202.069212 113.488751) (xy 202.069388 113.287133) (xy 201.992395 113.100796) (xy 201.879224 112.987427) (xy 201.991894 112.874954)
+        (xy 202.069212 112.688751) (xy 202.069388 112.487133) (xy 201.992395 112.300796) (xy 201.849954 112.158106) (xy 201.663751 112.080788)
+        (xy 201.462133 112.080612) (xy 201.275796 112.157605) (xy 201.162427 112.270775) (xy 201.079224 112.187427) (xy 201.191894 112.074954)
+        (xy 201.269212 111.888751) (xy 201.269388 111.687133) (xy 201.269388 110.087133) (xy 201.192395 109.900796) (xy 201.049954 109.758106)
+        (xy 200.863751 109.680788) (xy 200.662133 109.680612) (xy 200.492879 109.750546) (xy 200.492879 100.351499) (xy 200.492879 97.811499)
+        (xy 200.334852 97.429044) (xy 200.042495 97.136176) (xy 199.660316 96.977482) (xy 199.246499 96.977121) (xy 198.864044 97.135148)
+        (xy 198.571176 97.427505) (xy 198.412482 97.809684) (xy 198.412121 98.223501) (xy 198.570148 98.605956) (xy 198.862505 98.898824)
+        (xy 199.244684 99.057518) (xy 199.658501 99.057879) (xy 200.040956 98.899852) (xy 200.333824 98.607495) (xy 200.492518 98.225316)
+        (xy 200.492879 97.811499) (xy 200.492879 100.351499) (xy 200.334852 99.969044) (xy 200.042495 99.676176) (xy 199.660316 99.517482)
+        (xy 199.246499 99.517121) (xy 198.864044 99.675148) (xy 198.571176 99.967505) (xy 198.412482 100.349684) (xy 198.412121 100.763501)
+        (xy 198.570148 101.145956) (xy 198.862505 101.438824) (xy 199.244684 101.597518) (xy 199.658501 101.597879) (xy 200.040956 101.439852)
+        (xy 200.333824 101.147495) (xy 200.492518 100.765316) (xy 200.492879 100.351499) (xy 200.492879 109.750546) (xy 200.475796 109.757605)
+        (xy 200.333106 109.900046) (xy 200.255788 110.086249) (xy 200.255612 110.287867) (xy 200.332605 110.474204) (xy 200.475046 110.616894)
+        (xy 200.661249 110.694212) (xy 200.862867 110.694388) (xy 201.049204 110.617395) (xy 201.191894 110.474954) (xy 201.269212 110.288751)
+        (xy 201.269388 110.087133) (xy 201.269388 111.687133) (xy 201.192395 111.500796) (xy 201.049954 111.358106) (xy 200.863751 111.280788)
+        (xy 200.662133 111.280612) (xy 200.475796 111.357605) (xy 200.333106 111.500046) (xy 200.255788 111.686249) (xy 200.255612 111.887867)
+        (xy 200.332605 112.074204) (xy 200.445775 112.187572) (xy 200.333106 112.300046) (xy 200.255788 112.486249) (xy 200.255612 112.687867)
+        (xy 200.332605 112.874204) (xy 200.445775 112.987572) (xy 200.333106 113.100046) (xy 200.255788 113.286249) (xy 200.255612 113.487867)
+        (xy 200.332605 113.674204) (xy 200.445775 113.787572) (xy 200.333106 113.900046) (xy 200.255788 114.086249) (xy 200.255612 114.287867)
+        (xy 200.332605 114.474204) (xy 200.475046 114.616894) (xy 200.661249 114.694212) (xy 200.862867 114.694388) (xy 201.049204 114.617395)
+        (xy 201.162572 114.504224) (xy 201.245775 114.587572) (xy 201.133106 114.700046) (xy 201.055788 114.886249) (xy 201.055612 115.087867)
+        (xy 201.132605 115.274204) (xy 201.245775 115.387572) (xy 201.174927 115.458297) (xy 201.049954 115.333106) (xy 200.863751 115.255788)
+        (xy 200.662133 115.255612) (xy 200.475796 115.332605) (xy 200.333106 115.475046) (xy 200.255788 115.661249) (xy 200.255612 115.862867)
+        (xy 200.332605 116.049204) (xy 200.475046 116.191894) (xy 200.661249 116.269212) (xy 200.862867 116.269388) (xy 201.049204 116.192395)
+        (xy 201.150072 116.091702) (xy 201.245775 116.187572) (xy 201.133106 116.300046) (xy 201.055788 116.486249) (xy 201.055612 116.687867)
+        (xy 201.132605 116.874204) (xy 201.275046 117.016894) (xy 201.461249 117.094212) (xy 201.662867 117.094388) (xy 201.849204 117.017395)
+        (xy 201.991894 116.874954) (xy 202.069212 116.688751) (xy 202.069388 116.487133) (xy 201.992395 116.300796) (xy 201.879224 116.187427)
+        (xy 201.962572 116.104224) (xy 202.075046 116.216894) (xy 202.261249 116.294212) (xy 202.462867 116.294388) (xy 202.649204 116.217395)
+        (xy 202.791894 116.074954) (xy 202.869212 115.888751) (xy 202.869388 115.687133) (xy 202.869388 117.287133) (xy 202.792395 117.100796)
+        (xy 202.649954 116.958106) (xy 202.463751 116.880788) (xy 202.262133 116.880612) (xy 202.075796 116.957605) (xy 201.933106 117.100046)
+        (xy 201.855788 117.286249) (xy 201.855612 117.487867) (xy 201.932605 117.674204) (xy 202.075046 117.816894) (xy 202.261249 117.894212)
+        (xy 202.462867 117.894388) (xy 202.649204 117.817395) (xy 202.791894 117.674954) (xy 202.869212 117.488751) (xy 202.869388 117.287133)
+        (xy 202.869388 120.487133) (xy 202.792395 120.300796) (xy 202.649954 120.158106) (xy 202.463751 120.080788) (xy 202.262133 120.080612)
+        (xy 202.075796 120.157605) (xy 202.069388 120.164001) (xy 202.069388 119.687133) (xy 201.992395 119.500796) (xy 201.849954 119.358106)
+        (xy 201.663751 119.280788) (xy 201.462133 119.280612) (xy 201.275796 119.357605) (xy 201.133106 119.500046) (xy 201.055788 119.686249)
+        (xy 201.055612 119.887867) (xy 201.132605 120.074204) (xy 201.275046 120.216894) (xy 201.461249 120.294212) (xy 201.662867 120.294388)
+        (xy 201.849204 120.217395) (xy 201.991894 120.074954) (xy 202.069212 119.888751) (xy 202.069388 119.687133) (xy 202.069388 120.164001)
+        (xy 201.933106 120.300046) (xy 201.855788 120.486249) (xy 201.855612 120.687867) (xy 201.932605 120.874204) (xy 202.075046 121.016894)
+        (xy 202.261249 121.094212) (xy 202.462867 121.094388) (xy 202.649204 121.017395) (xy 202.791894 120.874954) (xy 202.869212 120.688751)
+        (xy 202.869388 120.487133) (xy 202.869388 125.777271) (xy 202.859388 125.777263) (xy 202.859388 122.077133) (xy 202.782395 121.890796)
+        (xy 202.639954 121.748106) (xy 202.453751 121.670788) (xy 202.252133 121.670612) (xy 202.065796 121.747605) (xy 201.923106 121.890046)
+        (xy 201.845788 122.076249) (xy 201.845612 122.277867) (xy 201.922605 122.464204) (xy 202.065046 122.606894) (xy 202.251249 122.684212)
+        (xy 202.452867 122.684388) (xy 202.639204 122.607395) (xy 202.781894 122.464954) (xy 202.859212 122.278751) (xy 202.859388 122.077133)
+        (xy 202.859388 125.777263) (xy 202.696499 125.777121) (xy 202.314044 125.935148) (xy 202.021176 126.227505) (xy 201.862482 126.609684)
+        (xy 201.862121 127.023501) (xy 202.020148 127.405956) (xy 202.312505 127.698824) (xy 202.694684 127.857518) (xy 203.108501 127.857879)
+        (xy 203.490956 127.699852) (xy 203.783824 127.407495) (xy 203.942518 127.025316) (xy 203.942879 126.611499) (xy 203.942879 129.151499)
+        (xy 203.784852 128.769044) (xy 203.492495 128.476176) (xy 203.110316 128.317482) (xy 202.696499 128.317121) (xy 202.314044 128.475148)
+        (xy 202.021176 128.767505) (xy 201.862482 129.149684) (xy 201.862121 129.563501) (xy 202.020148 129.945956) (xy 202.312505 130.238824)
+        (xy 202.694684 130.397518) (xy 203.108501 130.397879) (xy 203.490956 130.239852) (xy 203.783824 129.947495) (xy 203.942518 129.565316)
+        (xy 203.942879 129.151499) (xy 203.942879 131.691499) (xy 203.784852 131.309044) (xy 203.492495 131.016176) (xy 203.110316 130.857482)
+        (xy 202.696499 130.857121) (xy 202.314044 131.015148) (xy 202.021176 131.307505) (xy 201.862482 131.689684) (xy 201.862121 132.103501)
+        (xy 202.020148 132.485956) (xy 202.312505 132.778824) (xy 202.694684 132.937518) (xy 203.108501 132.937879) (xy 203.490956 132.779852)
+        (xy 203.783824 132.487495) (xy 203.942518 132.105316) (xy 203.942879 131.691499) (xy 203.942879 134.231499) (xy 203.784852 133.849044)
+        (xy 203.492495 133.556176) (xy 203.110316 133.397482) (xy 202.696499 133.397121) (xy 202.314044 133.555148) (xy 202.021176 133.847505)
+        (xy 201.862482 134.229684) (xy 201.862121 134.643501) (xy 202.020148 135.025956) (xy 202.312505 135.318824) (xy 202.694684 135.477518)
+        (xy 203.108501 135.477879) (xy 203.490956 135.319852) (xy 203.783824 135.027495) (xy 203.942518 134.645316) (xy 203.942879 134.231499)
+        (xy 203.942879 136.266238) (xy 203.886409 136.246736) (xy 203.633264 136.49988) (xy 203.633264 135.993591) (xy 203.556849 135.772333)
+        (xy 203.045843 135.613663) (xy 202.513014 135.662624) (xy 202.248151 135.772333) (xy 202.171736 135.993591) (xy 202.9025 136.724356)
+        (xy 203.633264 135.993591) (xy 203.633264 136.49988) (xy 203.155644 136.9775) (xy 203.886409 137.708264) (xy 204.107667 137.631849)
+        (xy 204.266337 137.120843) (xy 204.266337 147.504674) (xy 204.159954 147.398106) (xy 203.973751 147.320788) (xy 203.793689 147.32063)
+        (xy 203.869212 147.138751) (xy 203.869388 146.937133) (xy 203.792395 146.750796) (xy 203.649954 146.608106) (xy 203.633264 146.601175)
+        (xy 203.633264 137.961409) (xy 202.9025 137.230644) (xy 202.649356 137.483788) (xy 202.649356 136.9775) (xy 201.918591 136.246736)
+        (xy 201.726337 136.313134) (xy 201.726337 132.040843) (xy 201.677376 131.508014) (xy 201.567667 131.243151) (xy 201.402879 131.186238)
+        (xy 201.402879 129.151499) (xy 201.402748 129.151181) (xy 201.402748 127.524405) (xy 201.402748 126.000405) (xy 201.360484 125.898118)
+        (xy 201.282293 125.819791) (xy 201.269388 125.814432) (xy 201.269388 120.487133) (xy 201.192395 120.300796) (xy 201.049954 120.158106)
+        (xy 200.863751 120.080788) (xy 200.662133 120.080612) (xy 200.475796 120.157605) (xy 200.333106 120.300046) (xy 200.255788 120.486249)
+        (xy 200.255612 120.687867) (xy 200.332605 120.874204) (xy 200.475046 121.016894) (xy 200.661249 121.094212) (xy 200.862867 121.094388)
+        (xy 201.049204 121.017395) (xy 201.191894 120.874954) (xy 201.269212 120.688751) (xy 201.269388 120.487133) (xy 201.269388 125.814432)
+        (xy 201.18008 125.777348) (xy 201.069405 125.777252) (xy 200.469388 125.777252) (xy 200.469388 123.687133) (xy 200.392395 123.500796)
+        (xy 200.249954 123.358106) (xy 200.063751 123.280788) (xy 199.862133 123.280612) (xy 199.675796 123.357605) (xy 199.533106 123.500046)
+        (xy 199.455788 123.686249) (xy 199.455612 123.887867) (xy 199.532605 124.074204) (xy 199.675046 124.216894) (xy 199.861249 124.294212)
+        (xy 200.062867 124.294388) (xy 200.249204 124.217395) (xy 200.391894 124.074954) (xy 200.469212 123.888751) (xy 200.469388 123.687133)
+        (xy 200.469388 125.777252) (xy 199.545405 125.777252) (xy 199.443118 125.819516) (xy 199.419388 125.843204) (xy 199.419388 116.187133)
+        (xy 199.342395 116.000796) (xy 199.269388 115.927661) (xy 199.269388 113.087133) (xy 199.269388 111.712133) (xy 199.192395 111.525796)
+        (xy 199.049954 111.383106) (xy 198.863751 111.305788) (xy 198.662133 111.305612) (xy 198.475796 111.382605) (xy 198.333106 111.525046)
+        (xy 198.255788 111.711249) (xy 198.255612 111.912867) (xy 198.332605 112.099204) (xy 198.475046 112.241894) (xy 198.661249 112.319212)
+        (xy 198.862867 112.319388) (xy 199.049204 112.242395) (xy 199.191894 112.099954) (xy 199.269212 111.913751) (xy 199.269388 111.712133)
+        (xy 199.269388 113.087133) (xy 199.192395 112.900796) (xy 199.049954 112.758106) (xy 198.863751 112.680788) (xy 198.662133 112.680612)
+        (xy 198.475796 112.757605) (xy 198.333106 112.900046) (xy 198.255788 113.086249) (xy 198.255612 113.287867) (xy 198.332605 113.474204)
+        (xy 198.475046 113.616894) (xy 198.661249 113.694212) (xy 198.862867 113.694388) (xy 199.049204 113.617395) (xy 199.191894 113.474954)
+        (xy 199.269212 113.288751) (xy 199.269388 113.087133) (xy 199.269388 115.927661) (xy 199.199954 115.858106) (xy 199.013751 115.780788)
+        (xy 198.812133 115.780612) (xy 198.625796 115.857605) (xy 198.483106 116.000046) (xy 198.405788 116.186249) (xy 198.405612 116.387867)
+        (xy 198.482605 116.574204) (xy 198.625046 116.716894) (xy 198.811249 116.794212) (xy 199.012867 116.794388) (xy 199.199204 116.717395)
+        (xy 199.341894 116.574954) (xy 199.419212 116.388751) (xy 199.419388 116.187133) (xy 199.419388 125.843204) (xy 199.364791 125.897707)
+        (xy 199.322348 125.99992) (xy 199.322252 126.110595) (xy 199.322252 127.634595) (xy 199.364516 127.736882) (xy 199.442707 127.815209)
+        (xy 199.54492 127.857652) (xy 199.655595 127.857748) (xy 201.179595 127.857748) (xy 201.281882 127.815484) (xy 201.360209 127.737293)
+        (xy 201.402652 127.63508) (xy 201.402748 127.524405) (xy 201.402748 129.151181) (xy 201.244852 128.769044) (xy 200.952495 128.476176)
+        (xy 200.570316 128.317482) (xy 200.156499 128.317121) (xy 199.774044 128.475148) (xy 199.481176 128.767505) (xy 199.322482 129.149684)
+        (xy 199.322121 129.563501) (xy 199.480148 129.945956) (xy 199.772505 130.238824) (xy 200.154684 130.397518) (xy 200.568501 130.397879)
+        (xy 200.950956 130.239852) (xy 201.243824 129.947495) (xy 201.402518 129.565316) (xy 201.402879 129.151499) (xy 201.402879 131.186238)
+        (xy 201.346409 131.166736) (xy 201.093264 131.41988) (xy 201.093264 130.913591) (xy 201.016849 130.692333) (xy 200.505843 130.533663)
+        (xy 199.973014 130.582624) (xy 199.708151 130.692333) (xy 199.631736 130.913591) (xy 200.3625 131.644356) (xy 201.093264 130.913591)
+        (xy 201.093264 131.41988) (xy 200.615644 131.8975) (xy 201.346409 132.628264) (xy 201.567667 132.551849) (xy 201.726337 132.040843)
+        (xy 201.726337 136.313134) (xy 201.697333 136.323151) (xy 201.538663 136.834157) (xy 201.587624 137.366986) (xy 201.697333 137.631849)
+        (xy 201.918591 137.708264) (xy 202.649356 136.9775) (xy 202.649356 137.483788) (xy 202.171736 137.961409) (xy 202.248151 138.182667)
+        (xy 202.759157 138.341337) (xy 203.291986 138.292376) (xy 203.556849 138.182667) (xy 203.633264 137.961409) (xy 203.633264 146.601175)
+        (xy 203.463751 146.530788) (xy 203.262133 146.530612) (xy 203.075796 146.607605) (xy 202.933106 146.750046) (xy 202.855788 146.936249)
+        (xy 202.855612 147.137867) (xy 202.932605 147.324204) (xy 203.075046 147.466894) (xy 203.261249 147.544212) (xy 203.44131 147.544369)
+        (xy 203.365788 147.726249) (xy 203.365612 147.927867) (xy 203.442605 148.114204) (xy 203.585046 148.256894) (xy 203.771249 148.334212)
+        (xy 203.972867 148.334388) (xy 204.159204 148.257395) (xy 204.301894 148.114954) (xy 204.379212 147.928751) (xy 204.379388 147.727133)
+        (xy 204.379388 154.210648) (xy 204.337133 154.210612) (xy 204.150796 154.287605) (xy 204.008106 154.430046) (xy 203.930788 154.616249)
+        (xy 203.930612 154.817867) (xy 203.954522 154.875735) (xy 203.925796 154.887605) (xy 203.783106 155.030046) (xy 203.729388 155.159413)
+        (xy 203.729388 154.827133) (xy 203.652395 154.640796) (xy 203.509954 154.498106) (xy 203.323751 154.420788) (xy 203.122133 154.420612)
+        (xy 202.935796 154.497605) (xy 202.793106 154.640046) (xy 202.715788 154.826249) (xy 202.715612 155.027867) (xy 202.792605 155.214204)
+        (xy 202.935046 155.356894) (xy 203.121249 155.434212) (xy 203.322867 155.434388) (xy 203.509204 155.357395) (xy 203.651894 155.214954)
+        (xy 203.729212 155.028751) (xy 203.729388 154.827133) (xy 203.729388 155.159413) (xy 203.705788 155.216249) (xy 203.705612 155.417867)
+        (xy 203.782605 155.604204) (xy 203.925046 155.746894) (xy 204.111249 155.824212) (xy 204.312867 155.824388) (xy 204.499204 155.747395)
+        (xy 204.641894 155.604954) (xy 204.719212 155.418751) (xy 204.719388 155.217133) (xy 204.695477 155.159264) (xy 204.724204 155.147395)
+        (xy 204.866894 155.004954) (xy 204.90577 154.911328) (xy 204.905612 155.092867) (xy 204.982605 155.279204) (xy 205.125046 155.421894)
+        (xy 205.311249 155.499212) (xy 205.512867 155.499388) (xy 205.699204 155.422395) (xy 205.841894 155.279954) (xy 205.916959 155.099175)
+        (xy 206.236499 155.461645) (xy 206.40504 155.5425) (xy 206.236499 155.623355) (xy 205.889469 156.017009) (xy 205.7195 156.5135)
+        (xy 205.7195 157.0385) (xy 206.8735 157.0385) (xy 206.8735 157.323744) (xy 202.779388 157.26635) (xy 202.779388 155.677133)
+        (xy 202.702395 155.490796) (xy 202.559954 155.348106) (xy 202.373751 155.270788) (xy 202.172133 155.270612) (xy 201.985796 155.347605)
+        (xy 201.843106 155.490046) (xy 201.765788 155.676249) (xy 201.765787 155.676994) (xy 201.718501 155.623355) (xy 201.524959 155.530506)
+        (xy 201.668501 155.461645) (xy 202.015531 155.067991) (xy 202.1855 154.5715) (xy 202.1855 154.0465) (xy 202.1855 153.6885)
+        (xy 202.1855 153.1635) (xy 202.015531 152.667009) (xy 201.819388 152.444513) (xy 201.819388 144.012133) (xy 201.742395 143.825796)
+        (xy 201.599954 143.683106) (xy 201.413751 143.605788) (xy 201.402879 143.605778) (xy 201.402879 136.771499) (xy 201.402879 134.231499)
+        (xy 201.244852 133.849044) (xy 201.093264 133.697191) (xy 201.093264 132.881409) (xy 200.3625 132.150644) (xy 200.109356 132.403788)
+        (xy 200.109356 131.8975) (xy 199.378591 131.166736) (xy 199.157333 131.243151) (xy 199.044388 131.606896) (xy 199.044388 119.212133)
+        (xy 198.967395 119.025796) (xy 198.824954 118.883106) (xy 198.638751 118.805788) (xy 198.437133 118.805612) (xy 198.250796 118.882605)
+        (xy 198.108106 119.025046) (xy 198.069388 119.118289) (xy 198.069388 114.087133) (xy 198.069388 110.537133) (xy 198.069388 106.087133)
+        (xy 197.992395 105.900796) (xy 197.854224 105.762383) (xy 197.991894 105.624954) (xy 198.069212 105.438751) (xy 198.069388 105.237133)
+        (xy 197.992395 105.050796) (xy 197.952879 105.01121) (xy 197.952879 97.811499) (xy 197.794852 97.429044) (xy 197.502495 97.136176)
+        (xy 197.120316 96.977482) (xy 196.706499 96.977121) (xy 196.324044 97.135148) (xy 196.031176 97.427505) (xy 195.872482 97.809684)
+        (xy 195.872121 98.223501) (xy 196.030148 98.605956) (xy 196.322505 98.898824) (xy 196.704684 99.057518) (xy 197.118501 99.057879)
+        (xy 197.500956 98.899852) (xy 197.793824 98.607495) (xy 197.952518 98.225316) (xy 197.952879 97.811499) (xy 197.952879 105.01121)
+        (xy 197.952748 105.011079) (xy 197.952748 101.264405) (xy 197.952748 99.740405) (xy 197.910484 99.638118) (xy 197.832293 99.559791)
+        (xy 197.73008 99.517348) (xy 197.619405 99.517252) (xy 196.095405 99.517252) (xy 195.993118 99.559516) (xy 195.914791 99.637707)
+        (xy 195.872348 99.73992) (xy 195.872252 99.850595) (xy 195.872252 101.374595) (xy 195.914516 101.476882) (xy 195.992707 101.555209)
+        (xy 196.09492 101.597652) (xy 196.205595 101.597748) (xy 197.729595 101.597748) (xy 197.831882 101.555484) (xy 197.910209 101.477293)
+        (xy 197.952652 101.37508) (xy 197.952748 101.264405) (xy 197.952748 105.011079) (xy 197.849954 104.908106) (xy 197.663751 104.830788)
+        (xy 197.462133 104.830612) (xy 197.275796 104.907605) (xy 197.133106 105.050046) (xy 197.055788 105.236249) (xy 197.055612 105.437867)
+        (xy 197.132605 105.624204) (xy 197.270775 105.762616) (xy 197.133106 105.900046) (xy 197.055788 106.086249) (xy 197.055612 106.287867)
+        (xy 197.132605 106.474204) (xy 197.275046 106.616894) (xy 197.461249 106.694212) (xy 197.662867 106.694388) (xy 197.849204 106.617395)
+        (xy 197.991894 106.474954) (xy 198.069212 106.288751) (xy 198.069388 106.087133) (xy 198.069388 110.537133) (xy 197.992395 110.350796)
+        (xy 197.849954 110.208106) (xy 197.663751 110.130788) (xy 197.462133 110.130612) (xy 197.275796 110.207605) (xy 197.133106 110.350046)
+        (xy 197.055788 110.536249) (xy 197.055612 110.737867) (xy 197.132605 110.924204) (xy 197.275046 111.066894) (xy 197.461249 111.144212)
+        (xy 197.662867 111.144388) (xy 197.849204 111.067395) (xy 197.991894 110.924954) (xy 198.069212 110.738751) (xy 198.069388 110.537133)
+        (xy 198.069388 114.087133) (xy 197.992395 113.900796) (xy 197.849954 113.758106) (xy 197.663751 113.680788) (xy 197.462133 113.680612)
+        (xy 197.275796 113.757605) (xy 197.269388 113.764001) (xy 197.269388 111.687133) (xy 197.192395 111.500796) (xy 197.049954 111.358106)
+        (xy 196.863751 111.280788) (xy 196.662133 111.280612) (xy 196.475796 111.357605) (xy 196.469388 111.364001) (xy 196.469388 105.262133)
+        (xy 196.392395 105.075796) (xy 196.249954 104.933106) (xy 196.063751 104.855788) (xy 195.862133 104.855612) (xy 195.675796 104.932605)
+        (xy 195.619388 104.988914) (xy 195.619388 103.187133) (xy 195.542395 103.000796) (xy 195.399954 102.858106) (xy 195.236337 102.790166)
+        (xy 195.236337 87.225843) (xy 195.187376 86.693014) (xy 195.077667 86.428151) (xy 194.912879 86.371238) (xy 194.912879 84.336499)
+        (xy 194.754852 83.954044) (xy 194.462495 83.661176) (xy 194.080316 83.502482) (xy 193.666499 83.502121) (xy 193.284044 83.660148)
+        (xy 192.991176 83.952505) (xy 192.832482 84.334684) (xy 192.832121 84.748501) (xy 192.990148 85.130956) (xy 193.282505 85.423824)
+        (xy 193.664684 85.582518) (xy 194.078501 85.582879) (xy 194.460956 85.424852) (xy 194.753824 85.132495) (xy 194.912518 84.750316)
+        (xy 194.912879 84.336499) (xy 194.912879 86.371238) (xy 194.856409 86.351736) (xy 194.603264 86.60488) (xy 194.603264 86.098591)
+        (xy 194.526849 85.877333) (xy 194.015843 85.718663) (xy 193.483014 85.767624) (xy 193.218151 85.877333) (xy 193.141736 86.098591)
+        (xy 193.8725 86.829356) (xy 194.603264 86.098591) (xy 194.603264 86.60488) (xy 194.125644 87.0825) (xy 194.856409 87.813264)
+        (xy 195.077667 87.736849) (xy 195.236337 87.225843) (xy 195.236337 102.790166) (xy 195.213751 102.780788) (xy 195.198837 102.780774)
+        (xy 195.198837 89.556801) (xy 195.079397 89.267735) (xy 194.858428 89.04638) (xy 194.603264 88.940426) (xy 194.603264 88.066409)
+        (xy 193.8725 87.335644) (xy 193.619356 87.588788) (xy 193.619356 87.0825) (xy 192.888591 86.351736) (xy 192.667333 86.428151)
+        (xy 192.508663 86.939157) (xy 192.557624 87.471986) (xy 192.667333 87.736849) (xy 192.888591 87.813264) (xy 193.619356 87.0825)
+        (xy 193.619356 87.588788) (xy 193.141736 88.066409) (xy 193.218151 88.287667) (xy 193.729157 88.446337) (xy 194.261986 88.397376)
+        (xy 194.526849 88.287667) (xy 194.603264 88.066409) (xy 194.603264 88.940426) (xy 194.569571 88.926436) (xy 194.256801 88.926163)
+        (xy 193.967735 89.045603) (xy 193.74638 89.266572) (xy 193.626436 89.555429) (xy 193.626163 89.868199) (xy 193.745603 90.157265)
+        (xy 193.966572 90.37862) (xy 194.255429 90.498564) (xy 194.568199 90.498837) (xy 194.857265 90.379397) (xy 195.07862 90.158428)
+        (xy 195.198564 89.869571) (xy 195.198837 89.556801) (xy 195.198837 102.780774) (xy 195.012133 102.780612) (xy 194.825796 102.857605)
+        (xy 194.683106 103.000046) (xy 194.605788 103.186249) (xy 194.605612 103.387867) (xy 194.682605 103.574204) (xy 194.825046 103.716894)
+        (xy 195.011249 103.794212) (xy 195.212867 103.794388) (xy 195.399204 103.717395) (xy 195.541894 103.574954) (xy 195.619212 103.388751)
+        (xy 195.619388 103.187133) (xy 195.619388 104.988914) (xy 195.533106 105.075046) (xy 195.455788 105.261249) (xy 195.455612 105.462867)
+        (xy 195.532605 105.649204) (xy 195.675046 105.791894) (xy 195.861249 105.869212) (xy 196.062867 105.869388) (xy 196.249204 105.792395)
+        (xy 196.391894 105.649954) (xy 196.469212 105.463751) (xy 196.469388 105.262133) (xy 196.469388 111.364001) (xy 196.362427 111.470775)
+        (xy 196.249954 111.358106) (xy 196.063751 111.280788) (xy 195.862133 111.280612) (xy 195.675796 111.357605) (xy 195.669388 111.364001)
+        (xy 195.669388 107.687133) (xy 195.592395 107.500796) (xy 195.479224 107.387427) (xy 195.591894 107.274954) (xy 195.669212 107.088751)
+        (xy 195.669388 106.887133) (xy 195.592395 106.700796) (xy 195.449954 106.558106) (xy 195.263751 106.480788) (xy 195.062133 106.480612)
+        (xy 194.875796 106.557605) (xy 194.733106 106.700046) (xy 194.655788 106.886249) (xy 194.655612 107.087867) (xy 194.732605 107.274204)
+        (xy 194.845775 107.387572) (xy 194.762427 107.470775) (xy 194.649954 107.358106) (xy 194.463751 107.280788) (xy 194.262133 107.280612)
+        (xy 194.075796 107.357605) (xy 193.962427 107.470775) (xy 193.849954 107.358106) (xy 193.663751 107.280788) (xy 193.462133 107.280612)
+        (xy 193.275796 107.357605) (xy 193.162427 107.470775) (xy 193.049954 107.358106) (xy 192.863751 107.280788) (xy 192.662133 107.280612)
+        (xy 192.475796 107.357605) (xy 192.372879 107.460341) (xy 192.372879 86.876499) (xy 192.372879 84.336499) (xy 192.214852 83.954044)
+        (xy 191.922495 83.661176) (xy 191.540316 83.502482) (xy 191.126499 83.502121) (xy 190.744044 83.660148) (xy 190.451176 83.952505)
+        (xy 190.292482 84.334684) (xy 190.292121 84.748501) (xy 190.450148 85.130956) (xy 190.742505 85.423824) (xy 191.124684 85.582518)
+        (xy 191.538501 85.582879) (xy 191.920956 85.424852) (xy 192.213824 85.132495) (xy 192.372518 84.750316) (xy 192.372879 84.336499)
+        (xy 192.372879 86.876499) (xy 192.214852 86.494044) (xy 191.922495 86.201176) (xy 191.540316 86.042482) (xy 191.126499 86.042121)
+        (xy 190.744044 86.200148) (xy 190.451176 86.492505) (xy 190.292482 86.874684) (xy 190.292121 87.288501) (xy 190.450148 87.670956)
+        (xy 190.742505 87.963824) (xy 191.124684 88.122518) (xy 191.538501 88.122879) (xy 191.920956 87.964852) (xy 192.213824 87.672495)
+        (xy 192.372518 87.290316) (xy 192.372879 86.876499) (xy 192.372879 107.460341) (xy 192.362427 107.470775) (xy 192.249954 107.358106)
+        (xy 192.063751 107.280788) (xy 191.862133 107.280612) (xy 191.675796 107.357605) (xy 191.562427 107.470775) (xy 191.449954 107.358106)
+        (xy 191.263751 107.280788) (xy 191.062133 107.280612) (xy 190.875796 107.357605) (xy 190.762427 107.470775) (xy 190.649954 107.358106)
+        (xy 190.463751 107.280788) (xy 190.262133 107.280612) (xy 190.075796 107.357605) (xy 189.962427 107.470775) (xy 189.849954 107.358106)
+        (xy 189.832879 107.351015) (xy 189.832879 86.876499) (xy 189.832879 84.336499) (xy 189.674852 83.954044) (xy 189.382495 83.661176)
+        (xy 189.000316 83.502482) (xy 188.586499 83.502121) (xy 188.204044 83.660148) (xy 187.911176 83.952505) (xy 187.752482 84.334684)
+        (xy 187.752121 84.748501) (xy 187.910148 85.130956) (xy 188.202505 85.423824) (xy 188.584684 85.582518) (xy 188.998501 85.582879)
+        (xy 189.380956 85.424852) (xy 189.673824 85.132495) (xy 189.832518 84.750316) (xy 189.832879 84.336499) (xy 189.832879 86.876499)
+        (xy 189.674852 86.494044) (xy 189.382495 86.201176) (xy 189.000316 86.042482) (xy 188.586499 86.042121) (xy 188.204044 86.200148)
+        (xy 187.911176 86.492505) (xy 187.752482 86.874684) (xy 187.752121 87.288501) (xy 187.910148 87.670956) (xy 188.202505 87.963824)
+        (xy 188.584684 88.122518) (xy 188.998501 88.122879) (xy 189.380956 87.964852) (xy 189.673824 87.672495) (xy 189.832518 87.290316)
+        (xy 189.832879 86.876499) (xy 189.832879 107.351015) (xy 189.663751 107.280788) (xy 189.462133 107.280612) (xy 189.275796 107.357605)
+        (xy 189.162427 107.470775) (xy 189.049954 107.358106) (xy 188.863751 107.280788) (xy 188.662133 107.280612) (xy 188.475796 107.357605)
+        (xy 188.333106 107.500046) (xy 188.255788 107.686249) (xy 188.255612 107.887867) (xy 188.332605 108.074204) (xy 188.445775 108.187572)
+        (xy 188.333106 108.300046) (xy 188.255788 108.486249) (xy 188.255612 108.687867) (xy 188.332605 108.874204) (xy 188.475046 109.016894)
+        (xy 188.661249 109.094212) (xy 188.862867 109.094388) (xy 189.049204 109.017395) (xy 189.162572 108.904224) (xy 189.195775 108.937485)
+        (xy 189.133106 109.000046) (xy 189.055788 109.186249) (xy 189.055612 109.387867) (xy 189.132605 109.574204) (xy 189.275046 109.716894)
+        (xy 189.461249 109.794212) (xy 189.662867 109.794388) (xy 189.849204 109.717395) (xy 189.991894 109.574954) (xy 190.069212 109.388751)
+        (xy 190.069388 109.187133) (xy 189.992395 109.000796) (xy 189.929224 108.937514) (xy 189.962572 108.904224) (xy 190.075046 109.016894)
+        (xy 190.261249 109.094212) (xy 190.462867 109.094388) (xy 190.649204 109.017395) (xy 190.762572 108.904224) (xy 190.875046 109.016894)
+        (xy 191.061249 109.094212) (xy 191.262867 109.094388) (xy 191.449204 109.017395) (xy 191.562594 108.904202) (xy 191.700046 109.041894)
+        (xy 191.886249 109.119212) (xy 192.087867 109.119388) (xy 192.274204 109.042395) (xy 192.38755 108.929245) (xy 192.475046 109.016894)
+        (xy 192.661249 109.094212) (xy 192.862867 109.094388) (xy 193.049204 109.017395) (xy 193.162572 108.904224) (xy 193.275046 109.016894)
+        (xy 193.461249 109.094212) (xy 193.662867 109.094388) (xy 193.849204 109.017395) (xy 193.962572 108.904224) (xy 194.075046 109.016894)
+        (xy 194.261249 109.094212) (xy 194.462867 109.094388) (xy 194.649204 109.017395) (xy 194.791894 108.874954) (xy 194.869212 108.688751)
+        (xy 194.869388 108.487133) (xy 194.792395 108.300796) (xy 194.679224 108.187427) (xy 194.762572 108.104224) (xy 194.875046 108.216894)
+        (xy 195.061249 108.294212) (xy 195.262867 108.294388) (xy 195.449204 108.217395) (xy 195.591894 108.074954) (xy 195.669212 107.888751)
+        (xy 195.669388 107.687133) (xy 195.669388 111.364001) (xy 195.562427 111.470775) (xy 195.449954 111.358106) (xy 195.263751 111.280788)
+        (xy 195.069388 111.280618) (xy 195.069388 109.837133) (xy 194.992395 109.650796) (xy 194.849954 109.508106) (xy 194.663751 109.430788)
+        (xy 194.462133 109.430612) (xy 194.275796 109.507605) (xy 194.133106 109.650046) (xy 194.055788 109.836249) (xy 194.055612 110.037867)
+        (xy 194.132605 110.224204) (xy 194.275046 110.366894) (xy 194.461249 110.444212) (xy 194.662867 110.444388) (xy 194.849204 110.367395)
+        (xy 194.991894 110.224954) (xy 195.069212 110.038751) (xy 195.069388 109.837133) (xy 195.069388 111.280618) (xy 195.062133 111.280612)
+        (xy 194.875796 111.357605) (xy 194.762427 111.470775) (xy 194.649954 111.358106) (xy 194.463751 111.280788) (xy 194.262133 111.280612)
+        (xy 194.075796 111.357605) (xy 193.933106 111.500046) (xy 193.855788 111.686249) (xy 193.855612 111.887867) (xy 193.932605 112.074204)
+        (xy 194.075046 112.216894) (xy 194.261249 112.294212) (xy 194.462867 112.294388) (xy 194.649204 112.217395) (xy 194.762572 112.104224)
+        (xy 194.875046 112.216894) (xy 195.061249 112.294212) (xy 195.262867 112.294388) (xy 195.449204 112.217395) (xy 195.562572 112.104224)
+        (xy 195.675046 112.216894) (xy 195.861249 112.294212) (xy 196.062867 112.294388) (xy 196.249204 112.217395) (xy 196.362572 112.104224)
+        (xy 196.475046 112.216894) (xy 196.661249 112.294212) (xy 196.862867 112.294388) (xy 197.049204 112.217395) (xy 197.191894 112.074954)
+        (xy 197.269212 111.888751) (xy 197.269388 111.687133) (xy 197.269388 113.764001) (xy 197.133106 113.900046) (xy 197.055788 114.086249)
+        (xy 197.055612 114.287867) (xy 197.132605 114.474204) (xy 197.275046 114.616894) (xy 197.461249 114.694212) (xy 197.662867 114.694388)
+        (xy 197.849204 114.617395) (xy 197.991894 114.474954) (xy 198.069212 114.288751) (xy 198.069388 114.087133) (xy 198.069388 119.118289)
+        (xy 198.030788 119.211249) (xy 198.030612 119.412867) (xy 198.107605 119.599204) (xy 198.250046 119.741894) (xy 198.436249 119.819212)
+        (xy 198.637867 119.819388) (xy 198.824204 119.742395) (xy 198.966894 119.599954) (xy 199.044212 119.413751) (xy 199.044388 119.212133)
+        (xy 199.044388 131.606896) (xy 198.998663 131.754157) (xy 199.047624 132.286986) (xy 199.157333 132.551849) (xy 199.378591 132.628264)
+        (xy 200.109356 131.8975) (xy 200.109356 132.403788) (xy 199.631736 132.881409) (xy 199.708151 133.102667) (xy 200.219157 133.261337)
+        (xy 200.751986 133.212376) (xy 201.016849 133.102667) (xy 201.093264 132.881409) (xy 201.093264 133.697191) (xy 200.952495 133.556176)
+        (xy 200.570316 133.397482) (xy 200.156499 133.397121) (xy 199.774044 133.555148) (xy 199.481176 133.847505) (xy 199.322482 134.229684)
+        (xy 199.322121 134.643501) (xy 199.480148 135.025956) (xy 199.772505 135.318824) (xy 200.154684 135.477518) (xy 200.568501 135.477879)
+        (xy 200.950956 135.319852) (xy 201.243824 135.027495) (xy 201.402518 134.645316) (xy 201.402879 134.231499) (xy 201.402879 136.771499)
+        (xy 201.244852 136.389044) (xy 200.952495 136.096176) (xy 200.570316 135.937482) (xy 200.156499 135.937121) (xy 199.774044 136.095148)
+        (xy 199.481176 136.387505) (xy 199.322482 136.769684) (xy 199.322121 137.183501) (xy 199.480148 137.565956) (xy 199.772505 137.858824)
+        (xy 200.154684 138.017518) (xy 200.568501 138.017879) (xy 200.950956 137.859852) (xy 201.243824 137.567495) (xy 201.402518 137.185316)
+        (xy 201.402879 136.771499) (xy 201.402879 143.605778) (xy 201.260288 143.605654) (xy 201.319212 143.463751) (xy 201.319388 143.262133)
+        (xy 201.242395 143.075796) (xy 201.099954 142.933106) (xy 200.913751 142.855788) (xy 200.712133 142.855612) (xy 200.525796 142.932605)
+        (xy 200.383106 143.075046) (xy 200.305788 143.261249) (xy 200.305641 143.428864) (xy 200.242395 143.275796) (xy 200.099954 143.133106)
+        (xy 199.913751 143.055788) (xy 199.826788 143.055712) (xy 199.844212 143.013751) (xy 199.844388 142.812133) (xy 199.767395 142.625796)
+        (xy 199.624954 142.483106) (xy 199.438751 142.405788) (xy 199.237133 142.405612) (xy 199.050796 142.482605) (xy 198.908106 142.625046)
+        (xy 198.830788 142.811249) (xy 198.830612 143.012867) (xy 198.907605 143.199204) (xy 199.050046 143.341894) (xy 199.236249 143.419212)
+        (xy 199.323211 143.419287) (xy 199.305788 143.461249) (xy 199.305612 143.662867) (xy 199.382605 143.849204) (xy 199.525046 143.991894)
+        (xy 199.711249 144.069212) (xy 199.912867 144.069388) (xy 200.099204 143.992395) (xy 200.241894 143.849954) (xy 200.319212 143.663751)
+        (xy 200.319358 143.496135) (xy 200.382605 143.649204) (xy 200.525046 143.791894) (xy 200.711249 143.869212) (xy 200.864711 143.869345)
+        (xy 200.805788 144.011249) (xy 200.805612 144.212867) (xy 200.882605 144.399204) (xy 201.025046 144.541894) (xy 201.211249 144.619212)
+        (xy 201.412867 144.619388) (xy 201.599204 144.542395) (xy 201.741894 144.399954) (xy 201.819212 144.213751) (xy 201.819388 144.012133)
+        (xy 201.819388 152.444513) (xy 201.668501 152.273355) (xy 201.235935 152.065839) (xy 201.199388 152.084249) (xy 201.199388 147.677133)
+        (xy 201.122395 147.490796) (xy 200.979954 147.348106) (xy 200.793751 147.270788) (xy 200.592133 147.270612) (xy 200.405796 147.347605)
+        (xy 200.263106 147.490046) (xy 200.185788 147.676249) (xy 200.185612 147.877867) (xy 200.196925 147.905246) (xy 200.099954 147.808106)
+        (xy 199.913751 147.730788) (xy 199.712133 147.730612) (xy 199.525796 147.807605) (xy 199.383106 147.950046) (xy 199.305788 148.136249)
+        (xy 199.305612 148.337867) (xy 199.382605 148.524204) (xy 199.525046 148.666894) (xy 199.711249 148.744212) (xy 199.912867 148.744388)
+        (xy 200.099204 148.667395) (xy 200.241894 148.524954) (xy 200.319212 148.338751) (xy 200.319388 148.137133) (xy 200.308074 148.109753)
+        (xy 200.405046 148.206894) (xy 200.591249 148.284212) (xy 200.792867 148.284388) (xy 200.979204 148.207395) (xy 201.121894 148.064954)
+        (xy 201.199212 147.878751) (xy 201.199388 147.677133) (xy 201.199388 152.084249) (xy 201.0315 152.168822) (xy 201.0315 153.6885)
+        (xy 202.1855 153.6885) (xy 202.1855 154.0465) (xy 201.0315 154.0465) (xy 201.0315 155.566178) (xy 201.0815 155.591365)
+        (xy 201.0815 157.0385) (xy 202.2355 157.0385) (xy 202.2355 156.5135) (xy 202.154645 156.277317) (xy 202.171249 156.284212)
+        (xy 202.372867 156.284388) (xy 202.559204 156.207395) (xy 202.701894 156.064954) (xy 202.779212 155.878751) (xy 202.779388 155.677133)
+        (xy 202.779388 157.26635) (xy 200.7235 157.237529) (xy 200.7235 157.0385) (xy 200.7235 155.518822) (xy 200.6735 155.493634)
+        (xy 200.6735 154.0465) (xy 200.6735 153.6885) (xy 200.6735 152.168822) (xy 200.469065 152.065839) (xy 200.036499 152.273355)
+        (xy 199.689469 152.667009) (xy 199.5195 153.1635) (xy 199.5195 153.6885) (xy 200.6735 153.6885) (xy 200.6735 154.0465)
+        (xy 199.5195 154.0465) (xy 199.5195 154.5715) (xy 199.689469 155.067991) (xy 200.036499 155.461645) (xy 200.23004 155.554493)
+        (xy 200.086499 155.623355) (xy 199.739469 156.017009) (xy 199.5695 156.5135) (xy 199.5695 157.0385) (xy 200.7235 157.0385)
+        (xy 200.7235 157.237529) (xy 199.349388 157.218266) (xy 199.349388 154.277133) (xy 199.272395 154.090796) (xy 199.129954 153.948106)
+        (xy 198.943751 153.870788) (xy 198.769388 153.870635) (xy 198.769388 147.657133) (xy 198.692395 147.470796) (xy 198.549954 147.328106)
+        (xy 198.363751 147.250788) (xy 198.162133 147.250612) (xy 198.144388 147.257944) (xy 198.144388 130.837133) (xy 198.069388 130.655619)
+        (xy 198.069388 122.087133) (xy 197.992395 121.900796) (xy 197.879224 121.787427) (xy 197.991894 121.674954) (xy 198.069212 121.488751)
+        (xy 198.069388 121.287133) (xy 197.992395 121.100796) (xy 197.879224 120.987427) (xy 197.991894 120.874954) (xy 198.069212 120.688751)
+        (xy 198.069388 120.487133) (xy 197.992395 120.300796) (xy 197.849954 120.158106) (xy 197.663751 120.080788) (xy 197.462133 120.080612)
+        (xy 197.275796 120.157605) (xy 197.162427 120.270775) (xy 197.049954 120.158106) (xy 196.863751 120.080788) (xy 196.662133 120.080612)
+        (xy 196.475796 120.157605) (xy 196.362427 120.270775) (xy 196.249954 120.158106) (xy 196.063751 120.080788) (xy 195.862133 120.080612)
+        (xy 195.675796 120.157605) (xy 195.533106 120.300046) (xy 195.455788 120.486249) (xy 195.455612 120.687867) (xy 195.532605 120.874204)
+        (xy 195.645775 120.987572) (xy 195.562427 121.070775) (xy 195.449954 120.958106) (xy 195.344388 120.914271) (xy 195.344388 118.287133)
+        (xy 195.267395 118.100796) (xy 195.124954 117.958106) (xy 194.938751 117.880788) (xy 194.737133 117.880612) (xy 194.550796 117.957605)
+        (xy 194.408106 118.100046) (xy 194.330788 118.286249) (xy 194.330612 118.487867) (xy 194.407605 118.674204) (xy 194.550046 118.816894)
+        (xy 194.736249 118.894212) (xy 194.937867 118.894388) (xy 195.124204 118.817395) (xy 195.266894 118.674954) (xy 195.344212 118.488751)
+        (xy 195.344388 118.287133) (xy 195.344388 120.914271) (xy 195.263751 120.880788) (xy 195.062133 120.880612) (xy 194.875796 120.957605)
+        (xy 194.762427 121.070775) (xy 194.679224 120.987427) (xy 194.791894 120.874954) (xy 194.869212 120.688751) (xy 194.869388 120.487133)
+        (xy 194.792395 120.300796) (xy 194.649954 120.158106) (xy 194.463751 120.080788) (xy 194.262133 120.080612) (xy 194.075796 120.157605)
+        (xy 193.933106 120.300046) (xy 193.855788 120.486249) (xy 193.855612 120.687867) (xy 193.932605 120.874204) (xy 194.045775 120.987572)
+        (xy 193.962427 121.070775) (xy 193.849954 120.958106) (xy 193.663751 120.880788) (xy 193.462133 120.880612) (xy 193.275796 120.957605)
+        (xy 193.269388 120.964001) (xy 193.269388 117.287133) (xy 193.269388 111.687133) (xy 193.192395 111.500796) (xy 193.049954 111.358106)
+        (xy 192.863751 111.280788) (xy 192.662133 111.280612) (xy 192.475796 111.357605) (xy 192.362427 111.470775) (xy 192.249954 111.358106)
+        (xy 192.063751 111.280788) (xy 191.862133 111.280612) (xy 191.675796 111.357605) (xy 191.533106 111.500046) (xy 191.455788 111.686249)
+        (xy 191.455612 111.887867) (xy 191.532605 112.074204) (xy 191.645775 112.187572) (xy 191.533106 112.300046) (xy 191.455788 112.486249)
+        (xy 191.455612 112.687867) (xy 191.532605 112.874204) (xy 191.645775 112.987572) (xy 191.533106 113.100046) (xy 191.455788 113.286249)
+        (xy 191.455612 113.487867) (xy 191.532605 113.674204) (xy 191.645775 113.787572) (xy 191.533106 113.900046) (xy 191.455788 114.086249)
+        (xy 191.455612 114.287867) (xy 191.532605 114.474204) (xy 191.645775 114.587572) (xy 191.533106 114.700046) (xy 191.455788 114.886249)
+        (xy 191.455612 115.087867) (xy 191.532605 115.274204) (xy 191.645775 115.387572) (xy 191.533106 115.500046) (xy 191.455788 115.686249)
+        (xy 191.455612 115.887867) (xy 191.532605 116.074204) (xy 191.675046 116.216894) (xy 191.861249 116.294212) (xy 192.062867 116.294388)
+        (xy 192.249204 116.217395) (xy 192.391894 116.074954) (xy 192.469212 115.888751) (xy 192.469388 115.687133) (xy 192.392395 115.500796)
+        (xy 192.279224 115.387427) (xy 192.391894 115.274954) (xy 192.469212 115.088751) (xy 192.469388 114.887133) (xy 192.392395 114.700796)
+        (xy 192.279224 114.587427) (xy 192.391894 114.474954) (xy 192.469212 114.288751) (xy 192.469388 114.087133) (xy 192.392395 113.900796)
+        (xy 192.279224 113.787427) (xy 192.391894 113.674954) (xy 192.469212 113.488751) (xy 192.469388 113.287133) (xy 192.392395 113.100796)
+        (xy 192.279224 112.987427) (xy 192.391894 112.874954) (xy 192.469212 112.688751) (xy 192.469388 112.487133) (xy 192.392395 112.300796)
+        (xy 192.279224 112.187427) (xy 192.362572 112.104224) (xy 192.475046 112.216894) (xy 192.661249 112.294212) (xy 192.862867 112.294388)
+        (xy 193.049204 112.217395) (xy 193.191894 112.074954) (xy 193.269212 111.888751) (xy 193.269388 111.687133) (xy 193.269388 117.287133)
+        (xy 193.192395 117.100796) (xy 193.049954 116.958106) (xy 192.863751 116.880788) (xy 192.662133 116.880612) (xy 192.475796 116.957605)
+        (xy 192.362427 117.070775) (xy 192.249954 116.958106) (xy 192.063751 116.880788) (xy 191.862133 116.880612) (xy 191.675796 116.957605)
+        (xy 191.533106 117.100046) (xy 191.455788 117.286249) (xy 191.455612 117.487867) (xy 191.532605 117.674204) (xy 191.675046 117.816894)
+        (xy 191.861249 117.894212) (xy 192.062867 117.894388) (xy 192.249204 117.817395) (xy 192.362572 117.704224) (xy 192.475046 117.816894)
+        (xy 192.661249 117.894212) (xy 192.862867 117.894388) (xy 193.049204 117.817395) (xy 193.191894 117.674954) (xy 193.269212 117.488751)
+        (xy 193.269388 117.287133) (xy 193.269388 120.964001) (xy 193.133106 121.100046) (xy 193.055788 121.286249) (xy 193.055612 121.487867)
+        (xy 193.132605 121.674204) (xy 193.245775 121.787572) (xy 193.162427 121.870775) (xy 193.049954 121.758106) (xy 192.863751 121.680788)
+        (xy 192.662133 121.680612) (xy 192.475796 121.757605) (xy 192.469388 121.764001) (xy 192.469388 121.287133) (xy 192.392395 121.100796)
+        (xy 192.279224 120.987427) (xy 192.391894 120.874954) (xy 192.469212 120.688751) (xy 192.469388 120.487133) (xy 192.392395 120.300796)
+        (xy 192.249954 120.158106) (xy 192.063751 120.080788) (xy 191.862133 120.080612) (xy 191.675796 120.157605) (xy 191.533106 120.300046)
+        (xy 191.455788 120.486249) (xy 191.455612 120.687867) (xy 191.532605 120.874204) (xy 191.645775 120.987572) (xy 191.562427 121.070775)
+        (xy 191.449954 120.958106) (xy 191.263751 120.880788) (xy 191.062133 120.880612) (xy 190.875796 120.957605) (xy 190.869388 120.964001)
+        (xy 190.869388 119.712133) (xy 190.792395 119.525796) (xy 190.649954 119.383106) (xy 190.463751 119.305788) (xy 190.262133 119.305612)
+        (xy 190.144388 119.354263) (xy 190.144388 114.887133) (xy 190.067395 114.700796) (xy 189.924954 114.558106) (xy 189.738751 114.480788)
+        (xy 189.537133 114.480612) (xy 189.350796 114.557605) (xy 189.294388 114.613914) (xy 189.294388 111.662133) (xy 189.217395 111.475796)
+        (xy 189.104245 111.362449) (xy 189.191894 111.274954) (xy 189.269212 111.088751) (xy 189.269388 110.887133) (xy 189.192395 110.700796)
+        (xy 189.079224 110.587427) (xy 189.191894 110.474954) (xy 189.269212 110.288751) (xy 189.269388 110.087133) (xy 189.192395 109.900796)
+        (xy 189.049954 109.758106) (xy 188.863751 109.680788) (xy 188.662133 109.680612) (xy 188.475796 109.757605) (xy 188.333106 109.900046)
+        (xy 188.255788 110.086249) (xy 188.255612 110.287867) (xy 188.332605 110.474204) (xy 188.445775 110.587572) (xy 188.362427 110.670775)
+        (xy 188.249954 110.558106) (xy 188.063751 110.480788) (xy 187.862133 110.480612) (xy 187.675796 110.557605) (xy 187.533106 110.700046)
+        (xy 187.455788 110.886249) (xy 187.455612 111.087867) (xy 187.532605 111.274204) (xy 187.675046 111.416894) (xy 187.861249 111.494212)
+        (xy 188.062867 111.494388) (xy 188.249204 111.417395) (xy 188.362572 111.304224) (xy 188.445754 111.38755) (xy 188.358106 111.475046)
+        (xy 188.280788 111.661249) (xy 188.280612 111.862867) (xy 188.357605 112.049204) (xy 188.500046 112.191894) (xy 188.686249 112.269212)
+        (xy 188.887867 112.269388) (xy 189.074204 112.192395) (xy 189.216894 112.049954) (xy 189.294212 111.863751) (xy 189.294388 111.662133)
+        (xy 189.294388 114.613914) (xy 189.208106 114.700046) (xy 189.130788 114.886249) (xy 189.130612 115.087867) (xy 189.207605 115.274204)
+        (xy 189.350046 115.416894) (xy 189.536249 115.494212) (xy 189.737867 115.494388) (xy 189.924204 115.417395) (xy 190.066894 115.274954)
+        (xy 190.144212 115.088751) (xy 190.144388 114.887133) (xy 190.144388 119.354263) (xy 190.094388 119.374922) (xy 190.094388 117.312133)
+        (xy 190.017395 117.125796) (xy 189.874954 116.983106) (xy 189.688751 116.905788) (xy 189.487133 116.905612) (xy 189.300796 116.982605)
+        (xy 189.187405 117.095797) (xy 189.049954 116.958106) (xy 188.863751 116.880788) (xy 188.662133 116.880612) (xy 188.475796 116.957605)
+        (xy 188.362427 117.070775) (xy 188.279224 116.987427) (xy 188.391894 116.874954) (xy 188.469212 116.688751) (xy 188.469388 116.487133)
+        (xy 188.392395 116.300796) (xy 188.279224 116.187427) (xy 188.391894 116.074954) (xy 188.469212 115.888751) (xy 188.469388 115.687133)
+        (xy 188.392395 115.500796) (xy 188.279224 115.387427) (xy 188.391894 115.274954) (xy 188.469212 115.088751) (xy 188.469388 114.887133)
+        (xy 188.392395 114.700796) (xy 188.279224 114.587427) (xy 188.362572 114.504224) (xy 188.475046 114.616894) (xy 188.661249 114.694212)
+        (xy 188.862867 114.694388) (xy 189.049204 114.617395) (xy 189.191894 114.474954) (xy 189.269212 114.288751) (xy 189.269388 114.087133)
+        (xy 189.192395 113.900796) (xy 189.079224 113.787427) (xy 189.191894 113.674954) (xy 189.269212 113.488751) (xy 189.269388 113.287133)
+        (xy 189.192395 113.100796) (xy 189.049954 112.958106) (xy 188.863751 112.880788) (xy 188.662133 112.880612) (xy 188.475796 112.957605)
+        (xy 188.362427 113.070775) (xy 188.249954 112.958106) (xy 188.063751 112.880788) (xy 187.862133 112.880612) (xy 187.675796 112.957605)
+        (xy 187.669388 112.964001) (xy 187.669388 111.662133) (xy 187.592395 111.475796) (xy 187.449954 111.333106) (xy 187.292879 111.267882)
+        (xy 187.292879 84.336499) (xy 187.134852 83.954044) (xy 186.842495 83.661176) (xy 186.460316 83.502482) (xy 186.046499 83.502121)
+        (xy 185.664044 83.660148) (xy 185.512879 83.811049) (xy 185.512879 79.151499) (xy 185.512748 79.151181) (xy 185.512748 77.524405)
+        (xy 185.512748 76.000405) (xy 185.470484 75.898118) (xy 185.392293 75.819791) (xy 185.29008 75.777348) (xy 185.179405 75.777252)
+        (xy 183.655405 75.777252) (xy 183.553118 75.819516) (xy 183.474791 75.897707) (xy 183.432348 75.99992) (xy 183.432252 76.110595)
+        (xy 183.432252 77.634595) (xy 183.474516 77.736882) (xy 183.552707 77.815209) (xy 183.65492 77.857652) (xy 183.765595 77.857748)
+        (xy 185.289595 77.857748) (xy 185.391882 77.815484) (xy 185.470209 77.737293) (xy 185.512652 77.63508) (xy 185.512748 77.524405)
+        (xy 185.512748 79.151181) (xy 185.354852 78.769044) (xy 185.062495 78.476176) (xy 184.680316 78.317482) (xy 184.266499 78.317121)
+        (xy 183.884044 78.475148) (xy 183.591176 78.767505) (xy 183.432482 79.149684) (xy 183.432121 79.563501) (xy 183.590148 79.945956)
+        (xy 183.882505 80.238824) (xy 184.264684 80.397518) (xy 184.678501 80.397879) (xy 185.060956 80.239852) (xy 185.353824 79.947495)
+        (xy 185.512518 79.565316) (xy 185.512879 79.151499) (xy 185.512879 83.811049) (xy 185.371176 83.952505) (xy 185.212482 84.334684)
+        (xy 185.212121 84.748501) (xy 185.370148 85.130956) (xy 185.662505 85.423824) (xy 186.044684 85.582518) (xy 186.458501 85.582879)
+        (xy 186.840956 85.424852) (xy 187.133824 85.132495) (xy 187.292518 84.750316) (xy 187.292879 84.336499) (xy 187.292879 111.267882)
+        (xy 187.292748 111.267828) (xy 187.292748 87.789405) (xy 187.292748 86.265405) (xy 187.250484 86.163118) (xy 187.172293 86.084791)
+        (xy 187.07008 86.042348) (xy 186.959405 86.042252) (xy 185.435405 86.042252) (xy 185.333118 86.084516) (xy 185.254791 86.162707)
+        (xy 185.212348 86.26492) (xy 185.212252 86.375595) (xy 185.212252 87.899595) (xy 185.254516 88.001882) (xy 185.332707 88.080209)
+        (xy 185.43492 88.122652) (xy 185.545595 88.122748) (xy 187.069595 88.122748) (xy 187.171882 88.080484) (xy 187.250209 88.002293)
+        (xy 187.292652 87.90008) (xy 187.292748 87.789405) (xy 187.292748 111.267828) (xy 187.263751 111.255788) (xy 187.062133 111.255612)
+        (xy 186.875796 111.332605) (xy 186.733106 111.475046) (xy 186.655788 111.661249) (xy 186.655612 111.862867) (xy 186.732605 112.049204)
+        (xy 186.875046 112.191894) (xy 187.061249 112.269212) (xy 187.262867 112.269388) (xy 187.449204 112.192395) (xy 187.591894 112.049954)
+        (xy 187.669212 111.863751) (xy 187.669388 111.662133) (xy 187.669388 112.964001) (xy 187.562427 113.070775) (xy 187.449954 112.958106)
+        (xy 187.263751 112.880788) (xy 187.062133 112.880612) (xy 186.875796 112.957605) (xy 186.733106 113.100046) (xy 186.655788 113.286249)
+        (xy 186.655612 113.487867) (xy 186.732605 113.674204) (xy 186.845775 113.787572) (xy 186.733106 113.900046) (xy 186.655788 114.086249)
+        (xy 186.655612 114.287867) (xy 186.732605 114.474204) (xy 186.845775 114.587572) (xy 186.733106 114.700046) (xy 186.655788 114.886249)
+        (xy 186.655612 115.087867) (xy 186.732605 115.274204) (xy 186.845775 115.387572) (xy 186.733106 115.500046) (xy 186.655788 115.686249)
+        (xy 186.655612 115.887867) (xy 186.732605 116.074204) (xy 186.845775 116.187572) (xy 186.733106 116.300046) (xy 186.655788 116.486249)
+        (xy 186.655612 116.687867) (xy 186.732605 116.874204) (xy 186.845775 116.987572) (xy 186.733106 117.100046) (xy 186.655788 117.286249)
+        (xy 186.655612 117.487867) (xy 186.732605 117.674204) (xy 186.845775 117.787572) (xy 186.733106 117.900046) (xy 186.655788 118.086249)
+        (xy 186.655612 118.287867) (xy 186.732605 118.474204) (xy 186.845775 118.587572) (xy 186.733106 118.700046) (xy 186.655788 118.886249)
+        (xy 186.655612 119.087867) (xy 186.732605 119.274204) (xy 186.845775 119.387572) (xy 186.733106 119.500046) (xy 186.655788 119.686249)
+        (xy 186.655612 119.887867) (xy 186.732605 120.074204) (xy 186.845775 120.187572) (xy 186.733106 120.300046) (xy 186.655788 120.486249)
+        (xy 186.655612 120.687867) (xy 186.732605 120.874204) (xy 186.845775 120.987572) (xy 186.733106 121.100046) (xy 186.655788 121.286249)
+        (xy 186.655612 121.487867) (xy 186.732605 121.674204) (xy 186.845775 121.787572) (xy 186.733106 121.900046) (xy 186.655788 122.086249)
+        (xy 186.655612 122.287867) (xy 186.732605 122.474204) (xy 186.875046 122.616894) (xy 187.061249 122.694212) (xy 187.262867 122.694388)
+        (xy 187.449204 122.617395) (xy 187.591894 122.474954) (xy 187.669212 122.288751) (xy 187.669388 122.087133) (xy 187.592395 121.900796)
+        (xy 187.479224 121.787427) (xy 187.562572 121.704224) (xy 187.675046 121.816894) (xy 187.861249 121.894212) (xy 188.062867 121.894388)
+        (xy 188.249204 121.817395) (xy 188.391894 121.674954) (xy 188.469212 121.488751) (xy 188.469388 121.287133) (xy 188.392395 121.100796)
+        (xy 188.279224 120.987427) (xy 188.391894 120.874954) (xy 188.469212 120.688751) (xy 188.469388 120.487133) (xy 188.392395 120.300796)
+        (xy 188.279224 120.187427) (xy 188.36255 120.104245) (xy 188.450046 120.191894) (xy 188.636249 120.269212) (xy 188.837867 120.269388)
+        (xy 189.024204 120.192395) (xy 189.166894 120.049954) (xy 189.244212 119.863751) (xy 189.244388 119.662133) (xy 189.167395 119.475796)
+        (xy 189.024954 119.333106) (xy 188.838751 119.255788) (xy 188.637133 119.255612) (xy 188.450796 119.332605) (xy 188.337449 119.445754)
+        (xy 188.279224 119.387427) (xy 188.391894 119.274954) (xy 188.469212 119.088751) (xy 188.469388 118.887133) (xy 188.392395 118.700796)
+        (xy 188.279224 118.587427) (xy 188.391894 118.474954) (xy 188.469212 118.288751) (xy 188.469388 118.087133) (xy 188.392395 117.900796)
+        (xy 188.279224 117.787427) (xy 188.362572 117.704224) (xy 188.475046 117.816894) (xy 188.661249 117.894212) (xy 188.862867 117.894388)
+        (xy 189.049204 117.817395) (xy 189.162594 117.704202) (xy 189.300046 117.841894) (xy 189.486249 117.919212) (xy 189.687867 117.919388)
+        (xy 189.874204 117.842395) (xy 190.016894 117.699954) (xy 190.094212 117.513751) (xy 190.094388 117.312133) (xy 190.094388 119.374922)
+        (xy 190.075796 119.382605) (xy 189.933106 119.525046) (xy 189.855788 119.711249) (xy 189.855612 119.912867) (xy 189.932605 120.099204)
+        (xy 190.075046 120.241894) (xy 190.261249 120.319212) (xy 190.462867 120.319388) (xy 190.649204 120.242395) (xy 190.791894 120.099954)
+        (xy 190.869212 119.913751) (xy 190.869388 119.712133) (xy 190.869388 120.964001) (xy 190.733106 121.100046) (xy 190.655788 121.286249)
+        (xy 190.655612 121.487867) (xy 190.732605 121.674204) (xy 190.875046 121.816894) (xy 191.061249 121.894212) (xy 191.262867 121.894388)
+        (xy 191.449204 121.817395) (xy 191.562572 121.704224) (xy 191.675046 121.816894) (xy 191.861249 121.894212) (xy 192.062867 121.894388)
+        (xy 192.249204 121.817395) (xy 192.391894 121.674954) (xy 192.469212 121.488751) (xy 192.469388 121.287133) (xy 192.469388 121.764001)
+        (xy 192.333106 121.900046) (xy 192.255788 122.086249) (xy 192.255612 122.287867) (xy 192.332605 122.474204) (xy 192.475046 122.616894)
+        (xy 192.661249 122.694212) (xy 192.862867 122.694388) (xy 193.049204 122.617395) (xy 193.162572 122.504224) (xy 193.275046 122.616894)
+        (xy 193.461249 122.694212) (xy 193.662867 122.694388) (xy 193.849204 122.617395) (xy 193.962572 122.504224) (xy 194.075046 122.616894)
+        (xy 194.261249 122.694212) (xy 194.462867 122.694388) (xy 194.649204 122.617395) (xy 194.762572 122.504224) (xy 194.875046 122.616894)
+        (xy 195.061249 122.694212) (xy 195.262867 122.694388) (xy 195.449204 122.617395) (xy 195.562572 122.504224) (xy 195.675046 122.616894)
+        (xy 195.861249 122.694212) (xy 196.062867 122.694388) (xy 196.249204 122.617395) (xy 196.362572 122.504224) (xy 196.475046 122.616894)
+        (xy 196.661249 122.694212) (xy 196.862867 122.694388) (xy 197.049204 122.617395) (xy 197.162572 122.504224) (xy 197.275046 122.616894)
+        (xy 197.461249 122.694212) (xy 197.662867 122.694388) (xy 197.849204 122.617395) (xy 197.991894 122.474954) (xy 198.069212 122.288751)
+        (xy 198.069388 122.087133) (xy 198.069388 130.655619) (xy 198.067395 130.650796) (xy 197.924954 130.508106) (xy 197.738751 130.430788)
+        (xy 197.537133 130.430612) (xy 197.350796 130.507605) (xy 197.208106 130.650046) (xy 197.130788 130.836249) (xy 197.130612 131.037867)
+        (xy 197.207605 131.224204) (xy 197.350046 131.366894) (xy 197.536249 131.444212) (xy 197.737867 131.444388) (xy 197.924204 131.367395)
+        (xy 198.066894 131.224954) (xy 198.144212 131.038751) (xy 198.144388 130.837133) (xy 198.144388 147.257944) (xy 197.975796 147.327605)
+        (xy 197.833106 147.470046) (xy 197.755788 147.656249) (xy 197.755612 147.857867) (xy 197.832605 148.044204) (xy 197.975046 148.186894)
+        (xy 198.128615 148.250661) (xy 198.072133 148.250612) (xy 197.885796 148.327605) (xy 197.743106 148.470046) (xy 197.665788 148.656249)
+        (xy 197.665612 148.857867) (xy 197.742605 149.044204) (xy 197.885046 149.186894) (xy 198.071249 149.264212) (xy 198.272867 149.264388)
+        (xy 198.459204 149.187395) (xy 198.601894 149.044954) (xy 198.679212 148.858751) (xy 198.679388 148.657133) (xy 198.602395 148.470796)
+        (xy 198.459954 148.328106) (xy 198.306384 148.264338) (xy 198.362867 148.264388) (xy 198.549204 148.187395) (xy 198.691894 148.044954)
+        (xy 198.769212 147.858751) (xy 198.769388 147.657133) (xy 198.769388 153.870635) (xy 198.742133 153.870612) (xy 198.555796 153.947605)
+        (xy 198.413106 154.090046) (xy 198.335788 154.276249) (xy 198.335612 154.477867) (xy 198.412605 154.664204) (xy 198.555046 154.806894)
+        (xy 198.741249 154.884212) (xy 198.942867 154.884388) (xy 199.129204 154.807395) (xy 199.271894 154.664954) (xy 199.349212 154.478751)
+        (xy 199.349388 154.277133) (xy 199.349388 157.218266) (xy 197.417218 157.191179) (xy 197.417218 154.151922) (xy 197.313214 153.900212)
+        (xy 197.120801 153.707463) (xy 196.869273 153.603019) (xy 196.596922 153.602782) (xy 196.345212 153.706786) (xy 196.152463 153.899199)
+        (xy 196.048019 154.150727) (xy 196.047782 154.423078) (xy 196.151786 154.674788) (xy 196.344199 154.867537) (xy 196.595727 154.971981)
+        (xy 196.868078 154.972218) (xy 197.119788 154.868214) (xy 197.312537 154.675801) (xy 197.416981 154.424273) (xy 197.417218 154.151922)
+        (xy 197.417218 157.191179) (xy 194.240834 157.146651) (xy 194.240834 139.233386) (xy 194.240834 137.233386) (xy 194.12261 136.947261)
+        (xy 193.90389 136.72816) (xy 193.617972 136.609436) (xy 193.308386 136.609166) (xy 193.022261 136.72739) (xy 192.80316 136.94611)
+        (xy 192.684436 137.232028) (xy 192.684166 137.541614) (xy 192.80239 137.827739) (xy 193.02111 138.04684) (xy 193.307028 138.165564)
+        (xy 193.616614 138.165834) (xy 193.902739 138.04761) (xy 194.12184 137.82889) (xy 194.240564 137.542972) (xy 194.240834 137.233386)
+        (xy 194.240834 139.233386) (xy 194.12261 138.947261) (xy 193.90389 138.72816) (xy 193.617972 138.609436) (xy 193.308386 138.609166)
+        (xy 193.022261 138.72739) (xy 192.80316 138.94611) (xy 192.684436 139.232028) (xy 192.684166 139.541614) (xy 192.80239 139.827739)
+        (xy 193.02111 140.04684) (xy 193.307028 140.165564) (xy 193.616614 140.165834) (xy 193.902739 140.04761) (xy 194.12184 139.82889)
+        (xy 194.240564 139.542972) (xy 194.240834 139.233386) (xy 194.240834 157.146651) (xy 193.547748 157.136934) (xy 193.547748 156.394405)
+        (xy 193.547748 154.870405) (xy 193.505484 154.768118) (xy 193.427293 154.689791) (xy 193.32508 154.647348) (xy 193.214405 154.647252)
+        (xy 192.240834 154.647252) (xy 192.240834 139.233386) (xy 192.240834 137.233386) (xy 192.12261 136.947261) (xy 192.069388 136.893946)
+        (xy 192.069388 124.087133) (xy 191.992395 123.900796) (xy 191.849954 123.758106) (xy 191.669388 123.683128) (xy 191.669388 122.887133)
+        (xy 191.592395 122.700796) (xy 191.449954 122.558106) (xy 191.263751 122.480788) (xy 191.062133 122.480612) (xy 190.875796 122.557605)
+        (xy 190.869388 122.564001) (xy 190.869388 122.087133) (xy 190.792395 121.900796) (xy 190.649954 121.758106) (xy 190.463751 121.680788)
+        (xy 190.262133 121.680612) (xy 190.075796 121.757605) (xy 189.962427 121.870775) (xy 189.879224 121.787427) (xy 189.991894 121.674954)
+        (xy 190.069212 121.488751) (xy 190.069388 121.287133) (xy 189.992395 121.100796) (xy 189.849954 120.958106) (xy 189.663751 120.880788)
+        (xy 189.462133 120.880612) (xy 189.275796 120.957605) (xy 189.133106 121.100046) (xy 189.055788 121.286249) (xy 189.055612 121.487867)
+        (xy 189.132605 121.674204) (xy 189.245775 121.787572) (xy 189.162427 121.870775) (xy 189.049954 121.758106) (xy 188.863751 121.680788)
+        (xy 188.662133 121.680612) (xy 188.475796 121.757605) (xy 188.333106 121.900046) (xy 188.255788 122.086249) (xy 188.255612 122.287867)
+        (xy 188.332605 122.474204) (xy 188.475046 122.616894) (xy 188.661249 122.694212) (xy 188.862867 122.694388) (xy 189.049204 122.617395)
+        (xy 189.162572 122.504224) (xy 189.245775 122.587572) (xy 189.133106 122.700046) (xy 189.055788 122.886249) (xy 189.055612 123.087867)
+        (xy 189.132605 123.274204) (xy 189.238981 123.380766) (xy 189.062133 123.380612) (xy 188.875796 123.457605) (xy 188.733106 123.600046)
+        (xy 188.655788 123.786249) (xy 188.655612 123.987867) (xy 188.732605 124.174204) (xy 188.875046 124.316894) (xy 189.061249 124.394212)
+        (xy 189.262867 124.394388) (xy 189.449204 124.317395) (xy 189.562572 124.204224) (xy 189.675046 124.316894) (xy 189.861249 124.394212)
+        (xy 190.062867 124.394388) (xy 190.249204 124.317395) (xy 190.391894 124.174954) (xy 190.469212 123.988751) (xy 190.469388 123.787133)
+        (xy 190.392395 123.600796) (xy 190.249954 123.458106) (xy 190.063751 123.380788) (xy 189.88603 123.380632) (xy 189.991894 123.274954)
+        (xy 190.069212 123.088751) (xy 190.069388 122.887133) (xy 189.992395 122.700796) (xy 189.879224 122.587427) (xy 189.962572 122.504224)
+        (xy 190.075046 122.616894) (xy 190.261249 122.694212) (xy 190.462867 122.694388) (xy 190.649204 122.617395) (xy 190.791894 122.474954)
+        (xy 190.869212 122.288751) (xy 190.869388 122.087133) (xy 190.869388 122.564001) (xy 190.733106 122.700046) (xy 190.655788 122.886249)
+        (xy 190.655612 123.087867) (xy 190.732605 123.274204) (xy 190.875046 123.416894) (xy 191.061249 123.494212) (xy 191.262867 123.494388)
+        (xy 191.449204 123.417395) (xy 191.591894 123.274954) (xy 191.669212 123.088751) (xy 191.669388 122.887133) (xy 191.669388 123.683128)
+        (xy 191.663751 123.680788) (xy 191.462133 123.680612) (xy 191.275796 123.757605) (xy 191.133106 123.900046) (xy 191.055788 124.086249)
+        (xy 191.055612 124.287867) (xy 191.132605 124.474204) (xy 191.275046 124.616894) (xy 191.461249 124.694212) (xy 191.662867 124.694388)
+        (xy 191.849204 124.617395) (xy 191.991894 124.474954) (xy 192.069212 124.288751) (xy 192.069388 124.087133) (xy 192.069388 136.893946)
+        (xy 191.90389 136.72816) (xy 191.617972 136.609436) (xy 191.369388 136.609219) (xy 191.369388 124.862133) (xy 191.292395 124.675796)
+        (xy 191.149954 124.533106) (xy 190.963751 124.455788) (xy 190.762133 124.455612) (xy 190.575796 124.532605) (xy 190.433106 124.675046)
+        (xy 190.355788 124.861249) (xy 190.355612 125.062867) (xy 190.432605 125.249204) (xy 190.575046 125.391894) (xy 190.761249 125.469212)
+        (xy 190.962867 125.469388) (xy 191.149204 125.392395) (xy 191.291894 125.249954) (xy 191.369212 125.063751) (xy 191.369388 124.862133)
+        (xy 191.369388 136.609219) (xy 191.308386 136.609166) (xy 191.022261 136.72739) (xy 190.80316 136.94611) (xy 190.684436 137.232028)
+        (xy 190.684166 137.541614) (xy 190.80239 137.827739) (xy 191.02111 138.04684) (xy 191.307028 138.165564) (xy 191.616614 138.165834)
+        (xy 191.902739 138.04761) (xy 192.12184 137.82889) (xy 192.240564 137.542972) (xy 192.240834 137.233386) (xy 192.240834 139.233386)
+        (xy 192.12261 138.947261) (xy 191.90389 138.72816) (xy 191.617972 138.609436) (xy 191.308386 138.609166) (xy 191.022261 138.72739)
+        (xy 190.80316 138.94611) (xy 190.684436 139.232028) (xy 190.684166 139.541614) (xy 190.80239 139.827739) (xy 191.02111 140.04684)
+        (xy 191.307028 140.165564) (xy 191.616614 140.165834) (xy 191.902739 140.04761) (xy 192.12184 139.82889) (xy 192.240564 139.542972)
+        (xy 192.240834 139.233386) (xy 192.240834 154.647252) (xy 191.690405 154.647252) (xy 191.588118 154.689516) (xy 191.509791 154.767707)
+        (xy 191.467348 154.86992) (xy 191.467252 154.980595) (xy 191.467252 156.504595) (xy 191.509516 156.606882) (xy 191.587707 156.685209)
+        (xy 191.68992 156.727652) (xy 191.800595 156.727748) (xy 193.324595 156.727748) (xy 193.426882 156.685484) (xy 193.505209 156.607293)
+        (xy 193.547652 156.50508) (xy 193.547748 156.394405) (xy 193.547748 157.136934) (xy 191.331337 157.105863) (xy 191.331337 155.830843)
+        (xy 191.282376 155.298014) (xy 191.172667 155.033151) (xy 190.951409 154.956736) (xy 190.698264 155.20988) (xy 190.698264 154.703591)
+        (xy 190.621849 154.482333) (xy 190.240834 154.364025) (xy 190.240834 139.233386) (xy 190.240834 137.233386) (xy 190.12261 136.947261)
+        (xy 189.90389 136.72816) (xy 189.617972 136.609436) (xy 189.308386 136.609166) (xy 189.044388 136.718247) (xy 189.044388 124.912133)
+        (xy 188.967395 124.725796) (xy 188.824954 124.583106) (xy 188.638751 124.505788) (xy 188.469388 124.50564) (xy 188.469388 123.787133)
+        (xy 188.392395 123.600796) (xy 188.249954 123.458106) (xy 188.063751 123.380788) (xy 187.862133 123.380612) (xy 187.675796 123.457605)
+        (xy 187.533106 123.600046) (xy 187.455788 123.786249) (xy 187.455654 123.938904) (xy 187.399954 123.883106) (xy 187.213751 123.805788)
+        (xy 187.012133 123.805612) (xy 186.825796 123.882605) (xy 186.683106 124.025046) (xy 186.605788 124.211249) (xy 186.605612 124.412867)
+        (xy 186.682605 124.599204) (xy 186.825046 124.741894) (xy 187.011249 124.819212) (xy 187.212867 124.819388) (xy 187.399204 124.742395)
+        (xy 187.541894 124.599954) (xy 187.619212 124.413751) (xy 187.619345 124.261095) (xy 187.675046 124.316894) (xy 187.861249 124.394212)
+        (xy 188.062867 124.394388) (xy 188.249204 124.317395) (xy 188.391894 124.174954) (xy 188.469212 123.988751) (xy 188.469388 123.787133)
+        (xy 188.469388 124.50564) (xy 188.437133 124.505612) (xy 188.250796 124.582605) (xy 188.108106 124.725046) (xy 188.030788 124.911249)
+        (xy 188.030612 125.112867) (xy 188.107605 125.299204) (xy 188.250046 125.441894) (xy 188.436249 125.519212) (xy 188.637867 125.519388)
+        (xy 188.824204 125.442395) (xy 188.966894 125.299954) (xy 189.044212 125.113751) (xy 189.044388 124.912133) (xy 189.044388 136.718247)
+        (xy 189.022261 136.72739) (xy 188.80316 136.94611) (xy 188.684436 137.232028) (xy 188.684166 137.541614) (xy 188.80239 137.827739)
+        (xy 189.02111 138.04684) (xy 189.307028 138.165564) (xy 189.616614 138.165834) (xy 189.902739 138.04761) (xy 190.12184 137.82889)
+        (xy 190.240564 137.542972) (xy 190.240834 137.233386) (xy 190.240834 139.233386) (xy 190.12261 138.947261) (xy 189.90389 138.72816)
+        (xy 189.617972 138.609436) (xy 189.308386 138.609166) (xy 189.022261 138.72739) (xy 188.80316 138.94611) (xy 188.684436 139.232028)
+        (xy 188.684166 139.541614) (xy 188.80239 139.827739) (xy 189.02111 140.04684) (xy 189.307028 140.165564) (xy 189.616614 140.165834)
+        (xy 189.902739 140.04761) (xy 190.12184 139.82889) (xy 190.240564 139.542972) (xy 190.240834 139.233386) (xy 190.240834 154.364025)
+        (xy 190.110843 154.323663) (xy 189.578014 154.372624) (xy 189.313151 154.482333) (xy 189.236736 154.703591) (xy 189.9675 155.434356)
+        (xy 190.698264 154.703591) (xy 190.698264 155.20988) (xy 190.220644 155.6875) (xy 190.951409 156.418264) (xy 191.172667 156.341849)
+        (xy 191.331337 155.830843) (xy 191.331337 157.105863) (xy 190.698264 157.096988) (xy 190.698264 156.671409) (xy 189.9675 155.940644)
+        (xy 189.714356 156.193788) (xy 189.714356 155.6875) (xy 188.983591 154.956736) (xy 188.762333 155.033151) (xy 188.603663 155.544157)
+        (xy 188.652624 156.076986) (xy 188.762333 156.341849) (xy 188.983591 156.418264) (xy 189.714356 155.6875) (xy 189.714356 156.193788)
+        (xy 189.236736 156.671409) (xy 189.313151 156.892667) (xy 189.824157 157.051337) (xy 190.356986 157.002376) (xy 190.621849 156.892667)
+        (xy 190.698264 156.671409) (xy 190.698264 157.096988) (xy 188.240834 157.062538) (xy 188.240834 139.233386) (xy 188.240834 137.233386)
+        (xy 188.12261 136.947261) (xy 187.90389 136.72816) (xy 187.617972 136.609436) (xy 187.308386 136.609166) (xy 187.147218 136.675759)
+        (xy 187.147218 127.376922) (xy 187.043214 127.125212) (xy 186.850801 126.932463) (xy 186.599273 126.828019) (xy 186.326922 126.827782)
+        (xy 186.075212 126.931786) (xy 185.882463 127.124199) (xy 185.778019 127.375727) (xy 185.777782 127.648078) (xy 185.881786 127.899788)
+        (xy 186.074199 128.092537) (xy 186.325727 128.196981) (xy 186.598078 128.197218) (xy 186.849788 128.093214) (xy 187.042537 127.900801)
+        (xy 187.146981 127.649273) (xy 187.147218 127.376922) (xy 187.147218 136.675759) (xy 187.022261 136.72739) (xy 186.80316 136.94611)
+        (xy 186.684436 137.232028) (xy 186.684166 137.541614) (xy 186.80239 137.827739) (xy 187.02111 138.04684) (xy 187.307028 138.165564)
+        (xy 187.616614 138.165834) (xy 187.902739 138.04761) (xy 188.12184 137.82889) (xy 188.240564 137.542972) (xy 188.240834 137.233386)
+        (xy 188.240834 139.233386) (xy 188.12261 138.947261) (xy 187.90389 138.72816) (xy 187.617972 138.609436) (xy 187.308386 138.609166)
+        (xy 187.022261 138.72739) (xy 186.80316 138.94611) (xy 186.684436 139.232028) (xy 186.684166 139.541614) (xy 186.80239 139.827739)
+        (xy 187.02111 140.04684) (xy 187.307028 140.165564) (xy 187.616614 140.165834) (xy 187.902739 140.04761) (xy 188.12184 139.82889)
+        (xy 188.240564 139.542972) (xy 188.240834 139.233386) (xy 188.240834 157.062538) (xy 186.240834 157.034501) (xy 186.240834 139.233386)
+        (xy 186.240834 137.233386) (xy 186.12261 136.947261) (xy 185.90389 136.72816) (xy 185.617972 136.609436) (xy 185.308386 136.609166)
+        (xy 185.022261 136.72739) (xy 184.80316 136.94611) (xy 184.684436 137.232028) (xy 184.684166 137.541614) (xy 184.80239 137.827739)
+        (xy 185.02111 138.04684) (xy 185.307028 138.165564) (xy 185.616614 138.165834) (xy 185.902739 138.04761) (xy 186.12184 137.82889)
+        (xy 186.240564 137.542972) (xy 186.240834 137.233386) (xy 186.240834 139.233386) (xy 186.12261 138.947261) (xy 185.90389 138.72816)
+        (xy 185.617972 138.609436) (xy 185.308386 138.609166) (xy 185.022261 138.72739) (xy 184.80316 138.94611) (xy 184.684436 139.232028)
+        (xy 184.684166 139.541614) (xy 184.80239 139.827739) (xy 185.02111 140.04684) (xy 185.307028 140.165564) (xy 185.616614 140.165834)
+        (xy 185.902739 140.04761) (xy 186.12184 139.82889) (xy 186.240564 139.542972) (xy 186.240834 139.233386) (xy 186.240834 157.034501)
+        (xy 184.240834 157.006464) (xy 184.240834 139.233386) (xy 184.240834 137.233386) (xy 184.194388 137.120977) (xy 184.194388 126.812133)
+        (xy 184.117395 126.625796) (xy 183.974954 126.483106) (xy 183.788751 126.405788) (xy 183.694388 126.405705) (xy 183.694388 102.687133)
+        (xy 183.617395 102.500796) (xy 183.474954 102.358106) (xy 183.288751 102.280788) (xy 183.087133 102.280612) (xy 182.972879 102.32782)
+        (xy 182.972879 79.151499) (xy 182.972879 76.611499) (xy 182.814852 76.229044) (xy 182.522495 75.936176) (xy 182.140316 75.777482)
+        (xy 181.726499 75.777121) (xy 181.344044 75.935148) (xy 181.051176 76.227505) (xy 180.892482 76.609684) (xy 180.892121 77.023501)
+        (xy 181.050148 77.405956) (xy 181.342505 77.698824) (xy 181.724684 77.857518) (xy 182.138501 77.857879) (xy 182.520956 77.699852)
+        (xy 182.813824 77.407495) (xy 182.972518 77.025316) (xy 182.972879 76.611499) (xy 182.972879 79.151499) (xy 182.814852 78.769044)
+        (xy 182.522495 78.476176) (xy 182.140316 78.317482) (xy 181.726499 78.317121) (xy 181.344044 78.475148) (xy 181.051176 78.767505)
+        (xy 180.892482 79.149684) (xy 180.892121 79.563501) (xy 181.050148 79.945956) (xy 181.342505 80.238824) (xy 181.724684 80.397518)
+        (xy 182.138501 80.397879) (xy 182.520956 80.239852) (xy 182.813824 79.947495) (xy 182.972518 79.565316) (xy 182.972879 79.151499)
+        (xy 182.972879 102.32782) (xy 182.900796 102.357605) (xy 182.782384 102.47581) (xy 182.613751 102.405788) (xy 182.544388 102.405727)
+        (xy 182.544388 93.812133) (xy 182.467395 93.625796) (xy 182.324954 93.483106) (xy 182.138751 93.405788) (xy 181.937133 93.405612)
+        (xy 181.919343 93.412962) (xy 181.919388 93.362133) (xy 181.842395 93.175796) (xy 181.699954 93.033106) (xy 181.513751 92.955788)
+        (xy 181.312133 92.955612) (xy 181.125796 93.032605) (xy 180.983106 93.175046) (xy 180.919388 93.328496) (xy 180.919388 90.237133)
+        (xy 180.842395 90.050796) (xy 180.699954 89.908106) (xy 180.513751 89.830788) (xy 180.432879 89.830717) (xy 180.432879 79.151499)
+        (xy 180.432879 76.611499) (xy 180.274852 76.229044) (xy 179.982495 75.936176) (xy 179.600316 75.777482) (xy 179.186499 75.777121)
+        (xy 178.804044 75.935148) (xy 178.511176 76.227505) (xy 178.352482 76.609684) (xy 178.352121 77.023501) (xy 178.510148 77.405956)
+        (xy 178.802505 77.698824) (xy 179.184684 77.857518) (xy 179.598501 77.857879) (xy 179.980956 77.699852) (xy 180.273824 77.407495)
+        (xy 180.432518 77.025316) (xy 180.432879 76.611499) (xy 180.432879 79.151499) (xy 180.274852 78.769044) (xy 179.982495 78.476176)
+        (xy 179.600316 78.317482) (xy 179.186499 78.317121) (xy 178.804044 78.475148) (xy 178.511176 78.767505) (xy 178.352482 79.149684)
+        (xy 178.352121 79.563501) (xy 178.510148 79.945956) (xy 178.802505 80.238824) (xy 179.184684 80.397518) (xy 179.598501 80.397879)
+        (xy 179.980956 80.239852) (xy 180.273824 79.947495) (xy 180.432518 79.565316) (xy 180.432879 79.151499) (xy 180.432879 89.830717)
+        (xy 180.312133 89.830612) (xy 180.125796 89.907605) (xy 179.983106 90.050046) (xy 179.905788 90.236249) (xy 179.905612 90.437867)
+        (xy 179.982605 90.624204) (xy 180.125046 90.766894) (xy 180.311249 90.844212) (xy 180.512867 90.844388) (xy 180.699204 90.767395)
+        (xy 180.841894 90.624954) (xy 180.919212 90.438751) (xy 180.919388 90.237133) (xy 180.919388 93.328496) (xy 180.905788 93.361249)
+        (xy 180.905612 93.562867) (xy 180.982605 93.749204) (xy 181.125046 93.891894) (xy 181.311249 93.969212) (xy 181.512867 93.969388)
+        (xy 181.530656 93.962037) (xy 181.530612 94.012867) (xy 181.607605 94.199204) (xy 181.750046 94.341894) (xy 181.936249 94.419212)
+        (xy 182.137867 94.419388) (xy 182.324204 94.342395) (xy 182.466894 94.199954) (xy 182.544212 94.013751) (xy 182.544388 93.812133)
+        (xy 182.544388 102.405727) (xy 182.412133 102.405612) (xy 182.225796 102.482605) (xy 182.083106 102.625046) (xy 182.005788 102.811249)
+        (xy 182.005612 103.012867) (xy 182.082605 103.199204) (xy 182.225046 103.341894) (xy 182.30474 103.374985) (xy 182.225796 103.407605)
+        (xy 182.083106 103.550046) (xy 182.005788 103.736249) (xy 182.005612 103.937867) (xy 182.082605 104.124204) (xy 182.225046 104.266894)
+        (xy 182.411249 104.344212) (xy 182.612867 104.344388) (xy 182.799204 104.267395) (xy 182.941894 104.124954) (xy 183.019212 103.938751)
+        (xy 183.019388 103.737133) (xy 182.942395 103.550796) (xy 182.799954 103.408106) (xy 182.720259 103.375014) (xy 182.799204 103.342395)
+        (xy 182.917615 103.224189) (xy 183.086249 103.294212) (xy 183.287867 103.294388) (xy 183.474204 103.217395) (xy 183.616894 103.074954)
+        (xy 183.694212 102.888751) (xy 183.694388 102.687133) (xy 183.694388 126.405705) (xy 183.587133 126.405612) (xy 183.400796 126.482605)
+        (xy 183.258106 126.625046) (xy 183.180788 126.811249) (xy 183.180612 127.012867) (xy 183.257605 127.199204) (xy 183.285789 127.227437)
+        (xy 183.243106 127.270046) (xy 183.169388 127.447579) (xy 183.169388 113.062133) (xy 183.092395 112.875796) (xy 182.949954 112.733106)
+        (xy 182.918868 112.720198) (xy 182.994212 112.538751) (xy 182.994388 112.337133) (xy 182.917395 112.150796) (xy 182.807434 112.040643)
+        (xy 182.924204 111.992395) (xy 183.066894 111.849954) (xy 183.144212 111.663751) (xy 183.144388 111.462133) (xy 183.067395 111.275796)
+        (xy 182.924954 111.133106) (xy 182.738751 111.055788) (xy 182.537133 111.055612) (xy 182.350796 111.132605) (xy 182.312536 111.170797)
+        (xy 182.249954 111.108106) (xy 182.169388 111.074652) (xy 182.169388 109.037133) (xy 182.092395 108.850796) (xy 181.949954 108.708106)
+        (xy 181.763751 108.630788) (xy 181.562133 108.630612) (xy 181.375796 108.707605) (xy 181.233106 108.850046) (xy 181.155788 109.036249)
+        (xy 181.155612 109.237867) (xy 181.232605 109.424204) (xy 181.375046 109.566894) (xy 181.561249 109.644212) (xy 181.762867 109.644388)
+        (xy 181.949204 109.567395) (xy 182.091894 109.424954) (xy 182.169212 109.238751) (xy 182.169388 109.037133) (xy 182.169388 111.074652)
+        (xy 182.063751 111.030788) (xy 181.862133 111.030612) (xy 181.675796 111.107605) (xy 181.533106 111.250046) (xy 181.455788 111.436249)
+        (xy 181.455612 111.637867) (xy 181.532605 111.824204) (xy 181.675046 111.966894) (xy 181.861249 112.044212) (xy 182.062867 112.044388)
+        (xy 182.249204 111.967395) (xy 182.287463 111.929202) (xy 182.317565 111.959356) (xy 182.200796 112.007605) (xy 182.058106 112.150046)
+        (xy 182.023945 112.232314) (xy 181.899954 112.108106) (xy 181.713751 112.030788) (xy 181.512133 112.030612) (xy 181.325796 112.107605)
+        (xy 181.183106 112.250046) (xy 181.105788 112.436249) (xy 181.105612 112.637867) (xy 181.182605 112.824204) (xy 181.325046 112.966894)
+        (xy 181.511249 113.044212) (xy 181.712867 113.044388) (xy 181.899204 112.967395) (xy 182.041894 112.824954) (xy 182.076054 112.742685)
+        (xy 182.200046 112.866894) (xy 182.231131 112.879801) (xy 182.155788 113.061249) (xy 182.155612 113.262867) (xy 182.232605 113.449204)
+        (xy 182.375046 113.591894) (xy 182.561249 113.669212) (xy 182.762867 113.669388) (xy 182.949204 113.592395) (xy 183.091894 113.449954)
+        (xy 183.169212 113.263751) (xy 183.169388 113.062133) (xy 183.169388 127.447579) (xy 183.165788 127.456249) (xy 183.165612 127.657867)
+        (xy 183.242605 127.844204) (xy 183.385046 127.986894) (xy 183.571249 128.064212) (xy 183.772867 128.064388) (xy 183.959204 127.987395)
+        (xy 184.101894 127.844954) (xy 184.179212 127.658751) (xy 184.179388 127.457133) (xy 184.102395 127.270796) (xy 184.07421 127.242562)
+        (xy 184.116894 127.199954) (xy 184.194212 127.013751) (xy 184.194388 126.812133) (xy 184.194388 137.120977) (xy 184.12261 136.947261)
+        (xy 184.119388 136.944033) (xy 184.119388 130.212133) (xy 184.042395 130.025796) (xy 183.899954 129.883106) (xy 183.713751 129.805788)
+        (xy 183.512133 129.805612) (xy 183.325796 129.882605) (xy 183.183106 130.025046) (xy 183.105788 130.211249) (xy 183.105612 130.412867)
+        (xy 183.182605 130.599204) (xy 183.325046 130.741894) (xy 183.511249 130.819212) (xy 183.712867 130.819388) (xy 183.899204 130.742395)
+        (xy 184.041894 130.599954) (xy 184.119212 130.413751) (xy 184.119388 130.212133) (xy 184.119388 136.944033) (xy 183.90389 136.72816)
+        (xy 183.617972 136.609436) (xy 183.308386 136.609166) (xy 183.022261 136.72739) (xy 182.80316 136.94611) (xy 182.684436 137.232028)
+        (xy 182.684166 137.541614) (xy 182.80239 137.827739) (xy 183.02111 138.04684) (xy 183.307028 138.165564) (xy 183.616614 138.165834)
+        (xy 183.902739 138.04761) (xy 184.12184 137.82889) (xy 184.240564 137.542972) (xy 184.240834 137.233386) (xy 184.240834 139.233386)
+        (xy 184.12261 138.947261) (xy 183.90389 138.72816) (xy 183.617972 138.609436) (xy 183.308386 138.609166) (xy 183.022261 138.72739)
+        (xy 182.80316 138.94611) (xy 182.684436 139.232028) (xy 182.684166 139.541614) (xy 182.80239 139.827739) (xy 183.02111 140.04684)
+        (xy 183.307028 140.165564) (xy 183.616614 140.165834) (xy 183.902739 140.04761) (xy 184.12184 139.82889) (xy 184.240564 139.542972)
+        (xy 184.240834 139.233386) (xy 184.240834 157.006464) (xy 182.861071 156.987121) (xy 182.861071 150.665762) (xy 182.438911 149.644056)
+        (xy 182.240834 149.445633) (xy 182.240834 139.233386) (xy 182.240834 137.233386) (xy 182.12261 136.947261) (xy 181.994388 136.818815)
+        (xy 181.994388 127.162133) (xy 181.917395 126.975796) (xy 181.774954 126.833106) (xy 181.588751 126.755788) (xy 181.387133 126.755612)
+        (xy 181.200796 126.832605) (xy 181.058106 126.975046) (xy 180.980788 127.161249) (xy 180.980612 127.362867) (xy 181.057605 127.549204)
+        (xy 181.200046 127.691894) (xy 181.386249 127.769212) (xy 181.587867 127.769388) (xy 181.774204 127.692395) (xy 181.916894 127.549954)
+        (xy 181.994212 127.363751) (xy 181.994388 127.162133) (xy 181.994388 136.818815) (xy 181.90389 136.72816) (xy 181.617972 136.609436)
+        (xy 181.308386 136.609166) (xy 181.022261 136.72739) (xy 180.80316 136.94611) (xy 180.684436 137.232028) (xy 180.684166 137.541614)
+        (xy 180.80239 137.827739) (xy 181.02111 138.04684) (xy 181.307028 138.165564) (xy 181.616614 138.165834) (xy 181.902739 138.04761)
+        (xy 182.12184 137.82889) (xy 182.240564 137.542972) (xy 182.240834 137.233386) (xy 182.240834 139.233386) (xy 182.12261 138.947261)
+        (xy 181.90389 138.72816) (xy 181.617972 138.609436) (xy 181.308386 138.609166) (xy 181.022261 138.72739) (xy 180.80316 138.94611)
+        (xy 180.684436 139.232028) (xy 180.684166 139.541614) (xy 180.80239 139.827739) (xy 181.02111 140.04684) (xy 181.307028 140.165564)
+        (xy 181.616614 140.165834) (xy 181.902739 140.04761) (xy 182.12184 139.82889) (xy 182.240564 139.542972) (xy 182.240834 139.233386)
+        (xy 182.240834 149.445633) (xy 181.657896 148.861677) (xy 180.636928 148.437734) (xy 180.394388 148.437522) (xy 180.394388 93.337133)
+        (xy 180.317395 93.150796) (xy 180.174954 93.008106) (xy 179.988751 92.930788) (xy 179.787133 92.930612) (xy 179.600796 93.007605)
+        (xy 179.458106 93.150046) (xy 179.380788 93.336249) (xy 179.380612 93.537867) (xy 179.457605 93.724204) (xy 179.600046 93.866894)
+        (xy 179.786249 93.944212) (xy 179.987867 93.944388) (xy 180.174204 93.867395) (xy 180.316894 93.724954) (xy 180.394212 93.538751)
+        (xy 180.394388 93.337133) (xy 180.394388 148.437522) (xy 180.359388 148.437491) (xy 180.359388 110.337133) (xy 180.282395 110.150796)
+        (xy 180.139954 110.008106) (xy 179.953751 109.930788) (xy 179.752133 109.930612) (xy 179.565796 110.007605) (xy 179.423106 110.150046)
+        (xy 179.345788 110.336249) (xy 179.345612 110.537867) (xy 179.36296 110.579853) (xy 179.319388 110.597856) (xy 179.319388 109.712133)
+        (xy 179.242395 109.525796) (xy 179.099954 109.383106) (xy 178.913751 109.305788) (xy 178.712133 109.305612) (xy 178.525796 109.382605)
+        (xy 178.444388 109.46387) (xy 178.444388 92.387133) (xy 178.367395 92.200796) (xy 178.224954 92.058106) (xy 178.216337 92.054527)
+        (xy 178.216337 79.500843) (xy 178.167376 78.968014) (xy 178.057667 78.703151) (xy 177.892879 78.646238) (xy 177.892879 76.611499)
+        (xy 177.734852 76.229044) (xy 177.442495 75.936176) (xy 177.060316 75.777482) (xy 176.646499 75.777121) (xy 176.264044 75.935148)
+        (xy 175.971176 76.227505) (xy 175.812482 76.609684) (xy 175.812121 77.023501) (xy 175.970148 77.405956) (xy 176.262505 77.698824)
+        (xy 176.644684 77.857518) (xy 177.058501 77.857879) (xy 177.440956 77.699852) (xy 177.733824 77.407495) (xy 177.892518 77.025316)
+        (xy 177.892879 76.611499) (xy 177.892879 78.646238) (xy 177.836409 78.626736) (xy 177.583264 78.87988) (xy 177.583264 78.373591)
+        (xy 177.506849 78.152333) (xy 176.995843 77.993663) (xy 176.463014 78.042624) (xy 176.198151 78.152333) (xy 176.121736 78.373591)
+        (xy 176.8525 79.104356) (xy 177.583264 78.373591) (xy 177.583264 78.87988) (xy 177.105644 79.3575) (xy 177.836409 80.088264)
+        (xy 178.057667 80.011849) (xy 178.216337 79.500843) (xy 178.216337 92.054527) (xy 178.038751 91.980788) (xy 177.837133 91.980612)
+        (xy 177.835361 91.981343) (xy 177.916894 91.899954) (xy 177.994212 91.713751) (xy 177.994388 91.512133) (xy 177.917395 91.325796)
+        (xy 177.775164 91.183317) (xy 177.874204 91.142395) (xy 178.016894 90.999954) (xy 178.094212 90.813751) (xy 178.094388 90.612133)
+        (xy 178.017395 90.425796) (xy 177.938538 90.346801) (xy 177.949204 90.342395) (xy 178.091894 90.199954) (xy 178.169212 90.013751)
+        (xy 178.169388 89.812133) (xy 178.092395 89.625796) (xy 177.949954 89.483106) (xy 177.763751 89.405788) (xy 177.583264 89.40563)
+        (xy 177.583264 80.341409) (xy 176.8525 79.610644) (xy 176.599356 79.863788) (xy 176.599356 79.3575) (xy 175.868591 78.626736)
+        (xy 175.647333 78.703151) (xy 175.488663 79.214157) (xy 175.537624 79.746986) (xy 175.647333 80.011849) (xy 175.868591 80.088264)
+        (xy 176.599356 79.3575) (xy 176.599356 79.863788) (xy 176.121736 80.341409) (xy 176.198151 80.562667) (xy 176.709157 80.721337)
+        (xy 177.241986 80.672376) (xy 177.506849 80.562667) (xy 177.583264 80.341409) (xy 177.583264 89.40563) (xy 177.562133 89.405612)
+        (xy 177.375796 89.482605) (xy 177.233106 89.625046) (xy 177.155788 89.811249) (xy 177.155612 90.012867) (xy 177.232605 90.199204)
+        (xy 177.311461 90.278198) (xy 177.300796 90.282605) (xy 177.158106 90.425046) (xy 177.080788 90.611249) (xy 177.080612 90.812867)
+        (xy 177.157605 90.999204) (xy 177.299835 91.141682) (xy 177.200796 91.182605) (xy 177.058106 91.325046) (xy 176.980788 91.511249)
+        (xy 176.980612 91.712867) (xy 177.057605 91.899204) (xy 177.200046 92.041894) (xy 177.386249 92.119212) (xy 177.587867 92.119388)
+        (xy 177.589638 92.118656) (xy 177.508106 92.200046) (xy 177.430788 92.386249) (xy 177.430612 92.587867) (xy 177.507605 92.774204)
+        (xy 177.650046 92.916894) (xy 177.836249 92.994212) (xy 178.037867 92.994388) (xy 178.224204 92.917395) (xy 178.366894 92.774954)
+        (xy 178.444212 92.588751) (xy 178.444388 92.387133) (xy 178.444388 109.46387) (xy 178.383106 109.525046) (xy 178.305788 109.711249)
+        (xy 178.305612 109.912867) (xy 178.382605 110.099204) (xy 178.525046 110.241894) (xy 178.711249 110.319212) (xy 178.912867 110.319388)
+        (xy 179.099204 110.242395) (xy 179.241894 110.099954) (xy 179.319212 109.913751) (xy 179.319388 109.712133) (xy 179.319388 110.597856)
+        (xy 179.295796 110.607605) (xy 179.153106 110.750046) (xy 179.075788 110.936249) (xy 179.075612 111.137867) (xy 179.113943 111.230635)
+        (xy 179.087133 111.230612) (xy 178.900796 111.307605) (xy 178.758106 111.450046) (xy 178.680788 111.636249) (xy 178.680612 111.837867)
+        (xy 178.757605 112.024204) (xy 178.900046 112.166894) (xy 179.086249 112.244212) (xy 179.287867 112.244388) (xy 179.474204 112.167395)
+        (xy 179.616894 112.024954) (xy 179.694212 111.838751) (xy 179.694388 111.637133) (xy 179.656056 111.544364) (xy 179.682867 111.544388)
+        (xy 179.869204 111.467395) (xy 180.011894 111.324954) (xy 180.089212 111.138751) (xy 180.089388 110.937133) (xy 180.072039 110.895146)
+        (xy 180.139204 110.867395) (xy 180.281894 110.724954) (xy 180.359212 110.538751) (xy 180.359388 110.337133) (xy 180.359388 148.437491)
+        (xy 180.269388 148.437413) (xy 180.269388 124.287133) (xy 180.192395 124.100796) (xy 180.049954 123.958106) (xy 179.863751 123.880788)
+        (xy 179.662133 123.880612) (xy 179.475796 123.957605) (xy 179.333106 124.100046) (xy 179.255788 124.286249) (xy 179.255612 124.487867)
+        (xy 179.332605 124.674204) (xy 179.475046 124.816894) (xy 179.661249 124.894212) (xy 179.862867 124.894388) (xy 180.049204 124.817395)
+        (xy 180.191894 124.674954) (xy 180.269212 124.488751) (xy 180.269388 124.287133) (xy 180.269388 148.437413) (xy 180.240834 148.437388)
+        (xy 180.240834 139.233386) (xy 180.240834 137.233386) (xy 180.12261 136.947261) (xy 179.969388 136.793772) (xy 179.969388 125.837133)
+        (xy 179.892395 125.650796) (xy 179.749954 125.508106) (xy 179.563751 125.430788) (xy 179.362133 125.430612) (xy 179.175796 125.507605)
+        (xy 179.033106 125.650046) (xy 178.955788 125.836249) (xy 178.955612 126.037867) (xy 179.032605 126.224204) (xy 179.175046 126.366894)
+        (xy 179.361249 126.444212) (xy 179.562867 126.444388) (xy 179.749204 126.367395) (xy 179.891894 126.224954) (xy 179.969212 126.038751)
+        (xy 179.969388 125.837133) (xy 179.969388 136.793772) (xy 179.90389 136.72816) (xy 179.617972 136.609436) (xy 179.308386 136.609166)
+        (xy 179.022261 136.72739) (xy 178.844388 136.904953) (xy 178.844388 123.387133) (xy 178.767395 123.200796) (xy 178.624954 123.058106)
+        (xy 178.438751 122.980788) (xy 178.369388 122.980727) (xy 178.369388 110.712133) (xy 178.292395 110.525796) (xy 178.149954 110.383106)
+        (xy 177.963751 110.305788) (xy 177.762133 110.305612) (xy 177.575796 110.382605) (xy 177.479388 110.478844) (xy 177.479388 106.867133)
+        (xy 177.402395 106.680796) (xy 177.259954 106.538106) (xy 177.073751 106.460788) (xy 176.872133 106.460612) (xy 176.685796 106.537605)
+        (xy 176.592479 106.630758) (xy 176.519954 106.558106) (xy 176.369388 106.495585) (xy 176.369388 105.237133) (xy 176.319388 105.116123)
+        (xy 176.319388 93.812133) (xy 176.242395 93.625796) (xy 176.099954 93.483106) (xy 175.913751 93.405788) (xy 175.712133 93.405612)
+        (xy 175.525796 93.482605) (xy 175.383106 93.625046) (xy 175.305788 93.811249) (xy 175.305612 94.012867) (xy 175.382605 94.199204)
+        (xy 175.525046 94.341894) (xy 175.711249 94.419212) (xy 175.912867 94.419388) (xy 176.099204 94.342395) (xy 176.241894 94.199954)
+        (xy 176.319212 94.013751) (xy 176.319388 93.812133) (xy 176.319388 105.116123) (xy 176.292395 105.050796) (xy 176.149954 104.908106)
+        (xy 175.963751 104.830788) (xy 175.762133 104.830612) (xy 175.575796 104.907605) (xy 175.433106 105.050046) (xy 175.355788 105.236249)
+        (xy 175.355612 105.437867) (xy 175.432605 105.624204) (xy 175.575046 105.766894) (xy 175.761249 105.844212) (xy 175.962867 105.844388)
+        (xy 176.149204 105.767395) (xy 176.291894 105.624954) (xy 176.369212 105.438751) (xy 176.369388 105.237133) (xy 176.369388 106.495585)
+        (xy 176.333751 106.480788) (xy 176.154722 106.480631) (xy 176.142395 106.450796) (xy 175.999954 106.308106) (xy 175.813751 106.230788)
+        (xy 175.612133 106.230612) (xy 175.425796 106.307605) (xy 175.283106 106.450046) (xy 175.205788 106.636249) (xy 175.205612 106.837867)
+        (xy 175.282605 107.024204) (xy 175.425046 107.166894) (xy 175.611249 107.244212) (xy 175.790277 107.244368) (xy 175.802605 107.274204)
+        (xy 175.945046 107.416894) (xy 176.131249 107.494212) (xy 176.332867 107.494388) (xy 176.519204 107.417395) (xy 176.61252 107.324241)
+        (xy 176.685046 107.396894) (xy 176.871249 107.474212) (xy 177.072867 107.474388) (xy 177.259204 107.397395) (xy 177.401894 107.254954)
+        (xy 177.479212 107.068751) (xy 177.479388 106.867133) (xy 177.479388 110.478844) (xy 177.433106 110.525046) (xy 177.355788 110.711249)
+        (xy 177.355749 110.755693) (xy 177.262133 110.755612) (xy 177.075796 110.832605) (xy 176.933106 110.975046) (xy 176.855788 111.161249)
+        (xy 176.855612 111.362867) (xy 176.932605 111.549204) (xy 177.075046 111.691894) (xy 177.261249 111.769212) (xy 177.462867 111.769388)
+        (xy 177.649204 111.692395) (xy 177.791894 111.549954) (xy 177.869212 111.363751) (xy 177.86925 111.319306) (xy 177.962867 111.319388)
+        (xy 178.149204 111.242395) (xy 178.291894 111.099954) (xy 178.369212 110.913751) (xy 178.369388 110.712133) (xy 178.369388 122.980727)
+        (xy 178.237133 122.980612) (xy 178.050796 123.057605) (xy 177.908106 123.200046) (xy 177.830788 123.386249) (xy 177.830612 123.587867)
+        (xy 177.907605 123.774204) (xy 178.050046 123.916894) (xy 178.236249 123.994212) (xy 178.437867 123.994388) (xy 178.624204 123.917395)
+        (xy 178.766894 123.774954) (xy 178.844212 123.588751) (xy 178.844388 123.387133) (xy 178.844388 136.904953) (xy 178.80316 136.94611)
+        (xy 178.684436 137.232028) (xy 178.684166 137.541614) (xy 178.80239 137.827739) (xy 179.02111 138.04684) (xy 179.307028 138.165564)
+        (xy 179.616614 138.165834) (xy 179.902739 138.04761) (xy 180.12184 137.82889) (xy 180.240564 137.542972) (xy 180.240834 137.233386)
+        (xy 180.240834 139.233386) (xy 180.12261 138.947261) (xy 179.90389 138.72816) (xy 179.617972 138.609436) (xy 179.308386 138.609166)
+        (xy 179.022261 138.72739) (xy 178.80316 138.94611) (xy 178.684436 139.232028) (xy 178.684166 139.541614) (xy 178.80239 139.827739)
+        (xy 179.02111 140.04684) (xy 179.307028 140.165564) (xy 179.616614 140.165834) (xy 179.902739 140.04761) (xy 180.12184 139.82889)
+        (xy 180.240564 139.542972) (xy 180.240834 139.233386) (xy 180.240834 148.437388) (xy 179.531442 148.436769) (xy 178.509736 148.858929)
+        (xy 178.240834 149.127362) (xy 178.240834 139.233386) (xy 178.240834 137.233386) (xy 178.12261 136.947261) (xy 177.90389 136.72816)
+        (xy 177.617972 136.609436) (xy 177.394388 136.609241) (xy 177.394388 130.387133) (xy 177.317395 130.200796) (xy 177.244388 130.127661)
+        (xy 177.244388 123.587133) (xy 177.167395 123.400796) (xy 177.024954 123.258106) (xy 176.838751 123.180788) (xy 176.637133 123.180612)
+        (xy 176.594388 123.198273) (xy 176.594388 111.812133) (xy 176.517395 111.625796) (xy 176.374954 111.483106) (xy 176.188751 111.405788)
+        (xy 175.987133 111.405612) (xy 175.800796 111.482605) (xy 175.671711 111.611464) (xy 175.573751 111.570788) (xy 175.372133 111.570612)
+        (xy 175.294388 111.602735) (xy 175.294388 108.862133) (xy 175.217395 108.675796) (xy 175.074954 108.533106) (xy 174.888751 108.455788)
+        (xy 174.819388 108.455727) (xy 174.819388 105.212133) (xy 174.794388 105.151628) (xy 174.794388 102.337133) (xy 174.717395 102.150796)
+        (xy 174.574954 102.008106) (xy 174.388751 101.930788) (xy 174.187133 101.930612) (xy 174.000796 102.007605) (xy 173.944388 102.063914)
+        (xy 173.944388 101.312133) (xy 173.867395 101.125796) (xy 173.724954 100.983106) (xy 173.538751 100.905788) (xy 173.337133 100.905612)
+        (xy 173.156888 100.980087) (xy 173.156888 88.024633) (xy 173.079895 87.838296) (xy 172.937454 87.695606) (xy 172.751251 87.618288)
+        (xy 172.549633 87.618112) (xy 172.494388 87.640938) (xy 172.494388 81.712133) (xy 172.417395 81.525796) (xy 172.274954 81.383106)
+        (xy 172.088751 81.305788) (xy 171.887133 81.305612) (xy 171.700796 81.382605) (xy 171.558106 81.525046) (xy 171.480788 81.711249)
+        (xy 171.480612 81.912867) (xy 171.557605 82.099204) (xy 171.700046 82.241894) (xy 171.886249 82.319212) (xy 172.087867 82.319388)
+        (xy 172.274204 82.242395) (xy 172.416894 82.099954) (xy 172.494212 81.913751) (xy 172.494388 81.712133) (xy 172.494388 87.640938)
+        (xy 172.363296 87.695105) (xy 172.220606 87.837546) (xy 172.143288 88.023749) (xy 172.143112 88.225367) (xy 172.220105 88.411704)
+        (xy 172.362546 88.554394) (xy 172.548749 88.631712) (xy 172.750367 88.631888) (xy 172.936704 88.554895) (xy 173.079394 88.412454)
+        (xy 173.156712 88.226251) (xy 173.156888 88.024633) (xy 173.156888 100.980087) (xy 173.150796 100.982605) (xy 173.008106 101.125046)
+        (xy 172.930788 101.311249) (xy 172.930612 101.512867) (xy 173.007605 101.699204) (xy 173.150046 101.841894) (xy 173.336249 101.919212)
+        (xy 173.537867 101.919388) (xy 173.724204 101.842395) (xy 173.866894 101.699954) (xy 173.944212 101.513751) (xy 173.944388 101.312133)
+        (xy 173.944388 102.063914) (xy 173.858106 102.150046) (xy 173.780788 102.336249) (xy 173.780612 102.537867) (xy 173.857605 102.724204)
+        (xy 174.000046 102.866894) (xy 174.186249 102.944212) (xy 174.387867 102.944388) (xy 174.574204 102.867395) (xy 174.716894 102.724954)
+        (xy 174.794212 102.538751) (xy 174.794388 102.337133) (xy 174.794388 105.151628) (xy 174.742395 105.025796) (xy 174.599954 104.883106)
+        (xy 174.413751 104.805788) (xy 174.212133 104.805612) (xy 174.025796 104.882605) (xy 173.883106 105.025046) (xy 173.805788 105.211249)
+        (xy 173.805612 105.412867) (xy 173.882605 105.599204) (xy 174.025046 105.741894) (xy 174.211249 105.819212) (xy 174.412867 105.819388)
+        (xy 174.599204 105.742395) (xy 174.741894 105.599954) (xy 174.819212 105.413751) (xy 174.819388 105.212133) (xy 174.819388 108.455727)
+        (xy 174.687133 108.455612) (xy 174.500796 108.532605) (xy 174.358106 108.675046) (xy 174.280788 108.861249) (xy 174.280612 109.062867)
+        (xy 174.357605 109.249204) (xy 174.500046 109.391894) (xy 174.686249 109.469212) (xy 174.887867 109.469388) (xy 175.074204 109.392395)
+        (xy 175.216894 109.249954) (xy 175.294212 109.063751) (xy 175.294388 108.862133) (xy 175.294388 111.602735) (xy 175.185796 111.647605)
+        (xy 175.043106 111.790046) (xy 174.965788 111.976249) (xy 174.965612 112.177867) (xy 175.042605 112.364204) (xy 175.185046 112.506894)
+        (xy 175.371249 112.584212) (xy 175.572867 112.584388) (xy 175.759204 112.507395) (xy 175.888288 112.378535) (xy 175.986249 112.419212)
+        (xy 176.187867 112.419388) (xy 176.374204 112.342395) (xy 176.516894 112.199954) (xy 176.594212 112.013751) (xy 176.594388 111.812133)
+        (xy 176.594388 123.198273) (xy 176.450796 123.257605) (xy 176.308106 123.400046) (xy 176.264216 123.505744) (xy 176.244219 123.505727)
+        (xy 176.244388 123.312133) (xy 176.167395 123.125796) (xy 176.024954 122.983106) (xy 175.838751 122.905788) (xy 175.637133 122.905612)
+        (xy 175.450796 122.982605) (xy 175.308106 123.125046) (xy 175.230788 123.311249) (xy 175.230612 123.512867) (xy 175.307605 123.699204)
+        (xy 175.450046 123.841894) (xy 175.636249 123.919212) (xy 175.70578 123.919272) (xy 175.705612 124.112867) (xy 175.782605 124.299204)
+        (xy 175.925046 124.441894) (xy 176.111249 124.519212) (xy 176.312867 124.519388) (xy 176.499204 124.442395) (xy 176.641894 124.299954)
+        (xy 176.685783 124.194255) (xy 176.837867 124.194388) (xy 177.024204 124.117395) (xy 177.166894 123.974954) (xy 177.244212 123.788751)
+        (xy 177.244388 123.587133) (xy 177.244388 130.127661) (xy 177.174954 130.058106) (xy 176.988751 129.980788) (xy 176.787133 129.980612)
+        (xy 176.600796 130.057605) (xy 176.458106 130.200046) (xy 176.380788 130.386249) (xy 176.380612 130.587867) (xy 176.457605 130.774204)
+        (xy 176.600046 130.916894) (xy 176.786249 130.994212) (xy 176.987867 130.994388) (xy 177.174204 130.917395) (xy 177.316894 130.774954)
+        (xy 177.394212 130.588751) (xy 177.394388 130.387133) (xy 177.394388 136.609241) (xy 177.308386 136.609166) (xy 177.022261 136.72739)
+        (xy 176.80316 136.94611) (xy 176.684436 137.232028) (xy 176.684166 137.541614) (xy 176.80239 137.827739) (xy 177.02111 138.04684)
+        (xy 177.307028 138.165564) (xy 177.616614 138.165834) (xy 177.902739 138.04761) (xy 178.12184 137.82889) (xy 178.240564 137.542972)
+        (xy 178.240834 137.233386) (xy 178.240834 139.233386) (xy 178.12261 138.947261) (xy 177.90389 138.72816) (xy 177.617972 138.609436)
+        (xy 177.308386 138.609166) (xy 177.022261 138.72739) (xy 176.80316 138.94611) (xy 176.684436 139.232028) (xy 176.684166 139.541614)
+        (xy 176.80239 139.827739) (xy 177.02111 140.04684) (xy 177.307028 140.165564) (xy 177.616614 140.165834) (xy 177.902739 140.04761)
+        (xy 178.12184 139.82889) (xy 178.240564 139.542972) (xy 178.240834 139.233386) (xy 178.240834 149.127362) (xy 177.727357 149.639944)
+        (xy 177.303414 150.660912) (xy 177.302449 151.766398) (xy 177.724609 152.788104) (xy 178.505624 153.570483) (xy 179.526592 153.994426)
+        (xy 180.632078 153.995391) (xy 181.653784 153.573231) (xy 182.436163 152.792216) (xy 182.860106 151.771248) (xy 182.861071 150.665762)
+        (xy 182.861071 156.987121) (xy 176.289388 156.894995) (xy 176.289388 130.997133) (xy 176.212395 130.810796) (xy 176.069954 130.668106)
+        (xy 175.883751 130.590788) (xy 175.682133 130.590612) (xy 175.495796 130.667605) (xy 175.353106 130.810046) (xy 175.275788 130.996249)
+        (xy 175.275612 131.197867) (xy 175.352605 131.384204) (xy 175.495046 131.526894) (xy 175.681249 131.604212) (xy 175.882867 131.604388)
+        (xy 176.069204 131.527395) (xy 176.211894 131.384954) (xy 176.289212 131.198751) (xy 176.289388 130.997133) (xy 176.289388 156.894995)
+        (xy 176.240834 156.894314) (xy 176.240834 139.233386) (xy 176.240834 137.233386) (xy 176.12261 136.947261) (xy 175.90389 136.72816)
+        (xy 175.869388 136.713833) (xy 175.869388 133.412133) (xy 175.792395 133.225796) (xy 175.649954 133.083106) (xy 175.463751 133.005788)
+        (xy 175.262133 133.005612) (xy 175.075796 133.082605) (xy 174.933106 133.225046) (xy 174.855788 133.411249) (xy 174.855612 133.612867)
+        (xy 174.932605 133.799204) (xy 175.075046 133.941894) (xy 175.261249 134.019212) (xy 175.462867 134.019388) (xy 175.649204 133.942395)
+        (xy 175.791894 133.799954) (xy 175.869212 133.613751) (xy 175.869388 133.412133) (xy 175.869388 136.713833) (xy 175.617972 136.609436)
+        (xy 175.308386 136.609166) (xy 175.022261 136.72739) (xy 174.80316 136.94611) (xy 174.684436 137.232028) (xy 174.684166 137.541614)
+        (xy 174.80239 137.827739) (xy 175.02111 138.04684) (xy 175.307028 138.165564) (xy 175.616614 138.165834) (xy 175.902739 138.04761)
+        (xy 176.12184 137.82889) (xy 176.240564 137.542972) (xy 176.240834 137.233386) (xy 176.240834 139.233386) (xy 176.12261 138.947261)
+        (xy 175.90389 138.72816) (xy 175.617972 138.609436) (xy 175.308386 138.609166) (xy 175.022261 138.72739) (xy 174.80316 138.94611)
+        (xy 174.684436 139.232028) (xy 174.684166 139.541614) (xy 174.80239 139.827739) (xy 175.02111 140.04684) (xy 175.307028 140.165564)
+        (xy 175.616614 140.165834) (xy 175.902739 140.04761) (xy 176.12184 139.82889) (xy 176.240564 139.542972) (xy 176.240834 139.233386)
+        (xy 176.240834 156.894314) (xy 174.469388 156.869481) (xy 174.469388 131.087133) (xy 174.392395 130.900796) (xy 174.249954 130.758106)
+        (xy 174.063751 130.680788) (xy 174.019388 130.680749) (xy 174.019388 123.287133) (xy 173.942395 123.100796) (xy 173.799954 122.958106)
+        (xy 173.794388 122.955794) (xy 173.794388 108.312133) (xy 173.717395 108.125796) (xy 173.574954 107.983106) (xy 173.388751 107.905788)
+        (xy 173.187133 107.905612) (xy 173.000796 107.982605) (xy 172.975101 108.008254) (xy 172.974954 108.008106) (xy 172.788751 107.930788)
+        (xy 172.587133 107.930612) (xy 172.469388 107.979263) (xy 172.469388 106.612133) (xy 172.392395 106.425796) (xy 172.344388 106.377705)
+        (xy 172.344388 102.112133) (xy 172.267395 101.925796) (xy 172.124954 101.783106) (xy 171.938751 101.705788) (xy 171.886052 101.705741)
+        (xy 171.916894 101.674954) (xy 171.994212 101.488751) (xy 171.994388 101.287133) (xy 171.917395 101.100796) (xy 171.774954 100.958106)
+        (xy 171.588751 100.880788) (xy 171.387133 100.880612) (xy 171.281888 100.924098) (xy 171.281888 81.774633) (xy 171.204895 81.588296)
+        (xy 171.062454 81.445606) (xy 170.876251 81.368288) (xy 170.674633 81.368112) (xy 170.488296 81.445105) (xy 170.345606 81.587546)
+        (xy 170.268288 81.773749) (xy 170.268112 81.975367) (xy 170.345105 82.161704) (xy 170.487546 82.304394) (xy 170.673749 82.381712)
+        (xy 170.875367 82.381888) (xy 171.061704 82.304895) (xy 171.204394 82.162454) (xy 171.281712 81.976251) (xy 171.281888 81.774633)
+        (xy 171.281888 100.924098) (xy 171.247218 100.938423) (xy 171.247218 88.151922) (xy 171.143214 87.900212) (xy 170.950801 87.707463)
+        (xy 170.919388 87.694419) (xy 170.919388 84.212133) (xy 170.842395 84.025796) (xy 170.699954 83.883106) (xy 170.529406 83.812288)
+        (xy 170.616894 83.724954) (xy 170.694212 83.538751) (xy 170.694388 83.337133) (xy 170.617395 83.150796) (xy 170.474954 83.008106)
+        (xy 170.288751 82.930788) (xy 170.087133 82.930612) (xy 169.944388 82.989593) (xy 169.944388 80.237133) (xy 169.867395 80.050796)
+        (xy 169.724954 79.908106) (xy 169.538751 79.830788) (xy 169.337133 79.830612) (xy 169.150796 79.907605) (xy 169.008106 80.050046)
+        (xy 168.930788 80.236249) (xy 168.930612 80.437867) (xy 169.007605 80.624204) (xy 169.150046 80.766894) (xy 169.336249 80.844212)
+        (xy 169.537867 80.844388) (xy 169.724204 80.767395) (xy 169.866894 80.624954) (xy 169.944212 80.438751) (xy 169.944388 80.237133)
+        (xy 169.944388 82.989593) (xy 169.900796 83.007605) (xy 169.758106 83.150046) (xy 169.680788 83.336249) (xy 169.680612 83.537867)
+        (xy 169.757605 83.724204) (xy 169.900046 83.866894) (xy 170.070593 83.937711) (xy 169.983106 84.025046) (xy 169.905788 84.211249)
+        (xy 169.905612 84.412867) (xy 169.982605 84.599204) (xy 170.125046 84.741894) (xy 170.311249 84.819212) (xy 170.512867 84.819388)
+        (xy 170.699204 84.742395) (xy 170.841894 84.599954) (xy 170.919212 84.413751) (xy 170.919388 84.212133) (xy 170.919388 87.694419)
+        (xy 170.699273 87.603019) (xy 170.426922 87.602782) (xy 170.175212 87.706786) (xy 169.982463 87.899199) (xy 169.878019 88.150727)
+        (xy 169.877782 88.423078) (xy 169.981786 88.674788) (xy 170.174199 88.867537) (xy 170.425727 88.971981) (xy 170.698078 88.972218)
+        (xy 170.949788 88.868214) (xy 171.142537 88.675801) (xy 171.246981 88.424273) (xy 171.247218 88.151922) (xy 171.247218 100.938423)
+        (xy 171.200796 100.957605) (xy 171.058106 101.100046) (xy 170.980788 101.286249) (xy 170.980612 101.487867) (xy 171.057605 101.674204)
+        (xy 171.200046 101.816894) (xy 171.386249 101.894212) (xy 171.438947 101.894258) (xy 171.408106 101.925046) (xy 171.330788 102.111249)
+        (xy 171.330612 102.312867) (xy 171.407605 102.499204) (xy 171.550046 102.641894) (xy 171.736249 102.719212) (xy 171.937867 102.719388)
+        (xy 172.124204 102.642395) (xy 172.266894 102.499954) (xy 172.344212 102.313751) (xy 172.344388 102.112133) (xy 172.344388 106.377705)
+        (xy 172.249954 106.283106) (xy 172.144277 106.239225) (xy 172.144388 106.112133) (xy 172.067395 105.925796) (xy 171.924954 105.783106)
+        (xy 171.738751 105.705788) (xy 171.537133 105.705612) (xy 171.350796 105.782605) (xy 171.208106 105.925046) (xy 171.130788 106.111249)
+        (xy 171.130612 106.312867) (xy 171.207605 106.499204) (xy 171.350046 106.641894) (xy 171.455722 106.685774) (xy 171.455612 106.812867)
+        (xy 171.532605 106.999204) (xy 171.675046 107.141894) (xy 171.861249 107.219212) (xy 172.062867 107.219388) (xy 172.249204 107.142395)
+        (xy 172.391894 106.999954) (xy 172.469212 106.813751) (xy 172.469388 106.612133) (xy 172.469388 107.979263) (xy 172.400796 108.007605)
+        (xy 172.258106 108.150046) (xy 172.180788 108.336249) (xy 172.180612 108.537867) (xy 172.257605 108.724204) (xy 172.363959 108.830744)
+        (xy 172.212133 108.830612) (xy 172.025796 108.907605) (xy 171.883106 109.050046) (xy 171.805788 109.236249) (xy 171.805612 109.437867)
+        (xy 171.882605 109.624204) (xy 172.025046 109.766894) (xy 172.211249 109.844212) (xy 172.412867 109.844388) (xy 172.599204 109.767395)
+        (xy 172.741894 109.624954) (xy 172.819212 109.438751) (xy 172.819388 109.237133) (xy 172.742395 109.050796) (xy 172.63604 108.944255)
+        (xy 172.787867 108.944388) (xy 172.974204 108.867395) (xy 172.999898 108.841745) (xy 173.000046 108.841894) (xy 173.186249 108.919212)
+        (xy 173.387867 108.919388) (xy 173.574204 108.842395) (xy 173.716894 108.699954) (xy 173.794212 108.513751) (xy 173.794388 108.312133)
+        (xy 173.794388 122.955794) (xy 173.613751 122.880788) (xy 173.412133 122.880612) (xy 173.225796 122.957605) (xy 173.083106 123.100046)
+        (xy 173.005788 123.286249) (xy 173.005612 123.487867) (xy 173.082605 123.674204) (xy 173.225046 123.816894) (xy 173.411249 123.894212)
+        (xy 173.612867 123.894388) (xy 173.799204 123.817395) (xy 173.941894 123.674954) (xy 174.019212 123.488751) (xy 174.019388 123.287133)
+        (xy 174.019388 130.680749) (xy 173.862133 130.680612) (xy 173.675796 130.757605) (xy 173.533106 130.900046) (xy 173.455788 131.086249)
+        (xy 173.455612 131.287867) (xy 173.532605 131.474204) (xy 173.675046 131.616894) (xy 173.861249 131.694212) (xy 174.062867 131.694388)
+        (xy 174.249204 131.617395) (xy 174.391894 131.474954) (xy 174.469212 131.288751) (xy 174.469388 131.087133) (xy 174.469388 156.869481)
+        (xy 174.244507 156.866328) (xy 174.244507 150.819969) (xy 174.240834 150.786638) (xy 174.240834 139.233386) (xy 174.240834 137.233386)
+        (xy 174.12261 136.947261) (xy 173.90389 136.72816) (xy 173.669388 136.630785) (xy 173.669388 133.987133) (xy 173.592395 133.800796)
+        (xy 173.449954 133.658106) (xy 173.263751 133.580788) (xy 173.062133 133.580612) (xy 172.875796 133.657605) (xy 172.744388 133.788783)
+        (xy 172.744388 132.287133) (xy 172.667395 132.100796) (xy 172.524954 131.958106) (xy 172.338751 131.880788) (xy 172.137133 131.880612)
+        (xy 171.950796 131.957605) (xy 171.894388 132.013914) (xy 171.894388 123.637133) (xy 171.817395 123.450796) (xy 171.674954 123.308106)
+        (xy 171.488751 123.230788) (xy 171.444388 123.230749) (xy 171.444388 109.287133) (xy 171.367395 109.100796) (xy 171.224954 108.958106)
+        (xy 171.044388 108.883128) (xy 171.044388 102.112133) (xy 170.967395 101.925796) (xy 170.824954 101.783106) (xy 170.638751 101.705788)
+        (xy 170.437133 101.705612) (xy 170.250796 101.782605) (xy 170.244388 101.789001) (xy 170.244388 94.862133) (xy 170.167395 94.675796)
+        (xy 170.024954 94.533106) (xy 169.838751 94.455788) (xy 169.744388 94.455705) (xy 169.744388 84.312133) (xy 169.667395 84.125796)
+        (xy 169.524954 83.983106) (xy 169.394388 83.92889) (xy 169.394388 83.087133) (xy 169.317395 82.900796) (xy 169.174954 82.758106)
+        (xy 168.988751 82.680788) (xy 168.787133 82.680612) (xy 168.600796 82.757605) (xy 168.458106 82.900046) (xy 168.380788 83.086249)
+        (xy 168.380612 83.287867) (xy 168.457605 83.474204) (xy 168.600046 83.616894) (xy 168.786249 83.694212) (xy 168.987867 83.694388)
+        (xy 169.174204 83.617395) (xy 169.316894 83.474954) (xy 169.394212 83.288751) (xy 169.394388 83.087133) (xy 169.394388 83.92889)
+        (xy 169.338751 83.905788) (xy 169.137133 83.905612) (xy 168.950796 83.982605) (xy 168.808106 84.125046) (xy 168.744388 84.278496)
+        (xy 168.744388 83.937133) (xy 168.667395 83.750796) (xy 168.524954 83.608106) (xy 168.338751 83.530788) (xy 168.137133 83.530612)
+        (xy 167.950796 83.607605) (xy 167.808106 83.750046) (xy 167.744388 83.903496) (xy 167.744388 83.262133) (xy 167.667395 83.075796)
+        (xy 167.524954 82.933106) (xy 167.338751 82.855788) (xy 167.137133 82.855612) (xy 166.950796 82.932605) (xy 166.808106 83.075046)
+        (xy 166.730788 83.261249) (xy 166.730612 83.462867) (xy 166.807605 83.649204) (xy 166.950046 83.791894) (xy 167.136249 83.869212)
+        (xy 167.337867 83.869388) (xy 167.524204 83.792395) (xy 167.666894 83.649954) (xy 167.744212 83.463751) (xy 167.744388 83.262133)
+        (xy 167.744388 83.903496) (xy 167.730788 83.936249) (xy 167.730612 84.137867) (xy 167.807605 84.324204) (xy 167.950046 84.466894)
+        (xy 168.136249 84.544212) (xy 168.337867 84.544388) (xy 168.524204 84.467395) (xy 168.666894 84.324954) (xy 168.744212 84.138751)
+        (xy 168.744388 83.937133) (xy 168.744388 84.278496) (xy 168.730788 84.311249) (xy 168.730612 84.512867) (xy 168.807605 84.699204)
+        (xy 168.950046 84.841894) (xy 169.136249 84.919212) (xy 169.337867 84.919388) (xy 169.524204 84.842395) (xy 169.666894 84.699954)
+        (xy 169.744212 84.513751) (xy 169.744388 84.312133) (xy 169.744388 94.455705) (xy 169.637133 94.455612) (xy 169.450796 94.532605)
+        (xy 169.308106 94.675046) (xy 169.230788 94.861249) (xy 169.230612 95.062867) (xy 169.307605 95.249204) (xy 169.450046 95.391894)
+        (xy 169.636249 95.469212) (xy 169.837867 95.469388) (xy 170.024204 95.392395) (xy 170.166894 95.249954) (xy 170.244212 95.063751)
+        (xy 170.244388 94.862133) (xy 170.244388 101.789001) (xy 170.108106 101.925046) (xy 170.030788 102.111249) (xy 170.030612 102.312867)
+        (xy 170.107605 102.499204) (xy 170.250046 102.641894) (xy 170.436249 102.719212) (xy 170.637867 102.719388) (xy 170.824204 102.642395)
+        (xy 170.966894 102.499954) (xy 171.044212 102.313751) (xy 171.044388 102.112133) (xy 171.044388 108.883128) (xy 171.038751 108.880788)
+        (xy 170.837133 108.880612) (xy 170.650796 108.957605) (xy 170.508106 109.100046) (xy 170.430788 109.286249) (xy 170.430612 109.487867)
+        (xy 170.43575 109.500303) (xy 170.388751 109.480788) (xy 170.187133 109.480612) (xy 170.000796 109.557605) (xy 169.869388 109.688783)
+        (xy 169.869388 104.687133) (xy 169.792395 104.500796) (xy 169.649954 104.358106) (xy 169.463751 104.280788) (xy 169.262133 104.280612)
+        (xy 169.075796 104.357605) (xy 168.962427 104.470775) (xy 168.849954 104.358106) (xy 168.663751 104.280788) (xy 168.511052 104.280654)
+        (xy 168.591894 104.199954) (xy 168.669212 104.013751) (xy 168.669388 103.812133) (xy 168.592395 103.625796) (xy 168.449954 103.483106)
+        (xy 168.263751 103.405788) (xy 168.062133 103.405612) (xy 167.875796 103.482605) (xy 167.733106 103.625046) (xy 167.655788 103.811249)
+        (xy 167.655612 104.012867) (xy 167.732605 104.199204) (xy 167.814003 104.280744) (xy 167.662133 104.280612) (xy 167.475796 104.357605)
+        (xy 167.333106 104.500046) (xy 167.294388 104.593289) (xy 167.294388 102.637133) (xy 167.217395 102.450796) (xy 167.074954 102.308106)
+        (xy 166.888751 102.230788) (xy 166.687133 102.230612) (xy 166.500796 102.307605) (xy 166.358106 102.450046) (xy 166.280788 102.636249)
+        (xy 166.280612 102.837867) (xy 166.357605 103.024204) (xy 166.500046 103.166894) (xy 166.686249 103.244212) (xy 166.887867 103.244388)
+        (xy 167.074204 103.167395) (xy 167.216894 103.024954) (xy 167.294212 102.838751) (xy 167.294388 102.637133) (xy 167.294388 104.593289)
+        (xy 167.255788 104.686249) (xy 167.255612 104.887867) (xy 167.332605 105.074204) (xy 167.475046 105.216894) (xy 167.661249 105.294212)
+        (xy 167.862867 105.294388) (xy 168.049204 105.217395) (xy 168.162572 105.104224) (xy 168.275046 105.216894) (xy 168.461249 105.294212)
+        (xy 168.662867 105.294388) (xy 168.849204 105.217395) (xy 168.962572 105.104224) (xy 169.075046 105.216894) (xy 169.261249 105.294212)
+        (xy 169.462867 105.294388) (xy 169.649204 105.217395) (xy 169.791894 105.074954) (xy 169.869212 104.888751) (xy 169.869388 104.687133)
+        (xy 169.869388 109.688783) (xy 169.858106 109.700046) (xy 169.780788 109.886249) (xy 169.780612 110.087867) (xy 169.857605 110.274204)
+        (xy 170.000046 110.416894) (xy 170.186249 110.494212) (xy 170.387867 110.494388) (xy 170.574204 110.417395) (xy 170.716894 110.274954)
+        (xy 170.794212 110.088751) (xy 170.794388 109.887133) (xy 170.789249 109.874696) (xy 170.836249 109.894212) (xy 171.037867 109.894388)
+        (xy 171.224204 109.817395) (xy 171.366894 109.674954) (xy 171.444212 109.488751) (xy 171.444388 109.287133) (xy 171.444388 123.230749)
+        (xy 171.287133 123.230612) (xy 171.100796 123.307605) (xy 171.012514 123.395732) (xy 170.974954 123.358106) (xy 170.788751 123.280788)
+        (xy 170.587133 123.280612) (xy 170.400796 123.357605) (xy 170.319299 123.438959) (xy 170.319388 123.337133) (xy 170.242395 123.150796)
+        (xy 170.099954 123.008106) (xy 169.913751 122.930788) (xy 169.712133 122.930612) (xy 169.525796 123.007605) (xy 169.383106 123.150046)
+        (xy 169.305788 123.336249) (xy 169.305612 123.537867) (xy 169.382605 123.724204) (xy 169.525046 123.866894) (xy 169.711249 123.944212)
+        (xy 169.912867 123.944388) (xy 170.099204 123.867395) (xy 170.1807 123.78604) (xy 170.180612 123.887867) (xy 170.257605 124.074204)
+        (xy 170.400046 124.216894) (xy 170.586249 124.294212) (xy 170.787867 124.294388) (xy 170.974204 124.217395) (xy 171.062485 124.129267)
+        (xy 171.100046 124.166894) (xy 171.286249 124.244212) (xy 171.487867 124.244388) (xy 171.674204 124.167395) (xy 171.816894 124.024954)
+        (xy 171.894212 123.838751) (xy 171.894388 123.637133) (xy 171.894388 132.013914) (xy 171.808106 132.100046) (xy 171.730788 132.286249)
+        (xy 171.730612 132.487867) (xy 171.807605 132.674204) (xy 171.950046 132.816894) (xy 172.136249 132.894212) (xy 172.337867 132.894388)
+        (xy 172.524204 132.817395) (xy 172.666894 132.674954) (xy 172.744212 132.488751) (xy 172.744388 132.287133) (xy 172.744388 133.788783)
+        (xy 172.733106 133.800046) (xy 172.655788 133.986249) (xy 172.655612 134.187867) (xy 172.732605 134.374204) (xy 172.875046 134.516894)
+        (xy 173.061249 134.594212) (xy 173.262867 134.594388) (xy 173.449204 134.517395) (xy 173.591894 134.374954) (xy 173.669212 134.188751)
+        (xy 173.669388 133.987133) (xy 173.669388 136.630785) (xy 173.617972 136.609436) (xy 173.308386 136.609166) (xy 173.022261 136.72739)
+        (xy 172.80316 136.94611) (xy 172.684436 137.232028) (xy 172.684166 137.541614) (xy 172.80239 137.827739) (xy 173.02111 138.04684)
+        (xy 173.307028 138.165564) (xy 173.616614 138.165834) (xy 173.902739 138.04761) (xy 174.12184 137.82889) (xy 174.240564 137.542972)
+        (xy 174.240834 137.233386) (xy 174.240834 139.233386) (xy 174.12261 138.947261) (xy 173.90389 138.72816) (xy 173.617972 138.609436)
+        (xy 173.308386 138.609166) (xy 173.022261 138.72739) (xy 172.80316 138.94611) (xy 172.684436 139.232028) (xy 172.684166 139.541614)
+        (xy 172.80239 139.827739) (xy 173.02111 140.04684) (xy 173.307028 140.165564) (xy 173.616614 140.165834) (xy 173.902739 140.04761)
+        (xy 174.12184 139.82889) (xy 174.240564 139.542972) (xy 174.240834 139.233386) (xy 174.240834 150.786638) (xy 174.192981 150.352396)
+        (xy 174.113178 150.159732) (xy 173.908656 150.103608) (xy 173.655512 150.356752) (xy 173.296564 150.7157) (xy 173.908656 151.327792)
+        (xy 174.113178 151.271668) (xy 174.244507 150.819969) (xy 174.244507 156.866328) (xy 173.655512 156.858071) (xy 173.655512 151.580936)
+        (xy 173.096453 151.021877) (xy 173.04342 150.968844) (xy 172.790276 150.7157) (xy 173.04342 150.462556) (xy 173.096453 150.409523)
+        (xy 173.655512 149.850464) (xy 173.599388 149.645942) (xy 173.147689 149.514613) (xy 172.704348 149.563468) (xy 172.775366 149.392439)
+        (xy 172.775671 149.043293) (xy 172.64234 148.720607) (xy 172.395672 148.473508) (xy 172.240834 148.409213) (xy 172.240834 139.233386)
+        (xy 172.240834 137.233386) (xy 172.12261 136.947261) (xy 171.90389 136.72816) (xy 171.617972 136.609436) (xy 171.308386 136.609166)
+        (xy 171.022261 136.72739) (xy 170.80316 136.94611) (xy 170.794388 136.967235) (xy 170.794388 127.887133) (xy 170.717395 127.700796)
+        (xy 170.574954 127.558106) (xy 170.388751 127.480788) (xy 170.269388 127.480683) (xy 170.269388 125.837133) (xy 170.192395 125.650796)
+        (xy 170.049954 125.508106) (xy 169.863751 125.430788) (xy 169.662133 125.430612) (xy 169.475796 125.507605) (xy 169.333106 125.650046)
+        (xy 169.255788 125.836249) (xy 169.255612 126.037867) (xy 169.332605 126.224204) (xy 169.475046 126.366894) (xy 169.661249 126.444212)
+        (xy 169.862867 126.444388) (xy 170.049204 126.367395) (xy 170.191894 126.224954) (xy 170.269212 126.038751) (xy 170.269388 125.837133)
+        (xy 170.269388 127.480683) (xy 170.187133 127.480612) (xy 170.000796 127.557605) (xy 169.858106 127.700046) (xy 169.780788 127.886249)
+        (xy 169.780612 128.087867) (xy 169.857605 128.274204) (xy 170.000046 128.416894) (xy 170.186249 128.494212) (xy 170.387867 128.494388)
+        (xy 170.574204 128.417395) (xy 170.716894 128.274954) (xy 170.794212 128.088751) (xy 170.794388 127.887133) (xy 170.794388 136.967235)
+        (xy 170.684436 137.232028) (xy 170.684166 137.541614) (xy 170.80239 137.827739) (xy 171.02111 138.04684) (xy 171.307028 138.165564)
+        (xy 171.616614 138.165834) (xy 171.902739 138.04761) (xy 172.12184 137.82889) (xy 172.240564 137.542972) (xy 172.240834 137.233386)
+        (xy 172.240834 139.233386) (xy 172.12261 138.947261) (xy 171.90389 138.72816) (xy 171.617972 138.609436) (xy 171.308386 138.609166)
+        (xy 171.022261 138.72739) (xy 170.80316 138.94611) (xy 170.684436 139.232028) (xy 170.684166 139.541614) (xy 170.80239 139.827739)
+        (xy 171.02111 140.04684) (xy 171.307028 140.165564) (xy 171.616614 140.165834) (xy 171.902739 140.04761) (xy 172.12184 139.82889)
+        (xy 172.240564 139.542972) (xy 172.240834 139.233386) (xy 172.240834 148.409213) (xy 172.073219 148.339614) (xy 171.752748 148.339334)
+        (xy 171.752748 143.169405) (xy 171.752748 141.645405) (xy 171.710484 141.543118) (xy 171.632293 141.464791) (xy 171.53008 141.422348)
+        (xy 171.419405 141.422252) (xy 170.619388 141.422252) (xy 170.619388 131.557133) (xy 170.542395 131.370796) (xy 170.399954 131.228106)
+        (xy 170.213751 131.150788) (xy 170.012133 131.150612) (xy 169.825796 131.227605) (xy 169.683106 131.370046) (xy 169.605788 131.556249)
+        (xy 169.605612 131.757867) (xy 169.682605 131.944204) (xy 169.825046 132.086894) (xy 170.011249 132.164212) (xy 170.212867 132.164388)
+        (xy 170.399204 132.087395) (xy 170.541894 131.944954) (xy 170.619212 131.758751) (xy 170.619388 131.557133) (xy 170.619388 141.422252)
+        (xy 170.240834 141.422252) (xy 170.240834 139.233386) (xy 170.240834 137.233386) (xy 170.12261 136.947261) (xy 169.90389 136.72816)
+        (xy 169.617972 136.609436) (xy 169.308386 136.609166) (xy 169.069388 136.707917) (xy 169.069388 132.287133) (xy 169.069388 127.487133)
+        (xy 168.992395 127.300796) (xy 168.879224 127.187427) (xy 168.991894 127.074954) (xy 169.069212 126.888751) (xy 169.069388 126.687133)
+        (xy 168.992395 126.500796) (xy 168.849954 126.358106) (xy 168.844388 126.355794) (xy 168.844388 123.337133) (xy 168.767395 123.150796)
+        (xy 168.669388 123.052617) (xy 168.669388 111.187133) (xy 168.592395 111.000796) (xy 168.449954 110.858106) (xy 168.269388 110.783128)
+        (xy 168.269388 108.537133) (xy 168.192395 108.350796) (xy 168.049954 108.208106) (xy 167.863751 108.130788) (xy 167.662133 108.130612)
+        (xy 167.475796 108.207605) (xy 167.333106 108.350046) (xy 167.255788 108.536249) (xy 167.255612 108.737867) (xy 167.332605 108.924204)
+        (xy 167.475046 109.066894) (xy 167.661249 109.144212) (xy 167.862867 109.144388) (xy 168.049204 109.067395) (xy 168.191894 108.924954)
+        (xy 168.269212 108.738751) (xy 168.269388 108.537133) (xy 168.269388 110.783128) (xy 168.263751 110.780788) (xy 168.062133 110.780612)
+        (xy 167.875796 110.857605) (xy 167.762427 110.970775) (xy 167.649954 110.858106) (xy 167.463751 110.780788) (xy 167.262133 110.780612)
+        (xy 167.075796 110.857605) (xy 166.962427 110.970775) (xy 166.849954 110.858106) (xy 166.663751 110.780788) (xy 166.48603 110.780632)
+        (xy 166.591894 110.674954) (xy 166.669212 110.488751) (xy 166.669388 110.287133) (xy 166.592395 110.100796) (xy 166.479224 109.987427)
+        (xy 166.562572 109.904224) (xy 166.675046 110.016894) (xy 166.861249 110.094212) (xy 167.062867 110.094388) (xy 167.249204 110.017395)
+        (xy 167.391894 109.874954) (xy 167.469212 109.688751) (xy 167.469388 109.487133) (xy 167.392395 109.300796) (xy 167.249954 109.158106)
+        (xy 167.063751 109.080788) (xy 166.862133 109.080612) (xy 166.675796 109.157605) (xy 166.669388 109.164001) (xy 166.669388 104.687133)
+        (xy 166.592395 104.500796) (xy 166.449954 104.358106) (xy 166.263751 104.280788) (xy 166.111052 104.280654) (xy 166.191894 104.199954)
+        (xy 166.269212 104.013751) (xy 166.269388 103.812133) (xy 166.192395 103.625796) (xy 166.049954 103.483106) (xy 166.044388 103.480794)
+        (xy 166.044388 94.137133) (xy 165.967395 93.950796) (xy 165.824954 93.808106) (xy 165.638751 93.730788) (xy 165.437133 93.730612)
+        (xy 165.250796 93.807605) (xy 165.108106 93.950046) (xy 165.030788 94.136249) (xy 165.030612 94.337867) (xy 165.107605 94.524204)
+        (xy 165.250046 94.666894) (xy 165.436249 94.744212) (xy 165.637867 94.744388) (xy 165.824204 94.667395) (xy 165.966894 94.524954)
+        (xy 166.044212 94.338751) (xy 166.044388 94.137133) (xy 166.044388 103.480794) (xy 165.869282 103.408084) (xy 165.869388 103.287133)
+        (xy 165.792395 103.100796) (xy 165.649954 102.958106) (xy 165.463751 102.880788) (xy 165.262133 102.880612) (xy 165.075796 102.957605)
+        (xy 164.933106 103.100046) (xy 164.931888 103.102979) (xy 164.931888 90.324633) (xy 164.919388 90.29438) (xy 164.919388 86.662133)
+        (xy 164.842395 86.475796) (xy 164.699954 86.333106) (xy 164.513751 86.255788) (xy 164.312133 86.255612) (xy 164.125796 86.332605)
+        (xy 163.983106 86.475046) (xy 163.905788 86.661249) (xy 163.905612 86.862867) (xy 163.925294 86.910503) (xy 163.750796 86.982605)
+        (xy 163.608106 87.125046) (xy 163.530788 87.311249) (xy 163.530612 87.512867) (xy 163.607605 87.699204) (xy 163.750046 87.841894)
+        (xy 163.936249 87.919212) (xy 164.137867 87.919388) (xy 164.324204 87.842395) (xy 164.466894 87.699954) (xy 164.544212 87.513751)
+        (xy 164.544388 87.312133) (xy 164.524705 87.264496) (xy 164.699204 87.192395) (xy 164.841894 87.049954) (xy 164.919212 86.863751)
+        (xy 164.919388 86.662133) (xy 164.919388 90.29438) (xy 164.854895 90.138296) (xy 164.712454 89.995606) (xy 164.526251 89.918288)
+        (xy 164.324633 89.918112) (xy 164.138296 89.995105) (xy 163.995606 90.137546) (xy 163.918288 90.323749) (xy 163.918112 90.525367)
+        (xy 163.995105 90.711704) (xy 164.137546 90.854394) (xy 164.323749 90.931712) (xy 164.525367 90.931888) (xy 164.711704 90.854895)
+        (xy 164.854394 90.712454) (xy 164.931712 90.526251) (xy 164.931888 90.324633) (xy 164.931888 103.102979) (xy 164.855788 103.286249)
+        (xy 164.855612 103.487867) (xy 164.932605 103.674204) (xy 165.075046 103.816894) (xy 165.255717 103.891915) (xy 165.255612 104.012867)
+        (xy 165.332605 104.199204) (xy 165.414003 104.280744) (xy 165.262133 104.280612) (xy 165.075796 104.357605) (xy 164.933106 104.500046)
+        (xy 164.855788 104.686249) (xy 164.855612 104.887867) (xy 164.932605 105.074204) (xy 165.075046 105.216894) (xy 165.261249 105.294212)
+        (xy 165.462867 105.294388) (xy 165.649204 105.217395) (xy 165.762572 105.104224) (xy 165.875046 105.216894) (xy 166.061249 105.294212)
+        (xy 166.262867 105.294388) (xy 166.449204 105.217395) (xy 166.591894 105.074954) (xy 166.669212 104.888751) (xy 166.669388 104.687133)
+        (xy 166.669388 109.164001) (xy 166.562427 109.270775) (xy 166.449954 109.158106) (xy 166.263751 109.080788) (xy 166.062133 109.080612)
+        (xy 165.875796 109.157605) (xy 165.733106 109.300046) (xy 165.655788 109.486249) (xy 165.655612 109.687867) (xy 165.732605 109.874204)
+        (xy 165.845775 109.987572) (xy 165.733106 110.100046) (xy 165.655788 110.286249) (xy 165.655612 110.487867) (xy 165.732605 110.674204)
+        (xy 165.875046 110.816894) (xy 166.061249 110.894212) (xy 166.238969 110.894367) (xy 166.133106 111.000046) (xy 166.055788 111.186249)
+        (xy 166.055612 111.387867) (xy 166.132605 111.574204) (xy 166.275046 111.716894) (xy 166.461249 111.794212) (xy 166.662867 111.794388)
+        (xy 166.849204 111.717395) (xy 166.962572 111.604224) (xy 167.075046 111.716894) (xy 167.261249 111.794212) (xy 167.462867 111.794388)
+        (xy 167.649204 111.717395) (xy 167.762572 111.604224) (xy 167.875046 111.716894) (xy 168.061249 111.794212) (xy 168.262867 111.794388)
+        (xy 168.449204 111.717395) (xy 168.591894 111.574954) (xy 168.669212 111.388751) (xy 168.669388 111.187133) (xy 168.669388 123.052617)
+        (xy 168.624954 123.008106) (xy 168.438751 122.930788) (xy 168.237133 122.930612) (xy 168.050796 123.007605) (xy 167.908106 123.150046)
+        (xy 167.830788 123.336249) (xy 167.830612 123.537867) (xy 167.907605 123.724204) (xy 168.050046 123.866894) (xy 168.236249 123.944212)
+        (xy 168.437867 123.944388) (xy 168.624204 123.867395) (xy 168.766894 123.724954) (xy 168.844212 123.538751) (xy 168.844388 123.337133)
+        (xy 168.844388 126.355794) (xy 168.663751 126.280788) (xy 168.511052 126.280654) (xy 168.591894 126.199954) (xy 168.669212 126.013751)
+        (xy 168.669388 125.812133) (xy 168.592395 125.625796) (xy 168.449954 125.483106) (xy 168.263751 125.405788) (xy 168.062133 125.405612)
+        (xy 167.875796 125.482605) (xy 167.733106 125.625046) (xy 167.655788 125.811249) (xy 167.655612 126.012867) (xy 167.732605 126.199204)
+        (xy 167.814003 126.280744) (xy 167.662133 126.280612) (xy 167.475796 126.357605) (xy 167.469388 126.364001) (xy 167.469388 125.162133)
+        (xy 167.392395 124.975796) (xy 167.249954 124.833106) (xy 167.063751 124.755788) (xy 167.029388 124.755758) (xy 167.029388 123.367133)
+        (xy 166.952395 123.180796) (xy 166.809954 123.038106) (xy 166.623751 122.960788) (xy 166.422133 122.960612) (xy 166.235796 123.037605)
+        (xy 166.093106 123.180046) (xy 166.015788 123.366249) (xy 166.015612 123.567867) (xy 166.092605 123.754204) (xy 166.235046 123.896894)
+        (xy 166.421249 123.974212) (xy 166.622867 123.974388) (xy 166.809204 123.897395) (xy 166.951894 123.754954) (xy 167.029212 123.568751)
+        (xy 167.029388 123.367133) (xy 167.029388 124.755758) (xy 166.862133 124.755612) (xy 166.675796 124.832605) (xy 166.533106 124.975046)
+        (xy 166.455788 125.161249) (xy 166.455612 125.362867) (xy 166.532605 125.549204) (xy 166.675046 125.691894) (xy 166.861249 125.769212)
+        (xy 167.062867 125.769388) (xy 167.249204 125.692395) (xy 167.391894 125.549954) (xy 167.469212 125.363751) (xy 167.469388 125.162133)
+        (xy 167.469388 126.364001) (xy 167.333106 126.500046) (xy 167.255788 126.686249) (xy 167.255612 126.887867) (xy 167.332605 127.074204)
+        (xy 167.445775 127.187572) (xy 167.333106 127.300046) (xy 167.255788 127.486249) (xy 167.255612 127.687867) (xy 167.332605 127.874204)
+        (xy 167.475046 128.016894) (xy 167.661249 128.094212) (xy 167.862867 128.094388) (xy 168.049204 128.017395) (xy 168.162572 127.904224)
+        (xy 168.275046 128.016894) (xy 168.461249 128.094212) (xy 168.662867 128.094388) (xy 168.849204 128.017395) (xy 168.991894 127.874954)
+        (xy 169.069212 127.688751) (xy 169.069388 127.487133) (xy 169.069388 132.287133) (xy 168.992395 132.100796) (xy 168.849954 131.958106)
+        (xy 168.663751 131.880788) (xy 168.479388 131.880627) (xy 168.479388 130.177133) (xy 168.402395 129.990796) (xy 168.259954 129.848106)
+        (xy 168.073751 129.770788) (xy 167.872133 129.770612) (xy 167.685796 129.847605) (xy 167.543106 129.990046) (xy 167.465788 130.176249)
+        (xy 167.465612 130.377867) (xy 167.542605 130.564204) (xy 167.685046 130.706894) (xy 167.871249 130.784212) (xy 168.072867 130.784388)
+        (xy 168.259204 130.707395) (xy 168.401894 130.564954) (xy 168.479212 130.378751) (xy 168.479388 130.177133) (xy 168.479388 131.880627)
+        (xy 168.462133 131.880612) (xy 168.275796 131.957605) (xy 168.269388 131.964001) (xy 168.269388 131.487133) (xy 168.192395 131.300796)
+        (xy 168.049954 131.158106) (xy 167.863751 131.080788) (xy 167.662133 131.080612) (xy 167.475796 131.157605) (xy 167.333106 131.300046)
+        (xy 167.255788 131.486249) (xy 167.255612 131.687867) (xy 167.332605 131.874204) (xy 167.475046 132.016894) (xy 167.661249 132.094212)
+        (xy 167.862867 132.094388) (xy 168.049204 132.017395) (xy 168.191894 131.874954) (xy 168.269212 131.688751) (xy 168.269388 131.487133)
+        (xy 168.269388 131.964001) (xy 168.133106 132.100046) (xy 168.055788 132.286249) (xy 168.055612 132.487867) (xy 168.132605 132.674204)
+        (xy 168.238981 132.780766) (xy 168.062133 132.780612) (xy 167.875796 132.857605) (xy 167.762427 132.970775) (xy 167.649954 132.858106)
+        (xy 167.463751 132.780788) (xy 167.262133 132.780612) (xy 167.075796 132.857605) (xy 166.962427 132.970775) (xy 166.849954 132.858106)
+        (xy 166.663751 132.780788) (xy 166.462133 132.780612) (xy 166.329388 132.835461) (xy 166.329388 130.587133) (xy 166.269388 130.441922)
+        (xy 166.269388 125.837133) (xy 166.192395 125.650796) (xy 166.049954 125.508106) (xy 165.863751 125.430788) (xy 165.694388 125.43064)
+        (xy 165.694388 123.812133) (xy 165.617395 123.625796) (xy 165.474954 123.483106) (xy 165.469388 123.480794) (xy 165.469388 108.587133)
+        (xy 165.392395 108.400796) (xy 165.249954 108.258106) (xy 165.063751 108.180788) (xy 165.044388 108.180771) (xy 165.044388 105.462133)
+        (xy 164.967395 105.275796) (xy 164.824954 105.133106) (xy 164.669388 105.068509) (xy 164.669388 103.787133) (xy 164.592395 103.600796)
+        (xy 164.449954 103.458106) (xy 164.263751 103.380788) (xy 164.062133 103.380612) (xy 163.875796 103.457605) (xy 163.733106 103.600046)
+        (xy 163.655788 103.786249) (xy 163.655612 103.987867) (xy 163.732605 104.174204) (xy 163.875046 104.316894) (xy 164.061249 104.394212)
+        (xy 164.262867 104.394388) (xy 164.449204 104.317395) (xy 164.591894 104.174954) (xy 164.669212 103.988751) (xy 164.669388 103.787133)
+        (xy 164.669388 105.068509) (xy 164.638751 105.055788) (xy 164.437133 105.055612) (xy 164.250796 105.132605) (xy 164.108106 105.275046)
+        (xy 164.030788 105.461249) (xy 164.030612 105.662867) (xy 164.107605 105.849204) (xy 164.250046 105.991894) (xy 164.436249 106.069212)
+        (xy 164.637867 106.069388) (xy 164.824204 105.992395) (xy 164.966894 105.849954) (xy 165.044212 105.663751) (xy 165.044388 105.462133)
+        (xy 165.044388 108.180771) (xy 164.862133 108.180612) (xy 164.675796 108.257605) (xy 164.669388 108.264001) (xy 164.669388 106.612133)
+        (xy 164.592395 106.425796) (xy 164.449954 106.283106) (xy 164.263751 106.205788) (xy 164.062133 106.205612) (xy 163.875796 106.282605)
+        (xy 163.733106 106.425046) (xy 163.655788 106.611249) (xy 163.655612 106.812867) (xy 163.732605 106.999204) (xy 163.875046 107.141894)
+        (xy 164.061249 107.219212) (xy 164.262867 107.219388) (xy 164.449204 107.142395) (xy 164.591894 106.999954) (xy 164.669212 106.813751)
+        (xy 164.669388 106.612133) (xy 164.669388 108.264001) (xy 164.533106 108.400046) (xy 164.455788 108.586249) (xy 164.455612 108.787867)
+        (xy 164.532605 108.974204) (xy 164.638981 109.080766) (xy 164.462133 109.080612) (xy 164.275796 109.157605) (xy 164.133106 109.300046)
+        (xy 164.055788 109.486249) (xy 164.055612 109.687867) (xy 164.132605 109.874204) (xy 164.275046 110.016894) (xy 164.461249 110.094212)
+        (xy 164.662867 110.094388) (xy 164.849204 110.017395) (xy 164.991894 109.874954) (xy 165.069212 109.688751) (xy 165.069388 109.487133)
+        (xy 164.992395 109.300796) (xy 164.886018 109.194233) (xy 165.062867 109.194388) (xy 165.249204 109.117395) (xy 165.391894 108.974954)
+        (xy 165.469212 108.788751) (xy 165.469388 108.587133) (xy 165.469388 123.480794) (xy 165.288751 123.405788) (xy 165.087133 123.405612)
+        (xy 165.069388 123.412944) (xy 165.069388 112.237133) (xy 164.992395 112.050796) (xy 164.849954 111.908106) (xy 164.669388 111.833128)
+        (xy 164.669388 111.212133) (xy 164.592395 111.025796) (xy 164.449954 110.883106) (xy 164.263751 110.805788) (xy 164.062133 110.805612)
+        (xy 163.875796 110.882605) (xy 163.733106 111.025046) (xy 163.655788 111.211249) (xy 163.655612 111.412867) (xy 163.732605 111.599204)
+        (xy 163.875046 111.741894) (xy 164.061249 111.819212) (xy 164.262867 111.819388) (xy 164.449204 111.742395) (xy 164.591894 111.599954)
+        (xy 164.669212 111.413751) (xy 164.669388 111.212133) (xy 164.669388 111.833128) (xy 164.663751 111.830788) (xy 164.462133 111.830612)
+        (xy 164.275796 111.907605) (xy 164.133106 112.050046) (xy 164.055788 112.236249) (xy 164.055612 112.437867) (xy 164.132605 112.624204)
+        (xy 164.275046 112.766894) (xy 164.461249 112.844212) (xy 164.662867 112.844388) (xy 164.849204 112.767395) (xy 164.991894 112.624954)
+        (xy 165.069212 112.438751) (xy 165.069388 112.237133) (xy 165.069388 123.412944) (xy 164.900796 123.482605) (xy 164.758106 123.625046)
+        (xy 164.680788 123.811249) (xy 164.680612 124.012867) (xy 164.757605 124.199204) (xy 164.900046 124.341894) (xy 165.086249 124.419212)
+        (xy 165.287867 124.419388) (xy 165.474204 124.342395) (xy 165.616894 124.199954) (xy 165.694212 124.013751) (xy 165.694388 123.812133)
+        (xy 165.694388 125.43064) (xy 165.662133 125.430612) (xy 165.475796 125.507605) (xy 165.333106 125.650046) (xy 165.255788 125.836249)
+        (xy 165.255612 126.037867) (xy 165.332605 126.224204) (xy 165.389025 126.280722) (xy 165.262133 126.280612) (xy 165.075796 126.357605)
+        (xy 164.962427 126.470775) (xy 164.944388 126.452704) (xy 164.944388 124.537133) (xy 164.867395 124.350796) (xy 164.724954 124.208106)
+        (xy 164.538751 124.130788) (xy 164.337133 124.130612) (xy 164.150796 124.207605) (xy 164.008106 124.350046) (xy 163.930788 124.536249)
+        (xy 163.930612 124.737867) (xy 164.007605 124.924204) (xy 164.150046 125.066894) (xy 164.336249 125.144212) (xy 164.537867 125.144388)
+        (xy 164.724204 125.067395) (xy 164.866894 124.924954) (xy 164.944212 124.738751) (xy 164.944388 124.537133) (xy 164.944388 126.452704)
+        (xy 164.849954 126.358106) (xy 164.663751 126.280788) (xy 164.462133 126.280612) (xy 164.369388 126.318933) (xy 164.369388 125.787133)
+        (xy 164.292395 125.600796) (xy 164.149954 125.458106) (xy 163.963751 125.380788) (xy 163.762133 125.380612) (xy 163.575796 125.457605)
+        (xy 163.469388 125.563827) (xy 163.469388 110.287133) (xy 163.392395 110.100796) (xy 163.279224 109.987427) (xy 163.391894 109.874954)
+        (xy 163.469212 109.688751) (xy 163.469388 109.487133) (xy 163.419388 109.366123) (xy 163.419388 106.337133) (xy 163.342395 106.150796)
+        (xy 163.199954 106.008106) (xy 163.081888 105.95908) (xy 163.081888 83.399633) (xy 163.004895 83.213296) (xy 162.862454 83.070606)
+        (xy 162.676251 82.993288) (xy 162.474633 82.993112) (xy 162.288296 83.070105) (xy 162.145606 83.212546) (xy 162.068288 83.398749)
+        (xy 162.068112 83.600367) (xy 162.145105 83.786704) (xy 162.287546 83.929394) (xy 162.473749 84.006712) (xy 162.675367 84.006888)
+        (xy 162.861704 83.929895) (xy 163.004394 83.787454) (xy 163.081712 83.601251) (xy 163.081888 83.399633) (xy 163.081888 105.95908)
+        (xy 163.013751 105.930788) (xy 162.812133 105.930612) (xy 162.669388 105.989593) (xy 162.669388 104.687133) (xy 162.592395 104.500796)
+        (xy 162.449954 104.358106) (xy 162.263751 104.280788) (xy 162.194388 104.280727) (xy 162.194388 102.837133) (xy 162.117395 102.650796)
+        (xy 161.974954 102.508106) (xy 161.788751 102.430788) (xy 161.725898 102.430733) (xy 161.725898 89.823271) (xy 161.683821 89.115199)
+        (xy 161.493803 88.656453) (xy 161.227188 88.525956) (xy 160.974044 88.7791) (xy 160.974044 88.272812) (xy 160.843547 88.006197)
+        (xy 160.173271 87.774102) (xy 159.726064 87.800677) (xy 159.751964 87.774823) (xy 160.088136 86.96523) (xy 160.088901 86.088616)
+        (xy 159.845379 85.499247) (xy 159.845379 81.418999) (xy 159.687352 81.036544) (xy 159.394995 80.743676) (xy 159.012816 80.584982)
+        (xy 158.598999 80.584621) (xy 158.216544 80.742648) (xy 157.923676 81.035005) (xy 157.764982 81.417184) (xy 157.764621 81.831001)
+        (xy 157.922648 82.213456) (xy 158.215005 82.506324) (xy 158.597184 82.665018) (xy 159.011001 82.665379) (xy 159.393456 82.507352)
+        (xy 159.686324 82.214995) (xy 159.845018 81.832816) (xy 159.845379 81.418999) (xy 159.845379 85.499247) (xy 159.754142 85.278437)
+        (xy 159.134823 84.658036) (xy 158.32523 84.321864) (xy 157.448616 84.321099) (xy 157.295379 84.384415) (xy 157.295379 81.418999)
+        (xy 157.137352 81.036544) (xy 156.844995 80.743676) (xy 156.462816 80.584982) (xy 156.048999 80.584621) (xy 155.666544 80.742648)
+        (xy 155.373676 81.035005) (xy 155.214982 81.417184) (xy 155.214621 81.831001) (xy 155.372648 82.213456) (xy 155.665005 82.506324)
+        (xy 156.047184 82.665018) (xy 156.461001 82.665379) (xy 156.843456 82.507352) (xy 157.136324 82.214995) (xy 157.295018 81.832816)
+        (xy 157.295379 81.418999) (xy 157.295379 84.384415) (xy 156.638437 84.655858) (xy 156.018036 85.275177) (xy 155.681864 86.08477)
+        (xy 155.681099 86.961384) (xy 156.015858 87.771563) (xy 156.635177 88.391964) (xy 157.44477 88.728136) (xy 158.321384 88.728901)
+        (xy 158.332734 88.724211) (xy 158.124102 89.326729) (xy 158.166179 90.034801) (xy 158.356197 90.493547) (xy 158.622812 90.624044)
+        (xy 159.618823 89.628033) (xy 159.618823 89.628032) (xy 159.671856 89.575) (xy 159.925 89.321856) (xy 159.978032 89.268823)
+        (xy 159.978033 89.268823) (xy 160.974044 88.272812) (xy 160.974044 88.7791) (xy 160.178144 89.575) (xy 161.227188 90.624044)
+        (xy 161.493803 90.493547) (xy 161.725898 89.823271) (xy 161.725898 102.430733) (xy 161.587133 102.430612) (xy 161.400796 102.507605)
+        (xy 161.258106 102.650046) (xy 161.180788 102.836249) (xy 161.180612 103.037867) (xy 161.257605 103.224204) (xy 161.400046 103.366894)
+        (xy 161.586249 103.444212) (xy 161.787867 103.444388) (xy 161.974204 103.367395) (xy 162.116894 103.224954) (xy 162.194212 103.038751)
+        (xy 162.194388 102.837133) (xy 162.194388 104.280727) (xy 162.062133 104.280612) (xy 161.875796 104.357605) (xy 161.733106 104.500046)
+        (xy 161.655788 104.686249) (xy 161.655612 104.887867) (xy 161.732605 105.074204) (xy 161.875046 105.216894) (xy 162.061249 105.294212)
+        (xy 162.262867 105.294388) (xy 162.449204 105.217395) (xy 162.591894 105.074954) (xy 162.669212 104.888751) (xy 162.669388 104.687133)
+        (xy 162.669388 105.989593) (xy 162.625796 106.007605) (xy 162.56247 106.070819) (xy 162.449954 105.958106) (xy 162.263751 105.880788)
+        (xy 162.062133 105.880612) (xy 161.875796 105.957605) (xy 161.762427 106.070775) (xy 161.649954 105.958106) (xy 161.463751 105.880788)
+        (xy 161.262133 105.880612) (xy 161.075796 105.957605) (xy 160.974044 106.059178) (xy 160.974044 90.877188) (xy 159.925 89.828144)
+        (xy 158.875956 90.877188) (xy 159.006453 91.143803) (xy 159.676729 91.375898) (xy 160.384801 91.333821) (xy 160.843547 91.143803)
+        (xy 160.974044 90.877188) (xy 160.974044 106.059178) (xy 160.962427 106.070775) (xy 160.849954 105.958106) (xy 160.669388 105.883128)
+        (xy 160.669388 103.787133) (xy 160.592395 103.600796) (xy 160.449954 103.458106) (xy 160.263751 103.380788) (xy 160.062133 103.380612)
+        (xy 159.875796 103.457605) (xy 159.733106 103.600046) (xy 159.655788 103.786249) (xy 159.655612 103.987867) (xy 159.732605 104.174204)
+        (xy 159.875046 104.316894) (xy 160.061249 104.394212) (xy 160.262867 104.394388) (xy 160.449204 104.317395) (xy 160.591894 104.174954)
+        (xy 160.669212 103.988751) (xy 160.669388 103.787133) (xy 160.669388 105.883128) (xy 160.663751 105.880788) (xy 160.462133 105.880612)
+        (xy 160.275796 105.957605) (xy 160.162427 106.070775) (xy 160.049954 105.958106) (xy 159.863751 105.880788) (xy 159.662133 105.880612)
+        (xy 159.475796 105.957605) (xy 159.333106 106.100046) (xy 159.291601 106.2) (xy 159.149954 106.058106) (xy 159.069388 106.024652)
+        (xy 159.069388 103.787133) (xy 158.992395 103.600796) (xy 158.849954 103.458106) (xy 158.663751 103.380788) (xy 158.462133 103.380612)
+        (xy 158.275796 103.457605) (xy 158.133106 103.600046) (xy 158.055788 103.786249) (xy 158.055612 103.987867) (xy 158.132605 104.174204)
+        (xy 158.275046 104.316894) (xy 158.461249 104.394212) (xy 158.662867 104.394388) (xy 158.849204 104.317395) (xy 158.991894 104.174954)
+        (xy 159.069212 103.988751) (xy 159.069388 103.787133) (xy 159.069388 106.024652) (xy 158.963751 105.980788) (xy 158.762133 105.980612)
+        (xy 158.575796 106.057605) (xy 158.433106 106.200046) (xy 158.355788 106.386249) (xy 158.355612 106.587867) (xy 158.432605 106.774204)
+        (xy 158.575046 106.916894) (xy 158.761249 106.994212) (xy 158.962867 106.994388) (xy 159.149204 106.917395) (xy 159.291894 106.774954)
+        (xy 159.333398 106.674999) (xy 159.475046 106.816894) (xy 159.661249 106.894212) (xy 159.862867 106.894388) (xy 160.049204 106.817395)
+        (xy 160.162572 106.704224) (xy 160.275046 106.816894) (xy 160.461249 106.894212) (xy 160.662867 106.894388) (xy 160.849204 106.817395)
+        (xy 160.962572 106.704224) (xy 161.075046 106.816894) (xy 161.261249 106.894212) (xy 161.462867 106.894388) (xy 161.649204 106.817395)
+        (xy 161.762572 106.704224) (xy 161.875046 106.816894) (xy 162.061249 106.894212) (xy 162.262867 106.894388) (xy 162.449204 106.817395)
+        (xy 162.512529 106.75418) (xy 162.625046 106.866894) (xy 162.811249 106.944212) (xy 163.012867 106.944388) (xy 163.199204 106.867395)
+        (xy 163.341894 106.724954) (xy 163.419212 106.538751) (xy 163.419388 106.337133) (xy 163.419388 109.366123) (xy 163.392395 109.300796)
+        (xy 163.249954 109.158106) (xy 163.063751 109.080788) (xy 162.862133 109.080612) (xy 162.675796 109.157605) (xy 162.562427 109.270775)
+        (xy 162.449954 109.158106) (xy 162.263751 109.080788) (xy 162.062133 109.080612) (xy 161.875796 109.157605) (xy 161.762427 109.270775)
+        (xy 161.649954 109.158106) (xy 161.463751 109.080788) (xy 161.262133 109.080612) (xy 161.075796 109.157605) (xy 160.933106 109.300046)
+        (xy 160.855788 109.486249) (xy 160.855612 109.687867) (xy 160.932605 109.874204) (xy 161.075046 110.016894) (xy 161.261249 110.094212)
+        (xy 161.462867 110.094388) (xy 161.649204 110.017395) (xy 161.762572 109.904224) (xy 161.875046 110.016894) (xy 162.061249 110.094212)
+        (xy 162.262867 110.094388) (xy 162.449204 110.017395) (xy 162.562572 109.904224) (xy 162.645775 109.987572) (xy 162.533106 110.100046)
+        (xy 162.455788 110.286249) (xy 162.455612 110.487867) (xy 162.532605 110.674204) (xy 162.675046 110.816894) (xy 162.861249 110.894212)
+        (xy 163.062867 110.894388) (xy 163.249204 110.817395) (xy 163.391894 110.674954) (xy 163.469212 110.488751) (xy 163.469388 110.287133)
+        (xy 163.469388 125.563827) (xy 163.433106 125.600046) (xy 163.355788 125.786249) (xy 163.355612 125.987867) (xy 163.432605 126.174204)
+        (xy 163.575046 126.316894) (xy 163.761249 126.394212) (xy 163.962867 126.394388) (xy 164.149204 126.317395) (xy 164.291894 126.174954)
+        (xy 164.369212 125.988751) (xy 164.369388 125.787133) (xy 164.369388 126.318933) (xy 164.275796 126.357605) (xy 164.133106 126.500046)
+        (xy 164.055788 126.686249) (xy 164.055612 126.887867) (xy 164.132605 127.074204) (xy 164.245775 127.187572) (xy 164.133106 127.300046)
+        (xy 164.055788 127.486249) (xy 164.055612 127.687867) (xy 164.132605 127.874204) (xy 164.275046 128.016894) (xy 164.461249 128.094212)
+        (xy 164.662867 128.094388) (xy 164.849204 128.017395) (xy 164.991894 127.874954) (xy 165.069212 127.688751) (xy 165.069388 127.487133)
+        (xy 164.992395 127.300796) (xy 164.879224 127.187427) (xy 164.962572 127.104224) (xy 165.075046 127.216894) (xy 165.261249 127.294212)
+        (xy 165.462867 127.294388) (xy 165.649204 127.217395) (xy 165.791894 127.074954) (xy 165.869212 126.888751) (xy 165.869388 126.687133)
+        (xy 165.792395 126.500796) (xy 165.735974 126.444277) (xy 165.862867 126.444388) (xy 166.049204 126.367395) (xy 166.191894 126.224954)
+        (xy 166.269212 126.038751) (xy 166.269388 125.837133) (xy 166.269388 130.441922) (xy 166.252395 130.400796) (xy 166.109954 130.258106)
+        (xy 165.923751 130.180788) (xy 165.722133 130.180612) (xy 165.535796 130.257605) (xy 165.393106 130.400046) (xy 165.315788 130.586249)
+        (xy 165.315612 130.787867) (xy 165.392605 130.974204) (xy 165.535046 131.116894) (xy 165.721249 131.194212) (xy 165.922867 131.194388)
+        (xy 166.109204 131.117395) (xy 166.251894 130.974954) (xy 166.329212 130.788751) (xy 166.329388 130.587133) (xy 166.329388 132.835461)
+        (xy 166.275796 132.857605) (xy 166.133106 133.000046) (xy 166.055788 133.186249) (xy 166.055612 133.387867) (xy 166.132605 133.574204)
+        (xy 166.275046 133.716894) (xy 166.461249 133.794212) (xy 166.662867 133.794388) (xy 166.849204 133.717395) (xy 166.962572 133.604224)
+        (xy 167.075046 133.716894) (xy 167.261249 133.794212) (xy 167.462867 133.794388) (xy 167.649204 133.717395) (xy 167.762572 133.604224)
+        (xy 167.875046 133.716894) (xy 168.061249 133.794212) (xy 168.262867 133.794388) (xy 168.449204 133.717395) (xy 168.591894 133.574954)
+        (xy 168.669212 133.388751) (xy 168.669388 133.187133) (xy 168.592395 133.000796) (xy 168.486018 132.894233) (xy 168.662867 132.894388)
+        (xy 168.849204 132.817395) (xy 168.991894 132.674954) (xy 169.069212 132.488751) (xy 169.069388 132.287133) (xy 169.069388 136.707917)
+        (xy 169.022261 136.72739) (xy 168.80316 136.94611) (xy 168.684436 137.232028) (xy 168.684166 137.541614) (xy 168.80239 137.827739)
+        (xy 169.02111 138.04684) (xy 169.307028 138.165564) (xy 169.616614 138.165834) (xy 169.902739 138.04761) (xy 170.12184 137.82889)
+        (xy 170.240564 137.542972) (xy 170.240834 137.233386) (xy 170.240834 139.233386) (xy 170.12261 138.947261) (xy 169.90389 138.72816)
+        (xy 169.617972 138.609436) (xy 169.308386 138.609166) (xy 169.022261 138.72739) (xy 168.80316 138.94611) (xy 168.684436 139.232028)
+        (xy 168.684166 139.541614) (xy 168.80239 139.827739) (xy 169.02111 140.04684) (xy 169.307028 140.165564) (xy 169.616614 140.165834)
+        (xy 169.902739 140.04761) (xy 170.12184 139.82889) (xy 170.240564 139.542972) (xy 170.240834 139.233386) (xy 170.240834 141.422252)
+        (xy 169.895405 141.422252) (xy 169.793118 141.464516) (xy 169.714791 141.542707) (xy 169.672348 141.64492) (xy 169.672252 141.755595)
+        (xy 169.672252 143.279595) (xy 169.714516 143.381882) (xy 169.792707 143.460209) (xy 169.89492 143.502652) (xy 170.005595 143.502748)
+        (xy 171.529595 143.502748) (xy 171.631882 143.460484) (xy 171.710209 143.382293) (xy 171.752652 143.28008) (xy 171.752748 143.169405)
+        (xy 171.752748 148.339334) (xy 171.724073 148.339309) (xy 171.401387 148.47264) (xy 171.154288 148.719308) (xy 171.020394 149.041761)
+        (xy 171.020089 149.390907) (xy 171.100502 149.585523) (xy 170.856609 149.514613) (xy 170.413268 149.563468) (xy 170.484286 149.392439)
+        (xy 170.484591 149.043293) (xy 170.35126 148.720607) (xy 170.104592 148.473508) (xy 169.782139 148.339614) (xy 169.432993 148.339309)
+        (xy 169.110307 148.47264) (xy 168.863208 148.719308) (xy 168.729314 149.041761) (xy 168.729009 149.390907) (xy 168.809422 149.585523)
+        (xy 168.565529 149.514613) (xy 168.240834 149.550393) (xy 168.240834 139.233386) (xy 168.240834 137.233386) (xy 168.12261 136.947261)
+        (xy 167.90389 136.72816) (xy 167.617972 136.609436) (xy 167.308386 136.609166) (xy 167.022261 136.72739) (xy 166.80316 136.94611)
+        (xy 166.684436 137.232028) (xy 166.684166 137.541614) (xy 166.80239 137.827739) (xy 167.02111 138.04684) (xy 167.307028 138.165564)
+        (xy 167.616614 138.165834) (xy 167.902739 138.04761) (xy 168.12184 137.82889) (xy 168.240564 137.542972) (xy 168.240834 137.233386)
+        (xy 168.240834 139.233386) (xy 168.12261 138.947261) (xy 167.90389 138.72816) (xy 167.617972 138.609436) (xy 167.308386 138.609166)
+        (xy 167.022261 138.72739) (xy 166.80316 138.94611) (xy 166.684436 139.232028) (xy 166.684166 139.541614) (xy 166.80239 139.827739)
+        (xy 167.02111 140.04684) (xy 167.307028 140.165564) (xy 167.616614 140.165834) (xy 167.902739 140.04761) (xy 168.12184 139.82889)
+        (xy 168.240564 139.542972) (xy 168.240834 139.233386) (xy 168.240834 149.550393) (xy 168.127511 149.562881) (xy 168.198286 149.392439)
+        (xy 168.198591 149.043293) (xy 168.06526 148.720607) (xy 167.818592 148.473508) (xy 167.496139 148.339614) (xy 167.146993 148.339309)
+        (xy 166.824307 148.47264) (xy 166.577208 148.719308) (xy 166.563792 148.751617) (xy 166.563792 137.46781) (xy 166.510695 137.04025)
+        (xy 166.449227 136.891857) (xy 166.254886 136.848258) (xy 166.001742 137.101402) (xy 166.001742 136.595114) (xy 165.958143 136.400773)
+        (xy 165.54281 136.286208) (xy 165.11525 136.339305) (xy 165.069388 136.358302) (xy 165.069388 131.487133) (xy 164.992395 131.300796)
+        (xy 164.849954 131.158106) (xy 164.663751 131.080788) (xy 164.462133 131.080612) (xy 164.275796 131.157605) (xy 164.133106 131.300046)
+        (xy 164.119388 131.333082) (xy 164.119388 130.462133) (xy 164.042395 130.275796) (xy 163.899954 130.133106) (xy 163.713751 130.055788)
+        (xy 163.512133 130.055612) (xy 163.325796 130.132605) (xy 163.183106 130.275046) (xy 163.105788 130.461249) (xy 163.105612 130.662867)
+        (xy 163.182605 130.849204) (xy 163.325046 130.991894) (xy 163.511249 131.069212) (xy 163.712867 131.069388) (xy 163.899204 130.992395)
+        (xy 164.041894 130.849954) (xy 164.119212 130.663751) (xy 164.119388 130.462133) (xy 164.119388 131.333082) (xy 164.055788 131.486249)
+        (xy 164.055612 131.687867) (xy 164.132605 131.874204) (xy 164.275046 132.016894) (xy 164.461249 132.094212) (xy 164.662867 132.094388)
+        (xy 164.849204 132.017395) (xy 164.991894 131.874954) (xy 165.069212 131.688751) (xy 165.069388 131.487133) (xy 165.069388 136.358302)
+        (xy 164.966857 136.400773) (xy 164.923258 136.595114) (xy 165.4625 137.134356) (xy 166.001742 136.595114) (xy 166.001742 137.101402)
+        (xy 165.715644 137.3875) (xy 166.254886 137.926742) (xy 166.449227 137.883143) (xy 166.563792 137.46781) (xy 166.563792 148.751617)
+        (xy 166.443314 149.041761) (xy 166.443009 149.390907) (xy 166.57634 149.713593) (xy 166.823008 149.960692) (xy 167.145461 150.094586)
+        (xy 167.481229 150.094879) (xy 167.512796 150.126446) (xy 167.391502 150.159732) (xy 167.260173 150.611431) (xy 167.311699 151.079004)
+        (xy 167.391502 151.271668) (xy 167.512796 151.304953) (xy 167.480947 151.336802) (xy 167.144453 151.336509) (xy 167.050511 151.375325)
+        (xy 167.050511 150.541893) (xy 166.91718 150.219207) (xy 166.670512 149.972108) (xy 166.348059 149.838214) (xy 166.240834 149.83812)
+        (xy 166.240834 139.233386) (xy 166.12261 138.947261) (xy 166.001742 138.826182) (xy 166.001742 138.179886) (xy 165.4625 137.640644)
+        (xy 165.209356 137.893788) (xy 165.209356 137.3875) (xy 164.769388 136.947532) (xy 164.769388 134.087133) (xy 164.692395 133.900796)
+        (xy 164.549954 133.758106) (xy 164.449999 133.716601) (xy 164.591894 133.574954) (xy 164.669212 133.388751) (xy 164.669388 133.187133)
+        (xy 164.592395 133.000796) (xy 164.449954 132.858106) (xy 164.263751 132.780788) (xy 164.062133 132.780612) (xy 163.875796 132.857605)
+        (xy 163.733106 133.000046) (xy 163.655788 133.186249) (xy 163.655612 133.387867) (xy 163.732605 133.574204) (xy 163.875046 133.716894)
+        (xy 163.975 133.758398) (xy 163.833106 133.900046) (xy 163.755788 134.086249) (xy 163.755612 134.287867) (xy 163.832605 134.474204)
+        (xy 163.975046 134.616894) (xy 164.161249 134.694212) (xy 164.362867 134.694388) (xy 164.549204 134.617395) (xy 164.691894 134.474954)
+        (xy 164.769212 134.288751) (xy 164.769388 134.087133) (xy 164.769388 136.947532) (xy 164.670114 136.848258) (xy 164.475773 136.891857)
+        (xy 164.361208 137.30719) (xy 164.414305 137.73475) (xy 164.475773 137.883143) (xy 164.670114 137.926742) (xy 165.209356 137.3875)
+        (xy 165.209356 137.893788) (xy 164.923258 138.179886) (xy 164.966857 138.374227) (xy 165.38219 138.488792) (xy 165.80975 138.435695)
+        (xy 165.958143 138.374227) (xy 166.001742 138.179886) (xy 166.001742 138.826182) (xy 165.90389 138.72816) (xy 165.617972 138.609436)
+        (xy 165.308386 138.609166) (xy 165.022261 138.72739) (xy 164.80316 138.94611) (xy 164.684436 139.232028) (xy 164.684166 139.541614)
+        (xy 164.80239 139.827739) (xy 165.02111 140.04684) (xy 165.307028 140.165564) (xy 165.616614 140.165834) (xy 165.902739 140.04761)
+        (xy 166.12184 139.82889) (xy 166.240564 139.542972) (xy 166.240834 139.233386) (xy 166.240834 149.83812) (xy 165.998913 149.837909)
+        (xy 165.904971 149.876725) (xy 165.676227 149.97124) (xy 165.429128 150.217908) (xy 165.295234 150.540361) (xy 165.294929 150.889507)
+        (xy 165.42826 151.212193) (xy 165.674928 151.459292) (xy 165.997381 151.593186) (xy 166.346527 151.593491) (xy 166.669213 151.46016)
+        (xy 166.916312 151.213492) (xy 167.050206 150.891039) (xy 167.050511 150.541893) (xy 167.050511 151.375325) (xy 166.821767 151.46984)
+        (xy 166.574668 151.716508) (xy 166.440774 152.038961) (xy 166.440469 152.388107) (xy 166.5738 152.710793) (xy 166.820468 152.957892)
+        (xy 167.142921 153.091786) (xy 167.492067 153.092091) (xy 167.814753 152.95876) (xy 168.061852 152.712092) (xy 168.195746 152.389639)
+        (xy 168.196051 152.040493) (xy 168.115983 151.846715) (xy 168.356991 151.916787) (xy 168.801436 151.867809) (xy 168.729314 152.041501)
+        (xy 168.729009 152.390647) (xy 168.86234 152.713333) (xy 169.109008 152.960432) (xy 169.431461 153.094326) (xy 169.780607 153.094631)
+        (xy 170.103293 152.9613) (xy 170.350392 152.714632) (xy 170.484286 152.392179) (xy 170.484591 152.043033) (xy 170.402984 151.845529)
+        (xy 170.648071 151.916787) (xy 170.757212 151.904759) (xy 170.696793 152.112571) (xy 170.748319 152.580144) (xy 170.828122 152.772808)
+        (xy 171.032644 152.828932) (xy 171.591703 152.269873) (xy 171.644736 152.21684) (xy 171.89788 151.963696) (xy 172.151024 152.21684)
+        (xy 172.204057 152.269873) (xy 172.763116 152.828932) (xy 172.967638 152.772808) (xy 173.098967 152.321109) (xy 173.053028 151.904237)
+        (xy 173.406724 151.865261) (xy 173.599388 151.785458) (xy 173.655512 151.580936) (xy 173.655512 156.858071) (xy 172.509972 156.842012)
+        (xy 172.509972 153.082076) (xy 171.89788 152.469984) (xy 171.644736 152.723128) (xy 171.285788 153.082076) (xy 171.341912 153.286598)
+        (xy 171.793611 153.417927) (xy 172.261184 153.366401) (xy 172.453848 153.286598) (xy 172.509972 153.082076) (xy 172.509972 156.842012)
+        (xy 165.904971 156.749419) (xy 165.904971 152.043033) (xy 165.77164 151.720347) (xy 165.524972 151.473248) (xy 165.202519 151.339354)
+        (xy 165.082727 151.339249) (xy 164.864208 151.339058) (xy 164.830103 151.304953) (xy 164.951398 151.271668) (xy 165.082727 150.819969)
+        (xy 165.031201 150.352396) (xy 164.951398 150.159732) (xy 164.830103 150.126446) (xy 164.861955 150.094594) (xy 165.200987 150.094891)
+        (xy 165.523673 149.96156) (xy 165.770772 149.714892) (xy 165.904666 149.392439) (xy 165.904971 149.043293) (xy 165.77164 148.720607)
+        (xy 165.524972 148.473508) (xy 165.202519 148.339614) (xy 164.853373 148.339309) (xy 164.530687 148.47264) (xy 164.283588 148.719308)
+        (xy 164.149694 149.041761) (xy 164.149389 149.390907) (xy 164.229802 149.585523) (xy 163.985909 149.514613) (xy 163.880154 149.526267)
+        (xy 163.939727 149.321369) (xy 163.888201 148.853796) (xy 163.808398 148.661132) (xy 163.603876 148.605008) (xy 163.469388 148.739496)
+        (xy 163.469388 131.487133) (xy 163.392395 131.300796) (xy 163.249954 131.158106) (xy 163.063751 131.080788) (xy 162.862133 131.080612)
+        (xy 162.675796 131.157605) (xy 162.669388 131.164001) (xy 162.669388 127.487133) (xy 162.592395 127.300796) (xy 162.479224 127.187427)
+        (xy 162.591894 127.074954) (xy 162.669212 126.888751) (xy 162.669388 126.687133) (xy 162.592395 126.500796) (xy 162.449954 126.358106)
+        (xy 162.263751 126.280788) (xy 162.194388 126.280727) (xy 162.194388 117.287133) (xy 162.117395 117.100796) (xy 161.974954 116.958106)
+        (xy 161.788751 116.880788) (xy 161.587133 116.880612) (xy 161.400796 116.957605) (xy 161.258106 117.100046) (xy 161.180788 117.286249)
+        (xy 161.180612 117.487867) (xy 161.257605 117.674204) (xy 161.400046 117.816894) (xy 161.586249 117.894212) (xy 161.787867 117.894388)
+        (xy 161.974204 117.817395) (xy 162.116894 117.674954) (xy 162.194212 117.488751) (xy 162.194388 117.287133) (xy 162.194388 126.280727)
+        (xy 162.169388 126.280705) (xy 162.169388 123.637133) (xy 162.092395 123.450796) (xy 162.044388 123.402705) (xy 162.044388 122.087133)
+        (xy 161.967395 121.900796) (xy 161.824954 121.758106) (xy 161.769388 121.735033) (xy 161.769388 120.087133) (xy 161.692395 119.900796)
+        (xy 161.549954 119.758106) (xy 161.363751 119.680788) (xy 161.162133 119.680612) (xy 161.069388 119.718933) (xy 161.069388 110.287133)
+        (xy 160.992395 110.100796) (xy 160.849954 109.958106) (xy 160.663751 109.880788) (xy 160.462133 109.880612) (xy 160.275796 109.957605)
+        (xy 160.133106 110.100046) (xy 160.055788 110.286249) (xy 160.055612 110.487867) (xy 160.132605 110.674204) (xy 160.238981 110.780766)
+        (xy 160.062133 110.780612) (xy 159.875796 110.857605) (xy 159.733106 111.000046) (xy 159.655788 111.186249) (xy 159.655612 111.387867)
+        (xy 159.732605 111.574204) (xy 159.875046 111.716894) (xy 160.061249 111.794212) (xy 160.262867 111.794388) (xy 160.449204 111.717395)
+        (xy 160.591894 111.574954) (xy 160.669212 111.388751) (xy 160.669388 111.187133) (xy 160.592395 111.000796) (xy 160.486018 110.894233)
+        (xy 160.662867 110.894388) (xy 160.849204 110.817395) (xy 160.991894 110.674954) (xy 161.069212 110.488751) (xy 161.069388 110.287133)
+        (xy 161.069388 119.718933) (xy 160.975796 119.757605) (xy 160.833106 119.900046) (xy 160.755788 120.086249) (xy 160.755612 120.287867)
+        (xy 160.832605 120.474204) (xy 160.975046 120.616894) (xy 161.161249 120.694212) (xy 161.362867 120.694388) (xy 161.549204 120.617395)
+        (xy 161.691894 120.474954) (xy 161.769212 120.288751) (xy 161.769388 120.087133) (xy 161.769388 121.735033) (xy 161.638751 121.680788)
+        (xy 161.437133 121.680612) (xy 161.250796 121.757605) (xy 161.108106 121.900046) (xy 161.030788 122.086249) (xy 161.030612 122.287867)
+        (xy 161.107605 122.474204) (xy 161.250046 122.616894) (xy 161.436249 122.694212) (xy 161.637867 122.694388) (xy 161.824204 122.617395)
+        (xy 161.966894 122.474954) (xy 162.044212 122.288751) (xy 162.044388 122.087133) (xy 162.044388 123.402705) (xy 161.949954 123.308106)
+        (xy 161.763751 123.230788) (xy 161.562133 123.230612) (xy 161.375796 123.307605) (xy 161.233106 123.450046) (xy 161.155788 123.636249)
+        (xy 161.155612 123.837867) (xy 161.218422 123.989878) (xy 161.212492 123.995797) (xy 161.124954 123.908106) (xy 160.938751 123.830788)
+        (xy 160.737133 123.830612) (xy 160.550796 123.907605) (xy 160.544388 123.914001) (xy 160.544388 114.087133) (xy 160.467395 113.900796)
+        (xy 160.324954 113.758106) (xy 160.138751 113.680788) (xy 159.937133 113.680612) (xy 159.807617 113.734126) (xy 159.866894 113.674954)
+        (xy 159.944212 113.488751) (xy 159.944388 113.287133) (xy 159.867395 113.100796) (xy 159.724954 112.958106) (xy 159.538751 112.880788)
+        (xy 159.337133 112.880612) (xy 159.150796 112.957605) (xy 159.069388 113.03887) (xy 159.069388 111.187133) (xy 158.992395 111.000796)
+        (xy 158.849954 110.858106) (xy 158.663751 110.780788) (xy 158.462133 110.780612) (xy 158.275796 110.857605) (xy 158.133106 111.000046)
+        (xy 158.055788 111.186249) (xy 158.055612 111.387867) (xy 158.132605 111.574204) (xy 158.275046 111.716894) (xy 158.461249 111.794212)
+        (xy 158.662867 111.794388) (xy 158.849204 111.717395) (xy 158.991894 111.574954) (xy 159.069212 111.388751) (xy 159.069388 111.187133)
+        (xy 159.069388 113.03887) (xy 159.008106 113.100046) (xy 158.930788 113.286249) (xy 158.930759 113.318993) (xy 158.838751 113.280788)
+        (xy 158.637133 113.280612) (xy 158.450796 113.357605) (xy 158.308106 113.500046) (xy 158.230788 113.686249) (xy 158.230612 113.887867)
+        (xy 158.307605 114.074204) (xy 158.450046 114.216894) (xy 158.636249 114.294212) (xy 158.837867 114.294388) (xy 159.024204 114.217395)
+        (xy 159.166894 114.074954) (xy 159.244212 113.888751) (xy 159.24424 113.856006) (xy 159.336249 113.894212) (xy 159.537867 113.894388)
+        (xy 159.667382 113.840873) (xy 159.608106 113.900046) (xy 159.533057 114.080783) (xy 159.337133 114.080612) (xy 159.150796 114.157605)
+        (xy 159.008106 114.300046) (xy 158.930788 114.486249) (xy 158.930612 114.687867) (xy 159.007605 114.874204) (xy 159.07916 114.945884)
+        (xy 159.050796 114.957605) (xy 158.908106 115.100046) (xy 158.830788 115.286249) (xy 158.830612 115.487867) (xy 158.907605 115.674204)
+        (xy 158.914047 115.680657) (xy 158.862133 115.680612) (xy 158.675796 115.757605) (xy 158.569233 115.863981) (xy 158.569388 115.687133)
+        (xy 158.492395 115.500796) (xy 158.485996 115.494386) (xy 158.487867 115.494388) (xy 158.674204 115.417395) (xy 158.816894 115.274954)
+        (xy 158.894212 115.088751) (xy 158.894388 114.887133) (xy 158.817395 114.700796) (xy 158.674954 114.558106) (xy 158.488751 114.480788)
+        (xy 158.287133 114.480612) (xy 158.100796 114.557605) (xy 157.958106 114.700046) (xy 157.880788 114.886249) (xy 157.880612 115.087867)
+        (xy 157.957605 115.274204) (xy 157.964003 115.280613) (xy 157.962133 115.280612) (xy 157.775796 115.357605) (xy 157.665379 115.467829)
+        (xy 157.665379 92.668999) (xy 157.507352 92.286544) (xy 157.214995 91.993676) (xy 156.832816 91.834982) (xy 156.418999 91.834621)
+        (xy 156.036544 91.992648) (xy 155.743676 92.285005) (xy 155.584982 92.667184) (xy 155.584621 93.081001) (xy 155.742648 93.463456)
+        (xy 156.035005 93.756324) (xy 156.417184 93.915018) (xy 156.831001 93.915379) (xy 157.213456 93.757352) (xy 157.506324 93.464995)
+        (xy 157.665018 93.082816) (xy 157.665379 92.668999) (xy 157.665379 115.467829) (xy 157.633106 115.500046) (xy 157.569388 115.653496)
+        (xy 157.569388 110.262133) (xy 157.492395 110.075796) (xy 157.349954 109.933106) (xy 157.163751 109.855788) (xy 156.962133 109.855612)
+        (xy 156.894281 109.883647) (xy 156.894388 109.762133) (xy 156.817395 109.575796) (xy 156.764648 109.522957) (xy 156.936249 109.594212)
+        (xy 157.137867 109.594388) (xy 157.324204 109.517395) (xy 157.466894 109.374954) (xy 157.544212 109.188751) (xy 157.544388 108.987133)
+        (xy 157.469388 108.805619) (xy 157.469388 105.887133) (xy 157.392395 105.700796) (xy 157.249954 105.558106) (xy 157.063751 105.480788)
+        (xy 156.862133 105.480612) (xy 156.675796 105.557605) (xy 156.533106 105.700046) (xy 156.455788 105.886249) (xy 156.455612 106.087867)
+        (xy 156.532605 106.274204) (xy 156.675046 106.416894) (xy 156.861249 106.494212) (xy 157.062867 106.494388) (xy 157.249204 106.417395)
+        (xy 157.391894 106.274954) (xy 157.469212 106.088751) (xy 157.469388 105.887133) (xy 157.469388 108.805619) (xy 157.467395 108.800796)
+        (xy 157.324954 108.658106) (xy 157.138751 108.580788) (xy 156.937133 108.580612) (xy 156.750796 108.657605) (xy 156.608106 108.800046)
+        (xy 156.530788 108.986249) (xy 156.530612 109.187867) (xy 156.607605 109.374204) (xy 156.660351 109.427042) (xy 156.488751 109.355788)
+        (xy 156.395379 109.355706) (xy 156.395379 95.208999) (xy 156.237352 94.826544) (xy 155.944995 94.533676) (xy 155.562816 94.374982)
+        (xy 155.148999 94.374621) (xy 155.125379 94.38438) (xy 155.125379 92.668999) (xy 154.967352 92.286544) (xy 154.674995 91.993676)
+        (xy 154.292816 91.834982) (xy 153.878999 91.834621) (xy 153.496544 91.992648) (xy 153.203676 92.285005) (xy 153.044982 92.667184)
+        (xy 153.044621 93.081001) (xy 153.202648 93.463456) (xy 153.495005 93.756324) (xy 153.877184 93.915018) (xy 154.291001 93.915379)
+        (xy 154.673456 93.757352) (xy 154.966324 93.464995) (xy 155.125018 93.082816) (xy 155.125379 92.668999) (xy 155.125379 94.38438)
+        (xy 154.766544 94.532648) (xy 154.473676 94.825005) (xy 154.314982 95.207184) (xy 154.314621 95.621001) (xy 154.472648 96.003456)
+        (xy 154.765005 96.296324) (xy 155.147184 96.455018) (xy 155.561001 96.455379) (xy 155.943456 96.297352) (xy 156.236324 96.004995)
+        (xy 156.395018 95.622816) (xy 156.395379 95.208999) (xy 156.395379 109.355706) (xy 156.287133 109.355612) (xy 156.100796 109.432605)
+        (xy 155.958106 109.575046) (xy 155.880788 109.761249) (xy 155.880612 109.962867) (xy 155.957605 110.149204) (xy 156.100046 110.291894)
+        (xy 156.286249 110.369212) (xy 156.487867 110.369388) (xy 156.555718 110.341352) (xy 156.555612 110.462867) (xy 156.632605 110.649204)
+        (xy 156.775046 110.791894) (xy 156.961249 110.869212) (xy 157.162867 110.869388) (xy 157.349204 110.792395) (xy 157.491894 110.649954)
+        (xy 157.569212 110.463751) (xy 157.569388 110.262133) (xy 157.569388 115.653496) (xy 157.555788 115.686249) (xy 157.555612 115.887867)
+        (xy 157.632605 116.074204) (xy 157.745775 116.187572) (xy 157.633106 116.300046) (xy 157.555788 116.486249) (xy 157.555612 116.687867)
+        (xy 157.632605 116.874204) (xy 157.775046 117.016894) (xy 157.961249 117.094212) (xy 158.162867 117.094388) (xy 158.349204 117.017395)
+        (xy 158.405722 116.960974) (xy 158.405612 117.087867) (xy 158.482605 117.274204) (xy 158.625046 117.416894) (xy 158.654253 117.429022)
+        (xy 158.583106 117.500046) (xy 158.505788 117.686249) (xy 158.505612 117.887867) (xy 158.582605 118.074204) (xy 158.725046 118.216894)
+        (xy 158.807221 118.251016) (xy 158.758106 118.300046) (xy 158.680788 118.486249) (xy 158.680612 118.687867) (xy 158.757605 118.874204)
+        (xy 158.883254 119.000072) (xy 158.783106 119.100046) (xy 158.705788 119.286249) (xy 158.705612 119.487867) (xy 158.782605 119.674204)
+        (xy 158.925046 119.816894) (xy 159.111249 119.894212) (xy 159.312867 119.894388) (xy 159.499204 119.817395) (xy 159.641894 119.674954)
+        (xy 159.719212 119.488751) (xy 159.719388 119.287133) (xy 159.642395 119.100796) (xy 159.516745 118.974927) (xy 159.616894 118.874954)
+        (xy 159.694212 118.688751) (xy 159.694388 118.487133) (xy 159.617395 118.300796) (xy 159.474954 118.158106) (xy 159.392778 118.123983)
+        (xy 159.441894 118.074954) (xy 159.519212 117.888751) (xy 159.519388 117.687133) (xy 159.442395 117.500796) (xy 159.299954 117.358106)
+        (xy 159.270746 117.345977) (xy 159.341894 117.274954) (xy 159.419212 117.088751) (xy 159.419388 116.887133) (xy 159.342395 116.700796)
+        (xy 159.25418 116.612427) (xy 159.391894 116.474954) (xy 159.469212 116.288751) (xy 159.469388 116.087133) (xy 159.392395 115.900796)
+        (xy 159.385952 115.894342) (xy 159.437867 115.894388) (xy 159.624204 115.817395) (xy 159.766894 115.674954) (xy 159.844212 115.488751)
+        (xy 159.844388 115.287133) (xy 159.767395 115.100796) (xy 159.695839 115.029115) (xy 159.724204 115.017395) (xy 159.866894 114.874954)
+        (xy 159.941942 114.694216) (xy 160.137867 114.694388) (xy 160.324204 114.617395) (xy 160.466894 114.474954) (xy 160.544212 114.288751)
+        (xy 160.544388 114.087133) (xy 160.544388 123.914001) (xy 160.408106 124.050046) (xy 160.330788 124.236249) (xy 160.330612 124.437867)
+        (xy 160.407605 124.624204) (xy 160.550046 124.766894) (xy 160.736249 124.844212) (xy 160.937867 124.844388) (xy 161.124204 124.767395)
+        (xy 161.187507 124.704202) (xy 161.275046 124.791894) (xy 161.461249 124.869212) (xy 161.662867 124.869388) (xy 161.849204 124.792395)
+        (xy 161.991894 124.649954) (xy 162.069212 124.463751) (xy 162.069388 124.262133) (xy 162.006577 124.110121) (xy 162.091894 124.024954)
+        (xy 162.169212 123.838751) (xy 162.169388 123.637133) (xy 162.169388 126.280705) (xy 162.062133 126.280612) (xy 161.875796 126.357605)
+        (xy 161.733106 126.500046) (xy 161.655788 126.686249) (xy 161.655612 126.887867) (xy 161.732605 127.074204) (xy 161.845775 127.187572)
+        (xy 161.733106 127.300046) (xy 161.655788 127.486249) (xy 161.655612 127.687867) (xy 161.732605 127.874204) (xy 161.845797 127.987594)
+        (xy 161.762449 128.070797) (xy 161.649954 127.958106) (xy 161.463751 127.880788) (xy 161.262133 127.880612) (xy 161.075796 127.957605)
+        (xy 160.933106 128.100046) (xy 160.855788 128.286249) (xy 160.855716 128.36854) (xy 160.817395 128.275796) (xy 160.674954 128.133106)
+        (xy 160.669388 128.130794) (xy 160.669388 125.887133) (xy 160.592395 125.700796) (xy 160.449954 125.558106) (xy 160.263751 125.480788)
+        (xy 160.062133 125.480612) (xy 159.875796 125.557605) (xy 159.844388 125.588958) (xy 159.844388 120.887133) (xy 159.767395 120.700796)
+        (xy 159.624954 120.558106) (xy 159.438751 120.480788) (xy 159.237133 120.480612) (xy 159.050796 120.557605) (xy 158.908106 120.700046)
+        (xy 158.830788 120.886249) (xy 158.830612 121.087867) (xy 158.907605 121.274204) (xy 158.914479 121.28109) (xy 158.913751 121.280788)
+        (xy 158.712133 121.280612) (xy 158.569342 121.339612) (xy 158.569388 121.287133) (xy 158.492395 121.100796) (xy 158.379224 120.987427)
+        (xy 158.491894 120.874954) (xy 158.569212 120.688751) (xy 158.569388 120.487133) (xy 158.492395 120.300796) (xy 158.379224 120.187427)
+        (xy 158.491894 120.074954) (xy 158.569212 119.888751) (xy 158.569388 119.687133) (xy 158.492395 119.500796) (xy 158.379224 119.387427)
+        (xy 158.491894 119.274954) (xy 158.569212 119.088751) (xy 158.569388 118.887133) (xy 158.492395 118.700796) (xy 158.379224 118.587427)
+        (xy 158.491894 118.474954) (xy 158.569212 118.288751) (xy 158.569388 118.087133) (xy 158.492395 117.900796) (xy 158.349954 117.758106)
+        (xy 158.163751 117.680788) (xy 157.962133 117.680612) (xy 157.775796 117.757605) (xy 157.633106 117.900046) (xy 157.555788 118.086249)
+        (xy 157.555612 118.287867) (xy 157.632605 118.474204) (xy 157.745775 118.587572) (xy 157.633106 118.700046) (xy 157.555788 118.886249)
+        (xy 157.555612 119.087867) (xy 157.632605 119.274204) (xy 157.745775 119.387572) (xy 157.633106 119.500046) (xy 157.555788 119.686249)
+        (xy 157.555612 119.887867) (xy 157.632605 120.074204) (xy 157.745775 120.187572) (xy 157.633106 120.300046) (xy 157.594388 120.393289)
+        (xy 157.594388 120.087133) (xy 157.517395 119.900796) (xy 157.374954 119.758106) (xy 157.188751 119.680788) (xy 156.987133 119.680612)
+        (xy 156.969388 119.687944) (xy 156.969388 117.287133) (xy 156.892395 117.100796) (xy 156.749954 116.958106) (xy 156.563751 116.880788)
+        (xy 156.362133 116.880612) (xy 156.175796 116.957605) (xy 156.033106 117.100046) (xy 155.955788 117.286249) (xy 155.955612 117.487867)
+        (xy 156.032605 117.674204) (xy 156.175046 117.816894) (xy 156.361249 117.894212) (xy 156.562867 117.894388) (xy 156.749204 117.817395)
+        (xy 156.891894 117.674954) (xy 156.969212 117.488751) (xy 156.969388 117.287133) (xy 156.969388 119.687944) (xy 156.800796 119.757605)
+        (xy 156.658106 119.900046) (xy 156.580788 120.086249) (xy 156.580612 120.287867) (xy 156.657605 120.474204) (xy 156.800046 120.616894)
+        (xy 156.986249 120.694212) (xy 157.187867 120.694388) (xy 157.374204 120.617395) (xy 157.516894 120.474954) (xy 157.594212 120.288751)
+        (xy 157.594388 120.087133) (xy 157.594388 120.393289) (xy 157.555788 120.486249) (xy 157.555612 120.687867) (xy 157.632605 120.874204)
+        (xy 157.745775 120.987572) (xy 157.633106 121.100046) (xy 157.555788 121.286249) (xy 157.555612 121.487867) (xy 157.632605 121.674204)
+        (xy 157.745775 121.787572) (xy 157.633106 121.900046) (xy 157.555788 122.086249) (xy 157.555612 122.287867) (xy 157.632605 122.474204)
+        (xy 157.770732 122.612572) (xy 157.683106 122.700046) (xy 157.605788 122.886249) (xy 157.605612 123.087867) (xy 157.682605 123.274204)
+        (xy 157.825046 123.416894) (xy 158.011249 123.494212) (xy 158.212867 123.494388) (xy 158.399204 123.417395) (xy 158.541894 123.274954)
+        (xy 158.619212 123.088751) (xy 158.619301 122.986095) (xy 158.650046 123.016894) (xy 158.836249 123.094212) (xy 159.037867 123.094388)
+        (xy 159.224204 123.017395) (xy 159.366894 122.874954) (xy 159.444212 122.688751) (xy 159.444388 122.487133) (xy 159.367395 122.300796)
+        (xy 159.224954 122.158106) (xy 159.17809 122.138646) (xy 159.241894 122.074954) (xy 159.319212 121.888751) (xy 159.319388 121.687133)
+        (xy 159.242395 121.500796) (xy 159.23552 121.493909) (xy 159.236249 121.494212) (xy 159.437867 121.494388) (xy 159.624204 121.417395)
+        (xy 159.766894 121.274954) (xy 159.844212 121.088751) (xy 159.844388 120.887133) (xy 159.844388 125.588958) (xy 159.733106 125.700046)
+        (xy 159.655788 125.886249) (xy 159.655612 126.087867) (xy 159.732605 126.274204) (xy 159.875046 126.416894) (xy 160.061249 126.494212)
+        (xy 160.262867 126.494388) (xy 160.449204 126.417395) (xy 160.591894 126.274954) (xy 160.669212 126.088751) (xy 160.669388 125.887133)
+        (xy 160.669388 128.130794) (xy 160.488751 128.055788) (xy 160.287133 128.055612) (xy 160.188126 128.09652) (xy 160.049954 127.958106)
+        (xy 159.863751 127.880788) (xy 159.662133 127.880612) (xy 159.475796 127.957605) (xy 159.362427 128.070775) (xy 159.249954 127.958106)
+        (xy 159.069388 127.883128) (xy 159.069388 125.887133) (xy 158.992395 125.700796) (xy 158.849954 125.558106) (xy 158.663751 125.480788)
+        (xy 158.462133 125.480612) (xy 158.275796 125.557605) (xy 158.133106 125.700046) (xy 158.055788 125.886249) (xy 158.055612 126.087867)
+        (xy 158.132605 126.274204) (xy 158.275046 126.416894) (xy 158.461249 126.494212) (xy 158.662867 126.494388) (xy 158.849204 126.417395)
+        (xy 158.991894 126.274954) (xy 159.069212 126.088751) (xy 159.069388 125.887133) (xy 159.069388 127.883128) (xy 159.063751 127.880788)
+        (xy 158.862133 127.880612) (xy 158.675796 127.957605) (xy 158.533106 128.100046) (xy 158.455788 128.286249) (xy 158.455612 128.487867)
+        (xy 158.532605 128.674204) (xy 158.675046 128.816894) (xy 158.861249 128.894212) (xy 159.062867 128.894388) (xy 159.249204 128.817395)
+        (xy 159.362572 128.704224) (xy 159.475046 128.816894) (xy 159.661249 128.894212) (xy 159.862867 128.894388) (xy 159.961873 128.853479)
+        (xy 160.100046 128.991894) (xy 160.286249 129.069212) (xy 160.487867 129.069388) (xy 160.674204 128.992395) (xy 160.816894 128.849954)
+        (xy 160.894212 128.663751) (xy 160.894283 128.581459) (xy 160.932605 128.674204) (xy 161.075046 128.816894) (xy 161.261249 128.894212)
+        (xy 161.462867 128.894388) (xy 161.649204 128.817395) (xy 161.73755 128.729202) (xy 161.850046 128.841894) (xy 162.036249 128.919212)
+        (xy 162.237867 128.919388) (xy 162.424204 128.842395) (xy 162.566894 128.699954) (xy 162.644212 128.513751) (xy 162.644388 128.312133)
+        (xy 162.567395 128.125796) (xy 162.454202 128.012405) (xy 162.591894 127.874954) (xy 162.669212 127.688751) (xy 162.669388 127.487133)
+        (xy 162.669388 131.164001) (xy 162.562427 131.270775) (xy 162.449954 131.158106) (xy 162.263751 131.080788) (xy 162.244388 131.080771)
+        (xy 162.244388 129.512133) (xy 162.167395 129.325796) (xy 162.024954 129.183106) (xy 161.838751 129.105788) (xy 161.637133 129.105612)
+        (xy 161.450796 129.182605) (xy 161.308106 129.325046) (xy 161.230788 129.511249) (xy 161.230612 129.712867) (xy 161.307605 129.899204)
+        (xy 161.450046 130.041894) (xy 161.636249 130.119212) (xy 161.837867 130.119388) (xy 162.024204 130.042395) (xy 162.166894 129.899954)
+        (xy 162.244212 129.713751) (xy 162.244388 129.512133) (xy 162.244388 131.080771) (xy 162.062133 131.080612) (xy 161.875796 131.157605)
+        (xy 161.733106 131.300046) (xy 161.655788 131.486249) (xy 161.655612 131.687867) (xy 161.732605 131.874204) (xy 161.875046 132.016894)
+        (xy 162.061249 132.094212) (xy 162.262867 132.094388) (xy 162.449204 132.017395) (xy 162.562572 131.904224) (xy 162.675046 132.016894)
+        (xy 162.861249 132.094212) (xy 163.062867 132.094388) (xy 163.249204 132.017395) (xy 163.391894 131.874954) (xy 163.469212 131.688751)
+        (xy 163.469388 131.487133) (xy 163.469388 148.739496) (xy 163.350732 148.858152) (xy 163.350732 148.351864) (xy 163.294608 148.147342)
+        (xy 162.842909 148.016013) (xy 162.669388 148.035134) (xy 162.669388 133.087133) (xy 162.592395 132.900796) (xy 162.449954 132.758106)
+        (xy 162.263751 132.680788) (xy 162.062133 132.680612) (xy 161.875796 132.757605) (xy 161.733106 132.900046) (xy 161.655788 133.086249)
+        (xy 161.655612 133.287867) (xy 161.732605 133.474204) (xy 161.875046 133.616894) (xy 162.061249 133.694212) (xy 162.262867 133.694388)
+        (xy 162.449204 133.617395) (xy 162.591894 133.474954) (xy 162.669212 133.288751) (xy 162.669388 133.087133) (xy 162.669388 148.035134)
+        (xy 162.375336 148.067539) (xy 162.182672 148.147342) (xy 162.126548 148.351864) (xy 162.73864 148.963956) (xy 163.350732 148.351864)
+        (xy 163.350732 148.858152) (xy 163.044817 149.164067) (xy 162.991784 149.2171) (xy 162.73864 149.470244) (xy 162.685607 149.523277)
+        (xy 162.485496 149.723388) (xy 162.485496 149.2171) (xy 161.873404 148.605008) (xy 161.668882 148.661132) (xy 161.537553 149.112831)
+        (xy 161.589079 149.580404) (xy 161.668882 149.773068) (xy 161.873404 149.829192) (xy 162.485496 149.2171) (xy 162.485496 149.723388)
+        (xy 162.126548 150.082336) (xy 162.182672 150.286858) (xy 162.634371 150.418187) (xy 162.740125 150.406532) (xy 162.680553 150.611431)
+        (xy 162.732079 151.079004) (xy 162.811882 151.271668) (xy 162.933176 151.304953) (xy 162.898789 151.33934) (xy 162.564833 151.339049)
+        (xy 162.242147 151.47238) (xy 161.995048 151.719048) (xy 161.861154 152.041501) (xy 161.860849 152.390647) (xy 161.99418 152.713333)
+        (xy 162.240848 152.960432) (xy 162.563301 153.094326) (xy 162.912447 153.094631) (xy 163.235133 152.9613) (xy 163.482232 152.714632)
+        (xy 163.616126 152.392179) (xy 163.616431 152.043033) (xy 163.535171 151.846368) (xy 163.777371 151.916787) (xy 164.221816 151.867809)
+        (xy 164.149694 152.041501) (xy 164.149389 152.390647) (xy 164.28272 152.713333) (xy 164.529388 152.960432) (xy 164.851841 153.094326)
+        (xy 165.200987 153.094631) (xy 165.523673 152.9613) (xy 165.770772 152.714632) (xy 165.904666 152.392179) (xy 165.904971 152.043033)
+        (xy 165.904971 156.749419) (xy 161.069388 156.68163) (xy 161.069388 131.487133) (xy 160.992395 131.300796) (xy 160.849954 131.158106)
+        (xy 160.663751 131.080788) (xy 160.462133 131.080612) (xy 160.275796 131.157605) (xy 160.133106 131.300046) (xy 160.055788 131.486249)
+        (xy 160.055612 131.687867) (xy 160.132605 131.874204) (xy 160.275046 132.016894) (xy 160.461249 132.094212) (xy 160.662867 132.094388)
+        (xy 160.849204 132.017395) (xy 160.991894 131.874954) (xy 161.069212 131.688751) (xy 161.069388 131.487133) (xy 161.069388 156.68163)
+        (xy 160.669388 156.676023) (xy 160.669388 133.187133) (xy 160.592395 133.000796) (xy 160.449954 132.858106) (xy 160.263751 132.780788)
+        (xy 160.062133 132.780612) (xy 159.875796 132.857605) (xy 159.733106 133.000046) (xy 159.655788 133.186249) (xy 159.655612 133.387867)
+        (xy 159.732605 133.574204) (xy 159.875046 133.716894) (xy 160.061249 133.794212) (xy 160.262867 133.794388) (xy 160.449204 133.717395)
+        (xy 160.591894 133.574954) (xy 160.669212 133.388751) (xy 160.669388 133.187133) (xy 160.669388 156.676023) (xy 159.069388 156.653593)
+        (xy 159.069388 133.212133) (xy 158.992395 133.025796) (xy 158.849954 132.883106) (xy 158.663751 132.805788) (xy 158.462133 132.805612)
+        (xy 158.275796 132.882605) (xy 158.133106 133.025046) (xy 158.055788 133.211249) (xy 158.055612 133.412867) (xy 158.132605 133.599204)
+        (xy 158.275046 133.741894) (xy 158.461249 133.819212) (xy 158.662867 133.819388) (xy 158.849204 133.742395) (xy 158.991894 133.599954)
+        (xy 159.069212 133.413751) (xy 159.069388 133.212133) (xy 159.069388 156.653593) (xy 157.872551 156.636815) (xy 157.872551 150.665762)
+        (xy 157.669388 150.174069) (xy 157.669388 131.537133) (xy 157.592395 131.350796) (xy 157.449954 131.208106) (xy 157.263751 131.130788)
+        (xy 157.062133 131.130612) (xy 156.875796 131.207605) (xy 156.733106 131.350046) (xy 156.669373 131.50353) (xy 156.669388 131.487133)
+        (xy 156.592395 131.300796) (xy 156.449954 131.158106) (xy 156.263751 131.080788) (xy 156.062133 131.080612) (xy 155.875796 131.157605)
+        (xy 155.794388 131.23887) (xy 155.794388 120.487133) (xy 155.717395 120.300796) (xy 155.574954 120.158106) (xy 155.388751 120.080788)
+        (xy 155.294388 120.080705) (xy 155.294388 109.312133) (xy 155.217395 109.125796) (xy 155.074954 108.983106) (xy 154.888751 108.905788)
+        (xy 154.687133 108.905612) (xy 154.500796 108.982605) (xy 154.358106 109.125046) (xy 154.280788 109.311249) (xy 154.280612 109.512867)
+        (xy 154.2867 109.527602) (xy 154.113751 109.455788) (xy 153.936205 109.455633) (xy 153.941894 109.449954) (xy 154.019212 109.263751)
+        (xy 154.019388 109.062133) (xy 153.942395 108.875796) (xy 153.855379 108.788627) (xy 153.855379 95.208999) (xy 153.697352 94.826544)
+        (xy 153.404995 94.533676) (xy 153.022816 94.374982) (xy 152.608999 94.374621) (xy 152.585379 94.38438) (xy 152.585379 92.668999)
+        (xy 152.427352 92.286544) (xy 152.134995 91.993676) (xy 151.752816 91.834982) (xy 151.338999 91.834621) (xy 150.956544 91.992648)
+        (xy 150.663676 92.285005) (xy 150.504982 92.667184) (xy 150.504621 93.081001) (xy 150.662648 93.463456) (xy 150.955005 93.756324)
+        (xy 151.337184 93.915018) (xy 151.751001 93.915379) (xy 152.133456 93.757352) (xy 152.426324 93.464995) (xy 152.585018 93.082816)
+        (xy 152.585379 92.668999) (xy 152.585379 94.38438) (xy 152.226544 94.532648) (xy 151.933676 94.825005) (xy 151.774982 95.207184)
+        (xy 151.774621 95.621001) (xy 151.932648 96.003456) (xy 152.225005 96.296324) (xy 152.607184 96.455018) (xy 153.021001 96.455379)
+        (xy 153.403456 96.297352) (xy 153.696324 96.004995) (xy 153.855018 95.622816) (xy 153.855379 95.208999) (xy 153.855379 108.788627)
+        (xy 153.799954 108.733106) (xy 153.613751 108.655788) (xy 153.412133 108.655612) (xy 153.225796 108.732605) (xy 153.083106 108.875046)
+        (xy 153.044338 108.968407) (xy 153.044388 108.912133) (xy 152.967395 108.725796) (xy 152.824954 108.583106) (xy 152.638751 108.505788)
+        (xy 152.569388 108.505727) (xy 152.569388 104.687133) (xy 152.492395 104.500796) (xy 152.349954 104.358106) (xy 152.269388 104.324652)
+        (xy 152.269388 103.637133) (xy 152.192395 103.450796) (xy 152.049954 103.308106) (xy 151.863751 103.230788) (xy 151.662133 103.230612)
+        (xy 151.475796 103.307605) (xy 151.333106 103.450046) (xy 151.315379 103.492735) (xy 151.315379 95.208999) (xy 151.157352 94.826544)
+        (xy 150.864995 94.533676) (xy 150.482816 94.374982) (xy 150.068999 94.374621) (xy 150.045379 94.38438) (xy 150.045379 92.668999)
+        (xy 149.887352 92.286544) (xy 149.594995 91.993676) (xy 149.212816 91.834982) (xy 149.135379 91.834914) (xy 149.135379 81.418999)
+        (xy 148.977352 81.036544) (xy 148.684995 80.743676) (xy 148.302816 80.584982) (xy 147.888999 80.584621) (xy 147.506544 80.742648)
+        (xy 147.213676 81.035005) (xy 147.054982 81.417184) (xy 147.054621 81.831001) (xy 147.212648 82.213456) (xy 147.505005 82.506324)
+        (xy 147.887184 82.665018) (xy 148.301001 82.665379) (xy 148.683456 82.507352) (xy 148.976324 82.214995) (xy 149.135018 81.832816)
+        (xy 149.135379 81.418999) (xy 149.135379 91.834914) (xy 148.798999 91.834621) (xy 148.416544 91.992648) (xy 148.123676 92.285005)
+        (xy 147.964982 92.667184) (xy 147.964621 93.081001) (xy 148.122648 93.463456) (xy 148.415005 93.756324) (xy 148.797184 93.915018)
+        (xy 149.211001 93.915379) (xy 149.593456 93.757352) (xy 149.886324 93.464995) (xy 150.045018 93.082816) (xy 150.045379 92.668999)
+        (xy 150.045379 94.38438) (xy 149.686544 94.532648) (xy 149.393676 94.825005) (xy 149.234982 95.207184) (xy 149.234621 95.621001)
+        (xy 149.392648 96.003456) (xy 149.685005 96.296324) (xy 150.067184 96.455018) (xy 150.481001 96.455379) (xy 150.863456 96.297352)
+        (xy 151.156324 96.004995) (xy 151.315018 95.622816) (xy 151.315379 95.208999) (xy 151.315379 103.492735) (xy 151.302189 103.5245)
+        (xy 151.292395 103.500796) (xy 151.149954 103.358106) (xy 150.963751 103.280788) (xy 150.762133 103.280612) (xy 150.575796 103.357605)
+        (xy 150.462427 103.470775) (xy 150.349954 103.358106) (xy 150.163751 103.280788) (xy 149.962133 103.280612) (xy 149.775796 103.357605)
+        (xy 149.633106 103.500046) (xy 149.555788 103.686249) (xy 149.555612 103.887867) (xy 149.632605 104.074204) (xy 149.775046 104.216894)
+        (xy 149.961249 104.294212) (xy 150.162867 104.294388) (xy 150.349204 104.217395) (xy 150.462572 104.104224) (xy 150.575046 104.216894)
+        (xy 150.761249 104.294212) (xy 150.962867 104.294388) (xy 151.149204 104.217395) (xy 151.291894 104.074954) (xy 151.32281 104.000499)
+        (xy 151.332605 104.024204) (xy 151.475046 104.166894) (xy 151.661249 104.244212) (xy 151.862867 104.244388) (xy 152.049204 104.167395)
+        (xy 152.191894 104.024954) (xy 152.269212 103.838751) (xy 152.269388 103.637133) (xy 152.269388 104.324652) (xy 152.163751 104.280788)
+        (xy 151.962133 104.280612) (xy 151.775796 104.357605) (xy 151.633106 104.500046) (xy 151.555788 104.686249) (xy 151.555612 104.887867)
+        (xy 151.632605 105.074204) (xy 151.775046 105.216894) (xy 151.961249 105.294212) (xy 152.162867 105.294388) (xy 152.349204 105.217395)
+        (xy 152.491894 105.074954) (xy 152.569212 104.888751) (xy 152.569388 104.687133) (xy 152.569388 108.505727) (xy 152.437133 108.505612)
+        (xy 152.250796 108.582605) (xy 152.108106 108.725046) (xy 152.030788 108.911249) (xy 152.030612 109.112867) (xy 152.107605 109.299204)
+        (xy 152.250046 109.441894) (xy 152.436249 109.519212) (xy 152.637867 109.519388) (xy 152.824204 109.442395) (xy 152.966894 109.299954)
+        (xy 153.005661 109.206592) (xy 153.005612 109.262867) (xy 153.082605 109.449204) (xy 153.225046 109.591894) (xy 153.411249 109.669212)
+        (xy 153.588794 109.669366) (xy 153.583106 109.675046) (xy 153.505788 109.861249) (xy 153.505654 110.013904) (xy 153.449954 109.958106)
+        (xy 153.263751 109.880788) (xy 153.062133 109.880612) (xy 152.875796 109.957605) (xy 152.733106 110.100046) (xy 152.655788 110.286249)
+        (xy 152.655612 110.487867) (xy 152.732605 110.674204) (xy 152.875046 110.816894) (xy 153.061249 110.894212) (xy 153.262867 110.894388)
+        (xy 153.449204 110.817395) (xy 153.591894 110.674954) (xy 153.669212 110.488751) (xy 153.669345 110.336095) (xy 153.725046 110.391894)
+        (xy 153.911249 110.469212) (xy 154.112867 110.469388) (xy 154.180696 110.441361) (xy 154.180612 110.537867) (xy 154.257605 110.724204)
+        (xy 154.400046 110.866894) (xy 154.586249 110.944212) (xy 154.787867 110.944388) (xy 154.974204 110.867395) (xy 155.116894 110.724954)
+        (xy 155.194212 110.538751) (xy 155.194388 110.337133) (xy 155.117395 110.150796) (xy 154.974954 110.008106) (xy 154.788751 109.930788)
+        (xy 154.587133 109.930612) (xy 154.519303 109.958638) (xy 154.519388 109.862133) (xy 154.513299 109.847397) (xy 154.686249 109.919212)
+        (xy 154.887867 109.919388) (xy 155.074204 109.842395) (xy 155.216894 109.699954) (xy 155.294212 109.513751) (xy 155.294388 109.312133)
+        (xy 155.294388 120.080705) (xy 155.187133 120.080612) (xy 155.094388 120.118933) (xy 155.094388 117.687133) (xy 155.017395 117.500796)
+        (xy 154.969388 117.452705) (xy 154.969388 114.487133) (xy 154.892395 114.300796) (xy 154.749954 114.158106) (xy 154.563751 114.080788)
+        (xy 154.362133 114.080612) (xy 154.175796 114.157605) (xy 154.033106 114.300046) (xy 154.019388 114.333082) (xy 154.019388 114.087133)
+        (xy 153.942395 113.900796) (xy 153.799954 113.758106) (xy 153.613751 113.680788) (xy 153.412133 113.680612) (xy 153.225796 113.757605)
+        (xy 153.083106 113.900046) (xy 153.005788 114.086249) (xy 153.005612 114.287867) (xy 153.082605 114.474204) (xy 153.225046 114.616894)
+        (xy 153.411249 114.694212) (xy 153.612867 114.694388) (xy 153.799204 114.617395) (xy 153.941894 114.474954) (xy 154.019212 114.288751)
+        (xy 154.019388 114.087133) (xy 154.019388 114.333082) (xy 153.955788 114.486249) (xy 153.955612 114.687867) (xy 154.032605 114.874204)
+        (xy 154.175046 115.016894) (xy 154.361249 115.094212) (xy 154.562867 115.094388) (xy 154.749204 115.017395) (xy 154.891894 114.874954)
+        (xy 154.969212 114.688751) (xy 154.969388 114.487133) (xy 154.969388 117.452705) (xy 154.874954 117.358106) (xy 154.688751 117.280788)
+        (xy 154.487133 117.280612) (xy 154.419388 117.308603) (xy 154.419388 116.487133) (xy 154.342395 116.300796) (xy 154.199954 116.158106)
+        (xy 154.013751 116.080788) (xy 153.812133 116.080612) (xy 153.625796 116.157605) (xy 153.483106 116.300046) (xy 153.469388 116.333082)
+        (xy 153.469388 115.687133) (xy 153.392395 115.500796) (xy 153.249954 115.358106) (xy 153.063751 115.280788) (xy 152.969388 115.280705)
+        (xy 152.969388 111.137133) (xy 152.892395 110.950796) (xy 152.749954 110.808106) (xy 152.563751 110.730788) (xy 152.362133 110.730612)
+        (xy 152.175796 110.807605) (xy 152.033106 110.950046) (xy 151.955788 111.136249) (xy 151.955612 111.337867) (xy 152.032605 111.524204)
+        (xy 152.175046 111.666894) (xy 152.361249 111.744212) (xy 152.562867 111.744388) (xy 152.749204 111.667395) (xy 152.891894 111.524954)
+        (xy 152.969212 111.338751) (xy 152.969388 111.137133) (xy 152.969388 115.280705) (xy 152.862133 115.280612) (xy 152.675796 115.357605)
+        (xy 152.533106 115.500046) (xy 152.455788 115.686249) (xy 152.455612 115.887867) (xy 152.532605 116.074204) (xy 152.675046 116.216894)
+        (xy 152.861249 116.294212) (xy 153.062867 116.294388) (xy 153.249204 116.217395) (xy 153.391894 116.074954) (xy 153.469212 115.888751)
+        (xy 153.469388 115.687133) (xy 153.469388 116.333082) (xy 153.405788 116.486249) (xy 153.405612 116.687867) (xy 153.482605 116.874204)
+        (xy 153.625046 117.016894) (xy 153.811249 117.094212) (xy 154.012867 117.094388) (xy 154.199204 117.017395) (xy 154.341894 116.874954)
+        (xy 154.419212 116.688751) (xy 154.419388 116.487133) (xy 154.419388 117.308603) (xy 154.300796 117.357605) (xy 154.158106 117.500046)
+        (xy 154.080788 117.686249) (xy 154.080612 117.887867) (xy 154.157605 118.074204) (xy 154.300046 118.216894) (xy 154.486249 118.294212)
+        (xy 154.687867 118.294388) (xy 154.874204 118.217395) (xy 155.016894 118.074954) (xy 155.094212 117.888751) (xy 155.094388 117.687133)
+        (xy 155.094388 120.118933) (xy 155.000796 120.157605) (xy 154.858106 120.300046) (xy 154.780788 120.486249) (xy 154.780612 120.687867)
+        (xy 154.857605 120.874204) (xy 155.000046 121.016894) (xy 155.186249 121.094212) (xy 155.387867 121.094388) (xy 155.574204 121.017395)
+        (xy 155.716894 120.874954) (xy 155.794212 120.688751) (xy 155.794388 120.487133) (xy 155.794388 131.23887) (xy 155.733106 131.300046)
+        (xy 155.655788 131.486249) (xy 155.655612 131.687867) (xy 155.732605 131.874204) (xy 155.875046 132.016894) (xy 156.061249 132.094212)
+        (xy 156.262867 132.094388) (xy 156.449204 132.017395) (xy 156.591894 131.874954) (xy 156.655626 131.721469) (xy 156.655612 131.737867)
+        (xy 156.732605 131.924204) (xy 156.795819 131.987529) (xy 156.683106 132.100046) (xy 156.605788 132.286249) (xy 156.605612 132.487867)
+        (xy 156.682605 132.674204) (xy 156.825046 132.816894) (xy 157.011249 132.894212) (xy 157.212867 132.894388) (xy 157.399204 132.817395)
+        (xy 157.541894 132.674954) (xy 157.619212 132.488751) (xy 157.619388 132.287133) (xy 157.542395 132.100796) (xy 157.47918 132.03747)
+        (xy 157.591894 131.924954) (xy 157.669212 131.738751) (xy 157.669388 131.537133) (xy 157.669388 150.174069) (xy 157.494388 149.750536)
+        (xy 157.494388 141.887133) (xy 157.417395 141.700796) (xy 157.274954 141.558106) (xy 157.088751 141.480788) (xy 156.887133 141.480612)
+        (xy 156.700796 141.557605) (xy 156.558106 141.700046) (xy 156.480788 141.886249) (xy 156.480612 142.087867) (xy 156.557605 142.274204)
+        (xy 156.700046 142.416894) (xy 156.886249 142.494212) (xy 157.087867 142.494388) (xy 157.274204 142.417395) (xy 157.416894 142.274954)
+        (xy 157.494212 142.088751) (xy 157.494388 141.887133) (xy 157.494388 149.750536) (xy 157.450391 149.644056) (xy 156.669376 148.861677)
+        (xy 155.669388 148.446445) (xy 155.669388 134.387133) (xy 155.592395 134.200796) (xy 155.544388 134.152705) (xy 155.544388 121.687133)
+        (xy 155.467395 121.500796) (xy 155.324954 121.358106) (xy 155.138751 121.280788) (xy 154.937133 121.280612) (xy 154.750796 121.357605)
+        (xy 154.608106 121.500046) (xy 154.530788 121.686249) (xy 154.530612 121.887867) (xy 154.607605 122.074204) (xy 154.750046 122.216894)
+        (xy 154.936249 122.294212) (xy 155.137867 122.294388) (xy 155.324204 122.217395) (xy 155.466894 122.074954) (xy 155.544212 121.888751)
+        (xy 155.544388 121.687133) (xy 155.544388 134.152705) (xy 155.449954 134.058106) (xy 155.263751 133.980788) (xy 155.062133 133.980612)
+        (xy 154.875796 134.057605) (xy 154.733106 134.200046) (xy 154.655788 134.386249) (xy 154.655612 134.587867) (xy 154.732605 134.774204)
+        (xy 154.875046 134.916894) (xy 155.061249 134.994212) (xy 155.262867 134.994388) (xy 155.449204 134.917395) (xy 155.591894 134.774954)
+        (xy 155.669212 134.588751) (xy 155.669388 134.387133) (xy 155.669388 148.446445) (xy 155.648408 148.437734) (xy 154.944388 148.437119)
+        (xy 154.944388 141.437133) (xy 154.867395 141.250796) (xy 154.724954 141.108106) (xy 154.538751 141.030788) (xy 154.337133 141.030612)
+        (xy 154.194388 141.089593) (xy 154.194388 134.337133) (xy 154.117395 134.150796) (xy 153.974954 134.008106) (xy 153.788751 133.930788)
+        (xy 153.587133 133.930612) (xy 153.400796 134.007605) (xy 153.258106 134.150046) (xy 153.180788 134.336249) (xy 153.180612 134.537867)
+        (xy 153.257605 134.724204) (xy 153.400046 134.866894) (xy 153.586249 134.944212) (xy 153.787867 134.944388) (xy 153.974204 134.867395)
+        (xy 154.116894 134.724954) (xy 154.194212 134.538751) (xy 154.194388 134.337133) (xy 154.194388 141.089593) (xy 154.150796 141.107605)
+        (xy 154.008106 141.250046) (xy 153.930788 141.436249) (xy 153.930612 141.637867) (xy 154.007605 141.824204) (xy 154.150046 141.966894)
+        (xy 154.336249 142.044212) (xy 154.537867 142.044388) (xy 154.724204 141.967395) (xy 154.866894 141.824954) (xy 154.944212 141.638751)
+        (xy 154.944388 141.437133) (xy 154.944388 148.437119) (xy 154.542922 148.436769) (xy 153.521216 148.858929) (xy 153.019388 149.359882)
+        (xy 153.019388 131.162133) (xy 152.942395 130.975796) (xy 152.819388 130.852573) (xy 152.819388 126.937133) (xy 152.794388 126.876628)
+        (xy 152.794388 122.887133) (xy 152.717395 122.700796) (xy 152.574954 122.558106) (xy 152.388751 122.480788) (xy 152.319388 122.480727)
+        (xy 152.319388 114.887133) (xy 152.242395 114.700796) (xy 152.099954 114.558106) (xy 151.913751 114.480788) (xy 151.712133 114.480612)
+        (xy 151.525796 114.557605) (xy 151.383106 114.700046) (xy 151.305788 114.886249) (xy 151.305612 115.087867) (xy 151.382605 115.274204)
+        (xy 151.525046 115.416894) (xy 151.711249 115.494212) (xy 151.912867 115.494388) (xy 152.099204 115.417395) (xy 152.241894 115.274954)
+        (xy 152.319212 115.088751) (xy 152.319388 114.887133) (xy 152.319388 122.480727) (xy 152.187133 122.480612) (xy 152.144388 122.498273)
+        (xy 152.144388 121.287133) (xy 152.067395 121.100796) (xy 151.924954 120.958106) (xy 151.738751 120.880788) (xy 151.537133 120.880612)
+        (xy 151.369388 120.949922) (xy 151.369388 116.887133) (xy 151.292395 116.700796) (xy 151.149954 116.558106) (xy 150.969388 116.483128)
+        (xy 150.969388 104.687133) (xy 150.892395 104.500796) (xy 150.749954 104.358106) (xy 150.563751 104.280788) (xy 150.362133 104.280612)
+        (xy 150.175796 104.357605) (xy 150.062427 104.470775) (xy 149.949954 104.358106) (xy 149.763751 104.280788) (xy 149.562133 104.280612)
+        (xy 149.375796 104.357605) (xy 149.287427 104.445819) (xy 149.149954 104.308106) (xy 149.1301 104.299861) (xy 149.1301 96.061543)
+        (xy 149.1301 94.768457) (xy 149.129898 94.536526) (xy 149.040954 94.322326) (xy 148.876811 94.158469) (xy 148.662457 94.0699)
+        (xy 148.10975 94.07) (xy 147.964 94.21575) (xy 147.964 95.236) (xy 148.98425 95.236) (xy 149.13 95.09025)
+        (xy 149.1301 94.768457) (xy 149.1301 96.061543) (xy 149.13 95.73975) (xy 148.98425 95.594) (xy 147.964 95.594)
+        (xy 147.964 96.61425) (xy 148.10975 96.76) (xy 148.662457 96.7601) (xy 148.876811 96.671531) (xy 149.040954 96.507674)
+        (xy 149.129898 96.293474) (xy 149.1301 96.061543) (xy 149.1301 104.299861) (xy 148.969388 104.233128) (xy 148.969388 103.687133)
+        (xy 148.892395 103.500796) (xy 148.749954 103.358106) (xy 148.563751 103.280788) (xy 148.362133 103.280612) (xy 148.175796 103.357605)
+        (xy 148.033106 103.500046) (xy 147.955788 103.686249) (xy 147.955612 103.887867) (xy 148.032605 104.074204) (xy 148.175046 104.216894)
+        (xy 148.361249 104.294212) (xy 148.562867 104.294388) (xy 148.749204 104.217395) (xy 148.891894 104.074954) (xy 148.969212 103.888751)
+        (xy 148.969388 103.687133) (xy 148.969388 104.233128) (xy 148.963751 104.230788) (xy 148.762133 104.230612) (xy 148.575796 104.307605)
+        (xy 148.433106 104.450046) (xy 148.355788 104.636249) (xy 148.355612 104.837867) (xy 148.432605 105.024204) (xy 148.575046 105.166894)
+        (xy 148.761249 105.244212) (xy 148.783616 105.244231) (xy 148.755788 105.311249) (xy 148.755612 105.512867) (xy 148.832605 105.699204)
+        (xy 148.975046 105.841894) (xy 149.161249 105.919212) (xy 149.362867 105.919388) (xy 149.549204 105.842395) (xy 149.691894 105.699954)
+        (xy 149.769212 105.513751) (xy 149.769388 105.312133) (xy 149.762055 105.294387) (xy 149.762867 105.294388) (xy 149.949204 105.217395)
+        (xy 150.062572 105.104224) (xy 150.175046 105.216894) (xy 150.361249 105.294212) (xy 150.562867 105.294388) (xy 150.749204 105.217395)
+        (xy 150.891894 105.074954) (xy 150.969212 104.888751) (xy 150.969388 104.687133) (xy 150.969388 116.483128) (xy 150.963751 116.480788)
+        (xy 150.835279 116.480675) (xy 150.894212 116.338751) (xy 150.894388 116.137133) (xy 150.817395 115.950796) (xy 150.674954 115.808106)
+        (xy 150.488751 115.730788) (xy 150.287133 115.730612) (xy 150.100796 115.807605) (xy 150.069388 115.838958) (xy 150.069388 115.287133)
+        (xy 149.992395 115.100796) (xy 149.849954 114.958106) (xy 149.663751 114.880788) (xy 149.462133 114.880612) (xy 149.294388 114.949922)
+        (xy 149.294388 113.287133) (xy 149.217395 113.100796) (xy 149.074954 112.958106) (xy 148.888751 112.880788) (xy 148.687133 112.880612)
+        (xy 148.500796 112.957605) (xy 148.358106 113.100046) (xy 148.283066 113.280761) (xy 148.144388 113.28064) (xy 148.144388 104.487133)
+        (xy 148.067395 104.300796) (xy 147.924954 104.158106) (xy 147.738751 104.080788) (xy 147.606 104.080672) (xy 147.606 96.61425)
+        (xy 147.606 95.594) (xy 147.606 95.236) (xy 147.606 94.21575) (xy 147.46025 94.07) (xy 146.907543 94.0699)
+        (xy 146.693189 94.158469) (xy 146.529046 94.322326) (xy 146.440102 94.536526) (xy 146.4399 94.768457) (xy 146.44 95.09025)
+        (xy 146.58575 95.236) (xy 147.606 95.236) (xy 147.606 95.594) (xy 146.58575 95.594) (xy 146.44 95.73975)
+        (xy 146.4399 96.061543) (xy 146.440102 96.293474) (xy 146.529046 96.507674) (xy 146.693189 96.671531) (xy 146.907543 96.7601)
+        (xy 147.46025 96.76) (xy 147.606 96.61425) (xy 147.606 104.080672) (xy 147.537133 104.080612) (xy 147.350796 104.157605)
+        (xy 147.208106 104.300046) (xy 147.130788 104.486249) (xy 147.130612 104.687867) (xy 147.207605 104.874204) (xy 147.350046 105.016894)
+        (xy 147.536249 105.094212) (xy 147.737867 105.094388) (xy 147.924204 105.017395) (xy 148.066894 104.874954) (xy 148.144212 104.688751)
+        (xy 148.144388 104.487133) (xy 148.144388 113.28064) (xy 148.112133 113.280612) (xy 147.925796 113.357605) (xy 147.783106 113.500046)
+        (xy 147.705788 113.686249) (xy 147.705612 113.887867) (xy 147.782605 114.074204) (xy 147.925046 114.216894) (xy 148.111249 114.294212)
+        (xy 148.312867 114.294388) (xy 148.499204 114.217395) (xy 148.641894 114.074954) (xy 148.716933 113.894238) (xy 148.887867 113.894388)
+        (xy 149.074204 113.817395) (xy 149.216894 113.674954) (xy 149.294212 113.488751) (xy 149.294388 113.287133) (xy 149.294388 114.949922)
+        (xy 149.275796 114.957605) (xy 149.133106 115.100046) (xy 149.055788 115.286249) (xy 149.055612 115.487867) (xy 149.132605 115.674204)
+        (xy 149.275046 115.816894) (xy 149.461249 115.894212) (xy 149.662867 115.894388) (xy 149.849204 115.817395) (xy 149.991894 115.674954)
+        (xy 150.069212 115.488751) (xy 150.069388 115.287133) (xy 150.069388 115.838958) (xy 149.958106 115.950046) (xy 149.880788 116.136249)
+        (xy 149.880612 116.337867) (xy 149.957605 116.524204) (xy 150.100046 116.666894) (xy 150.286249 116.744212) (xy 150.41472 116.744324)
+        (xy 150.355788 116.886249) (xy 150.355612 117.087867) (xy 150.432605 117.274204) (xy 150.575046 117.416894) (xy 150.761249 117.494212)
+        (xy 150.962867 117.494388) (xy 151.149204 117.417395) (xy 151.291894 117.274954) (xy 151.369212 117.088751) (xy 151.369388 116.887133)
+        (xy 151.369388 120.949922) (xy 151.350796 120.957605) (xy 151.208106 121.100046) (xy 151.130788 121.286249) (xy 151.130612 121.487867)
+        (xy 151.207605 121.674204) (xy 151.350046 121.816894) (xy 151.536249 121.894212) (xy 151.737867 121.894388) (xy 151.924204 121.817395)
+        (xy 152.066894 121.674954) (xy 152.144212 121.488751) (xy 152.144388 121.287133) (xy 152.144388 122.498273) (xy 152.000796 122.557605)
+        (xy 151.858106 122.700046) (xy 151.780788 122.886249) (xy 151.780612 123.087867) (xy 151.857605 123.274204) (xy 152.000046 123.416894)
+        (xy 152.186249 123.494212) (xy 152.387867 123.494388) (xy 152.574204 123.417395) (xy 152.716894 123.274954) (xy 152.794212 123.088751)
+        (xy 152.794388 122.887133) (xy 152.794388 126.876628) (xy 152.742395 126.750796) (xy 152.599954 126.608106) (xy 152.413751 126.530788)
+        (xy 152.212133 126.530612) (xy 152.169388 126.548273) (xy 152.169388 125.687133) (xy 152.092395 125.500796) (xy 151.949954 125.358106)
+        (xy 151.763751 125.280788) (xy 151.562133 125.280612) (xy 151.375796 125.357605) (xy 151.262427 125.470775) (xy 151.149954 125.358106)
+        (xy 150.963751 125.280788) (xy 150.762133 125.280612) (xy 150.575796 125.357605) (xy 150.502418 125.430853) (xy 150.502395 125.430796)
+        (xy 150.359954 125.288106) (xy 150.319388 125.271261) (xy 150.319388 119.287133) (xy 150.242395 119.100796) (xy 150.099954 118.958106)
+        (xy 149.913751 118.880788) (xy 149.712133 118.880612) (xy 149.525796 118.957605) (xy 149.383106 119.100046) (xy 149.305788 119.286249)
+        (xy 149.305612 119.487867) (xy 149.382605 119.674204) (xy 149.525046 119.816894) (xy 149.711249 119.894212) (xy 149.912867 119.894388)
+        (xy 150.099204 119.817395) (xy 150.241894 119.674954) (xy 150.319212 119.488751) (xy 150.319388 119.287133) (xy 150.319388 125.271261)
+        (xy 150.173751 125.210788) (xy 149.972133 125.210612) (xy 149.785796 125.287605) (xy 149.643106 125.430046) (xy 149.619388 125.487164)
+        (xy 149.619388 120.887133) (xy 149.542395 120.700796) (xy 149.399954 120.558106) (xy 149.213751 120.480788) (xy 149.012133 120.480612)
+        (xy 148.825796 120.557605) (xy 148.683106 120.700046) (xy 148.605788 120.886249) (xy 148.605612 121.087867) (xy 148.682605 121.274204)
+        (xy 148.825046 121.416894) (xy 149.011249 121.494212) (xy 149.212867 121.494388) (xy 149.399204 121.417395) (xy 149.541894 121.274954)
+        (xy 149.619212 121.088751) (xy 149.619388 120.887133) (xy 149.619388 125.487164) (xy 149.570804 125.604167) (xy 149.449954 125.483106)
+        (xy 149.263751 125.405788) (xy 149.185943 125.40572) (xy 149.291894 125.299954) (xy 149.369212 125.113751) (xy 149.369388 124.912133)
+        (xy 149.292395 124.725796) (xy 149.149954 124.583106) (xy 148.963751 124.505788) (xy 148.762133 124.505612) (xy 148.575796 124.582605)
+        (xy 148.433106 124.725046) (xy 148.355788 124.911249) (xy 148.355612 125.112867) (xy 148.432605 125.299204) (xy 148.575046 125.441894)
+        (xy 148.761249 125.519212) (xy 148.839056 125.519279) (xy 148.733106 125.625046) (xy 148.655788 125.811249) (xy 148.655612 126.012867)
+        (xy 148.732605 126.199204) (xy 148.875046 126.341894) (xy 149.061249 126.419212) (xy 149.262867 126.419388) (xy 149.449204 126.342395)
+        (xy 149.591894 126.199954) (xy 149.664195 126.025832) (xy 149.785046 126.146894) (xy 149.971249 126.224212) (xy 150.172867 126.224388)
+        (xy 150.359204 126.147395) (xy 150.432581 126.074146) (xy 150.432605 126.074204) (xy 150.575046 126.216894) (xy 150.761249 126.294212)
+        (xy 150.962867 126.294388) (xy 151.149204 126.217395) (xy 151.262572 126.104224) (xy 151.375046 126.216894) (xy 151.561249 126.294212)
+        (xy 151.762867 126.294388) (xy 151.949204 126.217395) (xy 152.091894 126.074954) (xy 152.169212 125.888751) (xy 152.169388 125.687133)
+        (xy 152.169388 126.548273) (xy 152.025796 126.607605) (xy 151.883106 126.750046) (xy 151.805788 126.936249) (xy 151.805612 127.137867)
+        (xy 151.882605 127.324204) (xy 152.025046 127.466894) (xy 152.211249 127.544212) (xy 152.412867 127.544388) (xy 152.599204 127.467395)
+        (xy 152.741894 127.324954) (xy 152.819212 127.138751) (xy 152.819388 126.937133) (xy 152.819388 130.852573) (xy 152.799954 130.833106)
+        (xy 152.613751 130.755788) (xy 152.412133 130.755612) (xy 152.225796 130.832605) (xy 152.083106 130.975046) (xy 152.005788 131.161249)
+        (xy 152.005612 131.362867) (xy 152.082605 131.549204) (xy 152.225046 131.691894) (xy 152.318407 131.730661) (xy 152.262133 131.730612)
+        (xy 152.075796 131.807605) (xy 151.933106 131.950046) (xy 151.855788 132.136249) (xy 151.855612 132.337867) (xy 151.932605 132.524204)
+        (xy 152.075046 132.666894) (xy 152.261249 132.744212) (xy 152.462867 132.744388) (xy 152.649204 132.667395) (xy 152.791894 132.524954)
+        (xy 152.869212 132.338751) (xy 152.869388 132.137133) (xy 152.792395 131.950796) (xy 152.649954 131.808106) (xy 152.556592 131.769338)
+        (xy 152.612867 131.769388) (xy 152.799204 131.692395) (xy 152.941894 131.549954) (xy 153.019212 131.363751) (xy 153.019388 131.162133)
+        (xy 153.019388 149.359882) (xy 152.994388 149.384838) (xy 152.994388 134.387133) (xy 152.917395 134.200796) (xy 152.774954 134.058106)
+        (xy 152.588751 133.980788) (xy 152.387133 133.980612) (xy 152.200796 134.057605) (xy 152.058106 134.200046) (xy 151.980788 134.386249)
+        (xy 151.980612 134.587867) (xy 152.057605 134.774204) (xy 152.200046 134.916894) (xy 152.386249 134.994212) (xy 152.587867 134.994388)
+        (xy 152.774204 134.917395) (xy 152.916894 134.774954) (xy 152.994212 134.588751) (xy 152.994388 134.387133) (xy 152.994388 149.384838)
+        (xy 152.738837 149.639944) (xy 152.314894 150.660912) (xy 152.313929 151.766398) (xy 152.736089 152.788104) (xy 153.517104 153.570483)
+        (xy 154.538072 153.994426) (xy 155.643558 153.995391) (xy 156.665264 153.573231) (xy 157.447643 152.792216) (xy 157.871586 151.771248)
+        (xy 157.872551 150.665762) (xy 157.872551 156.636815) (xy 151.919388 156.553359) (xy 151.919388 133.562133) (xy 151.842395 133.375796)
+        (xy 151.769388 133.302661) (xy 151.769388 127.747133) (xy 151.692395 127.560796) (xy 151.549954 127.418106) (xy 151.363751 127.340788)
+        (xy 151.162133 127.340612) (xy 150.975796 127.417605) (xy 150.833106 127.560046) (xy 150.755788 127.746249) (xy 150.755612 127.947867)
+        (xy 150.832605 128.134204) (xy 150.975046 128.276894) (xy 151.161249 128.354212) (xy 151.362867 128.354388) (xy 151.549204 128.277395)
+        (xy 151.691894 128.134954) (xy 151.769212 127.948751) (xy 151.769388 127.747133) (xy 151.769388 133.302661) (xy 151.699954 133.233106)
+        (xy 151.513751 133.155788) (xy 151.312133 133.155612) (xy 151.125796 133.232605) (xy 150.983106 133.375046) (xy 150.905788 133.561249)
+        (xy 150.905612 133.762867) (xy 150.982605 133.949204) (xy 151.125046 134.091894) (xy 151.311249 134.169212) (xy 151.512867 134.169388)
+        (xy 151.699204 134.092395) (xy 151.841894 133.949954) (xy 151.919212 133.763751) (xy 151.919388 133.562133) (xy 151.919388 156.553359)
+        (xy 150.319388 156.530929) (xy 150.319388 131.887133) (xy 150.242395 131.700796) (xy 150.099954 131.558106) (xy 149.913751 131.480788)
+        (xy 149.712133 131.480612) (xy 149.525796 131.557605) (xy 149.383106 131.700046) (xy 149.305788 131.886249) (xy 149.305612 132.087867)
+        (xy 149.382605 132.274204) (xy 149.525046 132.416894) (xy 149.711249 132.494212) (xy 149.912867 132.494388) (xy 150.099204 132.417395)
+        (xy 150.241894 132.274954) (xy 150.319212 132.088751) (xy 150.319388 131.887133) (xy 150.319388 156.530929) (xy 150.097218 156.527815)
+        (xy 150.097218 140.801922) (xy 149.993214 140.550212) (xy 149.800801 140.357463) (xy 149.549273 140.253019) (xy 149.276922 140.252782)
+        (xy 149.025212 140.356786) (xy 148.832463 140.549199) (xy 148.728019 140.800727) (xy 148.727782 141.073078) (xy 148.831786 141.324788)
+        (xy 149.024199 141.517537) (xy 149.275727 141.621981) (xy 149.548078 141.622218) (xy 149.799788 141.518214) (xy 149.992537 141.325801)
+        (xy 150.096981 141.074273) (xy 150.097218 140.801922) (xy 150.097218 156.527815) (xy 148.644388 156.507448) (xy 148.644388 126.887133)
+        (xy 148.567395 126.700796) (xy 148.424954 126.558106) (xy 148.238751 126.480788) (xy 148.044388 126.480618) (xy 148.044388 119.687133)
+        (xy 147.967395 119.500796) (xy 147.824954 119.358106) (xy 147.638751 119.280788) (xy 147.469388 119.28064) (xy 147.469388 118.087133)
+        (xy 147.392395 117.900796) (xy 147.369388 117.877748) (xy 147.369388 105.687133) (xy 147.292395 105.500796) (xy 147.149954 105.358106)
+        (xy 146.963751 105.280788) (xy 146.762133 105.280612) (xy 146.575796 105.357605) (xy 146.433106 105.500046) (xy 146.355788 105.686249)
+        (xy 146.355612 105.887867) (xy 146.432605 106.074204) (xy 146.575046 106.216894) (xy 146.761249 106.294212) (xy 146.962867 106.294388)
+        (xy 147.149204 106.217395) (xy 147.291894 106.074954) (xy 147.369212 105.888751) (xy 147.369388 105.687133) (xy 147.369388 117.877748)
+        (xy 147.249954 117.758106) (xy 147.063751 117.680788) (xy 146.862133 117.680612) (xy 146.675796 117.757605) (xy 146.533106 117.900046)
+        (xy 146.469388 118.053496) (xy 146.469388 108.387133) (xy 146.392395 108.200796) (xy 146.249954 108.058106) (xy 146.063751 107.980788)
+        (xy 145.862133 107.980612) (xy 145.675796 108.057605) (xy 145.533106 108.200046) (xy 145.455788 108.386249) (xy 145.455612 108.587867)
+        (xy 145.532605 108.774204) (xy 145.675046 108.916894) (xy 145.861249 108.994212) (xy 146.062867 108.994388) (xy 146.249204 108.917395)
+        (xy 146.391894 108.774954) (xy 146.469212 108.588751) (xy 146.469388 108.387133) (xy 146.469388 118.053496) (xy 146.455788 118.086249)
+        (xy 146.455612 118.287867) (xy 146.532605 118.474204) (xy 146.675046 118.616894) (xy 146.861249 118.694212) (xy 147.062867 118.694388)
+        (xy 147.249204 118.617395) (xy 147.391894 118.474954) (xy 147.469212 118.288751) (xy 147.469388 118.087133) (xy 147.469388 119.28064)
+        (xy 147.437133 119.280612) (xy 147.250796 119.357605) (xy 147.108106 119.500046) (xy 147.030788 119.686249) (xy 147.030612 119.887867)
+        (xy 147.107605 120.074204) (xy 147.250046 120.216894) (xy 147.436249 120.294212) (xy 147.637867 120.294388) (xy 147.824204 120.217395)
+        (xy 147.966894 120.074954) (xy 148.044212 119.888751) (xy 148.044388 119.687133) (xy 148.044388 126.480618) (xy 148.037133 126.480612)
+        (xy 147.969281 126.508647) (xy 147.969388 126.387133) (xy 147.892395 126.200796) (xy 147.749954 126.058106) (xy 147.563751 125.980788)
+        (xy 147.362133 125.980612) (xy 147.324634 125.996106) (xy 147.369212 125.888751) (xy 147.369388 125.687133) (xy 147.292395 125.500796)
+        (xy 147.149954 125.358106) (xy 146.963751 125.280788) (xy 146.762133 125.280612) (xy 146.644388 125.329263) (xy 146.644388 119.237133)
+        (xy 146.567395 119.050796) (xy 146.424954 118.908106) (xy 146.238751 118.830788) (xy 146.037133 118.830612) (xy 146.00475 118.843992)
+        (xy 146.069212 118.688751) (xy 146.069388 118.487133) (xy 145.992395 118.300796) (xy 145.919388 118.227661) (xy 145.919388 109.837133)
+        (xy 145.842395 109.650796) (xy 145.699954 109.508106) (xy 145.513751 109.430788) (xy 145.312133 109.430612) (xy 145.125796 109.507605)
+        (xy 144.983106 109.650046) (xy 144.905788 109.836249) (xy 144.905612 110.037867) (xy 144.982605 110.224204) (xy 145.125046 110.366894)
+        (xy 145.311249 110.444212) (xy 145.512867 110.444388) (xy 145.699204 110.367395) (xy 145.841894 110.224954) (xy 145.919212 110.038751)
+        (xy 145.919388 109.837133) (xy 145.919388 118.227661) (xy 145.849954 118.158106) (xy 145.663751 118.080788) (xy 145.462133 118.080612)
+        (xy 145.275796 118.157605) (xy 145.133106 118.300046) (xy 145.055788 118.486249) (xy 145.055612 118.687867) (xy 145.132605 118.874204)
+        (xy 145.275046 119.016894) (xy 145.461249 119.094212) (xy 145.662867 119.094388) (xy 145.695249 119.081007) (xy 145.630788 119.236249)
+        (xy 145.630612 119.437867) (xy 145.707605 119.624204) (xy 145.850046 119.766894) (xy 146.036249 119.844212) (xy 146.237867 119.844388)
+        (xy 146.424204 119.767395) (xy 146.566894 119.624954) (xy 146.644212 119.438751) (xy 146.644388 119.237133) (xy 146.644388 125.329263)
+        (xy 146.575796 125.357605) (xy 146.433106 125.500046) (xy 146.355788 125.686249) (xy 146.355612 125.887867) (xy 146.432605 126.074204)
+        (xy 146.575046 126.216894) (xy 146.761249 126.294212) (xy 146.962867 126.294388) (xy 147.000365 126.278893) (xy 146.955788 126.386249)
+        (xy 146.955612 126.587867) (xy 147.032605 126.774204) (xy 147.175046 126.916894) (xy 147.361249 126.994212) (xy 147.562867 126.994388)
+        (xy 147.630718 126.966352) (xy 147.630612 127.087867) (xy 147.707605 127.274204) (xy 147.850046 127.416894) (xy 148.036249 127.494212)
+        (xy 148.237867 127.494388) (xy 148.424204 127.417395) (xy 148.566894 127.274954) (xy 148.644212 127.088751) (xy 148.644388 126.887133)
+        (xy 148.644388 156.507448) (xy 147.527576 156.491792) (xy 147.70685 156.312832) (xy 148.059298 155.464043) (xy 148.0601 154.544989)
+        (xy 147.709134 153.695587) (xy 147.059832 153.04515) (xy 146.211043 152.692702) (xy 145.450562 152.692038) (xy 145.039879 127.198053)
+        (xy 145.211249 127.269212) (xy 145.412867 127.269388) (xy 145.599204 127.192395) (xy 145.741894 127.049954) (xy 145.819212 126.863751)
+        (xy 145.819388 126.662133) (xy 145.742395 126.475796) (xy 145.599954 126.333106) (xy 145.413751 126.255788) (xy 145.212133 126.255612)
+        (xy 145.025936 126.332546) (xy 144.462516 91.357132) (xy 144.854801 91.333821) (xy 145.313547 91.143803) (xy 145.444044 90.877188)
+        (xy 144.448033 89.881177) (xy 144.438587 89.871731) (xy 144.438587 89.87173) (xy 144.430298 89.357154) (xy 144.648144 89.575)
+        (xy 144.701177 89.628033) (xy 145.697188 90.624044) (xy 145.963803 90.493547) (xy 146.195898 89.823271) (xy 146.153821 89.115199)
+        (xy 145.989075 88.717466) (xy 146.01477 88.728136) (xy 146.891384 88.728901) (xy 147.701563 88.394142) (xy 148.321964 87.774823)
+        (xy 148.658136 86.96523) (xy 148.658901 86.088616) (xy 148.324142 85.278437) (xy 147.704823 84.658036) (xy 146.89523 84.321864)
+        (xy 146.595379 84.321602) (xy 146.595379 81.418999) (xy 146.437352 81.036544) (xy 146.144995 80.743676) (xy 145.762816 80.584982)
+        (xy 145.348999 80.584621) (xy 144.966544 80.742648) (xy 144.673676 81.035005) (xy 144.514982 81.417184) (xy 144.514621 81.831001)
+        (xy 144.672648 82.213456) (xy 144.965005 82.506324) (xy 145.347184 82.665018) (xy 145.761001 82.665379) (xy 146.143456 82.507352)
+        (xy 146.436324 82.214995) (xy 146.595018 81.832816) (xy 146.595379 81.418999) (xy 146.595379 84.321602) (xy 146.018616 84.321099)
+        (xy 145.208437 84.655858) (xy 144.588036 85.275177) (xy 144.372888 85.79331) (xy 144.2125 75.836896) (xy 144.2125 75.812349)
+        (xy 164.937445 75.770648) (xy 164.49265 76.214668) (xy 164.140202 77.063457) (xy 164.1394 77.982511) (xy 164.490366 78.831913)
+        (xy 165.139668 79.48235) (xy 165.988457 79.834798) (xy 166.907511 79.8356) (xy 167.756913 79.484634) (xy 168.40735 78.835332)
+        (xy 168.759798 77.986543) (xy 168.7606 77.067489) (xy 168.409634 76.218087) (xy 167.956911 75.764573) (xy 231.037431 75.637651)
+      )
+    )
+  )
+)
diff --git a/SBC/sbc-ver1/a10-sbc.net b/SBC/sbc-ver1/a10-sbc.net
new file mode 100644
index 0000000..e279476
--- /dev/null
+++ b/SBC/sbc-ver1/a10-sbc.net
@@ -0,0 +1,4272 @@
+(export (version D)
+  (design
+    (source /home/ajith/Kicad-projects/esbc-final/a10-sbc.sch)
+    (date "Thu 30 May 2013 10:04:23 AM IST")
+    (tool "eeschema (2012-nov-02)-testing"))
+  (components
+    (comp (ref U7)
+      (value AXP209)
+      (libsource (lib A10-plus) (part AXP209))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 514C3FF4))
+    (comp (ref R27)
+      (value 2k2)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 514C634B))
+    (comp (ref R4)
+      (value 240)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 514C6175))
+    (comp (ref R10)
+      (value 240)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 514C617B))
+    (comp (ref U8)
+      (value 524P)
+      (footprint ~)
+      (datasheet ~)
+      (libsource (lib A10-plus) (part 524P))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 514D0FDE))
+    (comp (ref U6)
+      (value 524P)
+      (footprint ~)
+      (datasheet ~)
+      (libsource (lib A10-plus) (part 524P))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 514D11F4))
+    (comp (ref U4)
+      (value 524P)
+      (footprint ~)
+      (datasheet ~)
+      (libsource (lib A10-plus) (part 524P))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 514D120E))
+    (comp (ref U2)
+      (value 4GBDDR3)
+      (footprint ~)
+      (datasheet _)
+      (libsource (lib A10-plus) (part 4GBDDR3))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 514D42E8))
+    (comp (ref L3)
+      (value 4.7uH at 1.5A)
+      (libsource (lib device) (part INDUCTOR))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 514EC5DA))
+    (comp (ref L6)
+      (value 4.7uH at 1.5A)
+      (libsource (lib device) (part INDUCTOR))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 514ECA56))
+    (comp (ref P1)
+      (value "CONN30 - LCD")
+      (libsource (lib conn) (part CONN_30))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5150C360))
+    (comp (ref X2)
+      (value 24M)
+      (libsource (lib device) (part CRYSTAL))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51523481))
+    (comp (ref C4)
+      (value 18pF)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51523944))
+    (comp (ref C3)
+      (value 18pF)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51523965))
+    (comp (ref R2)
+      (value 5k1)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5152B195))
+    (comp (ref R30)
+      (value 240)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5152CC57))
+    (comp (ref R20)
+      (value 2k2)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5152D179))
+    (comp (ref R21)
+      (value 2k2)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5152D186))
+    (comp (ref C42)
+      (value 1uF)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5152E923))
+    (comp (ref SW1)
+      (value SW_PUSH)
+      (libsource (lib device) (part SW_PUSH))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5152F670))
+    (comp (ref R28)
+      (value 33)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5152F676))
+    (comp (ref C41)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5152F82C))
+    (comp (ref R31)
+      (value 2k2)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51531275))
+    (comp (ref U5)
+      (value SY8008C)
+      (footprint MODULE)
+      (datasheet DOCUMENTATION)
+      (libsource (lib A10-plus) (part SY8008C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 514F0596))
+    (comp (ref U9)
+      (value SY8008C)
+      (footprint MODULE)
+      (datasheet DOCUMENTATION)
+      (libsource (lib A10-plus) (part SY8008C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 514F0D71))
+    (comp (ref J7)
+      (value HDMI)
+      (libsource (lib myconns) (part HEADER_19))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 514F1A03))
+    (comp (ref R26)
+      (value 2k2)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 514F4E43))
+    (comp (ref R11)
+      (value 240)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 514FBC8D))
+    (comp (ref U1)
+      (value 4GBDDR3)
+      (footprint ~)
+      (datasheet _)
+      (libsource (lib A10-plus) (part 4GBDDR3))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 514FBC93))
+    (comp (ref C18)
+      (value 1uF)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51501971))
+    (comp (ref R23)
+      (value 200k)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51501E95))
+    (comp (ref R22)
+      (value 15k)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5150FD34))
+    (comp (ref L2)
+      (value 4.7uH/3A)
+      (libsource (lib device) (part INDUCTOR))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5150FD3C))
+    (comp (ref R24)
+      (value 10k)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5150FD4C))
+    (comp (ref C24)
+      (value 22pF)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 515105DA))
+    (comp (ref R25)
+      (value 15k)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5151396F))
+    (comp (ref L4)
+      (value 4.7uH/2A)
+      (libsource (lib device) (part INDUCTOR))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51513975))
+    (comp (ref R29)
+      (value 3.3k)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5151397B))
+    (comp (ref C40)
+      (value 22pF)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51513983))
+    (comp (ref J1)
+      (value CONN10)
+      (libsource (lib myconns) (part HEADER_10))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51518451))
+    (comp (ref J4)
+      (value USB1)
+      (footprint ~)
+      (datasheet ~)
+      (libsource (lib myconns) (part USB1))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5151A43E))
+    (comp (ref J3)
+      (value DUSB)
+      (footprint ~)
+      (datasheet ~)
+      (libsource (lib A10-plus) (part DUSB))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5151A44D))
+    (comp (ref J5)
+      (value USD)
+      (libsource (lib myconns) (part USD))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5151A880))
+    (comp (ref C16)
+      (value 1uF)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5151C2DB))
+    (comp (ref P2)
+      (value CONN_5)
+      (libsource (lib conn) (part CONN_5))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51526492))
+    (comp (ref C21)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5153CE6B))
+    (comp (ref C22)
+      (value 10u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5153CE77))
+    (comp (ref C36)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5153E245))
+    (comp (ref C38)
+      (value 10u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5153E24B))
+    (comp (ref C37)
+      (value 10u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5153E251))
+    (comp (ref C29)
+      (value 4.7u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51541706))
+    (comp (ref C35)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5154170C))
+    (comp (ref C33)
+      (value 220u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 515489AE))
+    (comp (ref C34)
+      (value 10u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 515489B4))
+    (comp (ref C46)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5154AF6B))
+    (comp (ref C47)
+      (value 10u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5154AF77))
+    (comp (ref C48)
+      (value 10u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5154AF7D))
+    (comp (ref C10)
+      (value 10u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5154D3CE))
+    (comp (ref C11)
+      (value 10u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5154DEB6))
+    (comp (ref C12)
+      (value 10u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5154DEC1))
+    (comp (ref C8)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5154EB96))
+    (comp (ref C9)
+      (value 10u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5154EECF))
+    (comp (ref C13)
+      (value 10u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51551007))
+    (comp (ref C14)
+      (value 10u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5155100D))
+    (comp (ref C15)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 515517D9))
+    (comp (ref C19)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51552413))
+    (comp (ref C20)
+      (value 4.7u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51552419))
+    (comp (ref C26)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51552580))
+    (comp (ref C27)
+      (value 4.7u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51552586))
+    (comp (ref C28)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51554668))
+    (comp (ref C31)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51554E46))
+    (comp (ref C32)
+      (value 1u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51554E4C))
+    (comp (ref C17)
+      (value 10u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5155A734))
+    (comp (ref C30)
+      (value 10u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5155A749))
+    (comp (ref C5)
+      (value 22pF)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5155B764))
+    (comp (ref C6)
+      (value 22pF)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5155B76A))
+    (comp (ref C43)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5155CB6D))
+    (comp (ref C44)
+      (value 10u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5155CB79))
+    (comp (ref C45)
+      (value 10u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5155CB7F))
+    (comp (ref X1)
+      (value 32k)
+      (libsource (lib device) (part CRYSTAL))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5154375B))
+    (comp (ref C1)
+      (value 18pF)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51543761))
+    (comp (ref C2)
+      (value 18pF)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51543767))
+    (comp (ref R1)
+      (value 10M)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5154376F))
+    (comp (ref R18)
+      (value 22)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5154676A))
+    (comp (ref R19)
+      (value 22)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51546777))
+    (comp (ref R12)
+      (value 47K)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5154C74A))
+    (comp (ref R3)
+      (value 33)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5154C786))
+    (comp (ref R5)
+      (value 33)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5154E138))
+    (comp (ref R6)
+      (value 33)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5154E7E5))
+    (comp (ref R7)
+      (value 33)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5154F007))
+    (comp (ref R8)
+      (value 33)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5154F826))
+    (comp (ref R9)
+      (value 33)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51550049))
+    (comp (ref C39)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51558187))
+    (comp (ref C7)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51558874))
+    (comp (ref R13)
+      (value 47K)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51559D15))
+    (comp (ref R14)
+      (value 47K)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51559D1B))
+    (comp (ref R15)
+      (value 47K)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51559D21))
+    (comp (ref R16)
+      (value 47K)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51559D27))
+    (comp (ref R17)
+      (value 47K)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51559D2D))
+    (comp (ref J2)
+      (value DB15)
+      (libsource (lib conn) (part DB15))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5157D108))
+    (comp (ref P3)
+      (value CONN_8)
+      (libsource (lib conn) (part CONN_8))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51590E78))
+    (comp (ref U10)
+      (value 74LS08)
+      (libsource (lib A10-plus) (part 74LS08))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51593628))
+    (comp (ref U3)
+      (value A10-SOC)
+      (datasheet _)
+      (libsource (lib A10-plus) (part A10-SOC))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 514D47F5))
+    (comp (ref P4)
+      (value UBOOT)
+      (libsource (lib conn) (part CONN_2))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 515A7B4E))
+    (comp (ref C25)
+      (value .22)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5151D4E3))
+    (comp (ref J6)
+      (value CONN_8)
+      (libsource (lib conn) (part CONN_8))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 51729C76))
+    (comp (ref P5)
+      (value CONN_1)
+      (libsource (lib conn) (part CONN_1))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5189B2BF))
+    (comp (ref P6)
+      (value CONN_1)
+      (libsource (lib conn) (part CONN_1))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5189B2CC))
+    (comp (ref P7)
+      (value CONN_1)
+      (libsource (lib conn) (part CONN_1))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5189B2D2))
+    (comp (ref P8)
+      (value CONN_1)
+      (libsource (lib conn) (part CONN_1))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5189B2D8))
+    (comp (ref P9)
+      (value CONN_1)
+      (libsource (lib conn) (part CONN_1))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5189B2DE))
+    (comp (ref P12)
+      (value CONN_1)
+      (libsource (lib conn) (part CONN_1))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5189B501))
+    (comp (ref P13)
+      (value CONN_1)
+      (libsource (lib conn) (part CONN_1))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5189B880))
+    (comp (ref P10)
+      (value CONN_1)
+      (libsource (lib conn) (part CONN_1))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5189BC00))
+    (comp (ref P11)
+      (value CONN_1)
+      (libsource (lib conn) (part CONN_1))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5189BF82))
+    (comp (ref P14)
+      (value CONN_8)
+      (libsource (lib conn) (part CONN_8))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5189E5B2))
+    (comp (ref R50)
+      (value 4k7)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5189E9C5))
+    (comp (ref D1)
+      (value LED)
+      (libsource (lib device) (part LED))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5189E9D2))
+    (comp (ref C49)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 515197E6))
+    (comp (ref C82)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51523C00))
+    (comp (ref C83)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51518E22))
+    (comp (ref C84)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51518E28))
+    (comp (ref C85)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51518E2E))
+    (comp (ref C86)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51518E34))
+    (comp (ref C87)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51518E3A))
+    (comp (ref C88)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51518E40))
+    (comp (ref C89)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51518E46))
+    (comp (ref C90)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51518E4C))
+    (comp (ref C91)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51518E52))
+    (comp (ref C92)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51518E58))
+    (comp (ref C93)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51518E5E))
+    (comp (ref C94)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51518E64))
+    (comp (ref C95)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51518E6A))
+    (comp (ref C96)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51518E70))
+    (comp (ref C97)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51518E76))
+    (comp (ref C98)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51518E7C))
+    (comp (ref C99)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51518E82))
+    (comp (ref C100)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51518E88))
+    (comp (ref C101)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51518E8E))
+    (comp (ref C102)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51518E94))
+    (comp (ref C103)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51518E9A))
+    (comp (ref C104)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51518EA0))
+    (comp (ref C105)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51518EA6))
+    (comp (ref C106)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51518EAC))
+    (comp (ref C107)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51518EB2))
+    (comp (ref C50)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 5151947E))
+    (comp (ref C53)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51519484))
+    (comp (ref C52)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 5151948A))
+    (comp (ref C51)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51519490))
+    (comp (ref C54)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51519496))
+    (comp (ref C55)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 5151949C))
+    (comp (ref C56)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 515194A2))
+    (comp (ref C57)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 515194A8))
+    (comp (ref C58)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 515194AE))
+    (comp (ref C59)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 515194B4))
+    (comp (ref C60)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 515194BA))
+    (comp (ref C61)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 515194C0))
+    (comp (ref C62)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 515194C6))
+    (comp (ref C63)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 515194D6))
+    (comp (ref C64)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 515194DC))
+    (comp (ref C65)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 515194E2))
+    (comp (ref C66)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 515194E8))
+    (comp (ref C67)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 515194EE))
+    (comp (ref C68)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 515194F4))
+    (comp (ref C69)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51519600))
+    (comp (ref C70)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51519606))
+    (comp (ref C72)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 5151960C))
+    (comp (ref C71)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51519612))
+    (comp (ref C73)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51519618))
+    (comp (ref C74)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 5151961E))
+    (comp (ref C75)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51519624))
+    (comp (ref C76)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 5151962A))
+    (comp (ref C109)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 515198E6))
+    (comp (ref C110)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51519944))
+    (comp (ref C111)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 5151994A))
+    (comp (ref C112)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51519950))
+    (comp (ref C113)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51519956))
+    (comp (ref C114)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 5151995C))
+    (comp (ref C115)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51519962))
+    (comp (ref C116)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51519968))
+    (comp (ref C117)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 5151996E))
+    (comp (ref C118)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51519974))
+    (comp (ref C119)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 5151997A))
+    (comp (ref C120)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51519980))
+    (comp (ref C121)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51519986))
+    (comp (ref C122)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 5151998C))
+    (comp (ref C123)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51519992))
+    (comp (ref C124)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51519998))
+    (comp (ref C125)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 5151999E))
+    (comp (ref C23)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 515199A4))
+    (comp (ref C108)
+      (value 22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51513AB2))
+    (comp (ref R33)
+      (value 2k2)
+      (libsource (lib device) (part R))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 515147D0))
+    (comp (ref R32)
+      (value 2k2)
+      (libsource (lib device) (part R))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 515147DD))
+    (comp (ref C80)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51514B03))
+    (comp (ref C79)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51514BCD))
+    (comp (ref C78)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51514BD3))
+    (comp (ref C77)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51514BD9))
+    (comp (ref C81)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /decouple/) (tstamps /515197D1/))
+      (tstamp 51514BDF))
+    (comp (ref U11)
+      (value RTL8201CP)
+      (footprint MODULE)
+      (datasheet ~)
+      (libsource (lib A10-plus) (part RTL8201CP))
+      (sheetpath (names /ethernet/) (tstamps /5159654C/))
+      (tstamp 51597EA2))
+    (comp (ref C136)
+      (value 18pF)
+      (libsource (lib device) (part C))
+      (sheetpath (names /ethernet/) (tstamps /5159654C/))
+      (tstamp 51599A05))
+    (comp (ref C135)
+      (value 18pF)
+      (libsource (lib device) (part C))
+      (sheetpath (names /ethernet/) (tstamps /5159654C/))
+      (tstamp 51599A5C))
+    (comp (ref R39)
+      (value 2k2)
+      (libsource (lib device) (part R))
+      (sheetpath (names /ethernet/) (tstamps /5159654C/))
+      (tstamp 5159A0EF))
+    (comp (ref R40)
+      (value 5k1)
+      (libsource (lib device) (part R))
+      (sheetpath (names /ethernet/) (tstamps /5159654C/))
+      (tstamp 5159A133))
+    (comp (ref R41)
+      (value 5k1)
+      (libsource (lib device) (part R))
+      (sheetpath (names /ethernet/) (tstamps /5159654C/))
+      (tstamp 5159A139))
+    (comp (ref R35)
+      (value 5k1)
+      (libsource (lib device) (part R))
+      (sheetpath (names /ethernet/) (tstamps /5159654C/))
+      (tstamp 5159A227))
+    (comp (ref R36)
+      (value 5k1)
+      (libsource (lib device) (part R))
+      (sheetpath (names /ethernet/) (tstamps /5159654C/))
+      (tstamp 5159A22D))
+    (comp (ref R37)
+      (value 5k1)
+      (libsource (lib device) (part R))
+      (sheetpath (names /ethernet/) (tstamps /5159654C/))
+      (tstamp 5159A233))
+    (comp (ref R38)
+      (value 5k1)
+      (libsource (lib device) (part R))
+      (sheetpath (names /ethernet/) (tstamps /5159654C/))
+      (tstamp 5159A239))
+    (comp (ref R34)
+      (value 5k1)
+      (libsource (lib device) (part R))
+      (sheetpath (names /ethernet/) (tstamps /5159654C/))
+      (tstamp 5159ABE0))
+    (comp (ref L1)
+      (value "Bead / SM0603")
+      (libsource (lib device) (part INDUCTOR))
+      (sheetpath (names /ethernet/) (tstamps /5159654C/))
+      (tstamp 515ADE75))
+    (comp (ref C140)
+      (value 10u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /ethernet/) (tstamps /5159654C/))
+      (tstamp 515ADE9A))
+    (comp (ref L5)
+      (value "bead /SM0603")
+      (libsource (lib device) (part INDUCTOR))
+      (sheetpath (names /ethernet/) (tstamps /5159654C/))
+      (tstamp 515AE1D6))
+    (comp (ref C138)
+      (value 10u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /ethernet/) (tstamps /5159654C/))
+      (tstamp 515AE226))
+    (comp (ref C139)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /ethernet/) (tstamps /5159654C/))
+      (tstamp 515AE245))
+    (comp (ref C137)
+      (value .22u)
+      (libsource (lib device) (part C))
+      (sheetpath (names /ethernet/) (tstamps /5159654C/))
+      (tstamp 515AE348))
+    (comp (ref R42)
+      (value 5k1)
+      (libsource (lib device) (part R))
+      (sheetpath (names /ethernet/) (tstamps /5159654C/))
+      (tstamp 515AE399))
+    (comp (ref R43)
+      (value 5k1)
+      (libsource (lib device) (part R))
+      (sheetpath (names /ethernet/) (tstamps /5159654C/))
+      (tstamp 515AE4AA))
+    (comp (ref R44)
+      (value 5k1)
+      (libsource (lib device) (part R))
+      (sheetpath (names /ethernet/) (tstamps /5159654C/))
+      (tstamp 515AE4B0))
+    (comp (ref R45)
+      (value 5k1)
+      (libsource (lib device) (part R))
+      (sheetpath (names /ethernet/) (tstamps /5159654C/))
+      (tstamp 515AE4B6))
+    (comp (ref R46)
+      (value 5k1)
+      (libsource (lib device) (part R))
+      (sheetpath (names /ethernet/) (tstamps /5159654C/))
+      (tstamp 515AE4BC))
+    (comp (ref R47)
+      (value 5k1)
+      (libsource (lib device) (part R))
+      (sheetpath (names /ethernet/) (tstamps /5159654C/))
+      (tstamp 515AE4C2))
+    (comp (ref R49)
+      (value 1k)
+      (libsource (lib device) (part R))
+      (sheetpath (names /ethernet/) (tstamps /5159654C/))
+      (tstamp 515AE7FB))
+    (comp (ref R48)
+      (value 1k)
+      (libsource (lib device) (part R))
+      (sheetpath (names /ethernet/) (tstamps /5159654C/))
+      (tstamp 515AE801))
+    (comp (ref J8)
+      (value RJ45-HANRUN)
+      (libsource (lib A10-plus) (part RJ45-HANRUN))
+      (sheetpath (names /ethernet/) (tstamps /5159654C/))
+      (tstamp 51A4CD0A))
+    (comp (ref X3)
+      (value 25M)
+      (libsource (lib A10-plus) (part XTL-SMD))
+      (sheetpath (names /ethernet/) (tstamps /5159654C/))
+      (tstamp 51A6DE19)))
+  (libparts
+    (libpart (lib device) (part C)
+      (description "Condensateur non polarise")
+      (footprints
+        (fp SM*)
+        (fp C?)
+        (fp C1-1))
+      (fields
+        (field (name Reference) C)
+        (field (name Value) C))
+      (pins
+        (pin (num 1) (name ~) (type passive))
+        (pin (num 2) (name ~) (type passive))))
+    (libpart (lib device) (part CRYSTAL)
+      (fields
+        (field (name Reference) X)
+        (field (name Value) CRYSTAL))
+      (pins
+        (pin (num 1) (name 1) (type passive))
+        (pin (num 2) (name 2) (type passive))))
+    (libpart (lib device) (part INDUCTOR)
+      (fields
+        (field (name Reference) L)
+        (field (name Value) INDUCTOR))
+      (pins
+        (pin (num 1) (name 1) (type passive))
+        (pin (num 2) (name 2) (type passive))))
+    (libpart (lib device) (part LED)
+      (footprints
+        (fp LED-3MM)
+        (fp LED-5MM)
+        (fp LED-10MM)
+        (fp LED-0603)
+        (fp LED-0805)
+        (fp LED-1206)
+        (fp LEDV))
+      (fields
+        (field (name Reference) D)
+        (field (name Value) LED))
+      (pins
+        (pin (num 1) (name A) (type passive))
+        (pin (num 2) (name K) (type passive))))
+    (libpart (lib device) (part R)
+      (description Resistance)
+      (footprints
+        (fp R?)
+        (fp SM0603)
+        (fp SM0805)
+        (fp R?-*)
+        (fp SM1206))
+      (fields
+        (field (name Reference) R)
+        (field (name Value) R))
+      (pins
+        (pin (num 1) (name ~) (type passive))
+        (pin (num 2) (name ~) (type passive))))
+    (libpart (lib device) (part SW_PUSH)
+      (description "Push Button")
+      (fields
+        (field (name Reference) SW)
+        (field (name Value) SW_PUSH))
+      (pins
+        (pin (num 1) (name 1) (type passive))
+        (pin (num 2) (name 2) (type passive))))
+    (libpart (lib conn) (part CONN_1)
+      (description "1 pin")
+      (fields
+        (field (name Reference) P)
+        (field (name Value) CONN_1))
+      (pins
+        (pin (num 1) (name 1) (type passive))))
+    (libpart (lib conn) (part CONN_2)
+      (description "Symbole general de connecteur")
+      (fields
+        (field (name Reference) P)
+        (field (name Value) CONN_2))
+      (pins
+        (pin (num 1) (name P1) (type passive))
+        (pin (num 2) (name PM) (type passive))))
+    (libpart (lib conn) (part CONN_30)
+      (description "Symbole general de connexion")
+      (fields
+        (field (name Reference) P)
+        (field (name Value) CONN_30))
+      (pins
+        (pin (num 1) (name P1) (type passive))
+        (pin (num 2) (name P2) (type passive))
+        (pin (num 3) (name P3) (type passive))
+        (pin (num 4) (name P4) (type passive))
+        (pin (num 5) (name P5) (type passive))
+        (pin (num 6) (name P6) (type passive))
+        (pin (num 7) (name P7) (type passive))
+        (pin (num 8) (name P8) (type passive))
+        (pin (num 9) (name P9) (type passive))
+        (pin (num 10) (name P10) (type passive))
+        (pin (num 11) (name P11) (type passive))
+        (pin (num 12) (name P12) (type passive))
+        (pin (num 13) (name P13) (type passive))
+        (pin (num 14) (name P14) (type passive))
+        (pin (num 15) (name P15) (type passive))
+        (pin (num 16) (name P16) (type passive))
+        (pin (num 17) (name P17) (type passive))
+        (pin (num 18) (name P18) (type passive))
+        (pin (num 19) (name P19) (type passive))
+        (pin (num 20) (name P20) (type passive))
+        (pin (num 21) (name P21) (type passive))
+        (pin (num 22) (name P22) (type passive))
+        (pin (num 23) (name P23) (type passive))
+        (pin (num 24) (name P24) (type passive))
+        (pin (num 25) (name P25) (type passive))
+        (pin (num 26) (name P26) (type passive))
+        (pin (num 27) (name P27) (type passive))
+        (pin (num 28) (name P28) (type passive))
+        (pin (num 29) (name P29) (type passive))
+        (pin (num 30) (name P30) (type passive))))
+    (libpart (lib conn) (part CONN_5)
+      (description "Symbole general de connecteur")
+      (fields
+        (field (name Reference) P)
+        (field (name Value) CONN_5))
+      (pins
+        (pin (num 1) (name ~) (type passive))
+        (pin (num 2) (name ~) (type passive))
+        (pin (num 3) (name ~) (type passive))
+        (pin (num 4) (name ~) (type passive))
+        (pin (num 5) (name ~) (type passive))))
+    (libpart (lib conn) (part CONN_8)
+      (description "Symbole general de connecteur")
+      (fields
+        (field (name Reference) P)
+        (field (name Value) CONN_8))
+      (pins
+        (pin (num 1) (name P1) (type passive))
+        (pin (num 2) (name P2) (type passive))
+        (pin (num 3) (name P3) (type passive))
+        (pin (num 4) (name P4) (type passive))
+        (pin (num 5) (name P5) (type passive))
+        (pin (num 6) (name P6) (type passive))
+        (pin (num 7) (name P7) (type passive))
+        (pin (num 8) (name P8) (type passive))))
+    (libpart (lib conn) (part DB15)
+      (footprints
+        (fp DB15*))
+      (fields
+        (field (name Reference) J)
+        (field (name Value) DB15))
+      (pins
+        (pin (num 1) (name 1) (type passive))
+        (pin (num 2) (name 2) (type passive))
+        (pin (num 3) (name 3) (type passive))
+        (pin (num 4) (name 4) (type passive))
+        (pin (num 5) (name 5) (type passive))
+        (pin (num 6) (name 6) (type passive))
+        (pin (num 7) (name 7) (type passive))
+        (pin (num 8) (name 8) (type passive))
+        (pin (num 9) (name P9) (type passive))
+        (pin (num 10) (name P10) (type passive))
+        (pin (num 11) (name P111) (type passive))
+        (pin (num 12) (name P12) (type passive))
+        (pin (num 13) (name P13) (type passive))
+        (pin (num 14) (name P14) (type passive))
+        (pin (num 15) (name P15) (type passive))))
+    (libpart (lib A10-plus) (part 4GbDDR3)
+      (fields
+        (field (name Reference) U)
+        (field (name Value) 4GbDDR3)
+        (field (name Footprint) ~)
+        (field (name Datasheet) _))
+      (pins
+        (pin (num A1) (name VDDQ) (type input))
+        (pin (num A2) (name DQ13) (type input))
+        (pin (num A3) (name DQ15) (type input))
+        (pin (num A7) (name DQ12) (type input))
+        (pin (num A8) (name VDDQ) (type input))
+        (pin (num A9) (name VSS) (type input))
+        (pin (num B1) (name VSSQ) (type input))
+        (pin (num B2) (name VDD) (type input))
+        (pin (num B3) (name VSS) (type input))
+        (pin (num B7) (name UDQS#) (type input))
+        (pin (num B8) (name DQ14) (type input))
+        (pin (num B9) (name VSSQ) (type input))
+        (pin (num C1) (name VDDQ) (type input))
+        (pin (num C2) (name DQ11) (type input))
+        (pin (num C3) (name DQ9) (type input))
+        (pin (num C7) (name UDQS) (type input))
+        (pin (num C8) (name DQ10) (type input))
+        (pin (num C9) (name VDDQ) (type input))
+        (pin (num D1) (name VSSQ) (type input))
+        (pin (num D2) (name VDDQ) (type input))
+        (pin (num D3) (name UDM) (type input))
+        (pin (num D7) (name DQ8) (type input))
+        (pin (num D8) (name VSSQ) (type input))
+        (pin (num D9) (name VDD) (type input))
+        (pin (num E1) (name VSS) (type input))
+        (pin (num E2) (name VSSQ) (type input))
+        (pin (num E3) (name DQ0) (type BiDi))
+        (pin (num E7) (name LDM) (type input))
+        (pin (num E8) (name VSSQ) (type input))
+        (pin (num E9) (name VDDQ) (type input))
+        (pin (num F1) (name VDDQ) (type input))
+        (pin (num F2) (name DQ2) (type input))
+        (pin (num F3) (name LDQS) (type input))
+        (pin (num F7) (name DQ1) (type input))
+        (pin (num F8) (name DQ3) (type input))
+        (pin (num F9) (name VSSQ) (type input))
+        (pin (num G1) (name VSSQ) (type input))
+        (pin (num G2) (name DQ6) (type input))
+        (pin (num G3) (name LDQS#) (type input))
+        (pin (num G7) (name VDD) (type input))
+        (pin (num G8) (name VSS) (type input))
+        (pin (num G9) (name VSSQ) (type input))
+        (pin (num H1) (name VREFDQ) (type input))
+        (pin (num H2) (name VDDQ) (type input))
+        (pin (num H3) (name DQ4) (type input))
+        (pin (num H7) (name DQ7) (type input))
+        (pin (num H8) (name DQ5) (type input))
+        (pin (num H9) (name VDDQ) (type input))
+        (pin (num J1) (name NC) (type input))
+        (pin (num J2) (name VSS) (type input))
+        (pin (num J3) (name RAS#) (type input))
+        (pin (num J7) (name CK) (type input))
+        (pin (num J8) (name VSS) (type input))
+        (pin (num J9) (name NC) (type input))
+        (pin (num K1) (name ODT) (type input))
+        (pin (num K2) (name VDD) (type input))
+        (pin (num K3) (name CAS#) (type input))
+        (pin (num K7) (name CK#) (type input))
+        (pin (num K8) (name VDD) (type input))
+        (pin (num K9) (name CKE) (type input))
+        (pin (num L1) (name NC) (type input))
+        (pin (num L2) (name CS#) (type input))
+        (pin (num L3) (name WE#) (type input))
+        (pin (num L7) (name A10) (type input))
+        (pin (num L8) (name ZQ) (type input))
+        (pin (num L9) (name NC) (type input))
+        (pin (num M1) (name VSS) (type input))
+        (pin (num M2) (name BA0) (type input))
+        (pin (num M3) (name BA2) (type input))
+        (pin (num M7) (name A15) (type input))
+        (pin (num M8) (name VREFCA) (type input))
+        (pin (num M9) (name VSS) (type input))
+        (pin (num N1) (name VDD) (type input))
+        (pin (num N2) (name A3) (type input))
+        (pin (num N3) (name A0) (type input))
+        (pin (num N7) (name A12) (type input))
+        (pin (num N8) (name BA1) (type input))
+        (pin (num N9) (name VDD) (type input))
+        (pin (num P1) (name VSS) (type input))
+        (pin (num P2) (name A5) (type input))
+        (pin (num P3) (name A2) (type input))
+        (pin (num P7) (name A1) (type input))
+        (pin (num P8) (name A4) (type input))
+        (pin (num P9) (name VSS) (type input))
+        (pin (num R1) (name VDD) (type input))
+        (pin (num R2) (name A7) (type input))
+        (pin (num R3) (name A9) (type input))
+        (pin (num R7) (name A11) (type input))
+        (pin (num R8) (name A6) (type input))
+        (pin (num R9) (name VDD) (type input))
+        (pin (num T1) (name VSS) (type input))
+        (pin (num T2) (name RESET#) (type input))
+        (pin (num T3) (name A13) (type input))
+        (pin (num T7) (name A14) (type input))
+        (pin (num T8) (name A8) (type input))
+        (pin (num T9) (name VSS) (type input))))
+    (libpart (lib myconns) (part HEADER_10)
+      (fields
+        (field (name Reference) J)
+        (field (name Value) HEADER_10))
+      (pins
+        (pin (num 1) (name ~) (type passive))
+        (pin (num 2) (name ~) (type passive))
+        (pin (num 3) (name ~) (type passive))
+        (pin (num 4) (name ~) (type passive))
+        (pin (num 5) (name ~) (type passive))
+        (pin (num 6) (name ~) (type passive))
+        (pin (num 7) (name ~) (type passive))
+        (pin (num 8) (name ~) (type passive))
+        (pin (num 9) (name ~) (type passive))
+        (pin (num 10) (name ~) (type passive))))
+    (libpart (lib A10-plus) (part 524P)
+      (fields
+        (field (name Reference) U)
+        (field (name Value) 524P)
+        (field (name Footprint) ~)
+        (field (name Datasheet) ~))
+      (pins
+        (pin (num 1) (name IN1) (type input))
+        (pin (num 2) (name IN2) (type input))
+        (pin (num 3) (name GND) (type input))
+        (pin (num 4) (name IN3) (type input))
+        (pin (num 5) (name IN4) (type input))
+        (pin (num 6) (name OUT4) (type input))
+        (pin (num 7) (name OUT3) (type input))
+        (pin (num 8) (name GND) (type input))
+        (pin (num 9) (name OUT2) (type input))
+        (pin (num 10) (name OUT1) (type input))))
+    (libpart (lib A10-plus) (part 74LS08)
+      (fields
+        (field (name Reference) U)
+        (field (name Value) 74LS08))
+      (pins
+        (pin (num 1) (name ~) (type input))
+        (pin (num 2) (name ~) (type input))
+        (pin (num 3) (name ~) (type output))
+        (pin (num 4) (name ~) (type input))
+        (pin (num 5) (name ~) (type input))
+        (pin (num 6) (name ~) (type output))
+        (pin (num 7) (name GND) (type power_in))
+        (pin (num 8) (name ~) (type output))
+        (pin (num 9) (name ~) (type input))
+        (pin (num 10) (name ~) (type input))
+        (pin (num 11) (name ~) (type output))
+        (pin (num 12) (name ~) (type input))
+        (pin (num 13) (name ~) (type input))
+        (pin (num 14) (name 5V) (type power_in))))
+    (libpart (lib A10-plus) (part A10-SOC)
+      (fields
+        (field (name Reference) U)
+        (field (name Value) A10-SOC)
+        (field (name Datasheet) _))
+      (pins
+        (pin (num 75) (name SDQ0) (type BiDi))
+        (pin (num 76) (name SDQ1) (type BiDi))
+        (pin (num 77) (name SDQ2) (type BiDi))
+        (pin (num 78) (name SDQ3) (type BiDi))
+        (pin (num 79) (name SDQ4) (type BiDi))
+        (pin (num 80) (name SDQ5) (type BiDi))
+        (pin (num 81) (name SDQ6) (type BiDi))
+        (pin (num 82) (name SDQ8) (type BiDi))
+        (pin (num 83) (name SDQ9) (type BiDi))
+        (pin (num 84) (name SDQ10) (type BiDi))
+        (pin (num 85) (name SDQ11) (type BiDi))
+        (pin (num 86) (name SDQ12) (type BiDi))
+        (pin (num 87) (name SDQ13) (type BiDi))
+        (pin (num 88) (name SDQ14) (type BiDi))
+        (pin (num 89) (name SDQ15) (type BiDi))
+        (pin (num 90) (name SDQ16) (type BiDi))
+        (pin (num 91) (name SDQ17) (type BiDi))
+        (pin (num 92) (name SDQ18) (type BiDi))
+        (pin (num 93) (name SDQ19) (type BiDi))
+        (pin (num 94) (name SDQ20) (type BiDi))
+        (pin (num 95) (name SDQ21) (type BiDi))
+        (pin (num 96) (name SDQ22) (type BiDi))
+        (pin (num 97) (name SDQ23) (type BiDi))
+        (pin (num 98) (name SDQ24) (type BiDi))
+        (pin (num 99) (name SDQ25) (type BiDi))
+        (pin (num 100) (name SDQ26) (type BiDi))
+        (pin (num 101) (name SDQ27) (type BiDi))
+        (pin (num 102) (name SDQ28) (type BiDi))
+        (pin (num 103) (name SDQ29) (type BiDi))
+        (pin (num 104) (name SDQ30) (type BiDi))
+        (pin (num 105) (name SDQ31) (type BiDi))
+        (pin (num 106) (name SDQ7) (type BiDi))
+        (pin (num 107) (name VCC_DRAM) (type input))
+        (pin (num 108) (name VCC_DRAM) (type input))
+        (pin (num 109) (name VCC_DRAM) (type input))
+        (pin (num 110) (name VCC_DRAM) (type input))
+        (pin (num 111) (name VCC_DRAM) (type input))
+        (pin (num 112) (name SDQS1) (type output))
+        (pin (num 113) (name SDQSO#) (type output))
+        (pin (num 114) (name SDQS0) (type output))
+        (pin (num 115) (name SDQM3) (type output))
+        (pin (num 116) (name SDQM2) (type output))
+        (pin (num 117) (name SDQM1) (type output))
+        (pin (num 118) (name SDQM0) (type output))
+        (pin (num 119) (name TVIN1) (type input))
+        (pin (num 120) (name ODT0) (type BiDi))
+        (pin (num 121) (name ODT1) (type BiDi))
+        (pin (num 122) (name TVIN1) (type input))
+        (pin (num 123) (name SDQS3) (type output))
+        (pin (num 124) (name SDQS2#) (type output))
+        (pin (num 125) (name SDQS2) (type output))
+        (pin (num 126) (name SDQS1#) (type output))
+        (pin (num 127) (name TVIN0) (type input))
+        (pin (num 128) (name SCKE0) (type output))
+        (pin (num 129) (name SCK#) (type output))
+        (pin (num 130) (name SCK) (type output))
+        (pin (num 131) (name SBA2) (type output))
+        (pin (num 132) (name SBA1) (type output))
+        (pin (num 133) (name SBA0) (type output))
+        (pin (num 134) (name SA14) (type output))
+        (pin (num 135) (name SA13) (type output))
+        (pin (num 136) (name SA12) (type output))
+        (pin (num 137) (name SA11) (type output))
+        (pin (num 138) (name SA10) (type output))
+        (pin (num 139) (name SA9) (type output))
+        (pin (num 140) (name SA8) (type output))
+        (pin (num 141) (name SA7) (type output))
+        (pin (num 142) (name SA6) (type output))
+        (pin (num 143) (name SA5) (type output))
+        (pin (num 144) (name SA4) (type output))
+        (pin (num 145) (name SA3) (type output))
+        (pin (num 146) (name SA2) (type output))
+        (pin (num 147) (name SA1) (type output))
+        (pin (num 148) (name SA0) (type output))
+        (pin (num 149) (name SDQ0) (type BiDi))
+        (pin (num 150) (name SDQ1) (type BiDi))
+        (pin (num 151) (name SDQ2) (type BiDi))
+        (pin (num 152) (name SDQ3) (type BiDi))
+        (pin (num 153) (name SDQ4) (type BiDi))
+        (pin (num 154) (name SDQ5) (type BiDi))
+        (pin (num 155) (name SDQ6) (type BiDi))
+        (pin (num 156) (name SDQ8) (type BiDi))
+        (pin (num 157) (name SDQ9) (type BiDi))
+        (pin (num 158) (name SDQ10) (type BiDi))
+        (pin (num 159) (name SDQ11) (type BiDi))
+        (pin (num 160) (name SDQ12) (type BiDi))
+        (pin (num 161) (name SDQ13) (type BiDi))
+        (pin (num 162) (name SDQ14) (type BiDi))
+        (pin (num 163) (name SDQ15) (type BiDi))
+        (pin (num 164) (name SDQ16) (type BiDi))
+        (pin (num 165) (name SDQ17) (type BiDi))
+        (pin (num 166) (name SDQ18) (type BiDi))
+        (pin (num 167) (name SDQ19) (type BiDi))
+        (pin (num 168) (name SDQ20) (type BiDi))
+        (pin (num 169) (name SDQ21) (type BiDi))
+        (pin (num 170) (name SDQ22) (type BiDi))
+        (pin (num 171) (name SDQ23) (type BiDi))
+        (pin (num 172) (name SDQ24) (type BiDi))
+        (pin (num 173) (name SDQ25) (type BiDi))
+        (pin (num 174) (name SDQ26) (type BiDi))
+        (pin (num 175) (name SDQ27) (type BiDi))
+        (pin (num 176) (name SDQ28) (type BiDi))
+        (pin (num 177) (name SDQ29) (type BiDi))
+        (pin (num 178) (name SDQ30) (type BiDi))
+        (pin (num 179) (name SDQ31) (type BiDi))
+        (pin (num 180) (name SDQ7) (type BiDi))
+        (pin (num 181) (name VCC_DRAM) (type input))
+        (pin (num 182) (name VCC_DRAM) (type input))
+        (pin (num 183) (name VCC_DRAM) (type input))
+        (pin (num 184) (name VCC_DRAM) (type input))
+        (pin (num 185) (name VCC_DRAM) (type input))
+        (pin (num 186) (name SDQS1) (type output))
+        (pin (num 187) (name SDQSO#) (type output))
+        (pin (num 188) (name SDQS0) (type output))
+        (pin (num 189) (name SDQM3) (type output))
+        (pin (num 190) (name SDQM2) (type output))
+        (pin (num 191) (name SDQM1) (type output))
+        (pin (num 192) (name SDQM0) (type output))
+        (pin (num 193) (name TVIN1) (type input))
+        (pin (num 194) (name ODT0) (type BiDi))
+        (pin (num 195) (name ODT1) (type BiDi))
+        (pin (num 196) (name TVIN1) (type input))
+        (pin (num 197) (name SDQS3) (type output))
+        (pin (num 198) (name SDQS2#) (type output))
+        (pin (num 199) (name SDQS2) (type output))
+        (pin (num 200) (name SDQS1#) (type output))
+        (pin (num 201) (name TVIN0) (type input))
+        (pin (num 202) (name SCKE0) (type output))
+        (pin (num 203) (name SCK#) (type output))
+        (pin (num 204) (name SCK) (type output))
+        (pin (num 205) (name SBA2) (type output))
+        (pin (num 206) (name SBA1) (type output))
+        (pin (num 207) (name SBA0) (type output))
+        (pin (num 208) (name SA14) (type output))
+        (pin (num 209) (name SA13) (type output))
+        (pin (num 210) (name SA12) (type output))
+        (pin (num 211) (name SA11) (type output))
+        (pin (num 212) (name SA10) (type output))
+        (pin (num 213) (name SA9) (type output))
+        (pin (num 214) (name SA8) (type output))
+        (pin (num 215) (name SA7) (type output))
+        (pin (num 216) (name SA6) (type output))
+        (pin (num 217) (name SA5) (type output))
+        (pin (num 218) (name SA4) (type output))
+        (pin (num 219) (name SA3) (type output))
+        (pin (num 220) (name SA2) (type output))
+        (pin (num 221) (name SA1) (type output))
+        (pin (num 222) (name SA0) (type output))
+        (pin (num 223) (name SDQ0) (type BiDi))
+        (pin (num 224) (name SDQ1) (type BiDi))
+        (pin (num 225) (name SDQ2) (type BiDi))
+        (pin (num 226) (name SDQ3) (type BiDi))
+        (pin (num 227) (name SDQ4) (type BiDi))
+        (pin (num 228) (name SDQ5) (type BiDi))
+        (pin (num 229) (name SDQ6) (type BiDi))
+        (pin (num 230) (name SDQ8) (type BiDi))
+        (pin (num 231) (name SDQ9) (type BiDi))
+        (pin (num 232) (name SDQ10) (type BiDi))
+        (pin (num 233) (name SDQ11) (type BiDi))
+        (pin (num 234) (name SDQ12) (type BiDi))
+        (pin (num 235) (name SDQ13) (type BiDi))
+        (pin (num 236) (name SDQ14) (type BiDi))
+        (pin (num 237) (name SDQ15) (type BiDi))
+        (pin (num 238) (name SDQ16) (type BiDi))
+        (pin (num 239) (name SDQ17) (type BiDi))
+        (pin (num 240) (name SDQ18) (type BiDi))
+        (pin (num 241) (name SDQ19) (type BiDi))
+        (pin (num 242) (name SDQ20) (type BiDi))
+        (pin (num 243) (name SDQ21) (type BiDi))
+        (pin (num 244) (name SDQ22) (type BiDi))
+        (pin (num 245) (name SDQ23) (type BiDi))
+        (pin (num 246) (name SDQ24) (type BiDi))
+        (pin (num 247) (name SDQ25) (type BiDi))
+        (pin (num 248) (name SDQ26) (type BiDi))
+        (pin (num 249) (name SDQ27) (type BiDi))
+        (pin (num 250) (name SDQ28) (type BiDi))
+        (pin (num 251) (name SDQ29) (type BiDi))
+        (pin (num 252) (name SDQ30) (type BiDi))
+        (pin (num 253) (name SDQ31) (type BiDi))
+        (pin (num 254) (name SDQ7) (type BiDi))
+        (pin (num 255) (name VCC_DRAM) (type input))
+        (pin (num 256) (name VCC_DRAM) (type input))
+        (pin (num 257) (name VCC_DRAM) (type input))
+        (pin (num 258) (name VCC_DRAM) (type input))
+        (pin (num 259) (name VCC_DRAM) (type input))
+        (pin (num 260) (name SDQS1) (type output))
+        (pin (num 261) (name SDQSO#) (type output))
+        (pin (num 262) (name SDQS0) (type output))
+        (pin (num 263) (name SDQM3) (type output))
+        (pin (num 264) (name SDQM2) (type output))
+        (pin (num 265) (name SDQM1) (type output))
+        (pin (num 266) (name SDQM0) (type output))
+        (pin (num 267) (name TVIN1) (type input))
+        (pin (num 268) (name ODT0) (type BiDi))
+        (pin (num 269) (name ODT1) (type BiDi))
+        (pin (num 270) (name TVIN1) (type input))
+        (pin (num 271) (name SDQS3) (type output))
+        (pin (num 272) (name SDQS2#) (type output))
+        (pin (num 273) (name SDQS2) (type output))
+        (pin (num 274) (name SDQS1#) (type output))
+        (pin (num 275) (name TVIN0) (type input))
+        (pin (num 276) (name SCKE0) (type output))
+        (pin (num 277) (name SCK#) (type output))
+        (pin (num 278) (name SCK) (type output))
+        (pin (num 279) (name SBA2) (type output))
+        (pin (num 280) (name SBA1) (type output))
+        (pin (num 281) (name SBA0) (type output))
+        (pin (num 282) (name SA14) (type output))
+        (pin (num 283) (name SA13) (type output))
+        (pin (num 284) (name SA12) (type output))
+        (pin (num 285) (name SA11) (type output))
+        (pin (num 286) (name SA10) (type output))
+        (pin (num 287) (name SA9) (type output))
+        (pin (num 288) (name SA8) (type output))
+        (pin (num 289) (name SA7) (type output))
+        (pin (num 290) (name SA6) (type output))
+        (pin (num 291) (name SA5) (type output))
+        (pin (num 292) (name SA4) (type output))
+        (pin (num 293) (name SA3) (type output))
+        (pin (num 294) (name SA2) (type output))
+        (pin (num 295) (name SA1) (type output))
+        (pin (num 296) (name SA0) (type output))
+        (pin (num 297) (name SDQ0) (type BiDi))
+        (pin (num 298) (name SDQ1) (type BiDi))
+        (pin (num 299) (name SDQ2) (type BiDi))
+        (pin (num 300) (name SDQ3) (type BiDi))
+        (pin (num 301) (name SDQ4) (type BiDi))
+        (pin (num 302) (name SDQ5) (type BiDi))
+        (pin (num 303) (name SDQ6) (type BiDi))
+        (pin (num 304) (name SDQ8) (type BiDi))
+        (pin (num 305) (name SDQ9) (type BiDi))
+        (pin (num 306) (name SDQ10) (type BiDi))
+        (pin (num 307) (name SDQ11) (type BiDi))
+        (pin (num 308) (name SDQ12) (type BiDi))
+        (pin (num 309) (name SDQ13) (type BiDi))
+        (pin (num 310) (name SDQ14) (type BiDi))
+        (pin (num 311) (name SDQ15) (type BiDi))
+        (pin (num 312) (name SDQ16) (type BiDi))
+        (pin (num 313) (name SDQ17) (type BiDi))
+        (pin (num 314) (name SDQ18) (type BiDi))
+        (pin (num 315) (name SDQ19) (type BiDi))
+        (pin (num 316) (name SDQ20) (type BiDi))
+        (pin (num 317) (name SDQ21) (type BiDi))
+        (pin (num 318) (name SDQ22) (type BiDi))
+        (pin (num 319) (name SDQ23) (type BiDi))
+        (pin (num 320) (name SDQ24) (type BiDi))
+        (pin (num 321) (name SDQ25) (type BiDi))
+        (pin (num 322) (name SDQ26) (type BiDi))
+        (pin (num 323) (name SDQ27) (type BiDi))
+        (pin (num 324) (name SDQ28) (type BiDi))
+        (pin (num 325) (name SDQ29) (type BiDi))
+        (pin (num 326) (name SDQ30) (type BiDi))
+        (pin (num 327) (name SDQ31) (type BiDi))
+        (pin (num 328) (name SDQ7) (type BiDi))
+        (pin (num 329) (name VCC_DRAM) (type input))
+        (pin (num 330) (name VCC_DRAM) (type input))
+        (pin (num 331) (name VCC_DRAM) (type input))
+        (pin (num 332) (name VCC_DRAM) (type input))
+        (pin (num 333) (name VCC_DRAM) (type input))
+        (pin (num 334) (name SDQS1) (type output))
+        (pin (num 335) (name SDQSO#) (type output))
+        (pin (num 336) (name SDQS0) (type output))
+        (pin (num 337) (name SDQM3) (type output))
+        (pin (num 338) (name SDQM2) (type output))
+        (pin (num 339) (name SDQM1) (type output))
+        (pin (num 340) (name SDQM0) (type output))
+        (pin (num 341) (name TVIN1) (type input))
+        (pin (num 342) (name ODT0) (type BiDi))
+        (pin (num 343) (name ODT1) (type BiDi))
+        (pin (num 344) (name TVIN1) (type input))
+        (pin (num 345) (name SDQS3) (type output))
+        (pin (num 346) (name SDQS2#) (type output))
+        (pin (num 347) (name SDQS2) (type output))
+        (pin (num 348) (name SDQS1#) (type output))
+        (pin (num 349) (name TVIN0) (type input))
+        (pin (num 350) (name SCKE0) (type output))
+        (pin (num 351) (name SCK#) (type output))
+        (pin (num 352) (name SCK) (type output))
+        (pin (num 353) (name SBA2) (type output))
+        (pin (num 354) (name SBA1) (type output))
+        (pin (num 355) (name SBA0) (type output))
+        (pin (num 356) (name SA14) (type output))
+        (pin (num 357) (name SA13) (type output))
+        (pin (num 358) (name SA12) (type output))
+        (pin (num 359) (name SA11) (type output))
+        (pin (num 360) (name SA10) (type output))
+        (pin (num 361) (name SA9) (type output))
+        (pin (num 362) (name SA8) (type output))
+        (pin (num 363) (name SA7) (type output))
+        (pin (num 364) (name SA6) (type output))
+        (pin (num 365) (name SA5) (type output))
+        (pin (num 366) (name SA4) (type output))
+        (pin (num 367) (name SA3) (type output))
+        (pin (num 368) (name SA2) (type output))
+        (pin (num 369) (name SA1) (type output))
+        (pin (num 370) (name SA0) (type output))
+        (pin (num 371) (name SDQ0) (type BiDi))
+        (pin (num 372) (name SDQ1) (type BiDi))
+        (pin (num 373) (name SDQ2) (type BiDi))
+        (pin (num 374) (name SDQ3) (type BiDi))
+        (pin (num 375) (name SDQ4) (type BiDi))
+        (pin (num 376) (name SDQ5) (type BiDi))
+        (pin (num 377) (name SDQ6) (type BiDi))
+        (pin (num 378) (name SDQ8) (type BiDi))
+        (pin (num 379) (name SDQ9) (type BiDi))
+        (pin (num 380) (name SDQ10) (type BiDi))
+        (pin (num 381) (name SDQ11) (type BiDi))
+        (pin (num 382) (name SDQ12) (type BiDi))
+        (pin (num 383) (name SDQ13) (type BiDi))
+        (pin (num 384) (name SDQ14) (type BiDi))
+        (pin (num 385) (name SDQ15) (type BiDi))
+        (pin (num 386) (name SDQ16) (type BiDi))
+        (pin (num 387) (name SDQ17) (type BiDi))
+        (pin (num 388) (name SDQ18) (type BiDi))
+        (pin (num 389) (name SDQ19) (type BiDi))
+        (pin (num 390) (name SDQ20) (type BiDi))
+        (pin (num 391) (name SDQ21) (type BiDi))
+        (pin (num 392) (name SDQ22) (type BiDi))
+        (pin (num 393) (name SDQ23) (type BiDi))
+        (pin (num 394) (name SDQ24) (type BiDi))
+        (pin (num 395) (name SDQ25) (type BiDi))
+        (pin (num 396) (name SDQ26) (type BiDi))
+        (pin (num 397) (name SDQ27) (type BiDi))
+        (pin (num 398) (name SDQ28) (type BiDi))
+        (pin (num 399) (name SDQ29) (type BiDi))
+        (pin (num 400) (name SDQ30) (type BiDi))
+        (pin (num 401) (name SDQ31) (type BiDi))
+        (pin (num 402) (name SDQ7) (type BiDi))
+        (pin (num 403) (name VCC_DRAM) (type input))
+        (pin (num 404) (name VCC_DRAM) (type input))
+        (pin (num 405) (name VCC_DRAM) (type input))
+        (pin (num 406) (name VCC_DRAM) (type input))
+        (pin (num 407) (name VCC_DRAM) (type input))
+        (pin (num 408) (name SDQS1) (type output))
+        (pin (num 409) (name SDQSO#) (type output))
+        (pin (num 410) (name SDQS0) (type output))
+        (pin (num 411) (name SDQM3) (type output))
+        (pin (num 412) (name SDQM2) (type output))
+        (pin (num 413) (name SDQM1) (type output))
+        (pin (num 414) (name SDQM0) (type output))
+        (pin (num 415) (name TVIN1) (type input))
+        (pin (num 416) (name ODT0) (type BiDi))
+        (pin (num 417) (name ODT1) (type BiDi))
+        (pin (num 418) (name TVIN1) (type input))
+        (pin (num 419) (name SDQS3) (type output))
+        (pin (num 420) (name SDQS2#) (type output))
+        (pin (num 421) (name SDQS2) (type output))
+        (pin (num 422) (name SDQS1#) (type output))
+        (pin (num 423) (name TVIN0) (type input))
+        (pin (num 424) (name SCKE0) (type output))
+        (pin (num 425) (name SCK#) (type output))
+        (pin (num 426) (name SCK) (type output))
+        (pin (num 427) (name SBA2) (type output))
+        (pin (num 428) (name SBA1) (type output))
+        (pin (num 429) (name SBA0) (type output))
+        (pin (num 430) (name SA14) (type output))
+        (pin (num 431) (name SA13) (type output))
+        (pin (num 432) (name SA12) (type output))
+        (pin (num 433) (name SA11) (type output))
+        (pin (num 434) (name SA10) (type output))
+        (pin (num 435) (name SA9) (type output))
+        (pin (num 436) (name SA8) (type output))
+        (pin (num 437) (name SA7) (type output))
+        (pin (num 438) (name SA6) (type output))
+        (pin (num 439) (name SA5) (type output))
+        (pin (num 440) (name SA4) (type output))
+        (pin (num 441) (name SA3) (type output))
+        (pin (num A1) (name PH15) (type BiDi))
+        (pin (num A2) (name PH13) (type BiDi))
+        (pin (num A3) (name PH10) (type BiDi))
+        (pin (num A4) (name U5TX) (type BiDi))
+        (pin (num A5) (name U3CTS) (type BiDi))
+        (pin (num A6) (name U3TX) (type BiDi))
+        (pin (num A7) (name PB22) (type input))
+        (pin (num A8) (name PB18) (type input))
+        (pin (num A9) (name PB16) (type input))
+        (pin (num A10) (name PB14) (type input))
+        (pin (num A11) (name PB8) (type input))
+        (pin (num A12) (name PB6) (type input))
+        (pin (num A13) (name PB4) (type input))
+        (pin (num A14) (name PB2) (type input))
+        (pin (num A15) (name PB0) (type input))
+        (pin (num A16) (name PI8) (type input))
+        (pin (num A17) (name PI6) (type input))
+        (pin (num A18) (name PI4) (type input))
+        (pin (num A19) (name PI2) (type input))
+        (pin (num A20) (name PI0) (type input))
+        (pin (num A21) (name PE11) (type input))
+        (pin (num A22) (name PE9) (type input))
+        (pin (num A23) (name PE8) (type input))
+        (pin (num AA1) (name SDQ12) (type BiDi))
+        (pin (num AA2) (name SDQS1#) (type output))
+        (pin (num AA3) (name SA8) (type output))
+        (pin (num AA4) (name SCS1) (type BiDi))
+        (pin (num AA5) (name TVIN1) (type input))
+        (pin (num AA6) (name SRST) (type BiDi))
+        (pin (num AA7) (name SZQ) (type BiDi))
+        (pin (num AA8) (name SVREF3) (type input))
+        (pin (num AA9) (name LCD0_DE) (type BiDi))
+        (pin (num AA10) (name LCD0_D21) (type BiDi))
+        (pin (num AA11) (name LCD0_D19) (type BiDi))
+        (pin (num AA12) (name LCD0_D17) (type BiDi))
+        (pin (num AA13) (name LCD0_D15) (type BiDi))
+        (pin (num AA14) (name LCD0_D13) (type BiDi))
+        (pin (num AA15) (name LCD0_D11) (type BiDi))
+        (pin (num AA16) (name NC) (type input))
+        (pin (num AA17) (name TVIN2) (type input))
+        (pin (num AA18) (name NC) (type input))
+        (pin (num AA19) (name HPCOM) (type input))
+        (pin (num AA20) (name HPCOMFB) (type input))
+        (pin (num AA21) (name VMIC) (type input))
+        (pin (num AA22) (name XN_TP) (type input))
+        (pin (num AA23) (name YN_TP) (type input))
+        (pin (num AB1) (name SDQS1) (type output))
+        (pin (num AB2) (name SDQ8) (type BiDi))
+        (pin (num AB3) (name SDQ13) (type BiDi))
+        (pin (num AB4) (name SDQ0) (type BiDi))
+        (pin (num AB5) (name SDQ5) (type BiDi))
+        (pin (num AB6) (name SDQS0) (type output))
+        (pin (num AB7) (name SDQ6) (type BiDi))
+        (pin (num AB8) (name SDQ3) (type BiDi))
+        (pin (num AB9) (name LCD0_HSYNC) (type BiDi))
+        (pin (num AB10) (name LCD0_D22) (type BiDi))
+        (pin (num AB11) (name LCD0_D8) (type BiDi))
+        (pin (num AB12) (name LCD0_D6) (type BiDi))
+        (pin (num AB13) (name LCD0_D4) (type BiDi))
+        (pin (num AB14) (name LCD0_D2) (type BiDi))
+        (pin (num AB15) (name LCD0_D0) (type BiDi))
+        (pin (num AB16) (name TVOUT1) (type output))
+        (pin (num AB17) (name TVOUT3) (type output))
+        (pin (num AB18) (name TVIN1) (type input))
+        (pin (num AB19) (name NC) (type input))
+        (pin (num AB20) (name LINEINL) (type input))
+        (pin (num AB21) (name LINEINR) (type input))
+        (pin (num AB22) (name LRADC1) (type input))
+        (pin (num AB23) (name LRADC0) (type input))
+        (pin (num AC1) (name SDQ15) (type BiDi))
+        (pin (num AC2) (name SDQ10) (type BiDi))
+        (pin (num AC3) (name SDQ7) (type BiDi))
+        (pin (num AC4) (name SDQ2) (type BiDi))
+        (pin (num AC5) (name SDQSO#) (type output))
+        (pin (num AC6) (name SDQM0) (type output))
+        (pin (num AC7) (name SDQ1) (type BiDi))
+        (pin (num AC8) (name SDQ4) (type BiDi))
+        (pin (num AC9) (name LCD0_VSYNC) (type BiDi))
+        (pin (num AC10) (name LCD0_D23) (type BiDi))
+        (pin (num AC11) (name LCD0_D9) (type BiDi))
+        (pin (num AC12) (name LCD0_D7) (type BiDi))
+        (pin (num AC13) (name LCD0_D5) (type BiDi))
+        (pin (num AC14) (name LCD0_D3) (type BiDi))
+        (pin (num AC15) (name LCD0_D1) (type BiDi))
+        (pin (num AC16) (name TVOUT0) (type output))
+        (pin (num AC17) (name TVOUT2) (type output))
+        (pin (num AC18) (name TVIN0) (type input))
+        (pin (num AC19) (name HPVCC) (type input))
+        (pin (num AC20) (name MICIN1) (type input))
+        (pin (num AC21) (name MICIN2) (type input))
+        (pin (num AC22) (name MIC1OUTP) (type BiDi))
+        (pin (num AC23) (name MIC1OUTN) (type BiDi))
+        (pin (num B1) (name PH16) (type BiDi))
+        (pin (num B2) (name PH14) (type BiDi))
+        (pin (num B3) (name PH11) (type BiDi))
+        (pin (num B4) (name U5RX) (type BiDi))
+        (pin (num B5) (name U4TX) (type BiDi))
+        (pin (num B6) (name U3RX) (type BiDi))
+        (pin (num B7) (name PB23) (type input))
+        (pin (num B8) (name PB19) (type input))
+        (pin (num B9) (name PB17) (type input))
+        (pin (num B10) (name PB15) (type input))
+        (pin (num B11) (name PB13) (type input))
+        (pin (num B12) (name PB7) (type input))
+        (pin (num B13) (name PB5) (type input))
+        (pin (num B14) (name PB3) (type input))
+        (pin (num B15) (name PB1) (type input))
+        (pin (num B16) (name PI9) (type input))
+        (pin (num B17) (name PI7) (type input))
+        (pin (num B18) (name PI5) (type input))
+        (pin (num B19) (name PI3) (type input))
+        (pin (num B20) (name PI1) (type input))
+        (pin (num B21) (name PE10) (type input))
+        (pin (num B22) (name PE7) (type input))
+        (pin (num B23) (name PE6) (type input))
+        (pin (num C1) (name PH17) (type BiDi))
+        (pin (num C2) (name PH18) (type BiDi))
+        (pin (num C3) (name PH12) (type BiDi))
+        (pin (num C4) (name PH8) (type BiDi))
+        (pin (num C5) (name U4RX) (type BiDi))
+        (pin (num C6) (name U3RTS) (type BiDi))
+        (pin (num C7) (name PB21) (type input))
+        (pin (num C8) (name PB20) (type input))
+        (pin (num C9) (name PB12) (type input))
+        (pin (num C10) (name PB11) (type input))
+        (pin (num C11) (name PB10) (type input))
+        (pin (num C12) (name PB9) (type input))
+        (pin (num C13) (name ETXERR) (type input))
+        (pin (num C14) (name RESET#) (type input))
+        (pin (num C15) (name PI14) (type input))
+        (pin (num C16) (name PI12) (type input))
+        (pin (num C17) (name PI10) (type input))
+        (pin (num C18) (name PG11) (type input))
+        (pin (num C19) (name PG9) (type input))
+        (pin (num C20) (name PG7) (type input))
+        (pin (num C21) (name PG5) (type input))
+        (pin (num C22) (name PE5) (type input))
+        (pin (num C23) (name PE4) (type input))
+        (pin (num D1) (name PH19) (type BiDi))
+        (pin (num D2) (name PH20) (type BiDi))
+        (pin (num D3) (name PH21) (type BiDi))
+        (pin (num D4) (name PH9) (type BiDi))
+        (pin (num D5) (name ERXD3) (type input))
+        (pin (num D6) (name ERXD1) (type output))
+        (pin (num D7) (name ETXD3) (type input))
+        (pin (num D8) (name ETXD1) (type input))
+        (pin (num D9) (name ERXCK) (type input))
+        (pin (num D10) (name ERXDV) (type output))
+        (pin (num D11) (name EMDIO) (type input))
+        (pin (num D12) (name ETXCK) (type input))
+        (pin (num D13) (name ECOL) (type input))
+        (pin (num D14) (name PI19) (type input))
+        (pin (num D15) (name PI15) (type input))
+        (pin (num D16) (name PI13) (type input))
+        (pin (num D17) (name PI11) (type input))
+        (pin (num D18) (name PG10) (type input))
+        (pin (num D19) (name PG8) (type input))
+        (pin (num D20) (name PG4) (type input))
+        (pin (num D21) (name PG3) (type input))
+        (pin (num D22) (name PE3) (type input))
+        (pin (num D23) (name PE2) (type input))
+        (pin (num E1) (name PH22) (type BiDi))
+        (pin (num E2) (name PH23) (type BiDi))
+        (pin (num E3) (name PH24) (type BiDi))
+        (pin (num E4) (name PH25) (type BiDi))
+        (pin (num E5) (name ERXD2) (type input))
+        (pin (num E6) (name ERXD0) (type input))
+        (pin (num E7) (name ETXD2) (type input))
+        (pin (num E8) (name ETXD0) (type input))
+        (pin (num E9) (name ERXERR) (type input))
+        (pin (num E10) (name EMDC) (type input))
+        (pin (num E11) (name ETXEN) (type input))
+        (pin (num E12) (name ECRS) (type input))
+        (pin (num E13) (name PI21) (type input))
+        (pin (num E14) (name PI20) (type input))
+        (pin (num E15) (name PI18) (type input))
+        (pin (num E16) (name PI17) (type input))
+        (pin (num E17) (name PI16) (type input))
+        (pin (num E18) (name VCC_CSI0) (type input))
+        (pin (num E19) (name PG6) (type input))
+        (pin (num E20) (name PG2) (type input))
+        (pin (num E21) (name PG1) (type input))
+        (pin (num E22) (name PE1) (type input))
+        (pin (num E23) (name PE0) (type input))
+        (pin (num F1) (name CLK32KIN) (type BiDi))
+        (pin (num F2) (name CLK32KOUT) (type BiDi))
+        (pin (num F3) (name PH26) (type BiDi))
+        (pin (num F4) (name PH27) (type input))
+        (pin (num F5) (name NMI#) (type input))
+        (pin (num F19) (name VCC_CSI1) (type input))
+        (pin (num F20) (name PG0) (type input))
+        (pin (num F21) (name PC24) (type input))
+        (pin (num F22) (name PC18) (type input))
+        (pin (num F23) (name PC17) (type input))
+        (pin (num G1) (name SDQM3) (type output))
+        (pin (num G2) (name SDQ30) (type BiDi))
+        (pin (num G3) (name GND_DRAM) (type input))
+        (pin (num G4) (name GND_DRAM) (type input))
+        (pin (num G5) (name VCC_DRAM) (type input))
+        (pin (num G19) (name PC23) (type input))
+        (pin (num G20) (name PC15) (type input))
+        (pin (num G21) (name PC14) (type input))
+        (pin (num G22) (name PC11) (type input))
+        (pin (num G23) (name PC10) (type input))
+        (pin (num H1) (name SDQ25) (type BiDi))
+        (pin (num H2) (name SDQ27) (type BiDi))
+        (pin (num H3) (name SVREF0) (type input))
+        (pin (num H4) (name SVREF1) (type input))
+        (pin (num H5) (name VCC_DRAM) (type input))
+        (pin (num H8) (name VCC) (type input))
+        (pin (num H9) (name VCC) (type input))
+        (pin (num H10) (name VCC) (type input))
+        (pin (num H11) (name VDD_CPU) (type input))
+        (pin (num H12) (name VDD_CPU) (type input))
+        (pin (num H13) (name VDD_CPU) (type input))
+        (pin (num H14) (name VDD_CPU) (type input))
+        (pin (num H15) (name VCC) (type input))
+        (pin (num H16) (name TEST) (type input))
+        (pin (num H19) (name VCC_NAND) (type input))
+        (pin (num H20) (name PC13) (type input))
+        (pin (num H21) (name PC12) (type input))
+        (pin (num H22) (name PC9) (type input))
+        (pin (num H23) (name PC8) (type input))
+        (pin (num J1) (name SDQ28) (type BiDi))
+        (pin (num J2) (name SDQS3#) (type output))
+        (pin (num J3) (name ODT1) (type BiDi))
+        (pin (num J4) (name SCKE1) (type output))
+        (pin (num J5) (name GND_DRAM) (type input))
+        (pin (num J8) (name VCC) (type input))
+        (pin (num J9) (name VCC) (type input))
+        (pin (num J10) (name VCC) (type input))
+        (pin (num J11) (name GND) (type power_in))
+        (pin (num J12) (name VDD_CPU) (type input))
+        (pin (num J13) (name VDD_CPU) (type input))
+        (pin (num J14) (name VCC) (type input))
+        (pin (num J15) (name VDD_INT) (type input))
+        (pin (num J16) (name VDD_INT) (type input))
+        (pin (num J19) (name VCC_NAND) (type input))
+        (pin (num J20) (name PC22) (type input))
+        (pin (num J21) (name PC21) (type input))
+        (pin (num J22) (name PC7) (type input))
+        (pin (num J23) (name PC6) (type input))
+        (pin (num K1) (name SDQS3) (type output))
+        (pin (num K2) (name SDQ31) (type BiDi))
+        (pin (num K3) (name SBA0) (type output))
+        (pin (num K4) (name SBA2) (type output))
+        (pin (num K5) (name GND_DRAM) (type input))
+        (pin (num K8) (name RTC_VDD) (type input))
+        (pin (num K9) (name VDD_INT) (type input))
+        (pin (num K10) (name VDD_INT) (type input))
+        (pin (num K11) (name GND) (type power_in))
+        (pin (num K12) (name GND) (type input))
+        (pin (num K13) (name GND) (type input))
+        (pin (num K14) (name ULGND) (type input))
+        (pin (num K15) (name VDD_INT) (type input))
+        (pin (num K16) (name ULVDD) (type input))
+        (pin (num K19) (name SDC0_D2) (type BiDi))
+        (pin (num K20) (name SDC0_D3) (type BiDi))
+        (pin (num K21) (name PC20) (type input))
+        (pin (num K22) (name PC5) (type input))
+        (pin (num K23) (name PC4) (type input))
+        (pin (num L1) (name SDQ24) (type BiDi))
+        (pin (num L2) (name SDQ26) (type BiDi))
+        (pin (num L3) (name SA10) (type output))
+        (pin (num L4) (name SBA1) (type output))
+        (pin (num L5) (name VCC_DRAM) (type input))
+        (pin (num L8) (name VDD_INT) (type input))
+        (pin (num L9) (name VDD_INT) (type input))
+        (pin (num L10) (name GND) (type input))
+        (pin (num L11) (name GND) (type input))
+        (pin (num L12) (name GND) (type input))
+        (pin (num L13) (name UGND_T) (type input))
+        (pin (num L14) (name UGND_C) (type input))
+        (pin (num L15) (name UVCC_T) (type input))
+        (pin (num L16) (name UVCC_C) (type input))
+        (pin (num L19) (name SDC0_CMD) (type BiDi))
+        (pin (num L20) (name SDC0_CLK) (type output))
+        (pin (num L21) (name PC19) (type input))
+        (pin (num L22) (name PC3) (type input))
+        (pin (num L23) (name PC2) (type BiDi))
+        (pin (num M1) (name SDQ29) (type BiDi))
+        (pin (num M2) (name SDQ23) (type BiDi))
+        (pin (num M3) (name SA7) (type output))
+        (pin (num M4) (name SA3) (type output))
+        (pin (num M5) (name VCC_DRAM) (type input))
+        (pin (num M8) (name VDD_DLL) (type input))
+        (pin (num M9) (name GND_DLL) (type input))
+        (pin (num M10) (name GND) (type input))
+        (pin (num M11) (name GND) (type input))
+        (pin (num M12) (name GND) (type input))
+        (pin (num M13) (name GND) (type input))
+        (pin (num M14) (name GND_SATA) (type power_in))
+        (pin (num M15) (name NC) (type input))
+        (pin (num M16) (name NC) (type input))
+        (pin (num M19) (name SDC0_D0) (type BiDi))
+        (pin (num M20) (name SDC0_D1) (type BiDi))
+        (pin (num M21) (name PC16) (type input))
+        (pin (num M22) (name PC1) (type BiDi))
+        (pin (num M23) (name PC0) (type BiDi))
+        (pin (num N1) (name SDQ16) (type BiDi))
+        (pin (num N2) (name SDQ18) (type BiDi))
+        (pin (num N3) (name SCKE0) (type output))
+        (pin (num N4) (name SA5) (type output))
+        (pin (num N5) (name GND_DRAM) (type input))
+        (pin (num N8) (name NC) (type input))
+        (pin (num N9) (name VDD_DLL) (type input))
+        (pin (num N10) (name GND_DLL) (type input))
+        (pin (num N11) (name GND) (type input))
+        (pin (num N12) (name GND) (type input))
+        (pin (num N13) (name GND_SATA) (type power_in))
+        (pin (num N14) (name GND_SATA) (type power_in))
+        (pin (num N15) (name NC) (type input))
+        (pin (num N16) (name NC) (type input))
+        (pin (num N19) (name VCC_CARD) (type input))
+        (pin (num N20) (name DM0) (type BiDi))
+        (pin (num N21) (name DP0) (type BiDi))
+        (pin (num N22) (name CLK24m_OUT) (type BiDi))
+        (pin (num N23) (name CLK24M_IN) (type BiDi))
+        (pin (num P1) (name SDQ21) (type BiDi))
+        (pin (num P2) (name SDQS2#) (type output))
+        (pin (num P3) (name SA12) (type output))
+        (pin (num P4) (name SA9) (type output))
+        (pin (num P5) (name GND_DRAM) (type input))
+        (pin (num P8) (name NC) (type input))
+        (pin (num P9) (name VDD_DLL) (type input))
+        (pin (num P10) (name GND_DLL) (type input))
+        (pin (num P11) (name GND) (type input))
+        (pin (num P12) (name GND) (type input))
+        (pin (num P13) (name GND_HDMI) (type input))
+        (pin (num P14) (name GND_HDMI) (type input))
+        (pin (num P15) (name PLLGND) (type input))
+        (pin (num P16) (name PLLVP25) (type input))
+        (pin (num P19) (name NC) (type input))
+        (pin (num P20) (name DM1) (type BiDi))
+        (pin (num P21) (name DP1) (type BiDi))
+        (pin (num P22) (name HPD_HDMI) (type BiDi))
+        (pin (num P23) (name CEC_HDMI) (type BiDi))
+        (pin (num R1) (name SDQS2) (type output))
+        (pin (num R2) (name SDQM2) (type output))
+        (pin (num R3) (name SA14) (type output))
+        (pin (num R4) (name SA1) (type output))
+        (pin (num R5) (name VCC_DRAM) (type input))
+        (pin (num R8) (name NC) (type input))
+        (pin (num R9) (name VDD_INT) (type input))
+        (pin (num R10) (name VDD_INT) (type input))
+        (pin (num R11) (name GND) (type input))
+        (pin (num R12) (name GND) (type input))
+        (pin (num R13) (name GND_HDMI) (type input))
+        (pin (num R14) (name NC) (type input))
+        (pin (num R15) (name NC) (type input))
+        (pin (num R16) (name NC) (type input))
+        (pin (num R19) (name NC) (type input))
+        (pin (num R20) (name DM2) (type BiDi))
+        (pin (num R21) (name DP2) (type BiDi))
+        (pin (num R22) (name SDA_HDMI) (type BiDi))
+        (pin (num R23) (name SCL_HDMI) (type BiDi))
+        (pin (num T1) (name SDQ22) (type BiDi))
+        (pin (num T2) (name SDQ17) (type BiDi))
+        (pin (num T3) (name SWE) (type BiDi))
+        (pin (num T4) (name SRAS) (type BiDi))
+        (pin (num T5) (name VCC_DRAM) (type input))
+        (pin (num T8) (name VDD_INT) (type input))
+        (pin (num T9) (name GND) (type input))
+        (pin (num T10) (name JTAG_SEL) (type input))
+        (pin (num T11) (name GND) (type input))
+        (pin (num T12) (name GND) (type input))
+        (pin (num T13) (name VP_HDMI) (type BiDi))
+        (pin (num T14) (name NC) (type input))
+        (pin (num T15) (name PLL_TEST) (type input))
+        (pin (num T16) (name NC) (type input))
+        (pin (num T19) (name AVCC) (type input))
+        (pin (num T20) (name NC) (type input))
+        (pin (num T21) (name NC) (type input))
+        (pin (num T22) (name TX2N_HDMI) (type BiDi))
+        (pin (num T23) (name TX2P_HDMI) (type BiDi))
+        (pin (num U1) (name SDQ19) (type BiDi))
+        (pin (num U2) (name SDQ20) (type BiDi))
+        (pin (num U3) (name SCAS) (type BiDi))
+        (pin (num U4) (name SA2) (type output))
+        (pin (num U5) (name GND_DRAM) (type input))
+        (pin (num U19) (name AGND) (type input))
+        (pin (num U20) (name NC) (type input))
+        (pin (num U21) (name NC) (type input))
+        (pin (num U22) (name TX1N_HDMI) (type BiDi))
+        (pin (num U23) (name TX1P_HDMI) (type BiDi))
+        (pin (num V1) (name SCK) (type output))
+        (pin (num V2) (name SCK#) (type output))
+        (pin (num V3) (name SCS0) (type BiDi))
+        (pin (num V4) (name SA6) (type output))
+        (pin (num V5) (name GND_DRAM) (type input))
+        (pin (num V19) (name HPGND) (type input))
+        (pin (num V20) (name VRA2) (type input))
+        (pin (num V21) (name NC) (type input))
+        (pin (num V22) (name TX0N_HDMI) (type BiDi))
+        (pin (num V23) (name TX0P_HDMI) (type BiDi))
+        (pin (num W1) (name SDQM1) (type output))
+        (pin (num W2) (name SDQ14) (type BiDi))
+        (pin (num W3) (name SA11) (type output))
+        (pin (num W4) (name SA0) (type output))
+        (pin (num W5) (name VCC_DRAM) (type input))
+        (pin (num W6) (name VCC_DRAM) (type input))
+        (pin (num W7) (name VCC_DRAM) (type input))
+        (pin (num W8) (name UBOOT_SEL) (type input))
+        (pin (num W9) (name GND_LVDS) (type input))
+        (pin (num W10) (name GND_LVDS) (type input))
+        (pin (num W11) (name GND_LVDS) (type input))
+        (pin (num W12) (name VCC_LVDS) (type input))
+        (pin (num W13) (name VCC_LVDS) (type input))
+        (pin (num W14) (name VCC_LVDS) (type input))
+        (pin (num W15) (name VCC33_TVO) (type input))
+        (pin (num W16) (name VCC33_TVIN) (type input))
+        (pin (num W17) (name NC) (type input))
+        (pin (num W18) (name GND33_TV) (type input))
+        (pin (num W19) (name HPR) (type input))
+        (pin (num W20) (name VRA1) (type input))
+        (pin (num W21) (name VRP) (type input))
+        (pin (num W22) (name TXCN_HDMI) (type BiDi))
+        (pin (num W23) (name TXCP_HDMI) (type BiDi))
+        (pin (num Y1) (name SDQ9) (type BiDi))
+        (pin (num Y2) (name SDQ11) (type BiDi))
+        (pin (num Y3) (name SA13) (type output))
+        (pin (num Y4) (name SA4) (type output))
+        (pin (num Y5) (name SVREF2) (type input))
+        (pin (num Y6) (name VCC_DRAM) (type input))
+        (pin (num Y7) (name GND_DRAM) (type input))
+        (pin (num Y8) (name GND_DRAM) (type input))
+        (pin (num Y9) (name LCD0_CLK) (type BiDi))
+        (pin (num Y10) (name LCD0_D20) (type BiDi))
+        (pin (num Y11) (name LCD0_D18) (type BiDi))
+        (pin (num Y12) (name LCD0_D16) (type BiDi))
+        (pin (num Y13) (name LCD0_D14) (type BiDi))
+        (pin (num Y14) (name LCD0_D12) (type BiDi))
+        (pin (num Y15) (name LCD0_D10) (type BiDi))
+        (pin (num Y16) (name HPVCCIN) (type input))
+        (pin (num Y17) (name TVIN3) (type input))
+        (pin (num Y18) (name NC) (type input))
+        (pin (num Y19) (name HPL) (type input))
+        (pin (num Y20) (name FMINL) (type input))
+        (pin (num Y21) (name FMINR) (type input))
+        (pin (num Y22) (name XP_TP) (type input))
+        (pin (num Y23) (name YP_TP) (type input))))
+    (libpart (lib A10-plus) (part AXP209)
+      (fields
+        (field (name Reference) U)
+        (field (name Value) AXP209))
+      (pins
+        (pin (num 1) (name SDA) (type BiDi))
+        (pin (num 2) (name SCK) (type input))
+        (pin (num 3) (name GPIO3) (type BiDi))
+        (pin (num 4) (name N_OE) (type input))
+        (pin (num 5) (name GPIO2) (type BiDi))
+        (pin (num 6) (name N_VBUSEN) (type input))
+        (pin (num 7) (name VIN2) (type input))
+        (pin (num 8) (name LX2) (type input))
+        (pin (num 9) (name PGND2) (type power_in))
+        (pin (num 10) (name DCDC2) (type power_out))
+        (pin (num 11) (name LDO4) (type power_out))
+        (pin (num 12) (name LDO2) (type power_out))
+        (pin (num 13) (name LDO24IN) (type input))
+        (pin (num 14) (name VIN3) (type input))
+        (pin (num 15) (name LX3) (type input))
+        (pin (num 16) (name PGND3) (type power_in))
+        (pin (num 17) (name DCDC3) (type power_out))
+        (pin (num 18) (name GPIO1) (type output))
+        (pin (num 19) (name GPIO0) (type BiDi))
+        (pin (num 20) (name EXTEN) (type output))
+        (pin (num 21) (name APS) (type input))
+        (pin (num 22) (name AGND) (type power_in))
+        (pin (num 23) (name BIAS) (type BiDi))
+        (pin (num 24) (name VREF) (type output))
+        (pin (num 25) (name PWROK) (type output))
+        (pin (num 26) (name VINT) (type power_out))
+        (pin (num 27) (name LDO1SET) (type input))
+        (pin (num 28) (name LDO1) (type power_out))
+        (pin (num 29) (name DC3SET) (type BiDi))
+        (pin (num 30) (name BACKUP) (type BiDi))
+        (pin (num 31) (name VBUS) (type power_in))
+        (pin (num 32) (name ACIN) (type power_in))
+        (pin (num 33) (name ACIN) (type power_in))
+        (pin (num 34) (name IPSOUT) (type input))
+        (pin (num 35) (name IPSOUT) (type power_out))
+        (pin (num 36) (name CHGLED) (type output))
+        (pin (num 37) (name TS) (type input))
+        (pin (num 38) (name BAT) (type power_in))
+        (pin (num 39) (name BAT) (type power_in))
+        (pin (num 40) (name LDO3IN) (type input))
+        (pin (num 41) (name LDO3) (type power_out))
+        (pin (num 42) (name BATSENSE) (type input))
+        (pin (num 43) (name CHSENSE) (type output))
+        (pin (num 44) (name VIN1) (type input))
+        (pin (num 45) (name LX1) (type BiDi))
+        (pin (num 46) (name PGND1) (type power_in))
+        (pin (num 47) (name PWRON) (type input))
+        (pin (num 48) (name IRQ) (type BiDi))
+        (pin (num 49) (name DP_[BODY]) (type power_in))))
+    (libpart (lib A10-plus) (part DUSB)
+      (fields
+        (field (name Reference) J)
+        (field (name Value) DUSB)
+        (field (name Footprint) ~)
+        (field (name Datasheet) ~))
+      (pins
+        (pin (num 1) (name PWR) (type input))
+        (pin (num 2) (name PWR) (type input))
+        (pin (num 3) (name D-) (type input))
+        (pin (num 4) (name D-) (type input))
+        (pin (num 5) (name D+) (type input))
+        (pin (num 6) (name D+) (type input))
+        (pin (num 7) (name GND) (type input))
+        (pin (num 8) (name GND) (type input))
+        (pin (num sh1) (name ~) (type input))
+        (pin (num sh2) (name ~) (type input))
+        (pin (num sh3) (name ~) (type input))
+        (pin (num sh4) (name ~) (type input))))
+    (libpart (lib A10-plus) (part RJ45-HANRUN)
+      (fields
+        (field (name Reference) J)
+        (field (name Value) RJ45-HANRUN))
+      (pins
+        (pin (num 1) (name TD+) (type passive))
+        (pin (num 2) (name TD-) (type passive))
+        (pin (num 3) (name RD+) (type passive))
+        (pin (num 4) (name COM) (type passive))
+        (pin (num 5) (name COM) (type passive))
+        (pin (num 6) (name RD-) (type passive))
+        (pin (num 7) (name NC) (type passive))
+        (pin (num 8) (name GND) (type passive))
+        (pin (num 9) (name LEDG_A) (type passive))
+        (pin (num 10) (name LEDG_K) (type passive))
+        (pin (num 11) (name LEDY_K) (type passive))
+        (pin (num 12) (name LEDY_A) (type passive))
+        (pin (num 13) (name SHIELD) (type passive))))
+    (libpart (lib A10-plus) (part RTL8201CP)
+      (fields
+        (field (name Reference) U)
+        (field (name Value) RTL8201CP)
+        (field (name Footprint) MODULE)
+        (field (name Datasheet) ~))
+      (pins
+        (pin (num 1) (name COL) (type BiDi))
+        (pin (num 2) (name TXEN) (type BiDi))
+        (pin (num 3) (name TXD3) (type BiDi))
+        (pin (num 4) (name TXD2) (type BiDi))
+        (pin (num 5) (name TXD1) (type BiDi))
+        (pin (num 6) (name TXD0) (type BiDi))
+        (pin (num 7) (name TXC) (type BiDi))
+        (pin (num 8) (name PFWBIN) (type input))
+        (pin (num 9) (name LED0) (type input))
+        (pin (num 10) (name LED1) (type input))
+        (pin (num 11) (name DGND) (type input))
+        (pin (num 12) (name LED2) (type input))
+        (pin (num 13) (name LED3) (type input))
+        (pin (num 14) (name DVDD33) (type input))
+        (pin (num 15) (name LED4) (type input))
+        (pin (num 16) (name RXC) (type BiDi))
+        (pin (num 17) (name DGND) (type input))
+        (pin (num 18) (name RXD3) (type BiDi))
+        (pin (num 19) (name RXD2) (type BiDi))
+        (pin (num 20) (name RXD1) (type BiDi))
+        (pin (num 21) (name RXD0) (type BiDi))
+        (pin (num 22) (name RXDV) (type BiDi))
+        (pin (num 23) (name CRS) (type BiDi))
+        (pin (num 24) (name RXER) (type BiDi))
+        (pin (num 25) (name MDC) (type BiDi))
+        (pin (num 26) (name MDIO) (type BiDi))
+        (pin (num 27) (name NC) (type input))
+        (pin (num 28) (name RTEST) (type input))
+        (pin (num 29) (name AGND) (type input))
+        (pin (num 30) (name TPRX-) (type input))
+        (pin (num 31) (name TPRX+) (type input))
+        (pin (num 32) (name PFWBOUT) (type input))
+        (pin (num 33) (name TPTX-) (type input))
+        (pin (num 34) (name TPTX+) (type input))
+        (pin (num 35) (name AGND) (type input))
+        (pin (num 36) (name AVDD33) (type input))
+        (pin (num 37) (name ANE) (type input))
+        (pin (num 38) (name DUPLEX) (type input))
+        (pin (num 39) (name SPEED) (type input))
+        (pin (num 40) (name RPTR) (type input))
+        (pin (num 41) (name LDPS) (type input))
+        (pin (num 42) (name RESETB) (type BiDi))
+        (pin (num 43) (name ISOLATE) (type input))
+        (pin (num 44) (name MII) (type input))
+        (pin (num 45) (name DGND) (type input))
+        (pin (num 46) (name X1) (type input))
+        (pin (num 47) (name X2) (type input))
+        (pin (num 48) (name DVDD33) (type input))))
+    (libpart (lib A10-plus) (part SY8008C)
+      (fields
+        (field (name Reference) U)
+        (field (name Value) SY8008C)
+        (field (name Footprint) MODULE)
+        (field (name Datasheet) ~))
+      (pins
+        (pin (num 1) (name EN) (type input))
+        (pin (num 2) (name GND) (type input))
+        (pin (num 3) (name LX) (type power_out))
+        (pin (num 4) (name IN) (type input))
+        (pin (num 5) (name FB) (type input))))
+    (libpart (lib A10-plus) (part XTL-SMD)
+      (fields
+        (field (name Reference) X)
+        (field (name Value) XTL-SMD))
+      (pins
+        (pin (num 1) (name 1) (type BiDi))
+        (pin (num 2) (name GND) (type BiDi))
+        (pin (num 3) (name 3) (type BiDi))
+        (pin (num 4) (name GND) (type BiDi))))
+    (libpart (lib myconns) (part HEADER_19)
+      (fields
+        (field (name Reference) J)
+        (field (name Value) HEADER_19))
+      (pins
+        (pin (num 1) (name ~) (type passive))
+        (pin (num 2) (name ~) (type passive))
+        (pin (num 3) (name ~) (type passive))
+        (pin (num 4) (name ~) (type passive))
+        (pin (num 5) (name ~) (type passive))
+        (pin (num 6) (name ~) (type passive))
+        (pin (num 7) (name ~) (type passive))
+        (pin (num 8) (name ~) (type passive))
+        (pin (num 9) (name ~) (type passive))
+        (pin (num 10) (name ~) (type passive))
+        (pin (num 11) (name ~) (type passive))
+        (pin (num 12) (name ~) (type passive))
+        (pin (num 13) (name ~) (type passive))
+        (pin (num 14) (name ~) (type passive))
+        (pin (num 15) (name ~) (type passive))
+        (pin (num 16) (name ~) (type passive))
+        (pin (num 17) (name ~) (type passive))
+        (pin (num 18) (name ~) (type passive))
+        (pin (num 19) (name ~) (type passive))
+        (pin (num SH1) (name ~) (type passive))
+        (pin (num SH2) (name ~) (type passive))
+        (pin (num SH3) (name ~) (type passive))
+        (pin (num SH4) (name ~) (type passive))))
+    (libpart (lib myconns) (part USB1)
+      (fields
+        (field (name Reference) J)
+        (field (name Value) USB1)
+        (field (name Footprint) ~)
+        (field (name Datasheet) ~))
+      (pins
+        (pin (num 1) (name PWR) (type input))
+        (pin (num 2) (name D-) (type input))
+        (pin (num 3) (name D+) (type input))
+        (pin (num 4) (name GND) (type input))
+        (pin (num 5) (name sh1) (type input))
+        (pin (num 6) (name sh2) (type input))))
+    (libpart (lib myconns) (part uSD)
+      (fields
+        (field (name Reference) J)
+        (field (name Value) uSD))
+      (pins
+        (pin (num 1) (name DAT2) (type input))
+        (pin (num 2) (name DAT3) (type input))
+        (pin (num 3) (name CMD) (type input))
+        (pin (num 4) (name VDD) (type input))
+        (pin (num 5) (name CLK) (type input))
+        (pin (num 6) (name VSS) (type input))
+        (pin (num 7) (name DAT0) (type input))
+        (pin (num 8) (name DAT1) (type input))
+        (pin (num sh1) (name CD1) (type input))
+        (pin (num sh2) (name CD2) (type input)))))
+  (libraries
+    (library (logical device)
+      (uri /usr/share/kicad/library/device.lib))
+    (library (logical conn)
+      (uri /usr/share/kicad/library/conn.lib))
+    (library (logical A10-plus)
+      (uri A10-plus.lib))
+    (library (logical myconns)
+      (uri myconns.lib)))
+  (nets
+    (net (code 1) (name /SA10)
+      (node (ref U1) (pin L7))
+      (node (ref U3) (pin L3))
+      (node (ref U2) (pin L7)))
+    (net (code 2) (name /SA11)
+      (node (ref U1) (pin R7))
+      (node (ref U2) (pin R7))
+      (node (ref U3) (pin W3)))
+    (net (code 3) (name /SA12)
+      (node (ref U1) (pin N7))
+      (node (ref U3) (pin P3))
+      (node (ref U2) (pin N7)))
+    (net (code 4) (name /SA13)
+      (node (ref U3) (pin Y3))
+      (node (ref U2) (pin T3))
+      (node (ref U1) (pin T3)))
+    (net (code 5) (name /SA14)
+      (node (ref U1) (pin T7))
+      (node (ref U3) (pin R3))
+      (node (ref U2) (pin T7)))
+    (net (code 6) (name /BA0)
+      (node (ref U1) (pin M2))
+      (node (ref U3) (pin K3))
+      (node (ref U2) (pin M2)))
+    (net (code 7) (name /BA1)
+      (node (ref U3) (pin L4))
+      (node (ref U2) (pin N8))
+      (node (ref U1) (pin N8)))
+    (net (code 8) (name /BA2)
+      (node (ref U1) (pin M3))
+      (node (ref U2) (pin M3))
+      (node (ref U3) (pin K4)))
+    (net (code 9) (name /DQ15)
+      (node (ref U2) (pin A3))
+      (node (ref U3) (pin AC1)))
+    (net (code 10) (name /DQ14)
+      (node (ref U3) (pin W2))
+      (node (ref U2) (pin B8)))
+    (net (code 11) (name /DQ10)
+      (node (ref U2) (pin C8))
+      (node (ref U3) (pin AC2)))
+    (net (code 12) (name /DQ11)
+      (node (ref U2) (pin C2))
+      (node (ref U3) (pin Y2)))
+    (net (code 13) (name /DQ12)
+      (node (ref U2) (pin A7))
+      (node (ref U3) (pin AA1)))
+    (net (code 14) (name /DQ13)
+      (node (ref U2) (pin A2))
+      (node (ref U3) (pin AB3)))
+    (net (code 15) (name /LD20)
+      (node (ref P1) (pin 21))
+      (node (ref U3) (pin Y10)))
+    (net (code 16) (name /LD11)
+      (node (ref U3) (pin AA15))
+      (node (ref P1) (pin 12)))
+    (net (code 17) (name /LD12)
+      (node (ref P1) (pin 13))
+      (node (ref U3) (pin Y14)))
+    (net (code 18) (name /LD13)
+      (node (ref P1) (pin 14))
+      (node (ref U3) (pin AA14)))
+    (net (code 19) (name /LD14)
+      (node (ref P1) (pin 15))
+      (node (ref U3) (pin Y13)))
+    (net (code 20) (name /LD15)
+      (node (ref U3) (pin AA13))
+      (node (ref P1) (pin 16)))
+    (net (code 21) (name /LD16)
+      (node (ref U3) (pin Y12))
+      (node (ref P1) (pin 17)))
+    (net (code 22) (name /LD17)
+      (node (ref U3) (pin AA12))
+      (node (ref P1) (pin 18)))
+    (net (code 23) (name /LD18)
+      (node (ref U3) (pin Y11))
+      (node (ref P1) (pin 19)))
+    (net (code 24) (name /LD19)
+      (node (ref U3) (pin AA11))
+      (node (ref P1) (pin 20)))
+    (net (code 25) (name /LD21)
+      (node (ref U3) (pin AA10))
+      (node (ref P1) (pin 22)))
+    (net (code 26) (name /LD22)
+      (node (ref P1) (pin 23))
+      (node (ref U3) (pin AB10)))
+    (net (code 27) (name /LD23)
+      (node (ref P1) (pin 24))
+      (node (ref U3) (pin AC10)))
+    (net (code 28) (name /LCLK)
+      (node (ref U3) (pin Y9))
+      (node (ref P1) (pin 25)))
+    (net (code 29) (name /LDE)
+      (node (ref P1) (pin 26))
+      (node (ref U3) (pin AA9)))
+    (net (code 30) (name "")
+      (node (ref U3) (pin M20))
+      (node (ref R9) (pin 2)))
+    (net (code 31) (name "")
+      (node (ref J4) (pin 2))
+      (node (ref U3) (pin N20)))
+    (net (code 32) (name "")
+      (node (ref J4) (pin 3))
+      (node (ref U3) (pin N21)))
+    (net (code 33) (name "")
+      (node (ref J7) (pin 6))
+      (node (ref U4) (pin 6)))
+    (net (code 34) (name "")
+      (node (ref U4) (pin 10))
+      (node (ref J7) (pin 1)))
+    (net (code 35) (name "")
+      (node (ref J7) (pin 3))
+      (node (ref U4) (pin 9)))
+    (net (code 36) (name "")
+      (node (ref J7) (pin 4))
+      (node (ref U4) (pin 7)))
+    (net (code 37) (name "")
+      (node (ref U6) (pin 10))
+      (node (ref J7) (pin 7)))
+    (net (code 38) (name "")
+      (node (ref J7) (pin 9))
+      (node (ref U6) (pin 9)))
+    (net (code 39) (name "")
+      (node (ref U6) (pin 7))
+      (node (ref J7) (pin 10)))
+    (net (code 40) (name "")
+      (node (ref J7) (pin 12))
+      (node (ref U6) (pin 6)))
+    (net (code 41) (name /LD10)
+      (node (ref P1) (pin 11))
+      (node (ref U3) (pin Y15)))
+    (net (code 42) (name /LD0)
+      (node (ref P1) (pin 1))
+      (node (ref U3) (pin AB15)))
+    (net (code 43) (name /LD1)
+      (node (ref U3) (pin AC15))
+      (node (ref P1) (pin 2)))
+    (net (code 44) (name /LD2)
+      (node (ref P1) (pin 3))
+      (node (ref U3) (pin AB14)))
+    (net (code 45) (name /LD3)
+      (node (ref P1) (pin 4))
+      (node (ref U3) (pin AC14)))
+    (net (code 46) (name /LD4)
+      (node (ref P1) (pin 5))
+      (node (ref U3) (pin AB13)))
+    (net (code 47) (name /LD5)
+      (node (ref P1) (pin 6))
+      (node (ref U3) (pin AC13)))
+    (net (code 48) (name /LD6)
+      (node (ref U3) (pin AB12))
+      (node (ref P1) (pin 7)))
+    (net (code 49) (name /LD7)
+      (node (ref U3) (pin AC12))
+      (node (ref P1) (pin 8)))
+    (net (code 50) (name /LD8)
+      (node (ref U3) (pin AB11))
+      (node (ref P1) (pin 9)))
+    (net (code 51) (name /LD9)
+      (node (ref U3) (pin AC11))
+      (node (ref P1) (pin 10)))
+    (net (code 52) (name /NMI#)
+      (node (ref U7) (pin 48))
+      (node (ref U3) (pin F5)))
+    (net (code 53) (name "")
+      (node (ref L3) (pin 1))
+      (node (ref U7) (pin 8)))
+    (net (code 54) (name "")
+      (node (ref U7) (pin 15))
+      (node (ref L6) (pin 1)))
+    (net (code 55) (name "")
+      (node (ref U3) (pin J22)))
+    (net (code 56) (name "")
+      (node (ref U3) (pin A22)))
+    (net (code 57) (name "")
+      (node (ref U3) (pin F22)))
+    (net (code 58) (name "")
+      (node (ref U3) (pin G22)))
+    (net (code 59) (name "")
+      (node (ref U3) (pin H22)))
+    (net (code 60) (name "")
+      (node (ref U3) (pin K22)))
+    (net (code 61) (name "")
+      (node (ref U3) (pin L22)))
+    (net (code 62) (name "")
+      (node (ref U3) (pin M22)))
+    (net (code 63) (name ETXCK)
+      (node (ref U3) (pin D12))
+      (node (ref U11) (pin 7)))
+    (net (code 64) (name "")
+      (node (ref U3) (pin V21)))
+    (net (code 65) (name "")
+      (node (ref U3) (pin Y21)))
+    (net (code 66) (name "")
+      (node (ref U3) (pin A12)))
+    (net (code 67) (name "")
+      (node (ref U3) (pin B12)))
+    (net (code 68) (name "")
+      (node (ref U3) (pin C12)))
+    (net (code 69) (name ECRS)
+      (node (ref U11) (pin 23))
+      (node (ref U3) (pin E12)))
+    (net (code 70) (name "")
+      (node (ref U3) (pin L23)))
+    (net (code 71) (name "")
+      (node (ref U3) (pin A23)))
+    (net (code 72) (name /PE0)
+      (node (ref U3) (pin E23))
+      (node (ref P14) (pin 1)))
+    (net (code 73) (name "")
+      (node (ref U3) (pin F23)))
+    (net (code 74) (name "")
+      (node (ref U3) (pin G23)))
+    (net (code 75) (name "")
+      (node (ref U3) (pin H23)))
+    (net (code 76) (name "")
+      (node (ref U3) (pin J23)))
+    (net (code 77) (name "")
+      (node (ref U3) (pin K23)))
+    (net (code 78) (name "")
+      (node (ref U3) (pin M23)))
+    (net (code 79) (name "")
+      (node (ref U3) (pin Y23)))
+    (net (code 80) (name "")
+      (node (ref U3) (pin Y22)))
+    (net (code 81) (name "")
+      (node (ref U3) (pin A13)))
+    (net (code 82) (name "")
+      (node (ref U3) (pin B13)))
+    (net (code 83) (name ETXERR)
+      (node (ref C137) (pin 2))
+      (node (ref U11) (pin 42))
+      (node (ref U3) (pin C13))
+      (node (ref R42) (pin 2)))
+    (net (code 84) (name ECOL)
+      (node (ref U3) (pin D13))
+      (node (ref U11) (pin 1)))
+    (net (code 85) (name "")
+      (node (ref U3) (pin E13)))
+    (net (code 86) (name "")
+      (node (ref U3) (pin U21)))
+    (net (code 87) (name "")
+      (node (ref U3) (pin B20)))
+    (net (code 88) (name "")
+      (node (ref R2) (pin 1))
+      (node (ref U3) (pin T10)))
+    (net (code 89) (name "")
+      (node (ref U3) (pin A20)))
+    (net (code 90) (name "")
+      (node (ref U3) (pin C20)))
+    (net (code 91) (name "")
+      (node (ref U3) (pin D20)))
+    (net (code 92) (name "")
+      (node (ref U3) (pin E20)))
+    (net (code 93) (name "")
+      (node (ref U3) (pin F20)))
+    (net (code 94) (name "")
+      (node (ref U3) (pin G20)))
+    (net (code 95) (name "")
+      (node (ref U3) (pin H20)))
+    (net (code 96) (name "")
+      (node (ref U3) (pin J20)))
+    (net (code 97) (name "")
+      (node (ref R5) (pin 2))
+      (node (ref U3) (pin K20)))
+    (net (code 98) (name "")
+      (node (ref R7) (pin 2))
+      (node (ref U3) (pin L20)))
+    (net (code 99) (name ERXCK)
+      (node (ref U3) (pin D9))
+      (node (ref U11) (pin 16)))
+    (net (code 100) (name ERXERR)
+      (node (ref U11) (pin 24))
+      (node (ref U3) (pin E9)))
+    (net (code 101) (name "")
+      (node (ref U3) (pin C10)))
+    (net (code 102) (name ERXDV)
+      (node (ref U11) (pin 22))
+      (node (ref U3) (pin D10)))
+    (net (code 103) (name EMDC)
+      (node (ref U11) (pin 25))
+      (node (ref U3) (pin E10)))
+    (net (code 104) (name "")
+      (node (ref U3) (pin G21)))
+    (net (code 105) (name "")
+      (node (ref U3) (pin A21)))
+    (net (code 106) (name "")
+      (node (ref U3) (pin B21)))
+    (net (code 107) (name "")
+      (node (ref U3) (pin C21)))
+    (net (code 108) (name "")
+      (node (ref U3) (pin D21)))
+    (net (code 109) (name "")
+      (node (ref U3) (pin E21)))
+    (net (code 110) (name "")
+      (node (ref U3) (pin F21)))
+    (net (code 111) (name "")
+      (node (ref U3) (pin H21)))
+    (net (code 112) (name "")
+      (node (ref U3) (pin J21)))
+    (net (code 113) (name "")
+      (node (ref U3) (pin K21)))
+    (net (code 114) (name "")
+      (node (ref U3) (pin L21)))
+    (net (code 115) (name "")
+      (node (ref U3) (pin M21)))
+    (net (code 116) (name "")
+      (node (ref U3) (pin T21)))
+    (net (code 117) (name "")
+      (node (ref U3) (pin B11)))
+    (net (code 118) (name "")
+      (node (ref U3) (pin T20)))
+    (net (code 119) (name "")
+      (node (ref U3) (pin U20)))
+    (net (code 120) (name "")
+      (node (ref U3) (pin Y20)))
+    (net (code 121) (name "")
+      (node (ref U3) (pin A11)))
+    (net (code 122) (name "")
+      (node (ref U3) (pin C11)))
+    (net (code 123) (name EMDIO)
+      (node (ref U3) (pin D11))
+      (node (ref U11) (pin 26)))
+    (net (code 124) (name ETXEN)
+      (node (ref U11) (pin 2))
+      (node (ref U3) (pin E11)))
+    (net (code 125) (name "")
+      (node (ref U3) (pin AA20)))
+    (net (code 126) (name "")
+      (node (ref U3) (pin AA22)))
+    (net (code 127) (name "")
+      (node (ref U3) (pin AB22)))
+    (net (code 128) (name "")
+      (node (ref U3) (pin AC22)))
+    (net (code 129) (name "")
+      (node (ref U3) (pin D19)))
+    (net (code 130) (name "")
+      (node (ref U3) (pin E19)))
+    (net (code 131) (name "")
+      (node (ref U3) (pin G19)))
+    (net (code 132) (name "")
+      (node (ref U3) (pin K19))
+      (node (ref R3) (pin 2)))
+    (net (code 133) (name "")
+      (node (ref U3) (pin L19))
+      (node (ref R6) (pin 2)))
+    (net (code 134) (name "")
+      (node (ref R8) (pin 2))
+      (node (ref U3) (pin M19)))
+    (net (code 135) (name "")
+      (node (ref U3) (pin P19)))
+    (net (code 136) (name "")
+      (node (ref U3) (pin R19)))
+    (net (code 137) (name "")
+      (node (ref U3) (pin W19)))
+    (net (code 138) (name "")
+      (node (ref U3) (pin Y19)))
+    (net (code 139) (name "")
+      (node (ref U3) (pin W8))
+      (node (ref P4) (pin 1)))
+    (net (code 140) (name "")
+      (node (ref U3) (pin AB19)))
+    (net (code 141) (name "")
+      (node (ref U3) (pin AA16)))
+    (net (code 142) (name "")
+      (node (ref U3) (pin AA23)))
+    (net (code 143) (name "")
+      (node (ref U3) (pin AB23)))
+    (net (code 144) (name "")
+      (node (ref U3) (pin AC23)))
+    (net (code 145) (name "")
+      (node (ref U3) (pin C19)))
+    (net (code 146) (name "")
+      (node (ref U3) (pin AB17)))
+    (net (code 147) (name "")
+      (node (ref U3) (pin AA18)))
+    (net (code 148) (name "")
+      (node (ref U3) (pin AA19)))
+    (net (code 149) (name "")
+      (node (ref U3) (pin M15)))
+    (net (code 150) (name /TWI0SCK)
+      (node (ref R20) (pin 2))
+      (node (ref U3) (pin A15))
+      (node (ref U7) (pin 2)))
+    (net (code 151) (name /TWI0SDA)
+      (node (ref U3) (pin B15))
+      (node (ref U7) (pin 1))
+      (node (ref R21) (pin 2)))
+    (net (code 152) (name "")
+      (node (ref U3) (pin C15)))
+    (net (code 153) (name "")
+      (node (ref U3) (pin D15)))
+    (net (code 154) (name "")
+      (node (ref U3) (pin E15)))
+    (net (code 155) (name "")
+      (node (ref U3) (pin N15)))
+    (net (code 156) (name "")
+      (node (ref U3) (pin R15)))
+    (net (code 157) (name "")
+      (node (ref U3) (pin T15)))
+    (net (code 158) (name "")
+      (node (ref U3) (pin A14)))
+    (net (code 159) (name "")
+      (node (ref U3) (pin B14)))
+    (net (code 160) (name "")
+      (node (ref U3) (pin D14)))
+    (net (code 161) (name "")
+      (node (ref U3) (pin E14)))
+    (net (code 162) (name "")
+      (node (ref U3) (pin A16)))
+    (net (code 163) (name "")
+      (node (ref U3) (pin R14)))
+    (net (code 164) (name "")
+      (node (ref U3) (pin T14)))
+    (net (code 165) (name "")
+      (node (ref U3) (pin AA4)))
+    (net (code 166) (name "")
+      (node (ref U3) (pin C18)))
+    (net (code 167) (name "")
+      (node (ref U3) (pin C17)))
+    (net (code 168) (name "")
+      (node (ref U3) (pin D17)))
+    (net (code 169) (name "")
+      (node (ref U3) (pin E17)))
+    (net (code 170) (name "")
+      (node (ref U3) (pin W17)))
+    (net (code 171) (name "")
+      (node (ref U3) (pin AA7))
+      (node (ref R10) (pin 1)))
+    (net (code 172) (name "")
+      (node (ref U3) (pin A18)))
+    (net (code 173) (name "")
+      (node (ref U3) (pin B18)))
+    (net (code 174) (name "")
+      (node (ref U3) (pin B17)))
+    (net (code 175) (name "")
+      (node (ref U3) (pin D18)))
+    (net (code 176) (name "")
+      (node (ref U3) (pin Y18)))
+    (net (code 177) (name "")
+      (node (ref U3) (pin A19)))
+    (net (code 178) (name "")
+      (node (ref U3) (pin B19)))
+    (net (code 179) (name "")
+      (node (ref U3) (pin N16)))
+    (net (code 180) (name "")
+      (node (ref U3) (pin B16)))
+    (net (code 181) (name "")
+      (node (ref U3) (pin C16)))
+    (net (code 182) (name "")
+      (node (ref U3) (pin D16)))
+    (net (code 183) (name "")
+      (node (ref U3) (pin E16)))
+    (net (code 184) (name "")
+      (node (ref U3) (pin M16)))
+    (net (code 185) (name "")
+      (node (ref J7) (pin 13))
+      (node (ref U8) (pin 10)))
+    (net (code 186) (name "")
+      (node (ref U3) (pin R16)))
+    (net (code 187) (name "")
+      (node (ref U3) (pin T16)))
+    (net (code 188) (name "")
+      (node (ref U3) (pin A17)))
+    (net (code 189) (name "")
+      (node (ref U8) (pin 6))
+      (node (ref J7) (pin 19)))
+    (net (code 190) (name "")
+      (node (ref R27) (pin 2))
+      (node (ref J7) (pin 16))
+      (node (ref U8) (pin 7)))
+    (net (code 191) (name "")
+      (node (ref R17) (pin 2))
+      (node (ref R3) (pin 1))
+      (node (ref J5) (pin 1)))
+    (net (code 192) (name "")
+      (node (ref R8) (pin 1))
+      (node (ref R13) (pin 2))
+      (node (ref J5) (pin 7)))
+    (net (code 193) (name "")
+      (node (ref R14) (pin 2))
+      (node (ref J5) (pin 5))
+      (node (ref R7) (pin 1)))
+    (net (code 194) (name "")
+      (node (ref R12) (pin 2))
+      (node (ref R9) (pin 1))
+      (node (ref J5) (pin 8)))
+    (net (code 195) (name "")
+      (node (ref R15) (pin 2))
+      (node (ref R6) (pin 1))
+      (node (ref J5) (pin 3)))
+    (net (code 196) (name "")
+      (node (ref R5) (pin 1))
+      (node (ref R16) (pin 2))
+      (node (ref J5) (pin 2)))
+    (net (code 197) (name "")
+      (node (ref U10) (pin 3))
+      (node (ref J2) (pin 14)))
+    (net (code 198) (name /J_DI0)
+      (node (ref P3) (pin 3))
+      (node (ref U3) (pin B9)))
+    (net (code 199) (name "")
+      (node (ref R30) (pin 1))
+      (node (ref U7) (pin 37)))
+    (net (code 200) (name "")
+      (node (ref U7) (pin 26))
+      (node (ref C42) (pin 2)))
+    (net (code 201) (name "")
+      (node (ref SW1) (pin 2))
+      (node (ref C41) (pin 2))
+      (node (ref R28) (pin 1)))
+    (net (code 202) (name "")
+      (node (ref J2) (pin 13))
+      (node (ref U10) (pin 6)))
+    (net (code 203) (name "")
+      (node (ref R1) (pin 2))
+      (node (ref C2) (pin 1))
+      (node (ref X1) (pin 1))
+      (node (ref U3) (pin F2)))
+    (net (code 204) (name /CK)
+      (node (ref U2) (pin J7))
+      (node (ref U1) (pin J7))
+      (node (ref R18) (pin 1)))
+    (net (code 205) (name /HTX1N)
+      (node (ref U4) (pin 5))
+      (node (ref U3) (pin U22)))
+    (net (code 206) (name /HTX0P)
+      (node (ref U3) (pin V23))
+      (node (ref U6) (pin 1)))
+    (net (code 207) (name /HTX0N)
+      (node (ref U3) (pin V22))
+      (node (ref U6) (pin 2)))
+    (net (code 208) (name /HTXCP)
+      (node (ref U3) (pin W23))
+      (node (ref U6) (pin 4)))
+    (net (code 209) (name /HTXCN)
+      (node (ref U6) (pin 5))
+      (node (ref U3) (pin W22)))
+    (net (code 210) (name /HCEC)
+      (node (ref U3) (pin P23))
+      (node (ref U8) (pin 1)))
+    (net (code 211) (name /HSCL)
+      (node (ref U3) (pin R23))
+      (node (ref U8) (pin 2)))
+    (net (code 212) (name /HSDA)
+      (node (ref U8) (pin 4))
+      (node (ref U3) (pin R22)))
+    (net (code 213) (name /HHPD)
+      (node (ref U8) (pin 5))
+      (node (ref U3) (pin P22)))
+    (net (code 214) (name /HTX1P)
+      (node (ref U4) (pin 4))
+      (node (ref U3) (pin U23)))
+    (net (code 215) (name /CK#)
+      (node (ref R19) (pin 1))
+      (node (ref U2) (pin K7))
+      (node (ref U1) (pin K7)))
+    (net (code 216) (name /J_DO0)
+      (node (ref P3) (pin 4))
+      (node (ref U3) (pin A9)))
+    (net (code 217) (name GND)
+      (node (ref U1) (pin E1))
+      (node (ref C49) (pin 2))
+      (node (ref C92) (pin 2))
+      (node (ref C96) (pin 2))
+      (node (ref C99) (pin 2))
+      (node (ref R32) (pin 2))
+      (node (ref U1) (pin D1))
+      (node (ref C97) (pin 2))
+      (node (ref C98) (pin 2))
+      (node (ref U1) (pin T1))
+      (node (ref C125) (pin 2))
+      (node (ref C3) (pin 2))
+      (node (ref C108) (pin 1))
+      (node (ref C4) (pin 2))
+      (node (ref C90) (pin 2))
+      (node (ref C93) (pin 2))
+      (node (ref U1) (pin P1))
+      (node (ref R2) (pin 2))
+      (node (ref C94) (pin 2))
+      (node (ref C65) (pin 2))
+      (node (ref U1) (pin M1))
+      (node (ref C95) (pin 2))
+      (node (ref U1) (pin G1))
+      (node (ref C91) (pin 2))
+      (node (ref C51) (pin 2))
+      (node (ref C52) (pin 2))
+      (node (ref C53) (pin 2))
+      (node (ref C50) (pin 2))
+      (node (ref C107) (pin 2))
+      (node (ref C106) (pin 2))
+      (node (ref C105) (pin 2))
+      (node (ref R30) (pin 2))
+      (node (ref U2) (pin F9))
+      (node (ref U7) (pin 9))
+      (node (ref U7) (pin 4))
+      (node (ref C104) (pin 2))
+      (node (ref U7) (pin 22))
+      (node (ref U7) (pin 31))
+      (node (ref C54) (pin 2))
+      (node (ref C42) (pin 1))
+      (node (ref C100) (pin 2))
+      (node (ref C58) (pin 2))
+      (node (ref C57) (pin 2))
+      (node (ref C56) (pin 2))
+      (node (ref C55) (pin 2))
+      (node (ref C83) (pin 2))
+      (node (ref C88) (pin 2))
+      (node (ref C87) (pin 2))
+      (node (ref C86) (pin 2))
+      (node (ref C85) (pin 2))
+      (node (ref C84) (pin 2))
+      (node (ref C89) (pin 2))
+      (node (ref C103) (pin 2))
+      (node (ref C102) (pin 2))
+      (node (ref C101) (pin 2))
+      (node (ref C59) (pin 2))
+      (node (ref C64) (pin 2))
+      (node (ref C63) (pin 2))
+      (node (ref C62) (pin 2))
+      (node (ref C82) (pin 2))
+      (node (ref C41) (pin 1))
+      (node (ref SW1) (pin 1))
+      (node (ref C61) (pin 2))
+      (node (ref C60) (pin 2))
+      (node (ref C139) (pin 1))
+      (node (ref J8) (pin 13))
+      (node (ref J8) (pin 8))
+      (node (ref C138) (pin 1))
+      (node (ref X3) (pin 2))
+      (node (ref X3) (pin 4))
+      (node (ref R44) (pin 2))
+      (node (ref U2) (pin T9))
+      (node (ref C137) (pin 1))
+      (node (ref R43) (pin 2))
+      (node (ref R45) (pin 2))
+      (node (ref R46) (pin 2))
+      (node (ref R39) (pin 2))
+      (node (ref R40) (pin 2))
+      (node (ref C140) (pin 1))
+      (node (ref C135) (pin 2))
+      (node (ref C136) (pin 2))
+      (node (ref R41) (pin 2))
+      (node (ref U2) (pin G9))
+      (node (ref U11) (pin 35))
+      (node (ref U11) (pin 29))
+      (node (ref U11) (pin 17))
+      (node (ref U11) (pin 45))
+      (node (ref U11) (pin 11))
+      (node (ref C123) (pin 2))
+      (node (ref J7) (pin SH1))
+      (node (ref J7) (pin 14))
+      (node (ref C23) (pin 2))
+      (node (ref J7) (pin 11))
+      (node (ref C124) (pin 2))
+      (node (ref J7) (pin SH2))
+      (node (ref J7) (pin 8))
+      (node (ref C122) (pin 2))
+      (node (ref J7) (pin 17))
+      (node (ref C121) (pin 2))
+      (node (ref C120) (pin 2))
+      (node (ref C78) (pin 2))
+      (node (ref J7) (pin 2))
+      (node (ref R11) (pin 2))
+      (node (ref U1) (pin B3))
+      (node (ref U1) (pin J2))
+      (node (ref U1) (pin E2))
+      (node (ref J7) (pin 5))
+      (node (ref C77) (pin 2))
+      (node (ref U9) (pin 2))
+      (node (ref U5) (pin 2))
+      (node (ref R31) (pin 2))
+      (node (ref J7) (pin SH4))
+      (node (ref J7) (pin SH3))
+      (node (ref C110) (pin 2))
+      (node (ref C119) (pin 2))
+      (node (ref C118) (pin 2))
+      (node (ref C117) (pin 2))
+      (node (ref C116) (pin 2))
+      (node (ref C115) (pin 2))
+      (node (ref C113) (pin 2))
+      (node (ref C112) (pin 2))
+      (node (ref C111) (pin 2))
+      (node (ref C114) (pin 2))
+      (node (ref C109) (pin 2))
+      (node (ref U2) (pin P9))
+      (node (ref U2) (pin M9))
+      (node (ref C74) (pin 2))
+      (node (ref C79) (pin 2))
+      (node (ref C80) (pin 2))
+      (node (ref U2) (pin J2))
+      (node (ref C76) (pin 2))
+      (node (ref C75) (pin 2))
+      (node (ref U1) (pin B1))
+      (node (ref C73) (pin 2))
+      (node (ref C71) (pin 2))
+      (node (ref C72) (pin 2))
+      (node (ref C70) (pin 2))
+      (node (ref C69) (pin 2))
+      (node (ref C68) (pin 2))
+      (node (ref C67) (pin 2))
+      (node (ref C66) (pin 2))
+      (node (ref C30) (pin 2))
+      (node (ref C6) (pin 1))
+      (node (ref C5) (pin 1))
+      (node (ref C31) (pin 1))
+      (node (ref C17) (pin 2))
+      (node (ref C2) (pin 2))
+      (node (ref C43) (pin 2))
+      (node (ref C28) (pin 1))
+      (node (ref C27) (pin 1))
+      (node (ref C26) (pin 1))
+      (node (ref C20) (pin 1))
+      (node (ref C19) (pin 2))
+      (node (ref C15) (pin 2))
+      (node (ref U3) (pin J5))
+      (node (ref U3) (pin K5))
+      (node (ref C36) (pin 1))
+      (node (ref C12) (pin 2))
+      (node (ref C39) (pin 1))
+      (node (ref C48) (pin 1))
+      (node (ref J2) (pin 11))
+      (node (ref C10) (pin 2))
+      (node (ref J2) (pin 10))
+      (node (ref J2) (pin 8))
+      (node (ref J2) (pin 7))
+      (node (ref J2) (pin 6))
+      (node (ref J2) (pin 5))
+      (node (ref U6) (pin 3))
+      (node (ref C8) (pin 2))
+      (node (ref C7) (pin 1))
+      (node (ref P3) (pin 7))
+      (node (ref C11) (pin 2))
+      (node (ref C32) (pin 1))
+      (node (ref C44) (pin 1))
+      (node (ref C45) (pin 1))
+      (node (ref C1) (pin 2))
+      (node (ref C37) (pin 1))
+      (node (ref C29) (pin 1))
+      (node (ref C35) (pin 1))
+      (node (ref R23) (pin 1))
+      (node (ref C18) (pin 1))
+      (node (ref R24) (pin 1))
+      (node (ref C38) (pin 1))
+      (node (ref U1) (pin T9))
+      (node (ref U1) (pin D8))
+      (node (ref U1) (pin E8))
+      (node (ref U1) (pin P9))
+      (node (ref U1) (pin G8))
+      (node (ref U1) (pin M9))
+      (node (ref U1) (pin J8))
+      (node (ref U1) (pin G9))
+      (node (ref U1) (pin F9))
+      (node (ref U1) (pin B9))
+      (node (ref U1) (pin A9))
+      (node (ref U3) (pin G4))
+      (node (ref U3) (pin Y7))
+      (node (ref U3) (pin Y8))
+      (node (ref U3) (pin N5))
+      (node (ref U3) (pin P5))
+      (node (ref U3) (pin U5))
+      (node (ref U3) (pin V5))
+      (node (ref C47) (pin 1))
+      (node (ref C21) (pin 1))
+      (node (ref P2) (pin 5))
+      (node (ref U8) (pin 8))
+      (node (ref R29) (pin 1))
+      (node (ref C22) (pin 1))
+      (node (ref C46) (pin 2))
+      (node (ref U10) (pin 7))
+      (node (ref C34) (pin 2))
+      (node (ref C33) (pin 2))
+      (node (ref U3) (pin G3))
+      (node (ref U7) (pin 16))
+      (node (ref U7) (pin 46))
+      (node (ref U4) (pin 3))
+      (node (ref U6) (pin 8))
+      (node (ref J5) (pin 6))
+      (node (ref U7) (pin 49))
+      (node (ref U4) (pin 8))
+      (node (ref R10) (pin 2))
+      (node (ref J5) (pin sh1))
+      (node (ref J1) (pin 10))
+      (node (ref J1) (pin 5))
+      (node (ref U2) (pin B1))
+      (node (ref U8) (pin 3))
+      (node (ref C14) (pin 1))
+      (node (ref C13) (pin 2))
+      (node (ref C9) (pin 2))
+      (node (ref U2) (pin J8))
+      (node (ref U2) (pin B3))
+      (node (ref U2) (pin P1))
+      (node (ref U2) (pin E1))
+      (node (ref U2) (pin G1))
+      (node (ref U2) (pin M1))
+      (node (ref U2) (pin T1))
+      (node (ref U2) (pin E2))
+      (node (ref U2) (pin D8))
+      (node (ref U2) (pin E8))
+      (node (ref U2) (pin G8))
+      (node (ref J3) (pin sh4))
+      (node (ref U2) (pin A9))
+      (node (ref U2) (pin B9))
+      (node (ref J3) (pin sh3))
+      (node (ref J3) (pin sh2))
+      (node (ref U2) (pin D1))
+      (node (ref J3) (pin sh1))
+      (node (ref J3) (pin 8))
+      (node (ref J3) (pin 7))
+      (node (ref J4) (pin 6))
+      (node (ref R4) (pin 1))
+      (node (ref J4) (pin 5))
+      (node (ref J4) (pin 4))
+      (node (ref C16) (pin 1))
+      (node (ref J5) (pin sh2))
+      (node (ref U3) (pin M9))
+      (node (ref U3) (pin T12))
+      (node (ref U3) (pin H16))
+      (node (ref U3) (pin W18))
+      (node (ref U3) (pin Y17))
+      (node (ref U3) (pin W10))
+      (node (ref U3) (pin P14))
+      (node (ref U3) (pin P10))
+      (node (ref U3) (pin N14))
+      (node (ref U3) (pin N10))
+      (node (ref U3) (pin M10))
+      (node (ref U3) (pin W9))
+      (node (ref U3) (pin T9))
+      (node (ref U3) (pin R13))
+      (node (ref U3) (pin L10))
+      (node (ref P4) (pin 2))
+      (node (ref U3) (pin K12))
+      (node (ref U3) (pin P13))
+      (node (ref U3) (pin N13))
+      (node (ref U3) (pin M13))
+      (node (ref U3) (pin L13))
+      (node (ref U3) (pin K13))
+      (node (ref U3) (pin M14))
+      (node (ref U3) (pin L14))
+      (node (ref U3) (pin V19))
+      (node (ref P1) (pin 30))
+      (node (ref U3) (pin M11))
+      (node (ref U3) (pin U19))
+      (node (ref U3) (pin N11))
+      (node (ref U3) (pin W11))
+      (node (ref U3) (pin T11))
+      (node (ref U3) (pin R11))
+      (node (ref U3) (pin P11))
+      (node (ref U3) (pin L11))
+      (node (ref U3) (pin K11))
+      (node (ref P12) (pin 1))
+      (node (ref U3) (pin J11))
+      (node (ref J6) (pin 8))
+      (node (ref D1) (pin 2))
+      (node (ref C25) (pin 1))
+      (node (ref U3) (pin P15))
+      (node (ref U3) (pin K14))
+      (node (ref U3) (pin R12))
+      (node (ref U3) (pin M12))
+      (node (ref U3) (pin AC18))
+      (node (ref U3) (pin P12))
+      (node (ref U3) (pin AB18))
+      (node (ref U3) (pin L12))
+      (node (ref U3) (pin N12))
+      (node (ref U3) (pin AA17)))
+    (net (code 218) (name /CS#)
+      (node (ref U2) (pin L2))
+      (node (ref U1) (pin L2))
+      (node (ref U3) (pin V3)))
+    (net (code 219) (name /SWE)
+      (node (ref U2) (pin L3))
+      (node (ref U1) (pin L3))
+      (node (ref U3) (pin T3)))
+    (net (code 220) (name /CKE)
+      (node (ref U3) (pin N3))
+      (node (ref U1) (pin K9))
+      (node (ref U2) (pin K9)))
+    (net (code 221) (name /PE3)
+      (node (ref P14) (pin 4))
+      (node (ref U3) (pin D22)))
+    (net (code 222) (name /PE4)
+      (node (ref U3) (pin C23))
+      (node (ref P14) (pin 5)))
+    (net (code 223) (name /PE5)
+      (node (ref U3) (pin C22))
+      (node (ref P14) (pin 6)))
+    (net (code 224) (name /PE6)
+      (node (ref U3) (pin B23))
+      (node (ref P14) (pin 7)))
+    (net (code 225) (name /PE7)
+      (node (ref U3) (pin B22))
+      (node (ref P14) (pin 8)))
+    (net (code 226) (name /PE2)
+      (node (ref U3) (pin D23))
+      (node (ref P14) (pin 3)))
+    (net (code 227) (name /RESET#)
+      (node (ref R50) (pin 1))
+      (node (ref U3) (pin C14))
+      (node (ref U7) (pin 25)))
+    (net (code 228) (name "")
+      (node (ref D1) (pin 1))
+      (node (ref R50) (pin 2)))
+    (net (code 229) (name /PE1)
+      (node (ref U3) (pin E22))
+      (node (ref P14) (pin 2)))
+    (net (code 230) (name /VMIC)
+      (node (ref U3) (pin AA21))
+      (node (ref J1) (pin 6)))
+    (net (code 231) (name IPSOUT)
+      (node (ref C11) (pin 1))
+      (node (ref C10) (pin 1))
+      (node (ref U7) (pin 7))
+      (node (ref C13) (pin 1))
+      (node (ref C12) (pin 1))
+      (node (ref U7) (pin 35))
+      (node (ref C9) (pin 1))
+      (node (ref C15) (pin 1))
+      (node (ref P9) (pin 1))
+      (node (ref C8) (pin 1))
+      (node (ref U7) (pin 21))
+      (node (ref U7) (pin 44))
+      (node (ref U7) (pin 40))
+      (node (ref U7) (pin 13))
+      (node (ref U7) (pin 14))
+      (node (ref U7) (pin 34)))
+    (net (code 232) (name /USB2P)
+      (node (ref U3) (pin R21))
+      (node (ref J3) (pin 6)))
+    (net (code 233) (name /USB2M)
+      (node (ref U3) (pin R20))
+      (node (ref J3) (pin 4)))
+    (net (code 234) (name /USB1P)
+      (node (ref J3) (pin 5))
+      (node (ref U3) (pin P21)))
+    (net (code 235) (name /USB1M)
+      (node (ref J3) (pin 3))
+      (node (ref U3) (pin P20)))
+    (net (code 236) (name /ODT)
+      (node (ref U1) (pin K1))
+      (node (ref U2) (pin K1))
+      (node (ref U3) (pin AA5)))
+    (net (code 237) (name /MICIN2)
+      (node (ref U3) (pin AC21))
+      (node (ref J1) (pin 2)))
+    (net (code 238) (name /MICIN1)
+      (node (ref J1) (pin 1))
+      (node (ref U3) (pin AC20)))
+    (net (code 239) (name /VRA1)
+      (node (ref U3) (pin W20))
+      (node (ref J1) (pin 7)))
+    (net (code 240) (name /VRA2)
+      (node (ref J1) (pin 8))
+      (node (ref U3) (pin V20)))
+    (net (code 241) (name /VRP)
+      (node (ref U3) (pin W21))
+      (node (ref J1) (pin 9)))
+    (net (code 242) (name /LIN_R)
+      (node (ref U3) (pin AB21))
+      (node (ref J1) (pin 4)))
+    (net (code 243) (name /LIN_L)
+      (node (ref J1) (pin 3))
+      (node (ref U3) (pin AB20)))
+    (net (code 244) (name /SRAS)
+      (node (ref U2) (pin J3))
+      (node (ref U3) (pin T4))
+      (node (ref U1) (pin J3)))
+    (net (code 245) (name /SCAS)
+      (node (ref U2) (pin K3))
+      (node (ref U1) (pin K3))
+      (node (ref U3) (pin U3)))
+    (net (code 246) (name /SRST)
+      (node (ref U1) (pin T2))
+      (node (ref U3) (pin AA6))
+      (node (ref U2) (pin T2)))
+    (net (code 247) (name /SDQS1)
+      (node (ref U3) (pin AB1))
+      (node (ref U2) (pin C7)))
+    (net (code 248) (name /SDQS1#)
+      (node (ref U3) (pin AA2))
+      (node (ref U2) (pin B7)))
+    (net (code 249) (name /SDQM0)
+      (node (ref U3) (pin AC6))
+      (node (ref U2) (pin E7)))
+    (net (code 250) (name /SDQM1)
+      (node (ref U2) (pin D3))
+      (node (ref U3) (pin W1)))
+    (net (code 251) (name /SDQS2)
+      (node (ref U3) (pin R1))
+      (node (ref U1) (pin F3)))
+    (net (code 252) (name /SDQS0#)
+      (node (ref U3) (pin AC5))
+      (node (ref U2) (pin G3)))
+    (net (code 253) (name /SDQS2#)
+      (node (ref U1) (pin G3))
+      (node (ref U3) (pin P2)))
+    (net (code 254) (name /SDQS3)
+      (node (ref U3) (pin K1))
+      (node (ref U1) (pin C7)))
+    (net (code 255) (name /SDQS3#)
+      (node (ref U3) (pin J2))
+      (node (ref U1) (pin B7)))
+    (net (code 256) (name /SDQM3)
+      (node (ref U1) (pin D3))
+      (node (ref U3) (pin G1)))
+    (net (code 257) (name "")
+      (node (ref U3) (pin C6)))
+    (net (code 258) (name "")
+      (node (ref U3) (pin A5)))
+    (net (code 259) (name /SDQS0)
+      (node (ref U3) (pin AB6))
+      (node (ref U2) (pin F3)))
+    (net (code 260) (name /DQ16)
+      (node (ref U1) (pin E3))
+      (node (ref U3) (pin N1)))
+    (net (code 261) (name /DQ17)
+      (node (ref U3) (pin T2))
+      (node (ref U1) (pin F7)))
+    (net (code 262) (name /SDQM2)
+      (node (ref U3) (pin R2))
+      (node (ref U1) (pin E7)))
+    (net (code 263) (name "")
+      (node (ref C18) (pin 2))
+      (node (ref U7) (pin 24)))
+    (net (code 264) (name "")
+      (node (ref J7) (pin 15))
+      (node (ref U8) (pin 9))
+      (node (ref R26) (pin 2)))
+    (net (code 265) (name "")
+      (node (ref R4) (pin 2))
+      (node (ref U2) (pin L8)))
+    (net (code 266) (name "")
+      (node (ref R22) (pin 1))
+      (node (ref U5) (pin 5))
+      (node (ref C24) (pin 1))
+      (node (ref R24) (pin 2)))
+    (net (code 267) (name "")
+      (node (ref R28) (pin 2))
+      (node (ref U7) (pin 47)))
+    (net (code 268) (name "")
+      (node (ref R25) (pin 1))
+      (node (ref C40) (pin 1))
+      (node (ref U9) (pin 5))
+      (node (ref R29) (pin 2)))
+    (net (code 269) (name /U3TX)
+      (node (ref U3) (pin A6))
+      (node (ref J6) (pin 1)))
+    (net (code 270) (name /U5RX)
+      (node (ref U3) (pin B4))
+      (node (ref J6) (pin 6)))
+    (net (code 271) (name /U3RX)
+      (node (ref J6) (pin 2))
+      (node (ref U3) (pin B6)))
+    (net (code 272) (name /U4TX)
+      (node (ref J6) (pin 3))
+      (node (ref U3) (pin B5)))
+    (net (code 273) (name /U4RX)
+      (node (ref U3) (pin C5))
+      (node (ref J6) (pin 4)))
+    (net (code 274) (name /U5TX)
+      (node (ref U3) (pin A4))
+      (node (ref J6) (pin 5)))
+    (net (code 275) (name /LHSYN)
+      (node (ref U3) (pin AB9))
+      (node (ref U10) (pin 4))
+      (node (ref P1) (pin 27)))
+    (net (code 276) (name "")
+      (node (ref U7) (pin 19))
+      (node (ref C16) (pin 2)))
+    (net (code 277) (name "")
+      (node (ref R23) (pin 2))
+      (node (ref U7) (pin 23)))
+    (net (code 278) (name /LVSYN)
+      (node (ref U3) (pin AC9))
+      (node (ref U10) (pin 2))
+      (node (ref P1) (pin 28)))
+    (net (code 279) (name "")
+      (node (ref U2) (pin M7)))
+    (net (code 280) (name /SA0)
+      (node (ref U3) (pin W4))
+      (node (ref U1) (pin N3))
+      (node (ref U2) (pin N3)))
+    (net (code 281) (name /SA8)
+      (node (ref U1) (pin T8))
+      (node (ref U3) (pin AA3))
+      (node (ref U2) (pin T8)))
+    (net (code 282) (name /SA9)
+      (node (ref U1) (pin R3))
+      (node (ref U2) (pin R3))
+      (node (ref U3) (pin P4)))
+    (net (code 283) (name /SA7)
+      (node (ref U3) (pin M3))
+      (node (ref U1) (pin R2))
+      (node (ref U2) (pin R2)))
+    (net (code 284) (name /SA1)
+      (node (ref U3) (pin R4))
+      (node (ref U2) (pin P7))
+      (node (ref U1) (pin P7)))
+    (net (code 285) (name /SA2)
+      (node (ref U1) (pin P3))
+      (node (ref U2) (pin P3))
+      (node (ref U3) (pin U4)))
+    (net (code 286) (name /SA3)
+      (node (ref U1) (pin N2))
+      (node (ref U2) (pin N2))
+      (node (ref U3) (pin M4)))
+    (net (code 287) (name /SA4)
+      (node (ref U1) (pin P8))
+      (node (ref U3) (pin Y4))
+      (node (ref U2) (pin P8)))
+    (net (code 288) (name /SA5)
+      (node (ref U2) (pin P2))
+      (node (ref U1) (pin P2))
+      (node (ref U3) (pin N4)))
+    (net (code 289) (name /SA6)
+      (node (ref U2) (pin R8))
+      (node (ref U3) (pin V4))
+      (node (ref U1) (pin R8)))
+    (net (code 290) (name "")
+      (node (ref U2) (pin J9)))
+    (net (code 291) (name "")
+      (node (ref U2) (pin L9)))
+    (net (code 292) (name "")
+      (node (ref U2) (pin J1)))
+    (net (code 293) (name "")
+      (node (ref U2) (pin L1)))
+    (net (code 294) (name "")
+      (node (ref U7) (pin 27))
+      (node (ref R31) (pin 1)))
+    (net (code 295) (name "")
+      (node (ref L2) (pin 1))
+      (node (ref U5) (pin 3)))
+    (net (code 296) (name "")
+      (node (ref U1) (pin L8))
+      (node (ref R11) (pin 1)))
+    (net (code 297) (name "")
+      (node (ref L4) (pin 1))
+      (node (ref U9) (pin 3)))
+    (net (code 298) (name "")
+      (node (ref U1) (pin J1)))
+    (net (code 299) (name "")
+      (node (ref U1) (pin L1)))
+    (net (code 300) (name "")
+      (node (ref C3) (pin 1))
+      (node (ref X2) (pin 2))
+      (node (ref U3) (pin N23)))
+    (net (code 301) (name "")
+      (node (ref U3) (pin N22))
+      (node (ref C4) (pin 1))
+      (node (ref P10) (pin 1))
+      (node (ref X2) (pin 1)))
+    (net (code 302) (name "")
+      (node (ref U7) (pin 18)))
+    (net (code 303) (name "")
+      (node (ref U7) (pin 5)))
+    (net (code 304) (name "")
+      (node (ref U7) (pin 3)))
+    (net (code 305) (name "")
+      (node (ref U3) (pin A1)))
+    (net (code 306) (name "")
+      (node (ref U3) (pin B2)))
+    (net (code 307) (name "")
+      (node (ref U3) (pin A2)))
+    (net (code 308) (name "")
+      (node (ref U3) (pin C3)))
+    (net (code 309) (name "")
+      (node (ref U3) (pin C4)))
+    (net (code 310) (name "")
+      (node (ref U3) (pin D4)))
+    (net (code 311) (name "")
+      (node (ref U3) (pin A3)))
+    (net (code 312) (name "")
+      (node (ref U3) (pin B3)))
+    (net (code 313) (name "")
+      (node (ref U3) (pin B1)))
+    (net (code 314) (name /DQ0)
+      (node (ref U3) (pin AB4))
+      (node (ref U2) (pin E3)))
+    (net (code 315) (name /DQ7)
+      (node (ref U2) (pin H7))
+      (node (ref U3) (pin AC3)))
+    (net (code 316) (name /DQ1)
+      (node (ref U3) (pin AC7))
+      (node (ref U2) (pin F7)))
+    (net (code 317) (name /DQ2)
+      (node (ref U3) (pin AC4))
+      (node (ref U2) (pin F2)))
+    (net (code 318) (name /DQ3)
+      (node (ref U3) (pin AB8))
+      (node (ref U2) (pin F8)))
+    (net (code 319) (name /DQ6)
+      (node (ref U2) (pin G2))
+      (node (ref U3) (pin AB7)))
+    (net (code 320) (name /DQ8)
+      (node (ref U3) (pin AB2))
+      (node (ref U2) (pin D7)))
+    (net (code 321) (name /DQ9)
+      (node (ref U3) (pin Y1))
+      (node (ref U2) (pin C3)))
+    (net (code 322) (name "")
+      (node (ref U3) (pin F3)))
+    (net (code 323) (name "")
+      (node (ref U3) (pin F4)))
+    (net (code 324) (name /DQ4)
+      (node (ref U3) (pin AC8))
+      (node (ref U2) (pin H3)))
+    (net (code 325) (name "")
+      (node (ref U3) (pin E4)))
+    (net (code 326) (name "")
+      (node (ref U3) (pin E3)))
+    (net (code 327) (name "")
+      (node (ref U3) (pin E2)))
+    (net (code 328) (name "")
+      (node (ref U3) (pin E1)))
+    (net (code 329) (name "")
+      (node (ref U3) (pin D3)))
+    (net (code 330) (name "")
+      (node (ref U3) (pin D2)))
+    (net (code 331) (name "")
+      (node (ref U3) (pin D1)))
+    (net (code 332) (name "")
+      (node (ref U3) (pin C2)))
+    (net (code 333) (name "")
+      (node (ref U3) (pin C1)))
+    (net (code 334) (name "")
+      (node (ref U7) (pin 42)))
+    (net (code 335) (name "")
+      (node (ref U7) (pin 43)))
+    (net (code 336) (name "")
+      (node (ref U7) (pin 45)))
+    (net (code 337) (name /DQ5)
+      (node (ref U3) (pin AB5))
+      (node (ref U2) (pin H8)))
+    (net (code 338) (name "")
+      (node (ref U7) (pin 6)))
+    (net (code 339) (name "")
+      (node (ref U7) (pin 30)))
+    (net (code 340) (name "")
+      (node (ref U3) (pin C9)))
+    (net (code 341) (name "")
+      (node (ref U3) (pin A8)))
+    (net (code 342) (name "")
+      (node (ref U3) (pin B8)))
+    (net (code 343) (name "")
+      (node (ref U3) (pin C8)))
+    (net (code 344) (name "")
+      (node (ref U3) (pin C7)))
+    (net (code 345) (name "")
+      (node (ref U3) (pin N8)))
+    (net (code 346) (name "")
+      (node (ref U3) (pin P8)))
+    (net (code 347) (name "")
+      (node (ref U3) (pin R8)))
+    (net (code 348) (name "")
+      (node (ref U7) (pin 38)))
+    (net (code 349) (name "")
+      (node (ref U7) (pin 36)))
+    (net (code 350) (name "")
+      (node (ref U7) (pin 29)))
+    (net (code 351) (name "")
+      (node (ref U7) (pin 39)))
+    (net (code 352) (name "")
+      (node (ref J2) (pin 9)))
+    (net (code 353) (name "")
+      (node (ref J2) (pin 4)))
+    (net (code 354) (name "")
+      (node (ref J2) (pin 12)))
+    (net (code 355) (name "")
+      (node (ref J2) (pin 15)))
+    (net (code 356) (name /VGA_G)
+      (node (ref J2) (pin 2))
+      (node (ref U3) (pin AC16)))
+    (net (code 357) (name /VGA_B)
+      (node (ref U3) (pin AB16))
+      (node (ref J2) (pin 3)))
+    (net (code 358) (name /J_CK0)
+      (node (ref P3) (pin 5))
+      (node (ref U3) (pin B10)))
+    (net (code 359) (name /J_MS0)
+      (node (ref P3) (pin 6))
+      (node (ref U3) (pin A10)))
+    (net (code 360) (name /U0Tx)
+      (node (ref P3) (pin 2))
+      (node (ref U3) (pin A7)))
+    (net (code 361) (name /U0Rx)
+      (node (ref P3) (pin 1))
+      (node (ref U3) (pin B7)))
+    (net (code 362) (name /VGA_R)
+      (node (ref U3) (pin AC17))
+      (node (ref J2) (pin 1)))
+    (net (code 363) (name 1.2V)
+      (node (ref U3) (pin J15))
+      (node (ref U3) (pin K15))
+      (node (ref U3) (pin J16))
+      (node (ref U3) (pin K16))
+      (node (ref C54) (pin 1))
+      (node (ref C50) (pin 1))
+      (node (ref C53) (pin 1))
+      (node (ref C52) (pin 1))
+      (node (ref C51) (pin 1))
+      (node (ref C56) (pin 1))
+      (node (ref C57) (pin 1))
+      (node (ref U7) (pin 17))
+      (node (ref C43) (pin 1))
+      (node (ref C45) (pin 2))
+      (node (ref C44) (pin 2))
+      (node (ref P7) (pin 1))
+      (node (ref U3) (pin P9))
+      (node (ref U3) (pin N9))
+      (node (ref U3) (pin L9))
+      (node (ref U3) (pin K9))
+      (node (ref U3) (pin R9))
+      (node (ref U3) (pin R10))
+      (node (ref U3) (pin K10))
+      (node (ref L6) (pin 2))
+      (node (ref C49) (pin 1))
+      (node (ref U3) (pin T8))
+      (node (ref U3) (pin M8))
+      (node (ref U3) (pin L8))
+      (node (ref C55) (pin 1)))
+    (net (code 364) (name "")
+      (node (ref X1) (pin 2))
+      (node (ref U3) (pin F1))
+      (node (ref C1) (pin 1))
+      (node (ref R1) (pin 1)))
+    (net (code 365) (name /SCK#)
+      (node (ref R19) (pin 2))
+      (node (ref U3) (pin V2)))
+    (net (code 366) (name /SCK)
+      (node (ref U3) (pin V1))
+      (node (ref R18) (pin 2)))
+    (net (code 367) (name /HTX2P)
+      (node (ref U3) (pin T23))
+      (node (ref U4) (pin 1)))
+    (net (code 368) (name /HTX2N)
+      (node (ref U4) (pin 2))
+      (node (ref U3) (pin T22)))
+    (net (code 369) (name ERXD3)
+      (node (ref U3) (pin D5))
+      (node (ref U11) (pin 18)))
+    (net (code 370) (name ERXD2)
+      (node (ref U3) (pin E5))
+      (node (ref U11) (pin 19)))
+    (net (code 371) (name "")
+      (node (ref U3) (pin J4)))
+    (net (code 372) (name ETXD1)
+      (node (ref U3) (pin D8))
+      (node (ref U11) (pin 5)))
+    (net (code 373) (name ETXD0)
+      (node (ref U3) (pin E8))
+      (node (ref U11) (pin 6)))
+    (net (code 374) (name ETXD2)
+      (node (ref U3) (pin E7))
+      (node (ref U11) (pin 4)))
+    (net (code 375) (name ERXD1)
+      (node (ref U3) (pin D6))
+      (node (ref U11) (pin 20)))
+    (net (code 376) (name ERXD0)
+      (node (ref U11) (pin 21))
+      (node (ref U3) (pin E6)))
+    (net (code 377) (name DRAM_VCC)
+      (node (ref C20) (pin 2))
+      (node (ref C14) (pin 2))
+      (node (ref U2) (pin D2))
+      (node (ref U2) (pin B2))
+      (node (ref U2) (pin R1))
+      (node (ref U2) (pin N1))
+      (node (ref C110) (pin 1))
+      (node (ref U2) (pin F1))
+      (node (ref U2) (pin H2))
+      (node (ref U2) (pin K2))
+      (node (ref U2) (pin K8))
+      (node (ref C113) (pin 1))
+      (node (ref C81) (pin 2))
+      (node (ref C120) (pin 1))
+      (node (ref R33) (pin 2))
+      (node (ref C109) (pin 1))
+      (node (ref C119) (pin 1))
+      (node (ref C118) (pin 1))
+      (node (ref C117) (pin 1))
+      (node (ref C116) (pin 1))
+      (node (ref C115) (pin 1))
+      (node (ref C114) (pin 1))
+      (node (ref U2) (pin C1))
+      (node (ref U2) (pin A1))
+      (node (ref C112) (pin 1))
+      (node (ref C111) (pin 1))
+      (node (ref U2) (pin G7))
+      (node (ref U2) (pin E9))
+      (node (ref U2) (pin D9))
+      (node (ref U2) (pin C9))
+      (node (ref U2) (pin A8))
+      (node (ref U2) (pin N9))
+      (node (ref U1) (pin A1))
+      (node (ref U1) (pin K2))
+      (node (ref U1) (pin H2))
+      (node (ref U1) (pin D2))
+      (node (ref U2) (pin R9))
+      (node (ref U1) (pin C1))
+      (node (ref U2) (pin H9))
+      (node (ref C22) (pin 2))
+      (node (ref U1) (pin B2))
+      (node (ref U1) (pin R1))
+      (node (ref U1) (pin N1))
+      (node (ref U1) (pin F1))
+      (node (ref C101) (pin 1))
+      (node (ref U1) (pin G7))
+      (node (ref U1) (pin K8))
+      (node (ref C106) (pin 1))
+      (node (ref C107) (pin 1))
+      (node (ref U1) (pin A8))
+      (node (ref C105) (pin 1))
+      (node (ref C104) (pin 1))
+      (node (ref C103) (pin 1))
+      (node (ref C102) (pin 1))
+      (node (ref C24) (pin 2))
+      (node (ref L2) (pin 2))
+      (node (ref R22) (pin 2))
+      (node (ref C89) (pin 1))
+      (node (ref C88) (pin 1))
+      (node (ref C87) (pin 1))
+      (node (ref C86) (pin 1))
+      (node (ref C85) (pin 1))
+      (node (ref U1) (pin N9))
+      (node (ref C21) (pin 2))
+      (node (ref U1) (pin R9))
+      (node (ref P5) (pin 1))
+      (node (ref U1) (pin H9))
+      (node (ref U1) (pin E9))
+      (node (ref U1) (pin D9))
+      (node (ref U1) (pin C9))
+      (node (ref U3) (pin M5))
+      (node (ref C93) (pin 1))
+      (node (ref C92) (pin 1))
+      (node (ref C91) (pin 1))
+      (node (ref C90) (pin 1))
+      (node (ref C108) (pin 2))
+      (node (ref U3) (pin Y6))
+      (node (ref U3) (pin W6))
+      (node (ref U3) (pin W5))
+      (node (ref U3) (pin T5))
+      (node (ref U3) (pin R5))
+      (node (ref U3) (pin W7))
+      (node (ref C84) (pin 1))
+      (node (ref U3) (pin L5))
+      (node (ref U3) (pin H5))
+      (node (ref U3) (pin G5))
+      (node (ref C23) (pin 1))
+      (node (ref C125) (pin 1))
+      (node (ref C124) (pin 1))
+      (node (ref C123) (pin 1))
+      (node (ref C122) (pin 1))
+      (node (ref C121) (pin 1))
+      (node (ref C99) (pin 1))
+      (node (ref C95) (pin 1))
+      (node (ref C100) (pin 1))
+      (node (ref C94) (pin 1))
+      (node (ref C82) (pin 1))
+      (node (ref C98) (pin 1))
+      (node (ref C97) (pin 1))
+      (node (ref C96) (pin 1))
+      (node (ref C83) (pin 1)))
+    (net (code 378) (name ETXD3)
+      (node (ref U3) (pin D7))
+      (node (ref U11) (pin 3)))
+    (net (code 379) (name "")
+      (node (ref U3) (pin J3)))
+    (net (code 380) (name /DQ29)
+      (node (ref U3) (pin M1))
+      (node (ref U1) (pin A2)))
+    (net (code 381) (name /DQ19)
+      (node (ref U3) (pin U1))
+      (node (ref U1) (pin F8)))
+    (net (code 382) (name /DQ20)
+      (node (ref U1) (pin H3))
+      (node (ref U3) (pin U2)))
+    (net (code 383) (name /DQ21)
+      (node (ref U3) (pin P1))
+      (node (ref U1) (pin H8)))
+    (net (code 384) (name /DQ22)
+      (node (ref U3) (pin T1))
+      (node (ref U1) (pin G2)))
+    (net (code 385) (name /DQ23)
+      (node (ref U3) (pin M2))
+      (node (ref U1) (pin H7)))
+    (net (code 386) (name /DQ24)
+      (node (ref U1) (pin D7))
+      (node (ref U3) (pin L1)))
+    (net (code 387) (name /DQ25)
+      (node (ref U3) (pin H1))
+      (node (ref U1) (pin C3)))
+    (net (code 388) (name /DQ26)
+      (node (ref U3) (pin L2))
+      (node (ref U1) (pin C8)))
+    (net (code 389) (name /DQ27)
+      (node (ref U3) (pin H2))
+      (node (ref U1) (pin C2)))
+    (net (code 390) (name /DQ28)
+      (node (ref U3) (pin J1))
+      (node (ref U1) (pin A7)))
+    (net (code 391) (name /DQ18)
+      (node (ref U1) (pin F2))
+      (node (ref U3) (pin N2)))
+    (net (code 392) (name /DQ30)
+      (node (ref U1) (pin B8))
+      (node (ref U3) (pin G2)))
+    (net (code 393) (name /DQ31)
+      (node (ref U1) (pin A3))
+      (node (ref U3) (pin K2)))
+    (net (code 394) (name "")
+      (node (ref U1) (pin J9)))
+    (net (code 395) (name "")
+      (node (ref U1) (pin L9)))
+    (net (code 396) (name "")
+      (node (ref U1) (pin M7)))
+    (net (code 397) (name DRAM_REF)
+      (node (ref U2) (pin H1))
+      (node (ref U3) (pin H4))
+      (node (ref R33) (pin 1))
+      (node (ref U2) (pin M8))
+      (node (ref U3) (pin AA8))
+      (node (ref U1) (pin H1))
+      (node (ref U3) (pin Y5))
+      (node (ref U1) (pin M8))
+      (node (ref U3) (pin H3))
+      (node (ref C78) (pin 1))
+      (node (ref C81) (pin 1))
+      (node (ref C74) (pin 1))
+      (node (ref C77) (pin 1))
+      (node (ref C79) (pin 1))
+      (node (ref C80) (pin 1))
+      (node (ref R32) (pin 1))
+      (node (ref P11) (pin 1))
+      (node (ref C76) (pin 1))
+      (node (ref C75) (pin 1))
+      (node (ref C73) (pin 1)))
+    (net (code 398) (name /EXTEN)
+      (node (ref U9) (pin 1))
+      (node (ref U7) (pin 20)))
+    (net (code 399) (name 5V_IN)
+      (node (ref J3) (pin 1))
+      (node (ref J7) (pin 18))
+      (node (ref J3) (pin 2))
+      (node (ref U9) (pin 4))
+      (node (ref P13) (pin 1))
+      (node (ref C17) (pin 1))
+      (node (ref C30) (pin 1))
+      (node (ref U5) (pin 4))
+      (node (ref C5) (pin 2))
+      (node (ref C6) (pin 2))
+      (node (ref R26) (pin 1))
+      (node (ref U10) (pin 14))
+      (node (ref U10) (pin 1))
+      (node (ref U10) (pin 5))
+      (node (ref J6) (pin 7))
+      (node (ref C39) (pin 2))
+      (node (ref J4) (pin 1))
+      (node (ref C25) (pin 2))
+      (node (ref R27) (pin 1))
+      (node (ref P1) (pin 29))
+      (node (ref C35) (pin 2))
+      (node (ref C33) (pin 1))
+      (node (ref C34) (pin 1))
+      (node (ref U7) (pin 33))
+      (node (ref P2) (pin 1))
+      (node (ref U7) (pin 32))
+      (node (ref P3) (pin 8)))
+    (net (code 400) (name "")
+      (node (ref P2) (pin 2)))
+    (net (code 401) (name "")
+      (node (ref P2) (pin 3)))
+    (net (code 402) (name "")
+      (node (ref P2) (pin 4)))
+    (net (code 403) (name LDO4)
+      (node (ref U7) (pin 11))
+      (node (ref C19) (pin 1)))
+    (net (code 404) (name LDO3)
+      (node (ref C27) (pin 2))
+      (node (ref C26) (pin 2))
+      (node (ref U7) (pin 41)))
+    (net (code 405) (name AVCC)
+      (node (ref U3) (pin T19))
+      (node (ref C28) (pin 2))
+      (node (ref U7) (pin 12))
+      (node (ref U5) (pin 1))
+      (node (ref C29) (pin 2)))
+    (net (code 406) (name LDO1)
+      (node (ref U3) (pin K8))
+      (node (ref U7) (pin 28))
+      (node (ref C32) (pin 2))
+      (node (ref C31) (pin 2)))
+    (net (code 407) (name 1.25V)
+      (node (ref U7) (pin 10))
+      (node (ref U3) (pin J12))
+      (node (ref U3) (pin H13))
+      (node (ref C58) (pin 1))
+      (node (ref C59) (pin 1))
+      (node (ref C60) (pin 1))
+      (node (ref U3) (pin H11))
+      (node (ref U3) (pin H14))
+      (node (ref U3) (pin J13))
+      (node (ref C46) (pin 1))
+      (node (ref P8) (pin 1))
+      (node (ref C48) (pin 2))
+      (node (ref U3) (pin H12))
+      (node (ref L3) (pin 2))
+      (node (ref C47) (pin 2)))
+    (net (code 408) (name 3.3V)
+      (node (ref L1) (pin 1))
+      (node (ref J8) (pin 9))
+      (node (ref R34) (pin 2))
+      (node (ref R15) (pin 1))
+      (node (ref U11) (pin 14))
+      (node (ref U11) (pin 48))
+      (node (ref R49) (pin 1))
+      (node (ref R21) (pin 1))
+      (node (ref R20) (pin 1))
+      (node (ref R35) (pin 2))
+      (node (ref R36) (pin 2))
+      (node (ref R37) (pin 2))
+      (node (ref R38) (pin 2))
+      (node (ref P6) (pin 1))
+      (node (ref C72) (pin 1))
+      (node (ref R12) (pin 1))
+      (node (ref U3) (pin H15))
+      (node (ref U3) (pin L15))
+      (node (ref U3) (pin W15))
+      (node (ref C68) (pin 1))
+      (node (ref C69) (pin 1))
+      (node (ref U3) (pin F19))
+      (node (ref U3) (pin H19))
+      (node (ref U3) (pin J19))
+      (node (ref C37) (pin 2))
+      (node (ref U3) (pin AC19))
+      (node (ref C36) (pin 2))
+      (node (ref C71) (pin 1))
+      (node (ref C63) (pin 1))
+      (node (ref C64) (pin 1))
+      (node (ref C65) (pin 1))
+      (node (ref R25) (pin 2))
+      (node (ref U3) (pin Y16))
+      (node (ref U3) (pin W16))
+      (node (ref U3) (pin W14))
+      (node (ref U3) (pin P16))
+      (node (ref U3) (pin L16))
+      (node (ref U3) (pin E18))
+      (node (ref L4) (pin 2))
+      (node (ref U3) (pin H8))
+      (node (ref U3) (pin J8))
+      (node (ref C38) (pin 2))
+      (node (ref U3) (pin J14))
+      (node (ref C70) (pin 1))
+      (node (ref C61) (pin 1))
+      (node (ref C62) (pin 1))
+      (node (ref U3) (pin J9))
+      (node (ref R42) (pin 1))
+      (node (ref U3) (pin H9))
+      (node (ref U3) (pin J10))
+      (node (ref U3) (pin W13))
+      (node (ref U3) (pin T13))
+      (node (ref U3) (pin N19))
+      (node (ref R47) (pin 2))
+      (node (ref U3) (pin W12))
+      (node (ref C40) (pin 2))
+      (node (ref C7) (pin 2))
+      (node (ref R13) (pin 1))
+      (node (ref R17) (pin 1))
+      (node (ref R14) (pin 1))
+      (node (ref R16) (pin 1))
+      (node (ref C66) (pin 1))
+      (node (ref C67) (pin 1))
+      (node (ref J5) (pin 4))
+      (node (ref U3) (pin H10)))
+    (net (code 409) (name "")
+      (node (ref U11) (pin 12))
+      (node (ref R45) (pin 1)))
+    (net (code 410) (name "")
+      (node (ref R44) (pin 1))
+      (node (ref U11) (pin 13)))
+    (net (code 411) (name "")
+      (node (ref U11) (pin 10))
+      (node (ref R46) (pin 1)))
+    (net (code 412) (name "")
+      (node (ref C136) (pin 1))
+      (node (ref X3) (pin 1))
+      (node (ref U11) (pin 47)))
+    (net (code 413) (name "")
+      (node (ref L5) (pin 2))
+      (node (ref U11) (pin 8)))
+    (net (code 414) (name /ethernet/PFWBOUT)
+      (node (ref J8) (pin 4))
+      (node (ref J8) (pin 5))
+      (node (ref L5) (pin 1))
+      (node (ref U11) (pin 32))
+      (node (ref C138) (pin 2))
+      (node (ref C139) (pin 2)))
+    (net (code 415) (name "")
+      (node (ref J8) (pin 7)))
+    (net (code 416) (name /ethernet/RX-)
+      (node (ref U11) (pin 30))
+      (node (ref J8) (pin 6)))
+    (net (code 417) (name /ethernet/RX+)
+      (node (ref J8) (pin 3))
+      (node (ref U11) (pin 31)))
+    (net (code 418) (name /ethernet/TX-)
+      (node (ref J8) (pin 2))
+      (node (ref U11) (pin 33)))
+    (net (code 419) (name "")
+      (node (ref J8) (pin 10))
+      (node (ref R48) (pin 2)))
+    (net (code 420) (name /ethernet/LED0)
+      (node (ref R48) (pin 1))
+      (node (ref R47) (pin 1))
+      (node (ref U11) (pin 9)))
+    (net (code 421) (name "")
+      (node (ref R49) (pin 2))
+      (node (ref J8) (pin 11)))
+    (net (code 422) (name /ethernet/LED4)
+      (node (ref U11) (pin 15))
+      (node (ref R43) (pin 1))
+      (node (ref J8) (pin 12)))
+    (net (code 423) (name "")
+      (node (ref U11) (pin 27)))
+    (net (code 424) (name /ethernet/TX+)
+      (node (ref J8) (pin 1))
+      (node (ref U11) (pin 34)))
+    (net (code 425) (name "")
+      (node (ref R40) (pin 1))
+      (node (ref U11) (pin 43)))
+    (net (code 426) (name /ethernet/ETHAVDD)
+      (node (ref C140) (pin 2))
+      (node (ref L1) (pin 2))
+      (node (ref U11) (pin 36)))
+    (net (code 427) (name "")
+      (node (ref R41) (pin 1))
+      (node (ref U11) (pin 40)))
+    (net (code 428) (name "")
+      (node (ref R34) (pin 1))
+      (node (ref U11) (pin 39)))
+    (net (code 429) (name "")
+      (node (ref R39) (pin 1))
+      (node (ref U11) (pin 28)))
+    (net (code 430) (name "")
+      (node (ref C135) (pin 1))
+      (node (ref U11) (pin 46))
+      (node (ref X3) (pin 3)))
+    (net (code 431) (name "")
+      (node (ref R35) (pin 1))
+      (node (ref U11) (pin 38)))
+    (net (code 432) (name "")
+      (node (ref R36) (pin 1))
+      (node (ref U11) (pin 37)))
+    (net (code 433) (name "")
+      (node (ref U11) (pin 41))
+      (node (ref R37) (pin 1)))
+    (net (code 434) (name "")
+      (node (ref U11) (pin 44))
+      (node (ref R38) (pin 1)))))
\ No newline at end of file
diff --git a/SBC/sbc-ver1/a10-sbc.pro b/SBC/sbc-ver1/a10-sbc.pro
new file mode 100644
index 0000000..7b320a8
--- /dev/null
+++ b/SBC/sbc-ver1/a10-sbc.pro
@@ -0,0 +1,81 @@
+update=Sun 09 Jun 2013 06:59:23 AM IST
+version=1
+last_client=eeschema
+[cvpcb]
+version=1
+NetIExt=net
+[cvpcb/libraries]
+EquName1=devcms
+[general]
+version=1
+[pcbnew]
+version=1
+LastNetListRead=a10-sbc.net
+UseCmpFile=1
+PadDrill=0
+PadSizeH=1.1
+PadSizeV=1.9
+PcbTextSizeV=1
+PcbTextSizeH=1
+PcbTextThickness=0.3
+ModuleTextSizeV=1
+ModuleTextSizeH=1
+ModuleTextSizeThickness=0.15
+SolderMaskClearance=0
+SolderMaskMinWidth=0
+DrawSegmentWidth=0.2
+BoardOutlineThickness=0.15
+ModuleOutlineThickness=0.2
+[pcbnew/libraries]
+LibDir=
+LibName1=sockets
+LibName2=connect
+LibName3=discret
+LibName4=pin_array
+LibName5=divers
+LibName6=libcms
+LibName7=display
+LibName8=led
+LibName9=dip_sockets
+LibName10=pga_sockets
+LibName11=valves
+LibName12=esbc-modules
+[eeschema]
+version=1
+LibDir=
+NetFmtName=PcbnewAdvanced
+RptD_X=0
+RptD_Y=100
+RptLab=1
+LabSize=60
+[eeschema/libraries]
+LibName1=power
+LibName2=device
+LibName3=transistors
+LibName4=conn
+LibName5=linear
+LibName6=regul
+LibName7=cmos4000
+LibName8=adc-dac
+LibName9=memory
+LibName10=xilinx
+LibName11=special
+LibName12=microcontrollers
+LibName13=dsp
+LibName14=microchip
+LibName15=analog_switches
+LibName16=motorola
+LibName17=texas
+LibName18=intel
+LibName19=audio
+LibName20=interface
+LibName21=digital-audio
+LibName22=philips
+LibName23=display
+LibName24=cypress
+LibName25=siliconi
+LibName26=opto
+LibName27=atmel
+LibName28=contrib
+LibName29=valves
+LibName30=A10-plus
diff --git a/SBC/sbc-ver1/a10-sbc.sch b/SBC/sbc-ver1/a10-sbc.sch
new file mode 100644
index 0000000..0b8ea0c
--- /dev/null
+++ b/SBC/sbc-ver1/a10-sbc.sch
@@ -0,0 +1,4369 @@
+EESchema Schematic File Version 2  date Thu 30 May 2013 10:03:11 AM IST
+LIBS:power
+LIBS:device
+LIBS:transistors
+LIBS:conn
+LIBS:linear
+LIBS:regul
+LIBS:cmos4000
+LIBS:adc-dac
+LIBS:memory
+LIBS:xilinx
+LIBS:special
+LIBS:microcontrollers
+LIBS:dsp
+LIBS:microchip
+LIBS:analog_switches
+LIBS:motorola
+LIBS:texas
+LIBS:intel
+LIBS:audio
+LIBS:interface
+LIBS:digital-audio
+LIBS:philips
+LIBS:display
+LIBS:cypress
+LIBS:siliconi
+LIBS:opto
+LIBS:atmel
+LIBS:contrib
+LIBS:valves
+LIBS:A10-plus
+LIBS:myconns
+LIBS:a10-sbc-cache
+EELAYER 27 0
+EELAYER END
+$Descr A3 16535 11693
+encoding utf-8
+Sheet 1 3
+Title ""
+Date "30 may 2013"
+Rev ""
+Comp ""
+Comment1 ""
+Comment2 ""
+Comment3 ""
+Comment4 ""
+$EndDescr
+NoConn ~ 8350 5300
+NoConn ~ 8350 5200
+NoConn ~ 8350 5100
+NoConn ~ 8350 5000
+NoConn ~ 8350 4900
+NoConn ~ 8350 4800
+NoConn ~ 8350 4700
+NoConn ~ 8350 4600
+NoConn ~ 8350 4500
+NoConn ~ 8350 4400
+NoConn ~ 8350 4300
+NoConn ~ 8350 3800
+NoConn ~ 8350 3700
+NoConn ~ 8350 3600
+NoConn ~ 8350 3500
+NoConn ~ 8350 3200
+NoConn ~ 8350 3100
+NoConn ~ 8350 3000
+NoConn ~ 8350 2900
+NoConn ~ 8350 2800
+NoConn ~ 8350 2700
+NoConn ~ 8350 2600
+NoConn ~ 8350 2500
+NoConn ~ 8350 2400
+NoConn ~ 8350 2300
+NoConn ~ 8350 2200
+NoConn ~ 8350 2100
+NoConn ~ 8350 2000
+NoConn ~ 8350 1900
+NoConn ~ 8350 1800
+NoConn ~ 8350 1700
+NoConn ~ 8350 1600
+NoConn ~ 8350 1500
+NoConn ~ 8350 1400
+NoConn ~ 8350 1300
+NoConn ~ 8350 1200
+NoConn ~ 9550 1200
+NoConn ~ 9550 1300
+NoConn ~ 9550 1400
+NoConn ~ 9550 1500
+NoConn ~ 9550 6700
+NoConn ~ 9550 6600
+NoConn ~ 9550 6500
+NoConn ~ 9550 6400
+NoConn ~ 9550 6300
+NoConn ~ 9550 6200
+NoConn ~ 9550 6100
+NoConn ~ 9550 6000
+NoConn ~ 9550 5900
+NoConn ~ 9550 5800
+NoConn ~ 9550 5700
+NoConn ~ 9550 5600
+NoConn ~ 9550 5500
+NoConn ~ 9550 5400
+NoConn ~ 9550 5300
+NoConn ~ 9550 5200
+NoConn ~ 10750 1900
+NoConn ~ 10750 2000
+NoConn ~ 10750 2100
+NoConn ~ 10750 2200
+NoConn ~ 10750 2300
+NoConn ~ 10750 2400
+NoConn ~ 10750 2500
+NoConn ~ 10750 2600
+NoConn ~ 10750 3100
+NoConn ~ 10750 3000
+NoConn ~ 10750 2900
+NoConn ~ 10750 2800
+NoConn ~ 10750 2700
+NoConn ~ 10750 3200
+NoConn ~ 10750 3300
+NoConn ~ 10750 3400
+NoConn ~ 10750 3500
+NoConn ~ 10750 3600
+NoConn ~ 10750 3700
+NoConn ~ 10750 3800
+NoConn ~ 10750 3900
+NoConn ~ 10750 4000
+NoConn ~ 10750 4100
+NoConn ~ 10750 4200
+NoConn ~ 10750 4300
+NoConn ~ 10750 4400
+NoConn ~ 10750 4500
+NoConn ~ 10750 4600
+NoConn ~ 10750 4700
+NoConn ~ 10750 4800
+NoConn ~ 10750 4900
+NoConn ~ 10750 5000
+NoConn ~ 10750 5100
+NoConn ~ 10750 5200
+NoConn ~ 10750 5300
+NoConn ~ 10750 5400
+NoConn ~ 10750 5500
+NoConn ~ 10750 5600
+NoConn ~ 10750 5700
+NoConn ~ 10750 5800
+NoConn ~ 10750 5900
+NoConn ~ 10750 6000
+NoConn ~ 10750 6100
+NoConn ~ 10750 6200
+NoConn ~ 10750 6300
+NoConn ~ 10750 6400
+NoConn ~ 10750 6500
+NoConn ~ 10750 6600
+NoConn ~ 10750 6700
+NoConn ~ 10750 6800
+NoConn ~ 10750 6900
+NoConn ~ 10750 7300
+NoConn ~ 10750 7200
+NoConn ~ 10750 7100
+NoConn ~ 10750 7000
+$Comp
+L GND #PWR01
+U 1 1 514BE0C9
+P 6850 3650
+F 0 "#PWR01" H 6850 3650 30  0001 C CNN
+F 1 "GND" H 6850 3580 30  0001 C CNN
+	1    6850 3650
+	1    0    0    -1  
+$EndComp
+$Comp
+L PWR_FLAG #FLG02
+U 1 1 514BE196
+P 6850 3600
+F 0 "#FLG02" H 6850 3695 30  0001 C CNN
+F 1 "PWR_FLAG" H 6850 3780 30  0000 C CNN
+	1    6850 3600
+	1    0    0    -1  
+$EndComp
+Text Label 5600 1200 0    60   ~ 0
+DQ0
+Entry Wire Line
+	5450 1100 5550 1200
+Entry Wire Line
+	5450 2500 5550 2600
+Text Label 5550 2600 0    60   ~ 0
+DQ14
+Text Label 5600 1900 0    60   ~ 0
+DQ7
+Text Label 5600 1300 0    60   ~ 0
+DQ1
+Text Label 5600 1400 0    60   ~ 0
+DQ2
+Text Label 5600 1500 0    60   ~ 0
+DQ3
+Text Label 5600 1800 0    60   ~ 0
+DQ6
+Text Label 5600 2000 0    60   ~ 0
+DQ8
+Text Label 5600 2100 0    60   ~ 0
+DQ9
+Text Label 5600 1600 0    60   ~ 0
+DQ4
+Text Label 5600 1700 0    60   ~ 0
+DQ5
+Text Label 5550 2200 0    60   ~ 0
+DQ10
+Text Label 5550 2300 0    60   ~ 0
+DQ11
+Text Label 5550 2400 0    60   ~ 0
+DQ12
+Text Label 5550 2500 0    60   ~ 0
+DQ13
+Entry Wire Line
+	5450 2400 5550 2500
+Entry Wire Line
+	5450 2300 5550 2400
+Entry Wire Line
+	5450 2200 5550 2300
+Entry Wire Line
+	5450 2100 5550 2200
+Entry Wire Line
+	5450 2000 5550 2100
+Entry Wire Line
+	5450 1900 5550 2000
+Entry Wire Line
+	5450 1800 5550 1900
+Entry Wire Line
+	5450 1700 5550 1800
+Entry Wire Line
+	5450 1600 5550 1700
+Entry Wire Line
+	5450 1500 5550 1600
+Entry Wire Line
+	5450 1400 5550 1500
+Entry Wire Line
+	5450 1300 5550 1400
+Entry Wire Line
+	5450 1200 5550 1300
+Text Label 5100 1200 0    60   ~ 0
+DQ0
+Entry Wire Line
+	5250 1200 5350 1300
+Entry Wire Line
+	5250 2600 5350 2700
+Text Label 5050 2600 0    60   ~ 0
+DQ14
+$Comp
+L AXP209 U7
+U 1 1 514C3FF4
+P 4800 9450
+F 0 "U7" H 5050 10750 60  0000 C CNN
+F 1 "AXP209" H 4500 10750 60  0000 C CNN
+	1    4800 9450
+	1    0    0    -1  
+$EndComp
+Text Label 5100 1300 0    60   ~ 0
+DQ1
+Text Label 5100 1400 0    60   ~ 0
+DQ2
+Text Label 5100 1500 0    60   ~ 0
+DQ3
+Text Label 5100 1600 0    60   ~ 0
+DQ4
+Text Label 5100 1700 0    60   ~ 0
+DQ5
+Text Label 5100 1800 0    60   ~ 0
+DQ6
+Text Label 5100 1900 0    60   ~ 0
+DQ7
+Text Label 5100 2000 0    60   ~ 0
+DQ8
+Text Label 5100 2100 0    60   ~ 0
+DQ9
+Text Label 5050 2200 0    60   ~ 0
+DQ10
+Text Label 5050 2300 0    60   ~ 0
+DQ11
+Text Label 5050 2400 0    60   ~ 0
+DQ12
+Text Label 5050 2500 0    60   ~ 0
+DQ13
+Entry Wire Line
+	5250 2500 5350 2600
+Entry Wire Line
+	5250 2400 5350 2500
+Entry Wire Line
+	5250 2300 5350 2400
+Entry Wire Line
+	5250 2200 5350 2300
+Entry Wire Line
+	5250 2100 5350 2200
+Entry Wire Line
+	5250 2000 5350 2100
+Entry Wire Line
+	5250 1900 5350 2000
+Entry Wire Line
+	5250 1800 5350 1900
+Entry Wire Line
+	5250 1700 5350 1800
+Entry Wire Line
+	5250 1600 5350 1700
+Entry Wire Line
+	5250 1500 5350 1600
+Entry Wire Line
+	5250 1400 5350 1500
+Entry Wire Line
+	5250 1300 5350 1400
+$Comp
+L R R27
+U 1 1 514C634B
+P 15450 10250
+F 0 "R27" V 15350 10250 50  0000 C CNN
+F 1 "2k2" V 15450 10250 50  0000 C CNN
+	1    15450 10250
+	-1   0    0    1   
+$EndComp
+$Comp
+L R R4
+U 1 1 514C6175
+P 3700 5750
+F 0 "R4" V 3650 5950 50  0000 C CNN
+F 1 "240" V 3700 5750 50  0000 C CNN
+	1    3700 5750
+	1    0    0    -1  
+$EndComp
+$Comp
+L R R10
+U 1 1 514C617B
+P 5550 6300
+F 0 "R10" V 5630 6300 50  0000 C CNN
+F 1 "240" V 5550 6300 50  0000 C CNN
+	1    5550 6300
+	0    1    1    0   
+$EndComp
+$Comp
+L GND #PWR03
+U 1 1 514D0E97
+P 14300 9650
+F 0 "#PWR03" H 14300 9650 30  0001 C CNN
+F 1 "GND" H 14300 9580 30  0001 C CNN
+	1    14300 9650
+	0    1    1    0   
+$EndComp
+$Comp
+L GND #PWR04
+U 1 1 514D0E9D
+P 14300 9050
+F 0 "#PWR04" H 14300 9050 30  0001 C CNN
+F 1 "GND" H 14300 8980 30  0001 C CNN
+	1    14300 9050
+	0    1    1    0   
+$EndComp
+$Comp
+L GND #PWR05
+U 1 1 514D0EA3
+P 14300 8450
+F 0 "#PWR05" H 14300 8450 30  0001 C CNN
+F 1 "GND" H 14300 8380 30  0001 C CNN
+	1    14300 8450
+	0    1    1    0   
+$EndComp
+$Comp
+L GND #PWR06
+U 1 1 514D0EA9
+P 15150 8450
+F 0 "#PWR06" H 15150 8450 30  0001 C CNN
+F 1 "GND" H 15150 8380 30  0001 C CNN
+	1    15150 8450
+	0    -1   -1   0   
+$EndComp
+$Comp
+L GND #PWR07
+U 1 1 514D0EAF
+P 15150 9650
+F 0 "#PWR07" H 15150 9650 30  0001 C CNN
+F 1 "GND" H 15150 9580 30  0001 C CNN
+	1    15150 9650
+	0    -1   -1   0   
+$EndComp
+$Comp
+L GND #PWR08
+U 1 1 514D0EB5
+P 15150 9050
+F 0 "#PWR08" H 15150 9050 30  0001 C CNN
+F 1 "GND" H 15150 8980 30  0001 C CNN
+	1    15150 9050
+	0    -1   -1   0   
+$EndComp
+$Comp
+L 524P U8
+U 1 1 514D0FDE
+P 14700 9800
+F 0 "U8" H 14850 10250 50  0000 C CNN
+F 1 "524P" H 14650 10250 50  0000 C CNN
+F 2 "~" H 14700 9800 50  0001 C CNN
+F 3 "~" H 14700 9800 50  0001 C CNN
+	1    14700 9800
+	1    0    0    -1  
+$EndComp
+$Comp
+L 524P U6
+U 1 1 514D11F4
+P 14700 9200
+F 0 "U6" H 14850 9650 50  0000 C CNN
+F 1 "524P" H 14650 9650 50  0000 C CNN
+F 2 "~" H 14700 9200 50  0001 C CNN
+F 3 "~" H 14700 9200 50  0001 C CNN
+	1    14700 9200
+	1    0    0    -1  
+$EndComp
+$Comp
+L 524P U4
+U 1 1 514D120E
+P 14700 8600
+F 0 "U4" H 14850 9050 50  0000 C CNN
+F 1 "524P" H 14650 9050 50  0000 C CNN
+F 2 "~" H 14700 8600 50  0001 C CNN
+F 3 "~" H 14700 8600 50  0001 C CNN
+	1    14700 8600
+	1    0    0    -1  
+$EndComp
+Text Label 5550 2700 0    60   ~ 0
+DQ15
+Entry Wire Line
+	5450 2600 5550 2700
+Entry Wire Line
+	5250 2700 5350 2800
+Text Label 5050 2700 0    60   ~ 0
+DQ15
+$Comp
+L 4GBDDR3 U2
+U 1 1 514D42E8
+P 4550 3700
+F 0 "U2" H 4650 6300 50  0000 C CNN
+F 1 "4GBDDR3" H 4200 6300 50  0000 C CNN
+F 2 "~" H 4550 3700 50  0001 C CNN
+F 3 "_" H 4550 3700 50  0001 C CNN
+	1    4550 3700
+	1    0    0    -1  
+$EndComp
+NoConn ~ 5800 6400
+NoConn ~ 5800 6500
+Text Label 3650 1250 0    60   ~ 0
+SA0
+Text Label 3650 1350 0    60   ~ 0
+SA1
+Text Label 3650 1450 0    60   ~ 0
+SA2
+Text Label 3650 1550 0    60   ~ 0
+SA3
+Text Label 3650 1650 0    60   ~ 0
+SA4
+Text Label 3650 1750 0    60   ~ 0
+SA5
+Text Label 3650 1850 0    60   ~ 0
+SA6
+Text Label 3650 1950 0    60   ~ 0
+SA7
+Text Label 3650 2050 0    60   ~ 0
+SA8
+Text Label 3650 2150 0    60   ~ 0
+SA9
+Text Label 3600 2250 0    60   ~ 0
+SA10
+Text Label 3600 2350 0    60   ~ 0
+SA11
+Text Label 3600 2450 0    60   ~ 0
+SA12
+Text Label 3600 2550 0    60   ~ 0
+SA13
+Text Label 3600 2650 0    60   ~ 0
+SA14
+Text Label 3600 2900 0    60   ~ 0
+BA0
+Text Label 3600 3000 0    60   ~ 0
+BA1
+Text Label 3600 3100 0    60   ~ 0
+BA2
+Text Label 6850 1200 0    60   ~ 0
+SA0
+Text Label 6850 1300 0    60   ~ 0
+SA1
+Text Label 6850 1400 0    60   ~ 0
+SA2
+Text Label 6850 1500 0    60   ~ 0
+SA3
+Text Label 6850 1600 0    60   ~ 0
+SA4
+Text Label 6850 1700 0    60   ~ 0
+SA5
+Text Label 6850 1800 0    60   ~ 0
+SA6
+Text Label 6850 1900 0    60   ~ 0
+SA7
+Text Label 6850 2000 0    60   ~ 0
+SA8
+Text Label 6850 2100 0    60   ~ 0
+SA9
+Text Label 6800 2200 0    60   ~ 0
+SA10
+Text Label 6800 2300 0    60   ~ 0
+SA11
+Text Label 6800 2400 0    60   ~ 0
+SA12
+Text Label 6800 2500 0    60   ~ 0
+SA13
+Text Label 6800 2600 0    60   ~ 0
+SA14
+Text Label 6800 2700 0    60   ~ 0
+BA0
+Text Label 6800 2800 0    60   ~ 0
+BA1
+Text Label 6800 2900 0    60   ~ 0
+BA2
+NoConn ~ 5050 4050
+NoConn ~ 5050 4150
+NoConn ~ 5050 4250
+NoConn ~ 5050 4350
+Text Label 9300 1600 0    60   ~ 0
+LD0
+Text Label 9300 1700 0    60   ~ 0
+LD1
+Text Label 9300 1800 0    60   ~ 0
+LD2
+Text Label 9300 1900 0    60   ~ 0
+LD3
+Text Label 9300 2000 0    60   ~ 0
+LD4
+Text Label 9300 2100 0    60   ~ 0
+LD5
+Text Label 9300 2200 0    60   ~ 0
+LD6
+Text Label 9300 2300 0    60   ~ 0
+LD7
+Text Label 9300 2400 0    60   ~ 0
+LD8
+Text Label 9300 2500 0    60   ~ 0
+LD9
+Text Label 9300 2600 0    60   ~ 0
+LD10
+Text Label 9300 2700 0    60   ~ 0
+LD11
+Text Label 9300 2800 0    60   ~ 0
+LD12
+Text Label 9300 2900 0    60   ~ 0
+LD13
+Text Label 9300 3000 0    60   ~ 0
+LD14
+Text Label 9300 3100 0    60   ~ 0
+LD15
+Text Label 9300 3200 0    60   ~ 0
+LD16
+Text Label 9300 3300 0    60   ~ 0
+LD17
+Text Label 9300 3400 0    60   ~ 0
+LD18
+Text Label 9300 3500 0    60   ~ 0
+LD19
+Text Label 9300 3600 0    60   ~ 0
+LD20
+Text Label 9300 3700 0    60   ~ 0
+LD21
+Text Label 9300 3800 0    60   ~ 0
+LD22
+Text Label 9300 3900 0    60   ~ 0
+LD23
+Text Label 9300 4000 0    60   ~ 0
+LCLK
+Text Label 9300 4100 0    60   ~ 0
+LDE
+Text Label 9300 4200 0    60   ~ 0
+LHSYN
+Text Label 9300 4300 0    60   ~ 0
+LVSYN
+Text GLabel 5550 8000 2    40   Input ~ 0
+IPSOUT
+Text Label 4850 8000 0    60   ~ 0
+RESET#
+Text Label 3900 8300 0    60   ~ 0
+NMI#
+Text GLabel 13150 9650 2    60   Input ~ 0
+3.3V
+Text GLabel 7950 9600 2    60   Input ~ 0
+AVCC
+Text GLabel 7000 10600 2    60   Input ~ 0
+1.2V
+Text GLabel 8400 10600 2    60   Input ~ 0
+1.25V
+$Comp
+L INDUCTOR L3
+U 1 1 514EC5DA
+P 5700 9900
+F 0 "L3" V 5650 10050 40  0000 C CNN
+F 1 "4.7uH at 1.5A" V 5650 9800 40  0000 C CNN
+	1    5700 9900
+	0    -1   -1   0   
+$EndComp
+$Comp
+L INDUCTOR L6
+U 1 1 514ECA56
+P 5700 10100
+F 0 "L6" V 5650 10250 40  0000 C CNN
+F 1 "4.7uH at 1.5A" V 5650 10000 40  0000 C CNN
+	1    5700 10100
+	0    -1   -1   0   
+$EndComp
+Text GLabel 7000 9700 2    60   Input ~ 0
+LDO1
+Text GLabel 9100 9500 2    60   Input ~ 0
+LDO3
+Text Label 11700 5900 0    60   ~ 0
+1.25V
+Text Label 11750 4400 0    60   ~ 0
+3.3V
+Text Label 11750 6900 0    60   ~ 0
+1.2V
+Text Label 13750 1200 0    60   ~ 0
+1.2V
+Text Label 11750 2000 0    60   ~ 0
+AVCC
+$Comp
+L GND #PWR09
+U 1 1 5150B417
+P 11900 1600
+F 0 "#PWR09" H 11900 1600 30  0001 C CNN
+F 1 "GND" H 11900 1530 30  0001 C CNN
+	1    11900 1600
+	0    1    1    0   
+$EndComp
+$Comp
+L CONN_30 P1
+U 1 1 5150C360
+P 700 9550
+F 0 "P1" V 650 7950 60  0000 C CNN
+F 1 "CONN30 - LCD" V 700 9500 60  0000 C CNN
+	1    700  9550
+	-1   0    0    -1  
+$EndComp
+Text Label 1050 8100 0    60   ~ 0
+LD0
+Text Label 1050 8200 0    60   ~ 0
+LD1
+Text Label 1050 8300 0    60   ~ 0
+LD2
+Text Label 1050 8400 0    60   ~ 0
+LD3
+Text Label 1050 8500 0    60   ~ 0
+LD4
+Text Label 1050 8600 0    60   ~ 0
+LD5
+Text Label 1050 8700 0    60   ~ 0
+LD6
+Text Label 1050 8800 0    60   ~ 0
+LD7
+Text Label 1050 8900 0    60   ~ 0
+LD8
+Text Label 1050 9000 0    60   ~ 0
+LD9
+Text Label 1050 9100 0    60   ~ 0
+LD10
+Text Label 1050 9200 0    60   ~ 0
+LD11
+Text Label 1050 9300 0    60   ~ 0
+LD12
+Text Label 1050 9400 0    60   ~ 0
+LD13
+Text Label 1050 9500 0    60   ~ 0
+LD14
+Text Label 1050 9600 0    60   ~ 0
+LD15
+Text Label 1050 9700 0    60   ~ 0
+LD16
+Text Label 1050 9800 0    60   ~ 0
+LD17
+Text Label 1050 9900 0    60   ~ 0
+LD18
+Text Label 1050 10000 0    60   ~ 0
+LD19
+Text Label 1050 10100 0    60   ~ 0
+LD20
+Text Label 1050 10200 0    60   ~ 0
+LD21
+Text Label 1050 10300 0    60   ~ 0
+LD22
+Text Label 1050 10400 0    60   ~ 0
+LD23
+Text Label 1050 10500 0    60   ~ 0
+LCLK
+Text Label 1050 10600 0    60   ~ 0
+LDE
+Text Label 1050 10700 0    60   ~ 0
+LHSYN
+Text Label 1100 10800 0    60   ~ 0
+LVSYN
+$Comp
+L GND #PWR010
+U 1 1 5150EAB5
+P 1150 11000
+F 0 "#PWR010" H 1150 11000 30  0001 C CNN
+F 1 "GND" H 1150 10930 30  0001 C CNN
+	1    1150 11000
+	0    -1   1    0   
+$EndComp
+NoConn ~ 3800 2750
+$Comp
+L GND #PWR011
+U 1 1 5150EB51
+P 5150 3350
+F 0 "#PWR011" H 5150 3350 30  0001 C CNN
+F 1 "GND" H 5150 3280 30  0001 C CNN
+	1    5150 3350
+	0    -1   -1   0   
+$EndComp
+$Comp
+L GND #PWR012
+U 1 1 5150EE2D
+P 3650 5350
+F 0 "#PWR012" H 3650 5350 30  0001 C CNN
+F 1 "GND" H 3650 5280 30  0001 C CNN
+	1    3650 5350
+	0    1    1    0   
+$EndComp
+NoConn ~ 13700 5500
+NoConn ~ 13700 5600
+$Comp
+L GND #PWR013
+U 1 1 51516A0B
+P 14950 4800
+F 0 "#PWR013" H 14950 4800 30  0001 C CNN
+F 1 "GND" H 14950 4730 30  0001 C CNN
+	1    14950 4800
+	0    1    1    0   
+$EndComp
+NoConn ~ 13700 1300
+NoConn ~ 13700 1400
+NoConn ~ 13700 1500
+NoConn ~ 10750 1200
+NoConn ~ 10750 1300
+NoConn ~ 10750 1400
+NoConn ~ 10750 1500
+NoConn ~ 10750 1600
+NoConn ~ 10750 1700
+NoConn ~ 10750 1800
+Text Label 13800 2500 0    60   ~ 0
+RESET#
+Text Label 13800 2600 0    60   ~ 0
+NMI#
+Text Notes 12550 1400 0    60   ~ 0
+NC
+$Comp
+L GND #PWR014
+U 1 1 5151B9E0
+P 5300 6300
+F 0 "#PWR014" H 5300 6300 30  0001 C CNN
+F 1 "GND" H 5300 6230 30  0001 C CNN
+	1    5300 6300
+	0    1    1    0   
+$EndComp
+$Comp
+L CRYSTAL X2
+U 1 1 51523481
+P 15250 2050
+F 0 "X2" H 15250 2200 60  0000 C CNN
+F 1 "24M" H 15250 1900 60  0000 C CNN
+	1    15250 2050
+	0    -1   -1   0   
+$EndComp
+$Comp
+L C C4
+U 1 1 51523944
+P 15600 2350
+F 0 "C4" H 15650 2450 50  0000 L CNN
+F 1 "18pF" H 15650 2250 50  0000 L CNN
+	1    15600 2350
+	0    -1   -1   0   
+$EndComp
+NoConn ~ 13700 2300
+NoConn ~ 13700 2400
+$Comp
+L C C3
+U 1 1 51523965
+P 15600 1750
+F 0 "C3" H 15650 1850 50  0000 L CNN
+F 1 "18pF" H 15650 1650 50  0000 L CNN
+	1    15600 1750
+	0    -1   -1   0   
+$EndComp
+NoConn ~ 13700 1600
+$Comp
+L GND #PWR015
+U 1 1 515270AC
+P 15850 2050
+F 0 "#PWR015" H 15850 2050 30  0001 C CNN
+F 1 "GND" H 15850 1980 30  0001 C CNN
+	1    15850 2050
+	0    -1   -1   0   
+$EndComp
+NoConn ~ 13700 1800
+$Comp
+L GND #PWR016
+U 1 1 5152724A
+P 13700 1700
+F 0 "#PWR016" H 13700 1700 30  0001 C CNN
+F 1 "GND" H 13700 1630 30  0001 C CNN
+	1    13700 1700
+	0    -1   -1   0   
+$EndComp
+NoConn ~ 13700 4700
+NoConn ~ 13700 4800
+$Comp
+L R R2
+U 1 1 5152B195
+P 13950 2700
+F 0 "R2" V 14050 2550 50  0000 C CNN
+F 1 "5k1" V 13950 2700 50  0000 C CNN
+	1    13950 2700
+	0    -1   -1   0   
+$EndComp
+$Comp
+L GND #PWR017
+U 1 1 5152B1A2
+P 14200 2700
+F 0 "#PWR017" H 14200 2700 30  0001 C CNN
+F 1 "GND" H 14200 2630 30  0001 C CNN
+	1    14200 2700
+	0    -1   -1   0   
+$EndComp
+$Comp
+L GND #PWR018
+U 1 1 5152B356
+P 14700 2700
+F 0 "#PWR018" H 14700 2700 30  0001 C CNN
+F 1 "GND" H 14700 2630 30  0001 C CNN
+	1    14700 2700
+	0    -1   -1   0   
+$EndComp
+$Comp
+L GND #PWR019
+U 1 1 5152C552
+P 14650 3900
+F 0 "#PWR019" H 14650 3900 30  0001 C CNN
+F 1 "GND" H 14650 3830 30  0001 C CNN
+	1    14650 3900
+	1    0    0    -1  
+$EndComp
+NoConn ~ 13700 3700
+NoConn ~ 13700 3800
+NoConn ~ 13700 3900
+NoConn ~ 13700 4000
+NoConn ~ 13700 4100
+NoConn ~ 13700 4200
+$Comp
+L GND #PWR020
+U 1 1 5152C8E9
+P 2800 10900
+F 0 "#PWR020" H 2800 10900 30  0001 C CNN
+F 1 "GND" H 2800 10830 30  0001 C CNN
+	1    2800 10900
+	0    -1   -1   0   
+$EndComp
+NoConn ~ 4150 8700
+NoConn ~ 4150 8800
+NoConn ~ 4150 8900
+$Comp
+L R R30
+U 1 1 5152CC57
+P 4450 11050
+F 0 "R30" V 4350 11050 50  0000 C CNN
+F 1 "240" V 4450 11050 50  0000 C CNN
+	1    4450 11050
+	0    -1   -1   0   
+$EndComp
+$Comp
+L GND #PWR021
+U 1 1 5152CC66
+P 4700 11050
+F 0 "#PWR021" H 4700 11050 30  0001 C CNN
+F 1 "GND" H 4700 10980 30  0001 C CNN
+	1    4700 11050
+	0    -1   -1   0   
+$EndComp
+$Comp
+L R R20
+U 1 1 5152D179
+P 3350 8400
+F 0 "R20" V 3430 8400 50  0000 C CNN
+F 1 "2k2" V 3350 8400 50  0000 C CNN
+	1    3350 8400
+	0    -1   -1   0   
+$EndComp
+$Comp
+L R R21
+U 1 1 5152D186
+P 3350 8500
+F 0 "R21" V 3250 8500 50  0000 C CNN
+F 1 "2k2" V 3350 8500 50  0000 C CNN
+	1    3350 8500
+	0    -1   -1   0   
+$EndComp
+Text Label 7900 5600 0    60   ~ 0
+TWI0SCK
+Text Label 7900 5500 0    60   ~ 0
+TWI0SDA
+Text Label 3700 8500 0    60   ~ 0
+TWI0SDA
+Text Label 3700 8400 0    60   ~ 0
+TWI0SCK
+Text Label 2900 8450 0    60   ~ 0
+3.3V
+$Comp
+L C C42
+U 1 1 5152E923
+P 3800 10800
+F 0 "C42" V 3700 10900 50  0000 L CNN
+F 1 "1uF" V 3850 10600 50  0000 L CNN
+	1    3800 10800
+	-1   0    0    1   
+$EndComp
+$Comp
+L GND #PWR022
+U 1 1 5152EADD
+P 3800 11000
+F 0 "#PWR022" H 3800 11000 30  0001 C CNN
+F 1 "GND" H 3800 10930 30  0001 C CNN
+	1    3800 11000
+	1    0    0    -1  
+$EndComp
+$Comp
+L GND #PWR023
+U 1 1 5152EAE3
+P 4150 10350
+F 0 "#PWR023" H 4150 10350 30  0001 C CNN
+F 1 "GND" H 4150 10280 30  0001 C CNN
+	1    4150 10350
+	0    1    1    0   
+$EndComp
+$Comp
+L SW_PUSH SW1
+U 1 1 5152F670
+P 3200 10750
+F 0 "SW1" H 2950 10800 50  0000 C CNN
+F 1 "SW_PUSH" H 3250 10900 50  0000 C CNN
+	1    3200 10750
+	0    -1   -1   0   
+$EndComp
+$Comp
+L R R28
+U 1 1 5152F676
+P 3650 10450
+F 0 "R28" V 3550 10450 50  0000 C CNN
+F 1 "33" V 3650 10450 50  0000 C CNN
+	1    3650 10450
+	0    -1   -1   0   
+$EndComp
+$Comp
+L C C41
+U 1 1 5152F82C
+P 3400 10800
+F 0 "C41" V 3300 10900 50  0000 L CNN
+F 1 ".22u" V 3350 10550 50  0000 L CNN
+	1    3400 10800
+	-1   0    0    1   
+$EndComp
+$Comp
+L GND #PWR024
+U 1 1 5153044F
+P 4150 10800
+F 0 "#PWR024" H 4150 10800 30  0001 C CNN
+F 1 "GND" H 4150 10730 30  0001 C CNN
+	1    4150 10800
+	0    1    1    0   
+$EndComp
+$Comp
+L GND #PWR025
+U 1 1 5153126F
+P 5950 10700
+F 0 "#PWR025" H 5950 10700 30  0001 C CNN
+F 1 "GND" H 5950 10630 30  0001 C CNN
+	1    5950 10700
+	0    -1   -1   0   
+$EndComp
+$Comp
+L R R31
+U 1 1 51531275
+P 5600 10700
+F 0 "R31" V 5500 10700 50  0000 C CNN
+F 1 "2k2" V 5600 10700 50  0000 C CNN
+	1    5600 10700
+	0    -1   -1   0   
+$EndComp
+$Comp
+L SY8008C U5
+U 1 1 514F0596
+P 10050 8750
+F 0 "U5" H 10000 9100 50  0000 C CNN
+F 1 "SY8008C" H 9750 9100 50  0000 C CNN
+F 2 "MODULE" H 10050 8750 50  0001 C CNN
+F 3 "DOCUMENTATION" H 10050 8750 50  0001 C CNN
+	1    10050 8750
+	1    0    0    -1  
+$EndComp
+$Comp
+L GND #PWR026
+U 1 1 514F06C7
+P 9300 8800
+F 0 "#PWR026" H 9300 8800 30  0001 C CNN
+F 1 "GND" H 9300 8730 30  0001 C CNN
+	1    9300 8800
+	1    0    0    -1  
+$EndComp
+$Comp
+L SY8008C U9
+U 1 1 514F0D71
+P 10700 9850
+F 0 "U9" H 10650 10200 50  0000 C CNN
+F 1 "SY8008C" H 10400 10200 50  0000 C CNN
+F 2 "MODULE" H 10700 9850 50  0001 C CNN
+F 3 "DOCUMENTATION" H 10700 9850 50  0001 C CNN
+	1    10700 9850
+	1    0    0    -1  
+$EndComp
+$Comp
+L HEADER_19 J7
+U 1 1 514F1A03
+P 15850 9150
+F 0 "J7" V 15900 10400 60  0000 C CNN
+F 1 "HDMI" V 15950 9050 60  0000 C CNN
+	1    15850 9150
+	1    0    0    -1  
+$EndComp
+$Comp
+L R R26
+U 1 1 514F4E43
+P 15300 10250
+F 0 "R26" V 15400 10250 50  0000 C CNN
+F 1 "2k2" V 15300 10250 50  0000 C CNN
+	1    15300 10250
+	-1   0    0    1   
+$EndComp
+Text GLabel 2650 10250 0    60   Input ~ 0
+5V_IN
+$Comp
+L R R11
+U 1 1 514FBC8D
+P 1300 6400
+F 0 "R11" V 1400 6550 50  0000 C CNN
+F 1 "240" V 1300 6400 50  0000 C CNN
+	1    1300 6400
+	1    0    0    -1  
+$EndComp
+$Comp
+L 4GBDDR3 U1
+U 1 1 514FBC93
+P 2050 3700
+F 0 "U1" H 2150 6300 50  0000 C CNN
+F 1 "4GBDDR3" H 1700 6300 50  0000 C CNN
+F 2 "~" H 2050 3700 50  0001 C CNN
+F 3 "_" H 2050 3700 50  0001 C CNN
+	1    2050 3700
+	1    0    0    -1  
+$EndComp
+Text Label 1150 1250 0    60   ~ 0
+SA0
+Text Label 1150 1350 0    60   ~ 0
+SA1
+Text Label 1150 1450 0    60   ~ 0
+SA2
+Text Label 1150 1550 0    60   ~ 0
+SA3
+Text Label 1150 1650 0    60   ~ 0
+SA4
+Text Label 1150 1750 0    60   ~ 0
+SA5
+Text Label 1150 1850 0    60   ~ 0
+SA6
+Text Label 1150 1950 0    60   ~ 0
+SA7
+Text Label 1150 2050 0    60   ~ 0
+SA8
+Text Label 1150 2150 0    60   ~ 0
+SA9
+Text Label 1100 2250 0    60   ~ 0
+SA10
+Text Label 1100 2350 0    60   ~ 0
+SA11
+Text Label 1100 2450 0    60   ~ 0
+SA12
+Text Label 1100 2550 0    60   ~ 0
+SA13
+Text Label 1100 2650 0    60   ~ 0
+SA14
+Text Label 1100 2900 0    60   ~ 0
+BA0
+Text Label 1100 3000 0    60   ~ 0
+BA1
+Text Label 1100 3100 0    60   ~ 0
+BA2
+NoConn ~ 1300 2750
+$Comp
+L GND #PWR027
+U 1 1 514FBCAC
+P 1200 5650
+F 0 "#PWR027" H 1200 5650 30  0001 C CNN
+F 1 "GND" H 1200 5580 30  0001 C CNN
+	1    1200 5650
+	0    1    1    0   
+$EndComp
+$Comp
+L GND #PWR028
+U 1 1 514FBCB2
+P 1300 6650
+F 0 "#PWR028" H 1300 6650 30  0001 C CNN
+F 1 "GND" H 1300 6580 30  0001 C CNN
+	1    1300 6650
+	1    0    0    -1  
+$EndComp
+Text Label 5500 5800 0    60   ~ 0
+SCAS
+Text Label 5050 5900 0    60   ~ 0
+SRAS
+Text Label 5500 6000 0    60   ~ 0
+SWE
+Text Label 5500 5700 0    60   ~ 0
+SCK#
+Text Label 5500 5600 0    60   ~ 0
+SCK
+Text Label 2600 5600 0    60   ~ 0
+CK
+Text Label 2600 5700 0    60   ~ 0
+CK#
+Text Label 2600 5800 0    60   ~ 0
+SCAS
+Text Label 2600 5900 0    60   ~ 0
+SRAS
+Text Label 5500 6100 0    60   ~ 0
+SRST
+Text Label 2600 6100 0    60   ~ 0
+SRST
+Text Label 5500 6200 0    60   ~ 0
+ODT
+Text Label 2600 6200 0    60   ~ 0
+ODT
+Text GLabel 1200 5100 0    60   Input ~ 0
+DRAM_VCC
+Text GLabel 3700 3400 0    60   Input ~ 0
+DRAM_VCC
+$Comp
+L GND #PWR029
+U 1 1 51508154
+P 2700 2850
+F 0 "#PWR029" H 2700 2850 30  0001 C CNN
+F 1 "GND" H 2700 2780 30  0001 C CNN
+	1    2700 2850
+	0    -1   -1   0   
+$EndComp
+NoConn ~ 8350 5400
+$Comp
+L PWR_FLAG #FLG030
+U 1 1 51508972
+P 2800 10250
+F 0 "#FLG030" H 2800 10520 30  0001 C CNN
+F 1 "PWR_FLAG" H 2800 10450 30  0000 C CNN
+	1    2800 10250
+	1    0    0    -1  
+$EndComp
+Text Label 2650 1200 0    60   ~ 0
+DQ16
+Text Label 2650 1300 0    60   ~ 0
+DQ17
+Text Label 2650 1400 0    60   ~ 0
+DQ18
+Text Label 2650 1500 0    60   ~ 0
+DQ19
+Text Label 2650 1600 0    60   ~ 0
+DQ20
+Text Label 2650 1700 0    60   ~ 0
+DQ21
+Text Label 2650 1800 0    60   ~ 0
+DQ22
+Text Label 2650 1900 0    60   ~ 0
+DQ23
+Text Label 2650 2000 0    60   ~ 0
+DQ24
+Text Label 2650 2100 0    60   ~ 0
+DQ25
+Text Label 2650 2200 0    60   ~ 0
+DQ26
+Text Label 2650 2300 0    60   ~ 0
+DQ27
+Text Label 2650 2400 0    60   ~ 0
+DQ28
+Text Label 2650 2500 0    60   ~ 0
+DQ29
+Text Label 2650 2600 0    60   ~ 0
+DQ30
+Text Label 2650 2700 0    60   ~ 0
+DQ31
+Text Label 5550 2800 0    60   ~ 0
+DQ16
+Text Label 5550 2900 0    60   ~ 0
+DQ17
+Text Label 5550 3000 0    60   ~ 0
+DQ18
+Text Label 5550 3100 0    60   ~ 0
+DQ19
+Text Label 5550 3200 0    60   ~ 0
+DQ20
+Text Label 5550 3300 0    60   ~ 0
+DQ21
+Text Label 5550 3400 0    60   ~ 0
+DQ22
+Text Label 5550 3500 0    60   ~ 0
+DQ23
+Text Label 5550 3600 0    60   ~ 0
+DQ24
+Text Label 5550 3700 0    60   ~ 0
+DQ25
+Text Label 5550 3800 0    60   ~ 0
+DQ26
+Text Label 5550 3900 0    60   ~ 0
+DQ27
+Text Label 5550 4000 0    60   ~ 0
+DQ28
+Text Label 5550 4100 0    60   ~ 0
+DQ29
+Text Label 5550 4200 0    60   ~ 0
+DQ30
+Text Label 5550 4300 0    60   ~ 0
+DQ31
+Entry Wire Line
+	5450 4200 5550 4300
+Entry Wire Line
+	5450 4100 5550 4200
+Entry Wire Line
+	5450 4000 5550 4100
+Entry Wire Line
+	5450 3900 5550 4000
+Entry Wire Line
+	5450 3800 5550 3900
+Entry Wire Line
+	5450 3700 5550 3800
+Entry Wire Line
+	5450 3600 5550 3700
+Entry Wire Line
+	5450 3500 5550 3600
+Entry Wire Line
+	5450 3400 5550 3500
+Entry Wire Line
+	5450 3300 5550 3400
+Entry Wire Line
+	5450 3200 5550 3300
+Entry Wire Line
+	5450 3100 5550 3200
+Entry Wire Line
+	5450 3000 5550 3100
+Entry Wire Line
+	5450 2900 5550 3000
+Entry Wire Line
+	5450 2800 5550 2900
+Entry Wire Line
+	5450 2700 5550 2800
+NoConn ~ 2550 4050
+NoConn ~ 2550 4150
+NoConn ~ 2550 4250
+NoConn ~ 2550 4350
+$Comp
+L C C18
+U 1 1 51501971
+P 3700 9050
+F 0 "C18" V 3750 9150 50  0000 L CNN
+F 1 "1uF" V 3750 8850 50  0000 L CNN
+	1    3700 9050
+	0    -1   -1   0   
+$EndComp
+NoConn ~ 4150 9000
+NoConn ~ 4150 9100
+$Comp
+L GND #PWR031
+U 1 1 51501E8F
+P 3400 9350
+F 0 "#PWR031" H 3400 9350 30  0001 C CNN
+F 1 "GND" H 3400 9280 30  0001 C CNN
+	1    3400 9350
+	0    1    1    0   
+$EndComp
+$Comp
+L R R23
+U 1 1 51501E95
+P 3750 9350
+F 0 "R23" V 3850 9450 50  0000 C CNN
+F 1 "200k" V 3750 9350 50  0000 C CNN
+	1    3750 9350
+	0    -1   -1   0   
+$EndComp
+$Comp
+L GND #PWR032
+U 1 1 51506AAA
+P 5450 10300
+F 0 "#PWR032" H 5450 10300 30  0001 C CNN
+F 1 "GND" H 5450 10230 30  0001 C CNN
+	1    5450 10300
+	0    -1   -1   0   
+$EndComp
+Text GLabel 8600 9400 2    60   Input ~ 0
+LDO4
+Text Label 15400 6950 0    60   ~ 0
+3.3V
+NoConn ~ 5350 10200
+Entry Wire Line
+	6700 2500 6800 2600
+Entry Wire Line
+	1000 2550 1100 2650
+Entry Wire Line
+	1000 2450 1100 2550
+Entry Wire Line
+	1000 2350 1100 2450
+Entry Wire Line
+	1000 2250 1100 2350
+Entry Wire Line
+	1000 2150 1100 2250
+Entry Wire Line
+	1000 2050 1100 2150
+Entry Wire Line
+	1000 1950 1100 2050
+Entry Wire Line
+	1000 1850 1100 1950
+Entry Wire Line
+	1000 1750 1100 1850
+Entry Wire Line
+	1000 1650 1100 1750
+Entry Wire Line
+	1000 1550 1100 1650
+Entry Wire Line
+	1000 1450 1100 1550
+Entry Wire Line
+	1000 1350 1100 1450
+Entry Wire Line
+	1000 1150 1100 1250
+Entry Wire Line
+	1000 1250 1100 1350
+Entry Wire Line
+	3500 2550 3600 2650
+Entry Wire Line
+	3500 2450 3600 2550
+Entry Wire Line
+	3500 2350 3600 2450
+Entry Wire Line
+	3500 2250 3600 2350
+Entry Wire Line
+	3500 2150 3600 2250
+Entry Wire Line
+	3500 2050 3600 2150
+Entry Wire Line
+	3500 1950 3600 2050
+Entry Wire Line
+	3500 1850 3600 1950
+Entry Wire Line
+	3500 1750 3600 1850
+Entry Wire Line
+	3500 1650 3600 1750
+Entry Wire Line
+	3500 1550 3600 1650
+Entry Wire Line
+	3500 1450 3600 1550
+Entry Wire Line
+	3500 1350 3600 1450
+Entry Wire Line
+	3500 1250 3600 1350
+Entry Wire Line
+	3500 1150 3600 1250
+Entry Wire Line
+	3000 2600 2900 2700
+Entry Wire Line
+	3000 2500 2900 2600
+Entry Wire Line
+	3000 2400 2900 2500
+Entry Wire Line
+	3000 2300 2900 2400
+Entry Wire Line
+	3000 2200 2900 2300
+Entry Wire Line
+	3000 1100 2900 1200
+Entry Wire Line
+	3000 1200 2900 1300
+Entry Wire Line
+	3000 1300 2900 1400
+Entry Wire Line
+	3000 1400 2900 1500
+Entry Wire Line
+	3000 1500 2900 1600
+Entry Wire Line
+	3000 1600 2900 1700
+Entry Wire Line
+	3000 1700 2900 1800
+Entry Wire Line
+	3000 1800 2900 1900
+Entry Wire Line
+	3000 1900 2900 2000
+Entry Wire Line
+	3000 2000 2900 2100
+Entry Wire Line
+	3000 2100 2900 2200
+Entry Wire Line
+	6700 2400 6800 2500
+Entry Wire Line
+	6700 2300 6800 2400
+Entry Wire Line
+	6700 2200 6800 2300
+Entry Wire Line
+	6700 2100 6800 2200
+Entry Wire Line
+	6700 2000 6800 2100
+Entry Wire Line
+	6700 1900 6800 2000
+Entry Wire Line
+	6700 1800 6800 1900
+Entry Wire Line
+	6700 1700 6800 1800
+Entry Wire Line
+	6700 1600 6800 1700
+Entry Wire Line
+	6700 1500 6800 1600
+Entry Wire Line
+	6700 1400 6800 1500
+Entry Wire Line
+	6700 1300 6800 1400
+Entry Wire Line
+	6700 1200 6800 1300
+Entry Wire Line
+	6700 1100 6800 1200
+$Comp
+L R R22
+U 1 1 5150FD34
+P 10700 8750
+F 0 "R22" V 10800 8750 50  0000 C CNN
+F 1 "15k" V 10700 8750 50  0000 C CNN
+	1    10700 8750
+	0    -1   -1   0   
+$EndComp
+$Comp
+L INDUCTOR L2
+U 1 1 5150FD3C
+P 10650 8550
+F 0 "L2" V 10750 8700 40  0000 C CNN
+F 1 "4.7uH/3A" V 10750 8450 40  0000 C CNN
+	1    10650 8550
+	0    -1   -1   0   
+$EndComp
+$Comp
+L R R24
+U 1 1 5150FD4C
+P 10050 8950
+F 0 "R24" V 10150 8750 50  0000 C CNN
+F 1 "10k" V 10050 8950 50  0000 C CNN
+	1    10050 8950
+	0    -1   -1   0   
+$EndComp
+$Comp
+L C C24
+U 1 1 515105DA
+P 10700 8950
+F 0 "C24" V 10650 9050 50  0000 L CNN
+F 1 "22pF" V 10650 8700 50  0000 L CNN
+	1    10700 8950
+	0    -1   -1   0   
+$EndComp
+$Comp
+L GND #PWR033
+U 1 1 51511CEE
+P 12500 8950
+F 0 "#PWR033" H 12500 8950 30  0001 C CNN
+F 1 "GND" H 12500 8880 30  0001 C CNN
+	1    12500 8950
+	0    -1   -1   0   
+$EndComp
+$Comp
+L GND #PWR034
+U 1 1 51512C6A
+P 9950 9900
+F 0 "#PWR034" H 9950 9900 30  0001 C CNN
+F 1 "GND" H 9950 9830 30  0001 C CNN
+	1    9950 9900
+	1    0    0    -1  
+$EndComp
+$Comp
+L R R25
+U 1 1 5151396F
+P 11350 9850
+F 0 "R25" V 11450 9850 50  0000 C CNN
+F 1 "15k" V 11350 9850 50  0000 C CNN
+	1    11350 9850
+	0    -1   -1   0   
+$EndComp
+$Comp
+L INDUCTOR L4
+U 1 1 51513975
+P 11300 9650
+F 0 "L4" V 11400 9800 40  0000 C CNN
+F 1 "4.7uH/2A" V 11400 9550 40  0000 C CNN
+	1    11300 9650
+	0    -1   -1   0   
+$EndComp
+$Comp
+L R R29
+U 1 1 5151397B
+P 10700 10050
+F 0 "R29" V 10800 10050 50  0000 C CNN
+F 1 "3.3k" V 10700 10050 50  0000 C CNN
+	1    10700 10050
+	0    -1   -1   0   
+$EndComp
+$Comp
+L C C40
+U 1 1 51513983
+P 11350 10050
+F 0 "C40" V 11300 10100 50  0000 L CNN
+F 1 "22pF" V 11300 9800 50  0000 L CNN
+	1    11350 10050
+	0    -1   -1   0   
+$EndComp
+$Comp
+L GND #PWR035
+U 1 1 51513999
+P 10450 10050
+F 0 "#PWR035" H 10450 10050 30  0001 C CNN
+F 1 "GND" H 10450 9980 30  0001 C CNN
+	1    10450 10050
+	0    1    1    0   
+$EndComp
+Text Notes 12300 10950 0    60   ~ 0
+expEYES-SBC , a minimal A10 board
+Text Notes 15500 11100 0    60   ~ 0
+.01
+Text Notes 15850 11200 0    60   ~ 0
+Ajith 
+Text Label 11750 2100 0    60   ~ 0
+LDO1
+$Sheet
+S 10150 10350 650  750 
+U 515197D1
+F0 "decouple" 50
+F1 "decouple.sch" 50
+$EndSheet
+Text Label 9200 6900 0    60   ~ 0
+U3RX
+Text Label 9200 6800 0    60   ~ 0
+U3TX
+Text Label 9200 7200 0    60   ~ 0
+U4TX
+Text Label 9200 7300 0    60   ~ 0
+U4RX
+Text Label 9200 7400 0    60   ~ 0
+U5TX
+Text Label 10450 7400 0    60   ~ 0
+U5RX
+Text Label 1100 10900 0    60   ~ 0
+5V_IN
+Text Label 1078 6900 0    60   ~ 0
+U3TX
+Text Label 1064 7000 0    60   ~ 0
+U3RX
+Text Label 1050 7100 0    60   ~ 0
+U4TX
+Text Label 1050 7200 0    60   ~ 0
+U4RX
+Text Label 1050 7300 0    60   ~ 0
+U5TX
+Text Label 1050 7400 0    60   ~ 0
+U5RX
+Text Label 1050 7500 0    60   ~ 0
+5V_IN
+$Comp
+L HEADER_10 J1
+U 1 1 51518451
+P 14800 3350
+F 0 "J1" V 14850 2750 60  0000 C CNN
+F 1 "CONN10" V 14850 3300 60  0000 C CNN
+	1    14800 3350
+	1    0    0    -1  
+$EndComp
+$Comp
+L GND #PWR036
+U 1 1 515184ED
+P 950 7600
+F 0 "#PWR036" H 950 7600 30  0001 C CNN
+F 1 "GND" H 950 7530 30  0001 C CNN
+	1    950  7600
+	0    -1   1    0   
+$EndComp
+Text Label 8950 8600 0    60   ~ 0
+AVCC
+Text Label 8950 8500 0    60   ~ 0
+5V_IN
+Text Label 9600 9600 0    60   ~ 0
+5V_IN
+Text GLabel 5700 4600 0    60   Input ~ 0
+DRAM_REF
+$Comp
+L GND #PWR037
+U 1 1 515174EC
+P 15650 7900
+F 0 "#PWR037" H 15650 7900 30  0001 C CNN
+F 1 "GND" H 15650 7830 30  0001 C CNN
+	1    15650 7900
+	-1   0    0    1   
+$EndComp
+Text Label 14950 10050 2    60   ~ 0
+5V_IN
+$Comp
+L USB1 J4
+U 1 1 5151A43E
+P 14550 5300
+F 0 "J4" H 14550 5050 50  0000 C CNN
+F 1 "USB1" H 14000 5050 50  0000 C CNN
+F 2 "~" H 14550 5300 50  0001 C CNN
+F 3 "~" H 14550 5300 50  0001 C CNN
+	1    14550 5300
+	1    0    0    -1  
+$EndComp
+$Comp
+L DUSB J3
+U 1 1 5151A44D
+P 15800 4750
+F 0 "J3" H 15600 5300 50  0000 C CNN
+F 1 "DUSB" H 15450 5300 50  0000 C CNN
+F 2 "~" H 15800 4750 50  0001 C CNN
+F 3 "~" H 15800 4750 50  0001 C CNN
+	1    15800 4750
+	1    0    0    -1  
+$EndComp
+$Comp
+L USD J5
+U 1 1 5151A880
+P 16200 6150
+F 0 "J5" H 15900 6700 60  0000 C CNN
+F 1 "USD" H 15900 5600 60  0000 C CNN
+	1    16200 6150
+	1    0    0    -1  
+$EndComp
+NoConn ~ 4150 9950
+NoConn ~ 4150 10050
+NoConn ~ 4150 9700
+NoConn ~ 4150 9800
+NoConn ~ 4150 9600
+NoConn ~ 5350 8450
+$Comp
+L C C16
+U 1 1 5151C2DB
+P 3700 8750
+F 0 "C16" V 3750 8850 50  0000 L CNN
+F 1 "1uF" V 3750 8550 50  0000 L CNN
+	1    3700 8750
+	0    -1   -1   0   
+$EndComp
+NoConn ~ 5800 7200
+NoConn ~ 5800 7300
+Text Label 5500 5400 0    60   ~ 0
+CKE
+Text Label 2600 5400 0    60   ~ 0
+CKE
+Text Label 5500 5500 0    60   ~ 0
+CS#
+Text Label 2600 5500 0    60   ~ 0
+CS#
+NoConn ~ 5800 7400
+$Comp
+L GND #PWR038
+U 1 1 5151BE88
+P 14850 5500
+F 0 "#PWR038" H 14850 5500 30  0001 C CNN
+F 1 "GND" H 14850 5430 30  0001 C CNN
+	1    14850 5500
+	0    -1   -1   0   
+$EndComp
+Text Notes 15750 5300 1    60   ~ 0
+Double USB header
+$Comp
+L CONN_5 P2
+U 1 1 51526492
+P 2400 10700
+F 0 "P2" V 2350 10700 50  0000 C CNN
+F 1 "CONN_5" V 2450 10700 50  0000 C CNN
+	1    2400 10700
+	-1   0    0    -1  
+$EndComp
+$Comp
+L C C21
+U 1 1 5153CE6B
+P 11300 8750
+F 0 "C21" H 11150 8650 50  0000 L CNN
+F 1 ".22u" H 11100 8850 50  0000 L CNN
+	1    11300 8750
+	-1   0    0    1   
+$EndComp
+$Comp
+L C C22
+U 1 1 5153CE77
+P 11650 8750
+F 0 "C22" H 11500 8650 50  0000 L CNN
+F 1 "10u" H 11450 8850 50  0000 L CNN
+	1    11650 8750
+	-1   0    0    1   
+$EndComp
+$Comp
+L GND #PWR039
+U 1 1 5153CE8B
+P 9800 8950
+F 0 "#PWR039" H 9800 8950 30  0001 C CNN
+F 1 "GND" H 9800 8880 30  0001 C CNN
+	1    9800 8950
+	0    1    1    0   
+$EndComp
+$Comp
+L GND #PWR040
+U 1 1 5153DF19
+P 9250 8100
+F 0 "#PWR040" H 9250 8100 30  0001 C CNN
+F 1 "GND" H 9250 8030 30  0001 C CNN
+	1    9250 8100
+	-1   0    0    1   
+$EndComp
+$Comp
+L GND #PWR041
+U 1 1 5153E23F
+P 13000 10050
+F 0 "#PWR041" H 13000 10050 30  0001 C CNN
+F 1 "GND" H 13000 9980 30  0001 C CNN
+	1    13000 10050
+	0    -1   -1   0   
+$EndComp
+$Comp
+L C C36
+U 1 1 5153E245
+P 11950 9850
+F 0 "C36" H 11800 9750 50  0000 L CNN
+F 1 ".22u" H 11750 9950 50  0000 L CNN
+	1    11950 9850
+	-1   0    0    1   
+$EndComp
+$Comp
+L C C38
+U 1 1 5153E24B
+P 12750 9850
+F 0 "C38" H 12600 9750 50  0000 L CNN
+F 1 "10u" H 12550 9950 50  0000 L CNN
+	1    12750 9850
+	-1   0    0    1   
+$EndComp
+$Comp
+L C C37
+U 1 1 5153E251
+P 12300 9850
+F 0 "C37" H 12150 9750 50  0000 L CNN
+F 1 "10u" H 12100 9950 50  0000 L CNN
+	1    12300 9850
+	-1   0    0    1   
+$EndComp
+Text Label 5450 10500 0    60   ~ 0
+EXTEN
+Text Label 9600 9700 0    60   ~ 0
+EXTEN
+Text Notes 3200 3600 0    100  ~ 0
+**
+Text Notes 5200 4800 0    100  ~ 0
+**
+Text Notes 11750 4550 0    100  ~ 0
+**
+Text Notes 11450 5000 0    100  ~ 0
+**
+Text Notes 11700 6050 0    100  ~ 0
+**
+Text Notes 11750 7050 0    100  ~ 0
+**
+Text GLabel 11350 8400 2    60   Input ~ 0
+DRAM_VCC
+$Comp
+L C C29
+U 1 1 51541706
+P 7800 9800
+F 0 "C29" H 7650 9700 50  0000 L CNN
+F 1 "4.7u" H 7600 9900 50  0000 L CNN
+	1    7800 9800
+	-1   0    0    1   
+$EndComp
+$Comp
+L C C35
+U 1 1 5154170C
+P 3800 10050
+F 0 "C35" H 3800 10150 50  0000 L CNN
+F 1 ".22u" H 3850 9950 50  0000 L CNN
+	1    3800 10050
+	1    0    0    -1  
+$EndComp
+$Comp
+L GND #PWR042
+U 1 1 5154361D
+P 8100 8150
+F 0 "#PWR042" H 8100 8150 30  0001 C CNN
+F 1 "GND" H 8100 8080 30  0001 C CNN
+	1    8100 8150
+	0    -1   -1   0   
+$EndComp
+$Comp
+L C C33
+U 1 1 515489AE
+P 3000 10050
+F 0 "C33" H 2850 9950 50  0000 L CNN
+F 1 "220u" H 2800 10150 50  0000 L CNN
+	1    3000 10050
+	-1   0    0    1   
+$EndComp
+$Comp
+L C C34
+U 1 1 515489B4
+P 3400 10050
+F 0 "C34" H 3250 9950 50  0000 L CNN
+F 1 "10u" H 3200 10150 50  0000 L CNN
+	1    3400 10050
+	-1   0    0    1   
+$EndComp
+$Comp
+L C C46
+U 1 1 5154AF6B
+P 7550 10800
+F 0 "C46" H 7600 10900 50  0000 L CNN
+F 1 ".22u" H 7600 10700 50  0000 L CNN
+	1    7550 10800
+	1    0    0    -1  
+$EndComp
+$Comp
+L GND #PWR043
+U 1 1 5154AF71
+P 7900 11100
+F 0 "#PWR043" H 7900 11100 30  0001 C CNN
+F 1 "GND" H 7900 11030 30  0001 C CNN
+	1    7900 11100
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C47
+U 1 1 5154AF77
+P 7900 10800
+F 0 "C47" H 7750 10700 50  0000 L CNN
+F 1 "10u" H 7700 10900 50  0000 L CNN
+	1    7900 10800
+	-1   0    0    1   
+$EndComp
+$Comp
+L C C48
+U 1 1 5154AF7D
+P 8250 10800
+F 0 "C48" H 8100 10700 50  0000 L CNN
+F 1 "10u" H 8050 10900 50  0000 L CNN
+	1    8250 10800
+	-1   0    0    1   
+$EndComp
+NoConn ~ 2800 10600
+NoConn ~ 2800 10700
+NoConn ~ 2800 10800
+$Comp
+L C C10
+U 1 1 5154D3CE
+P 6200 8350
+F 0 "C10" H 6100 8250 50  0000 L CNN
+F 1 "10u" H 6000 8450 50  0000 L CNN
+	1    6200 8350
+	-1   0    0    1   
+$EndComp
+$Comp
+L C C11
+U 1 1 5154DEB6
+P 6500 8350
+F 0 "C11" H 6400 8250 50  0000 L CNN
+F 1 "10u" H 6300 8450 50  0000 L CNN
+	1    6500 8350
+	-1   0    0    1   
+$EndComp
+$Comp
+L C C12
+U 1 1 5154DEC1
+P 6800 8350
+F 0 "C12" H 6700 8250 50  0000 L CNN
+F 1 "10u" H 6600 8450 50  0000 L CNN
+	1    6800 8350
+	-1   0    0    1   
+$EndComp
+$Comp
+L C C8
+U 1 1 5154EB96
+P 5600 8350
+F 0 "C8" H 5500 8250 50  0000 L CNN
+F 1 ".22u" H 5400 8450 50  0000 L CNN
+	1    5600 8350
+	-1   0    0    1   
+$EndComp
+$Comp
+L C C9
+U 1 1 5154EECF
+P 5900 8350
+F 0 "C9" H 5800 8250 50  0000 L CNN
+F 1 "10u" H 5700 8450 50  0000 L CNN
+	1    5900 8350
+	-1   0    0    1   
+$EndComp
+$Comp
+L C C13
+U 1 1 51551007
+P 7100 8350
+F 0 "C13" H 6950 8250 50  0000 L CNN
+F 1 "10u" H 6900 8450 50  0000 L CNN
+	1    7100 8350
+	-1   0    0    1   
+$EndComp
+$Comp
+L C C14
+U 1 1 5155100D
+P 12400 8750
+F 0 "C14" H 12250 8650 50  0000 L CNN
+F 1 "10u" H 12200 8850 50  0000 L CNN
+	1    12400 8750
+	-1   0    0    1   
+$EndComp
+$Comp
+L C C15
+U 1 1 515517D9
+P 7700 8350
+F 0 "C15" H 7550 8250 50  0000 L CNN
+F 1 ".22u" H 7500 8450 50  0000 L CNN
+	1    7700 8350
+	-1   0    0    1   
+$EndComp
+$Comp
+L C C19
+U 1 1 51552413
+P 7950 9200
+F 0 "C19" H 7800 9100 50  0000 L CNN
+F 1 ".22u" H 7750 9300 50  0000 L CNN
+	1    7950 9200
+	-1   0    0    1   
+$EndComp
+$Comp
+L C C20
+U 1 1 51552419
+P 12000 8750
+F 0 "C20" H 11850 8650 50  0000 L CNN
+F 1 "4.7u" H 11800 8850 50  0000 L CNN
+	1    12000 8750
+	-1   0    0    1   
+$EndComp
+$Comp
+L C C26
+U 1 1 51552580
+P 8450 9700
+F 0 "C26" H 8300 9600 50  0000 L CNN
+F 1 ".22u" H 8250 9800 50  0000 L CNN
+	1    8450 9700
+	-1   0    0    1   
+$EndComp
+$Comp
+L C C27
+U 1 1 51552586
+P 8750 9700
+F 0 "C27" H 8600 9600 50  0000 L CNN
+F 1 "4.7u" H 8550 9800 50  0000 L CNN
+	1    8750 9700
+	-1   0    0    1   
+$EndComp
+$Comp
+L GND #PWR044
+U 1 1 515533A2
+P 8850 9900
+F 0 "#PWR044" H 8850 9900 30  0001 C CNN
+F 1 "GND" H 8850 9830 30  0001 C CNN
+	1    8850 9900
+	0    -1   -1   0   
+$EndComp
+$Comp
+L C C28
+U 1 1 51554668
+P 7500 9800
+F 0 "C28" H 7350 9700 50  0000 L CNN
+F 1 ".22u" H 7300 9900 50  0000 L CNN
+	1    7500 9800
+	-1   0    0    1   
+$EndComp
+$Comp
+L GND #PWR045
+U 1 1 515549A0
+P 7950 10000
+F 0 "#PWR045" H 7950 10000 30  0001 C CNN
+F 1 "GND" H 7950 9930 30  0001 C CNN
+	1    7950 10000
+	0    -1   -1   0   
+$EndComp
+$Comp
+L C C31
+U 1 1 51554E46
+P 6550 9900
+F 0 "C31" H 6400 9800 50  0000 L CNN
+F 1 ".22u" H 6350 10000 50  0000 L CNN
+	1    6550 9900
+	-1   0    0    1   
+$EndComp
+$Comp
+L C C32
+U 1 1 51554E4C
+P 6850 9900
+F 0 "C32" H 6700 9800 50  0000 L CNN
+F 1 "1u" H 6750 10000 50  0000 L CNN
+	1    6850 9900
+	-1   0    0    1   
+$EndComp
+$Comp
+L GND #PWR046
+U 1 1 515552E9
+P 7000 10100
+F 0 "#PWR046" H 7000 10100 30  0001 C CNN
+F 1 "GND" H 7000 10030 30  0001 C CNN
+	1    7000 10100
+	0    -1   -1   0   
+$EndComp
+$Comp
+L GND #PWR047
+U 1 1 5155A0C8
+P 2900 9850
+F 0 "#PWR047" H 2900 9850 30  0001 C CNN
+F 1 "GND" H 2900 9780 30  0001 C CNN
+	1    2900 9850
+	0    1    1    0   
+$EndComp
+$Comp
+L C C17
+U 1 1 5155A734
+P 9250 8300
+F 0 "C17" H 9100 8200 50  0000 L CNN
+F 1 "10u" H 9100 8400 50  0000 L CNN
+	1    9250 8300
+	-1   0    0    1   
+$EndComp
+$Comp
+L C C30
+U 1 1 5155A749
+P 9900 9400
+F 0 "C30" H 9750 9300 50  0000 L CNN
+F 1 "10u" H 9750 9500 50  0000 L CNN
+	1    9900 9400
+	-1   0    0    1   
+$EndComp
+$Comp
+L GND #PWR048
+U 1 1 5155A759
+P 9900 9200
+F 0 "#PWR048" H 9900 9200 30  0001 C CNN
+F 1 "GND" H 9900 9130 30  0001 C CNN
+	1    9900 9200
+	-1   0    0    1   
+$EndComp
+Text Label 15550 4000 0    60   ~ 0
+5V_IN
+$Comp
+L C C5
+U 1 1 5155B764
+P 15550 3400
+F 0 "C5" V 15500 3450 50  0000 L CNN
+F 1 "22pF" V 15500 3150 50  0000 L CNN
+	1    15550 3400
+	0    -1   -1   0   
+$EndComp
+$Comp
+L C C6
+U 1 1 5155B76A
+P 15550 3700
+F 0 "C6" V 15500 3750 50  0000 L CNN
+F 1 "22pF" V 15500 3450 50  0000 L CNN
+	1    15550 3700
+	0    -1   -1   0   
+$EndComp
+$Comp
+L GND #PWR049
+U 1 1 5155C101
+P 15350 3850
+F 0 "#PWR049" H 15350 3850 30  0001 C CNN
+F 1 "GND" H 15350 3780 30  0001 C CNN
+	1    15350 3850
+	1    0    0    -1  
+$EndComp
+$Comp
+L GND #PWR050
+U 1 1 51541714
+P 6500 11100
+F 0 "#PWR050" H 6500 11100 30  0001 C CNN
+F 1 "GND" H 6500 11030 30  0001 C CNN
+	1    6500 11100
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C43
+U 1 1 5155CB6D
+P 6150 10800
+F 0 "C43" H 6200 10900 50  0000 L CNN
+F 1 ".22u" H 6200 10700 50  0000 L CNN
+	1    6150 10800
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C44
+U 1 1 5155CB79
+P 6500 10800
+F 0 "C44" H 6350 10700 50  0000 L CNN
+F 1 "10u" H 6300 10900 50  0000 L CNN
+	1    6500 10800
+	-1   0    0    1   
+$EndComp
+$Comp
+L C C45
+U 1 1 5155CB7F
+P 6850 10800
+F 0 "C45" H 6700 10700 50  0000 L CNN
+F 1 "10u" H 6650 10900 50  0000 L CNN
+	1    6850 10800
+	-1   0    0    1   
+$EndComp
+Text GLabel 11950 4800 0    60   Input ~ 0
+DRAM_VCC
+Text GLabel 2650 4600 2    60   Input ~ 0
+DRAM_REF
+Text Notes 5500 9300 0    60   ~ 0
+Place caps close to IC pins
+$Comp
+L CRYSTAL X1
+U 1 1 5154375B
+P 14550 1150
+F 0 "X1" H 14550 1300 60  0000 C CNN
+F 1 "32k" H 14550 1000 60  0000 C CNN
+	1    14550 1150
+	0    -1   -1   0   
+$EndComp
+$Comp
+L C C1
+U 1 1 51543761
+P 15300 900
+F 0 "C1" H 15350 1000 50  0000 L CNN
+F 1 "18pF" H 15350 800 50  0000 L CNN
+	1    15300 900 
+	0    -1   -1   0   
+$EndComp
+$Comp
+L C C2
+U 1 1 51543767
+P 15300 1400
+F 0 "C2" H 15350 1500 50  0000 L CNN
+F 1 "18pF" H 15350 1300 50  0000 L CNN
+	1    15300 1400
+	0    -1   -1   0   
+$EndComp
+$Comp
+L R R1
+U 1 1 5154376F
+P 14900 1150
+F 0 "R1" V 14980 1150 50  0000 C CNN
+F 1 "10M" V 14900 1150 50  0000 C CNN
+	1    14900 1150
+	1    0    0    -1  
+$EndComp
+$Comp
+L GND #PWR051
+U 1 1 51543AD3
+P 15800 1100
+F 0 "#PWR051" H 15800 1100 30  0001 C CNN
+F 1 "GND" H 15800 1030 30  0001 C CNN
+	1    15800 1100
+	0    -1   -1   0   
+$EndComp
+Text Label 5050 5600 0    60   ~ 0
+CK
+Text Label 5050 5700 0    60   ~ 0
+CK#
+$Comp
+L R R18
+U 1 1 5154676A
+P 4850 7250
+F 0 "R18" V 4930 7250 50  0000 C CNN
+F 1 "22" V 4850 7250 50  0000 C CNN
+	1    4850 7250
+	0    -1   -1   0   
+$EndComp
+$Comp
+L R R19
+U 1 1 51546777
+P 4850 7450
+F 0 "R19" V 4930 7450 50  0000 C CNN
+F 1 "22" V 4850 7450 50  0000 C CNN
+	1    4850 7450
+	0    -1   -1   0   
+$EndComp
+Text Label 5150 7450 0    60   ~ 0
+SCK#
+Text Label 5200 7250 0    60   ~ 0
+SCK
+Text Label 4400 7250 0    60   ~ 0
+CK
+Text Label 4400 7450 0    60   ~ 0
+CK#
+Text Label 13750 6300 0    60   ~ 0
+HTX2P
+Text Label 13750 6400 0    60   ~ 0
+HTX2N
+Text Label 13750 6500 0    60   ~ 0
+HTX1P
+Text Label 13750 6600 0    60   ~ 0
+HTX1N
+Text Label 13750 6700 0    60   ~ 0
+HTX0P
+Text Label 13750 6800 0    60   ~ 0
+HTX0N
+Text Label 13750 6900 0    60   ~ 0
+HTXCP
+Text Label 13750 7000 0    60   ~ 0
+HTXCN
+Text Label 13750 7100 0    60   ~ 0
+HCEC
+Text Label 13750 7200 0    60   ~ 0
+HSCL
+Text Label 13750 7300 0    60   ~ 0
+HSDA
+Text Label 13750 7400 0    60   ~ 0
+HHPD
+Text Label 14000 8250 0    60   ~ 0
+HTX2P
+Text Label 14000 8350 0    60   ~ 0
+HTX2N
+Text Label 14000 8550 0    60   ~ 0
+HTX1P
+Text Label 14000 8650 0    60   ~ 0
+HTX1N
+Text Label 14000 8850 0    60   ~ 0
+HTX0P
+Text Label 14000 8950 0    60   ~ 0
+HTX0N
+Text Label 14000 9150 0    60   ~ 0
+HTXCP
+Text Label 14000 9250 0    60   ~ 0
+HTXCN
+Text Label 14000 9450 0    60   ~ 0
+HCEC
+Text Label 14000 9550 0    60   ~ 0
+HSCL
+Text Label 14000 9750 0    60   ~ 0
+HSDA
+Text Label 14000 9850 0    60   ~ 0
+HHPD
+$Comp
+L R R12
+U 1 1 5154C74A
+P 14750 6700
+F 0 "R12" V 14800 6450 50  0000 C CNN
+F 1 "47K" V 14750 6700 50  0000 C CNN
+	1    14750 6700
+	-1   0    0    1   
+$EndComp
+$Comp
+L R R3
+U 1 1 5154C786
+P 14000 5700
+F 0 "R3" V 14050 5500 50  0000 C CNN
+F 1 "33" V 14000 5700 50  0000 C CNN
+	1    14000 5700
+	0    1    1    0   
+$EndComp
+$Comp
+L R R5
+U 1 1 5154E138
+P 14500 5800
+F 0 "R5" V 14550 5600 50  0000 C CNN
+F 1 "33" V 14500 5800 50  0000 C CNN
+	1    14500 5800
+	0    1    1    0   
+$EndComp
+$Comp
+L R R6
+U 1 1 5154E7E5
+P 14000 5900
+F 0 "R6" V 14050 5700 50  0000 C CNN
+F 1 "33" V 14000 5900 50  0000 C CNN
+	1    14000 5900
+	0    1    1    0   
+$EndComp
+$Comp
+L R R7
+U 1 1 5154F007
+P 14500 6000
+F 0 "R7" V 14550 5800 50  0000 C CNN
+F 1 "33" V 14500 6000 50  0000 C CNN
+	1    14500 6000
+	0    1    1    0   
+$EndComp
+$Comp
+L R R8
+U 1 1 5154F826
+P 14000 6100
+F 0 "R8" V 14050 5900 50  0000 C CNN
+F 1 "33" V 14000 6100 50  0000 C CNN
+	1    14000 6100
+	0    1    1    0   
+$EndComp
+$Comp
+L R R9
+U 1 1 51550049
+P 14500 6200
+F 0 "R9" V 14550 6000 50  0000 C CNN
+F 1 "33" V 14500 6200 50  0000 C CNN
+	1    14500 6200
+	0    1    1    0   
+$EndComp
+$Comp
+L GND #PWR052
+U 1 1 514D1B1B
+P 15500 6700
+F 0 "#PWR052" H 15500 6700 30  0001 C CNN
+F 1 "GND" H 15500 6630 30  0001 C CNN
+	1    15500 6700
+	0    -1   -1   0   
+$EndComp
+$Comp
+L C C39
+U 1 1 51558187
+P 14650 10250
+F 0 "C39" H 14500 10150 50  0000 L CNN
+F 1 ".22u" H 14450 10350 50  0000 L CNN
+	1    14650 10250
+	-1   0    0    1   
+$EndComp
+$Comp
+L GND #PWR053
+U 1 1 51558864
+P 14650 10450
+F 0 "#PWR053" H 14650 10450 30  0001 C CNN
+F 1 "GND" H 14650 10380 30  0001 C CNN
+	1    14650 10450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C7
+U 1 1 51558874
+P 15350 7250
+F 0 "C7" H 15200 7150 50  0000 L CNN
+F 1 ".22u" H 15150 7350 50  0000 L CNN
+	1    15350 7250
+	-1   0    0    1   
+$EndComp
+$Comp
+L GND #PWR054
+U 1 1 51558BE3
+P 15350 7450
+F 0 "#PWR054" H 15350 7450 30  0001 C CNN
+F 1 "GND" H 15350 7380 30  0001 C CNN
+	1    15350 7450
+	1    0    0    -1  
+$EndComp
+$Comp
+L R R13
+U 1 1 51559D15
+P 14850 6700
+F 0 "R13" V 14900 6450 50  0000 C CNN
+F 1 "47K" V 14850 6700 50  0000 C CNN
+	1    14850 6700
+	-1   0    0    1   
+$EndComp
+$Comp
+L R R14
+U 1 1 51559D1B
+P 14950 6700
+F 0 "R14" V 15000 6450 50  0000 C CNN
+F 1 "47K" V 14950 6700 50  0000 C CNN
+	1    14950 6700
+	-1   0    0    1   
+$EndComp
+$Comp
+L R R15
+U 1 1 51559D21
+P 15050 6700
+F 0 "R15" V 15100 6450 50  0000 C CNN
+F 1 "47K" V 15050 6700 50  0000 C CNN
+	1    15050 6700
+	-1   0    0    1   
+$EndComp
+$Comp
+L R R16
+U 1 1 51559D27
+P 15150 6700
+F 0 "R16" V 15200 6450 50  0000 C CNN
+F 1 "47K" V 15150 6700 50  0000 C CNN
+	1    15150 6700
+	-1   0    0    1   
+$EndComp
+$Comp
+L R R17
+U 1 1 51559D2D
+P 15250 6700
+F 0 "R17" V 15300 6450 50  0000 C CNN
+F 1 "47K" V 15250 6700 50  0000 C CNN
+	1    15250 6700
+	-1   0    0    1   
+$EndComp
+$Comp
+L DB15 J2
+U 1 1 5157D108
+P 1600 7950
+F 0 "J2" H 1620 8800 70  0000 C CNN
+F 1 "DB15" H 1550 7100 70  0000 C CNN
+	1    1600 7950
+	-1   0    0    -1  
+$EndComp
+$Comp
+L GND #PWR055
+U 1 1 5157DB99
+P 3200 11100
+F 0 "#PWR055" H 3200 11100 30  0001 C CNN
+F 1 "GND" H 3200 11030 30  0001 C CNN
+	1    3200 11100
+	1    0    0    -1  
+$EndComp
+Text Label 13800 4300 0    60   ~ 0
+VGA_G
+Text Label 13800 4400 0    60   ~ 0
+VGA_B
+Text Label 13800 4500 0    60   ~ 0
+VGA_R
+NoConn ~ 13700 4600
+Text Label 2250 8450 0    60   ~ 0
+VGA_G
+Text Label 2250 8250 0    60   ~ 0
+VGA_B
+Text Label 2250 8650 0    60   ~ 0
+VGA_R
+Text Label 3700 8100 0    60   ~ 0
+LHSYN
+Text Label 3700 7450 0    60   ~ 0
+LVSYN
+Text Label 3700 7750 0    60   ~ 0
+5V_IN
+NoConn ~ 2050 8050
+NoConn ~ 2050 8550
+NoConn ~ 2050 7350
+NoConn ~ 2050 7950
+$Comp
+L GND #PWR056
+U 1 1 51584A92
+P 2150 7150
+F 0 "#PWR056" H 2150 7150 30  0001 C CNN
+F 1 "GND" H 2150 7080 30  0001 C CNN
+	1    2150 7150
+	-1   0    0    1   
+$EndComp
+Text Label 2900 7300 0    60   ~ 0
+5V
+Text Label 3100 7300 0    60   ~ 0
+5V_IN
+Text Label 8050 3300 0    60   ~ 0
+U0Rx
+Text Label 8050 3400 0    60   ~ 0
+U0Tx
+Text Label 8000 4200 0    60   ~ 0
+J_MS0
+Text Label 8000 4100 0    60   ~ 0
+J_CK0
+Text Label 8000 4000 0    60   ~ 0
+J_DO0
+Text Label 8000 3900 0    60   ~ 0
+J_DI0
+Text Label 2062 9250 0    60   ~ 0
+J_DI0
+Text Label 2028 9350 0    60   ~ 0
+J_DO0
+Text Label 2032 9450 0    60   ~ 0
+J_CK0
+Text Label 2026 9550 0    60   ~ 0
+J_MS0
+Text Label 2086 9150 0    60   ~ 0
+U0Tx
+Text Label 2072 9050 0    60   ~ 0
+U0Rx
+$Comp
+L CONN_8 P3
+U 1 1 51590E78
+P 1600 9400
+F 0 "P3" V 1550 9400 60  0000 C CNN
+F 1 "CONN_8" V 1650 9400 60  0000 C CNN
+	1    1600 9400
+	-1   0    0    -1  
+$EndComp
+$Comp
+L GND #PWR057
+U 1 1 5159275E
+P 1950 9650
+F 0 "#PWR057" H 1950 9650 30  0001 C CNN
+F 1 "GND" H 1950 9580 30  0001 C CNN
+	1    1950 9650
+	0    -1   1    0   
+$EndComp
+Text Label 2296 9750 2    60   ~ 0
+5V_IN
+Text Label 2900 8300 0    60   ~ 0
+GND
+$Comp
+L GND #PWR058
+U 1 1 515934E2
+P 3100 8300
+F 0 "#PWR058" H 3100 8300 30  0001 C CNN
+F 1 "GND" H 3100 8230 30  0001 C CNN
+	1    3100 8300
+	0    -1   -1   0   
+$EndComp
+$Comp
+L 74LS08 U10
+U 1 1 51593628
+P 3050 7550
+F 0 "U10" H 3050 7600 60  0000 C CNN
+F 1 "74LS08" H 3050 7500 60  0000 C CNN
+	1    3050 7550
+	-1   0    0    1   
+$EndComp
+$Comp
+L 74LS08 U10
+U 2 1 51593637
+P 3050 8000
+F 0 "U10" H 3050 8050 60  0000 C CNN
+F 1 "74LS08" H 3050 7950 60  0000 C CNN
+	2    3050 8000
+	-1   0    0    1   
+$EndComp
+Text Label 5050 5800 0    60   ~ 0
+SCAS
+Text Label 5500 5900 0    60   ~ 0
+SRAS
+Text Label 5050 6000 0    60   ~ 0
+SWE
+Text Label 5050 6100 0    60   ~ 0
+SRST
+Text Label 5050 6200 0    60   ~ 0
+ODT
+Text GLabel 8300 5700 0    40   Input ~ 0
+ETXERR
+Text GLabel 8350 5800 0    40   Input ~ 0
+ECOL
+Text GLabel 8350 5900 0    40   Input ~ 0
+ECRS
+Text GLabel 8350 6000 0    40   Input ~ 0
+ETXCK
+Text GLabel 8350 6100 0    40   Input ~ 0
+ETXEN
+Text GLabel 8350 6200 0    40   Input ~ 0
+EMDIO
+Text GLabel 8350 6300 0    40   Input ~ 0
+EMDC
+Text GLabel 8350 6400 0    40   Input ~ 0
+ERXDV
+Text GLabel 8350 6500 0    40   Input ~ 0
+ERXERR
+Text GLabel 8350 6600 0    40   Input ~ 0
+ERXCK
+Text GLabel 8350 6700 0    40   Input ~ 0
+ETXD0
+$Comp
+L A10-SOC U3
+U 1 1 514D47F5
+P 9850 4150
+F 0 "U3" H 9750 800 50  0000 C CNN
+F 1 "A10-SOC" H 10150 800 50  0000 C CNN
+F 3 "_" H 10150 900 50  0001 C CNN
+	1    9850 4150
+	1    0    0    -1  
+$EndComp
+Text GLabel 8350 6800 0    40   Input ~ 0
+ETXD1
+Text GLabel 8350 6900 0    40   Input ~ 0
+ETXD2
+Text GLabel 8350 7000 0    40   Input ~ 0
+ETXD3
+Text GLabel 8350 7100 0    40   Input ~ 0
+ERXD0
+Text GLabel 8350 7200 0    40   Input ~ 0
+ERXD1
+Text GLabel 8350 7300 0    40   Input ~ 0
+ERXD2
+Text GLabel 8350 7400 0    40   Input ~ 0
+ERXD3
+$Sheet
+S 11000 10550 500  500 
+U 5159654C
+F0 "ethernet" 50
+F1 "ethernet.sch" 50
+$EndSheet
+$Comp
+L CONN_2 P4
+U 1 1 515A7B4E
+P 14500 2350
+F 0 "P4" V 14450 2350 40  0000 C CNN
+F 1 "UBOOT" V 14550 2350 40  0000 C CNN
+	1    14500 2350
+	0    -1   -1   0   
+$EndComp
+Connection ~ 12000 5400
+Connection ~ 12000 5300
+Connection ~ 12000 5200
+Connection ~ 12000 5100
+Connection ~ 12000 5000
+Connection ~ 12000 4900
+Connection ~ 12000 4800
+Wire Wire Line
+	12000 5600 12000 6100
+Connection ~ 12000 5700
+Connection ~ 12000 6000
+Connection ~ 12000 6300
+Wire Wire Line
+	12000 6200 12000 7400
+Connection ~ 12000 6600
+Connection ~ 12000 6700
+Connection ~ 12000 6800
+Connection ~ 12000 6900
+Connection ~ 12000 7300
+Connection ~ 12000 7200
+Connection ~ 12000 7000
+Connection ~ 12000 7100
+Connection ~ 12000 4300
+Connection ~ 12000 4000
+Connection ~ 12000 3900
+Connection ~ 12000 3800
+Connection ~ 12000 3700
+Connection ~ 12000 3600
+Connection ~ 12000 3500
+Connection ~ 12000 3400
+Connection ~ 12000 3300
+Connection ~ 7000 5700
+Connection ~ 7000 5600
+Connection ~ 7000 5500
+Connection ~ 7000 5400
+Connection ~ 7000 5300
+Connection ~ 7000 5200
+Connection ~ 7000 5100
+Connection ~ 7000 5000
+Connection ~ 7000 4900
+Connection ~ 7000 4800
+Connection ~ 7000 4700
+Connection ~ 7000 4600
+Connection ~ 7000 4500
+Connection ~ 7000 4400
+Connection ~ 7000 4300
+Connection ~ 7000 4200
+Connection ~ 7000 4100
+Connection ~ 7000 4000
+Connection ~ 7000 3900
+Connection ~ 7000 3800
+Connection ~ 7000 3700
+Connection ~ 7000 3600
+Wire Wire Line
+	7000 3600 6850 3600
+Wire Wire Line
+	6850 3600 6850 3650
+Connection ~ 6850 3600
+Wire Bus Line
+	5450 950  5450 4200
+Wire Wire Line
+	5550 2600 5800 2600
+Wire Wire Line
+	5800 1300 5550 1300
+Wire Wire Line
+	5550 1400 5800 1400
+Wire Wire Line
+	5550 1500 5800 1500
+Wire Wire Line
+	5550 1600 5800 1600
+Wire Wire Line
+	5550 1700 5800 1700
+Wire Wire Line
+	5550 1800 5800 1800
+Wire Wire Line
+	5550 1900 5800 1900
+Wire Wire Line
+	5550 2000 5800 2000
+Wire Wire Line
+	5550 2100 5800 2100
+Wire Wire Line
+	5550 2200 5800 2200
+Wire Wire Line
+	5550 2300 5800 2300
+Wire Wire Line
+	5550 2400 5800 2400
+Wire Wire Line
+	5550 2500 5800 2500
+Wire Bus Line
+	5350 950  5350 2800
+Wire Wire Line
+	5050 2600 5250 2600
+Wire Wire Line
+	5250 1200 5050 1200
+Wire Wire Line
+	5550 1200 5800 1200
+Wire Wire Line
+	5050 1300 5250 1300
+Wire Wire Line
+	5250 1400 5050 1400
+Wire Wire Line
+	5250 1500 5050 1500
+Wire Wire Line
+	5250 1600 5050 1600
+Wire Wire Line
+	5250 1700 5050 1700
+Wire Wire Line
+	5250 1800 5050 1800
+Wire Wire Line
+	5250 2500 5050 2500
+Wire Wire Line
+	5250 2400 5050 2400
+Wire Wire Line
+	5250 2300 5050 2300
+Wire Wire Line
+	5250 2200 5050 2200
+Wire Wire Line
+	5250 2100 5050 2100
+Wire Wire Line
+	5250 2000 5050 2000
+Wire Wire Line
+	5250 1900 5050 1900
+Wire Wire Line
+	5800 2700 5550 2700
+Wire Wire Line
+	5050 2700 5250 2700
+Wire Wire Line
+	5800 4400 5800 4700
+Connection ~ 5800 4500
+Connection ~ 5800 4600
+Wire Wire Line
+	5050 4600 5050 4500
+Wire Wire Line
+	5800 4800 5050 4800
+Wire Wire Line
+	5050 4900 5800 4900
+Wire Wire Line
+	5800 5000 5050 5000
+Wire Wire Line
+	5050 5100 5800 5100
+Wire Wire Line
+	5050 5200 5800 5200
+Wire Wire Line
+	5800 5300 5050 5300
+Connection ~ 5050 4500
+Wire Wire Line
+	5050 2850 5050 3950
+Connection ~ 5050 2950
+Connection ~ 5050 3050
+Connection ~ 5050 3150
+Connection ~ 5050 3250
+Connection ~ 5050 3350
+Connection ~ 5050 3450
+Connection ~ 5050 3550
+Connection ~ 5050 3850
+Connection ~ 5050 3650
+Connection ~ 5050 3750
+Wire Wire Line
+	7000 3000 7000 7400
+Connection ~ 7000 5800
+Connection ~ 7000 5900
+Connection ~ 7000 6000
+Connection ~ 7000 6100
+Connection ~ 7000 6200
+Connection ~ 7000 6300
+Connection ~ 7000 6400
+Connection ~ 7000 6500
+Connection ~ 7000 6600
+Connection ~ 7000 6700
+Connection ~ 7000 6800
+Connection ~ 7000 6900
+Connection ~ 7000 7000
+Connection ~ 7000 7100
+Connection ~ 7000 7200
+Connection ~ 7000 7300
+Connection ~ 7000 3500
+Connection ~ 7000 3400
+Connection ~ 7000 3300
+Connection ~ 7000 3200
+Connection ~ 7000 3100
+Wire Wire Line
+	3800 3400 3800 5100
+Connection ~ 3800 3500
+Connection ~ 3800 3600
+Connection ~ 3800 3700
+Connection ~ 3800 3800
+Connection ~ 3800 3900
+Connection ~ 3800 4000
+Connection ~ 3800 4100
+Connection ~ 3800 4400
+Connection ~ 3800 4500
+Connection ~ 3800 4600
+Connection ~ 3800 4700
+Connection ~ 3800 4800
+Connection ~ 3800 4900
+Connection ~ 3800 5000
+Wire Wire Line
+	3800 5250 3800 6050
+Connection ~ 3800 5950
+Connection ~ 3800 5850
+Connection ~ 3800 5750
+Connection ~ 3800 5650
+Connection ~ 3800 5550
+Connection ~ 3800 5450
+Connection ~ 3800 5350
+Wire Wire Line
+	3600 1250 3800 1250
+Wire Wire Line
+	3600 1350 3800 1350
+Wire Wire Line
+	3600 1450 3800 1450
+Wire Wire Line
+	3600 1550 3800 1550
+Wire Wire Line
+	3600 1650 3800 1650
+Wire Wire Line
+	3600 1750 3800 1750
+Wire Wire Line
+	3600 1850 3800 1850
+Wire Wire Line
+	3600 1950 3800 1950
+Wire Wire Line
+	3600 2050 3800 2050
+Wire Wire Line
+	3600 2150 3800 2150
+Wire Wire Line
+	3600 2250 3800 2250
+Wire Wire Line
+	3600 2350 3800 2350
+Wire Wire Line
+	3600 2450 3800 2450
+Wire Wire Line
+	3600 2550 3800 2550
+Wire Wire Line
+	3600 2650 3800 2650
+Wire Wire Line
+	3600 2900 3800 2900
+Wire Wire Line
+	3600 3000 3800 3000
+Wire Wire Line
+	3600 3100 3800 3100
+Wire Wire Line
+	6800 1200 7000 1200
+Wire Wire Line
+	6800 1300 7000 1300
+Wire Wire Line
+	6800 1400 7000 1400
+Wire Wire Line
+	6800 1500 7000 1500
+Wire Wire Line
+	6800 1600 7000 1600
+Wire Wire Line
+	6800 1700 7000 1700
+Wire Wire Line
+	6800 1800 7000 1800
+Wire Wire Line
+	6800 1900 7000 1900
+Wire Wire Line
+	6800 2000 7000 2000
+Wire Wire Line
+	6800 2100 7000 2100
+Wire Wire Line
+	6800 2200 7000 2200
+Wire Wire Line
+	6800 2300 7000 2300
+Wire Wire Line
+	6800 2400 7000 2400
+Wire Wire Line
+	6800 2500 7000 2500
+Wire Wire Line
+	6800 2600 7000 2600
+Wire Wire Line
+	6800 2700 7000 2700
+Wire Wire Line
+	6800 2800 7000 2800
+Wire Wire Line
+	6800 2900 7000 2900
+Wire Wire Line
+	9550 1600 9300 1600
+Wire Wire Line
+	9300 1700 9550 1700
+Wire Wire Line
+	9300 1800 9550 1800
+Wire Wire Line
+	9300 1900 9550 1900
+Wire Wire Line
+	9300 2000 9550 2000
+Wire Wire Line
+	9300 2100 9550 2100
+Wire Wire Line
+	9300 2200 9550 2200
+Wire Wire Line
+	9300 2300 9550 2300
+Wire Wire Line
+	9300 2400 9550 2400
+Wire Wire Line
+	9300 2500 9550 2500
+Wire Wire Line
+	9300 2600 9550 2600
+Wire Wire Line
+	9300 2700 9550 2700
+Wire Wire Line
+	9300 2800 9550 2800
+Wire Wire Line
+	9300 2900 9550 2900
+Wire Wire Line
+	9300 3000 9550 3000
+Wire Wire Line
+	9300 3100 9550 3100
+Wire Wire Line
+	9300 3200 9550 3200
+Wire Wire Line
+	9300 3300 9550 3300
+Wire Wire Line
+	9300 3400 9550 3400
+Wire Wire Line
+	9300 3500 9550 3500
+Wire Wire Line
+	9300 3600 9550 3600
+Wire Wire Line
+	9300 3700 9550 3700
+Wire Wire Line
+	9300 3800 9550 3800
+Wire Wire Line
+	9300 3900 9550 3900
+Wire Wire Line
+	9300 4000 9550 4000
+Wire Wire Line
+	9300 4100 9550 4100
+Wire Wire Line
+	9300 4200 9550 4200
+Wire Wire Line
+	9300 4300 9550 4300
+Wire Wire Line
+	5350 8700 5900 8700
+Connection ~ 5450 8600
+Connection ~ 5450 8700
+Connection ~ 5450 8800
+Connection ~ 5450 8900
+Wire Wire Line
+	5350 9100 7100 9100
+Connection ~ 5450 9000
+Connection ~ 5450 9100
+Wire Wire Line
+	3900 8300 4150 8300
+Wire Wire Line
+	5350 9700 7000 9700
+Wire Wire Line
+	5350 9600 7950 9600
+Wire Wire Line
+	5350 9900 5400 9900
+Wire Wire Line
+	6000 10100 6000 10000
+Connection ~ 6000 10000
+Wire Wire Line
+	5400 10100 5350 10100
+Wire Wire Line
+	11750 6900 12000 6900
+Connection ~ 12000 2900
+Connection ~ 12000 3000
+Connection ~ 12000 2800
+Connection ~ 12000 2700
+Wire Wire Line
+	12000 2100 11750 2100
+Connection ~ 12000 1800
+Connection ~ 12000 4700
+Connection ~ 12000 4400
+Connection ~ 12000 4100
+Connection ~ 12000 4200
+Connection ~ 12000 3100
+Connection ~ 12000 3200
+Wire Wire Line
+	1050 8100 1300 8100
+Wire Wire Line
+	1050 8200 1300 8200
+Wire Wire Line
+	1050 8300 1300 8300
+Wire Wire Line
+	1300 8400 1050 8400
+Wire Wire Line
+	1050 8500 1300 8500
+Wire Wire Line
+	1050 8600 1300 8600
+Wire Wire Line
+	1050 8700 1300 8700
+Wire Wire Line
+	1300 8800 1050 8800
+Wire Wire Line
+	1050 8900 1300 8900
+Wire Wire Line
+	1050 9000 1300 9000
+Wire Wire Line
+	1050 9100 1300 9100
+Wire Wire Line
+	1050 9200 1300 9200
+Wire Wire Line
+	1050 9300 1300 9300
+Wire Wire Line
+	1050 9400 1300 9400
+Wire Wire Line
+	1050 9500 1300 9500
+Wire Wire Line
+	1050 9600 1300 9600
+Wire Wire Line
+	1050 9700 1300 9700
+Wire Wire Line
+	1050 9800 1300 9800
+Wire Wire Line
+	1050 9900 1300 9900
+Wire Wire Line
+	1050 10000 1300 10000
+Wire Wire Line
+	1050 10100 1300 10100
+Wire Wire Line
+	1050 10200 1300 10200
+Wire Wire Line
+	1050 10300 1300 10300
+Wire Wire Line
+	1050 10400 1300 10400
+Wire Wire Line
+	1050 10500 1300 10500
+Wire Wire Line
+	1300 10600 1050 10600
+Wire Wire Line
+	5150 3350 5050 3350
+Wire Wire Line
+	13700 6200 14250 6200
+Wire Wire Line
+	13700 5400 13800 5400
+Wire Wire Line
+	13700 5300 13800 5300
+Wire Wire Line
+	13700 5000 15050 5000
+Wire Wire Line
+	13700 4900 15050 4900
+Connection ~ 12000 1700
+Connection ~ 12000 1600
+Connection ~ 12000 1500
+Connection ~ 12000 1400
+Connection ~ 12000 2600
+Wire Wire Line
+	12000 2000 11750 2000
+Wire Wire Line
+	12000 1200 12000 1900
+Connection ~ 12000 1300
+Wire Wire Line
+	11900 1600 12000 1600
+Wire Notes Line
+	12550 1500 12550 1200
+Wire Wire Line
+	13700 2600 14000 2600
+Wire Wire Line
+	13700 2500 14050 2500
+Wire Wire Line
+	14950 1750 15400 1750
+Connection ~ 15250 1750
+Connection ~ 15250 2350
+Wire Wire Line
+	13700 1200 13950 1200
+Wire Wire Line
+	15800 1750 15800 2350
+Wire Wire Line
+	15850 2050 15800 2050
+Connection ~ 15800 2050
+Wire Wire Line
+	13700 4300 14050 4300
+Wire Wire Line
+	13700 4400 14050 4400
+Wire Wire Line
+	13700 4500 14050 4500
+Connection ~ 12000 2500
+Wire Wire Line
+	13700 2800 14400 2800
+Wire Wire Line
+	13700 2900 14050 2900
+Wire Wire Line
+	14050 3000 13700 3000
+Wire Wire Line
+	13700 3100 14050 3100
+Wire Wire Line
+	14050 3200 13700 3200
+Wire Wire Line
+	13700 3300 14050 3300
+Wire Wire Line
+	13700 3400 14050 3400
+Wire Wire Line
+	14050 3500 13700 3500
+Wire Wire Line
+	13700 3600 14050 3600
+Wire Wire Line
+	4150 10250 4150 10150
+Wire Wire Line
+	3600 8400 4150 8400
+Wire Wire Line
+	3600 8500 4150 8500
+Wire Wire Line
+	3100 8400 3100 8500
+Wire Wire Line
+	3100 8450 2900 8450
+Connection ~ 3100 8450
+Wire Wire Line
+	5350 10800 5350 10900
+Wire Wire Line
+	5900 10850 5350 10850
+Connection ~ 5350 10850
+Wire Wire Line
+	5850 10700 5950 10700
+Wire Wire Line
+	5900 10600 5900 10850
+Connection ~ 5900 10700
+Wire Wire Line
+	5350 10600 5900 10600
+Wire Wire Line
+	15150 8250 15750 8250
+Wire Wire Line
+	15150 8350 15550 8350
+Wire Wire Line
+	15550 8350 15550 8450
+Wire Wire Line
+	15550 8450 15750 8450
+Wire Wire Line
+	15150 8550 15750 8550
+Wire Wire Line
+	15750 8750 15550 8750
+Wire Wire Line
+	15550 8750 15550 8650
+Wire Wire Line
+	15550 8650 15150 8650
+Wire Wire Line
+	15750 8850 15150 8850
+Wire Wire Line
+	15150 8950 15550 8950
+Wire Wire Line
+	15550 8950 15550 9050
+Wire Wire Line
+	15550 9050 15750 9050
+Wire Wire Line
+	15750 9150 15150 9150
+Wire Wire Line
+	15150 9250 15550 9250
+Wire Wire Line
+	15550 9250 15550 9350
+Wire Wire Line
+	15550 9350 15750 9350
+Wire Wire Line
+	15750 9450 15150 9450
+Wire Wire Line
+	15550 9650 15750 9650
+Wire Wire Line
+	15550 9550 15550 9650
+Wire Wire Line
+	15150 9550 15550 9550
+Wire Wire Line
+	15150 9750 15750 9750
+Wire Wire Line
+	15650 10250 15750 10250
+Wire Wire Line
+	15650 7900 15650 10250
+Wire Wire Line
+	15650 8050 15750 8050
+Wire Wire Line
+	15750 8150 15650 8150
+Connection ~ 15650 8150
+Wire Wire Line
+	15750 8350 15650 8350
+Connection ~ 15650 8350
+Wire Wire Line
+	15750 8650 15650 8650
+Connection ~ 15650 8650
+Wire Wire Line
+	15750 8950 15650 8950
+Connection ~ 15650 8950
+Wire Wire Line
+	15750 9250 15650 9250
+Connection ~ 15650 9250
+Wire Wire Line
+	15650 9550 15750 9550
+Connection ~ 15650 9550
+Wire Wire Line
+	15750 9850 15650 9850
+Connection ~ 15650 9850
+Wire Wire Line
+	5350 9500 9100 9500
+Wire Wire Line
+	1300 3400 1300 5100
+Connection ~ 1300 3500
+Connection ~ 1300 3600
+Connection ~ 1300 3700
+Connection ~ 1300 3800
+Connection ~ 1300 3900
+Connection ~ 1300 4000
+Connection ~ 1300 4100
+Connection ~ 1300 4400
+Connection ~ 1300 4500
+Connection ~ 1300 4600
+Connection ~ 1300 4700
+Connection ~ 1300 4800
+Connection ~ 1300 4900
+Connection ~ 1300 5000
+Wire Wire Line
+	1300 5250 1300 6050
+Connection ~ 1300 5950
+Connection ~ 1300 5850
+Connection ~ 1300 5750
+Connection ~ 1300 5650
+Connection ~ 1300 5550
+Connection ~ 1300 5450
+Connection ~ 1300 5350
+Wire Wire Line
+	1100 1250 1300 1250
+Wire Wire Line
+	1100 1350 1300 1350
+Wire Wire Line
+	1100 1450 1300 1450
+Wire Wire Line
+	1100 1550 1300 1550
+Wire Wire Line
+	1100 1650 1300 1650
+Wire Wire Line
+	1100 1750 1300 1750
+Wire Wire Line
+	1100 1850 1300 1850
+Wire Wire Line
+	1100 1950 1300 1950
+Wire Wire Line
+	1100 2050 1300 2050
+Wire Wire Line
+	1100 2150 1300 2150
+Wire Wire Line
+	1100 2250 1300 2250
+Wire Wire Line
+	1100 2350 1300 2350
+Wire Wire Line
+	1100 2450 1300 2450
+Wire Wire Line
+	1100 2550 1300 2550
+Wire Wire Line
+	1100 2650 1300 2650
+Wire Wire Line
+	1100 2900 1300 2900
+Wire Wire Line
+	1100 3000 1300 3000
+Wire Wire Line
+	1100 3100 1300 3100
+Wire Wire Line
+	1200 5650 1300 5650
+Wire Wire Line
+	3650 5350 3800 5350
+Wire Wire Line
+	3700 5500 3700 5350
+Connection ~ 3700 5350
+Wire Wire Line
+	3700 6000 3700 6150
+Wire Wire Line
+	3700 6150 3800 6150
+Wire Wire Line
+	5800 6600 3550 6600
+Wire Wire Line
+	3550 6600 3550 4800
+Wire Wire Line
+	3550 4800 2550 4800
+Wire Wire Line
+	2550 4900 3500 4900
+Wire Wire Line
+	3500 4900 3500 6700
+Wire Wire Line
+	3500 6700 5800 6700
+Wire Wire Line
+	2550 5000 3450 5000
+Wire Wire Line
+	3450 5000 3450 6800
+Wire Wire Line
+	3450 6800 5800 6800
+Wire Wire Line
+	2550 5100 3400 5100
+Wire Wire Line
+	3400 5100 3400 6900
+Wire Wire Line
+	3400 6900 5800 6900
+Wire Wire Line
+	5800 7000 3350 7000
+Wire Wire Line
+	3350 7000 3350 5200
+Wire Wire Line
+	3350 5200 2550 5200
+Wire Wire Line
+	2550 5300 3300 5300
+Wire Wire Line
+	3300 5300 3300 7100
+Wire Wire Line
+	3300 7100 5800 7100
+Wire Wire Line
+	2550 5600 2850 5600
+Wire Wire Line
+	2550 5700 2850 5700
+Wire Wire Line
+	2550 5800 2850 5800
+Wire Wire Line
+	2550 5900 2850 5900
+Wire Wire Line
+	2550 6000 2850 6000
+Wire Wire Line
+	2550 6100 2850 6100
+Wire Wire Line
+	2550 6200 2850 6200
+Connection ~ 1300 4300
+Connection ~ 1300 4200
+Connection ~ 3800 4300
+Connection ~ 3800 4200
+Wire Wire Line
+	2550 4450 2550 4600
+Connection ~ 2550 3850
+Connection ~ 2550 3750
+Connection ~ 2550 3650
+Connection ~ 2550 3550
+Connection ~ 2550 2950
+Connection ~ 2550 3050
+Connection ~ 2550 3150
+Connection ~ 2550 3450
+Connection ~ 2550 3250
+Connection ~ 2550 3350
+Wire Wire Line
+	5550 2800 5800 2800
+Wire Wire Line
+	5550 2900 5800 2900
+Wire Wire Line
+	5550 3000 5800 3000
+Wire Wire Line
+	5550 3100 5800 3100
+Wire Wire Line
+	5550 3200 5800 3200
+Wire Wire Line
+	5550 3300 5800 3300
+Wire Wire Line
+	5800 3400 5550 3400
+Wire Wire Line
+	5550 3500 5800 3500
+Wire Wire Line
+	5800 3600 5550 3600
+Wire Wire Line
+	5550 3700 5800 3700
+Wire Wire Line
+	5800 3800 5550 3800
+Wire Wire Line
+	5550 3900 5800 3900
+Wire Wire Line
+	5550 4000 5800 4000
+Wire Wire Line
+	5800 4100 5550 4100
+Wire Wire Line
+	5550 4200 5800 4200
+Wire Wire Line
+	5800 4300 5550 4300
+Wire Wire Line
+	2550 1200 2900 1200
+Wire Wire Line
+	2900 1300 2550 1300
+Wire Wire Line
+	2900 1400 2550 1400
+Wire Wire Line
+	2900 1500 2550 1500
+Wire Wire Line
+	2900 1600 2550 1600
+Wire Wire Line
+	2900 1700 2550 1700
+Wire Wire Line
+	2900 1800 2550 1800
+Wire Wire Line
+	2900 1900 2550 1900
+Wire Wire Line
+	2900 2000 2550 2000
+Wire Wire Line
+	2900 2100 2550 2100
+Wire Wire Line
+	2900 2200 2550 2200
+Wire Wire Line
+	2900 2300 2550 2300
+Wire Wire Line
+	2900 2400 2550 2400
+Wire Wire Line
+	2900 2500 2550 2500
+Wire Wire Line
+	2900 2600 2550 2600
+Wire Wire Line
+	2900 2700 2550 2700
+Wire Wire Line
+	2550 2850 2550 3950
+Wire Wire Line
+	4150 9250 4000 9250
+Wire Wire Line
+	4000 9250 4000 9050
+Wire Wire Line
+	4000 9350 4150 9350
+Wire Wire Line
+	3400 9350 3500 9350
+Wire Wire Line
+	3450 8750 3450 9450
+Wire Wire Line
+	3450 9050 3500 9050
+Connection ~ 3450 9350
+Wire Wire Line
+	2700 2850 2550 2850
+Connection ~ 2550 2850
+Connection ~ 3800 3400
+Connection ~ 15650 10250
+Wire Wire Line
+	13700 5100 15050 5100
+Wire Wire Line
+	13700 5200 15050 5200
+Wire Wire Line
+	15750 10150 15650 10150
+Connection ~ 15650 10150
+Wire Bus Line
+	6700 800  1000 800 
+Wire Bus Line
+	1000 800  1000 2550
+Wire Bus Line
+	3500 800  3500 2550
+Wire Bus Line
+	3000 950  3000 2600
+Wire Bus Line
+	3000 950  5450 950 
+Wire Bus Line
+	6700 800  6700 2500
+Wire Wire Line
+	10350 8650 10350 8750
+Wire Wire Line
+	10350 8750 10450 8750
+Wire Wire Line
+	10450 8750 10450 8950
+Wire Wire Line
+	10300 8950 10500 8950
+Wire Wire Line
+	11050 8950 10900 8950
+Connection ~ 10450 8950
+Wire Wire Line
+	10950 8550 12400 8550
+Wire Wire Line
+	11050 8550 11050 8950
+Connection ~ 11050 8550
+Wire Wire Line
+	10950 8750 11050 8750
+Connection ~ 11050 8750
+Wire Wire Line
+	9300 8700 9300 8800
+Wire Wire Line
+	9950 9800 9950 9900
+Wire Wire Line
+	11000 9850 11100 9850
+Wire Wire Line
+	11100 9850 11100 10050
+Wire Wire Line
+	10950 10050 11150 10050
+Wire Wire Line
+	11700 10050 11550 10050
+Connection ~ 11100 10050
+Wire Wire Line
+	11700 9650 11700 10050
+Connection ~ 11700 9650
+Wire Wire Line
+	11600 9850 11700 9850
+Connection ~ 11700 9850
+Wire Wire Line
+	11000 9750 11000 9850
+Wire Wire Line
+	1050 11000 1150 11000
+Connection ~ 12000 2400
+Connection ~ 12000 6500
+Connection ~ 12000 6400
+Connection ~ 12000 5800
+Connection ~ 12000 5900
+Wire Wire Line
+	12000 5900 11700 5900
+Wire Wire Line
+	12000 4600 12000 5500
+Wire Wire Line
+	12000 2200 12000 4500
+Wire Wire Line
+	11750 4400 12000 4400
+Wire Wire Line
+	7900 5500 8350 5500
+Wire Wire Line
+	8350 5600 7900 5600
+Wire Wire Line
+	9550 6800 9200 6800
+Wire Wire Line
+	10750 7400 10450 7400
+Wire Wire Line
+	9200 6900 9550 6900
+Wire Wire Line
+	9200 7200 9550 7200
+Wire Wire Line
+	9200 7300 9550 7300
+Wire Wire Line
+	9200 7400 9550 7400
+Wire Wire Line
+	1050 10700 1350 10700
+Wire Wire Line
+	1350 10800 1050 10800
+Wire Wire Line
+	1050 10900 1350 10900
+Wire Wire Line
+	1300 6900 950  6900
+Wire Wire Line
+	950  7000 1300 7000
+Wire Wire Line
+	1300 7300 950  7300
+Wire Wire Line
+	1300 7400 950  7400
+Wire Wire Line
+	1300 7500 950  7500
+Wire Wire Line
+	3800 3400 3700 3400
+Wire Wire Line
+	8950 8600 9300 8600
+Wire Wire Line
+	9600 9600 9950 9600
+Wire Wire Line
+	11600 9650 13150 9650
+Wire Wire Line
+	5800 4600 5700 4600
+Wire Wire Line
+	5050 4500 5800 4500
+Connection ~ 2550 4600
+Connection ~ 15650 8050
+Wire Wire Line
+	5350 10300 5450 10300
+Wire Wire Line
+	5350 9300 7700 9300
+Connection ~ 5450 9200
+Wire Wire Line
+	3900 8750 4000 8750
+Wire Wire Line
+	4000 8750 4000 8600
+Wire Wire Line
+	4000 8600 4150 8600
+Wire Wire Line
+	3500 8750 3450 8750
+Connection ~ 3450 9050
+Wire Wire Line
+	4000 9050 3900 9050
+Connection ~ 4050 9350
+Wire Wire Line
+	2550 5400 2850 5400
+Wire Wire Line
+	2550 5500 2850 5500
+Connection ~ 12000 2300
+Wire Wire Line
+	15050 4800 14950 4800
+Wire Wire Line
+	15050 4300 15050 4800
+Connection ~ 15050 4700
+Connection ~ 15050 4600
+Connection ~ 15050 4500
+Connection ~ 15050 4400
+Wire Wire Line
+	15050 5300 15050 5500
+Wire Wire Line
+	14800 5400 14800 5600
+Wire Wire Line
+	14800 5500 14850 5500
+Wire Wire Line
+	14800 5600 13800 5600
+Wire Wire Line
+	13800 5600 13800 5500
+Connection ~ 14800 5500
+Wire Wire Line
+	14800 5300 15050 5300
+Wire Wire Line
+	4150 10450 3900 10450
+Wire Wire Line
+	13700 2200 14950 2200
+Wire Wire Line
+	13700 2100 14950 2100
+Connection ~ 11650 8950
+Wire Wire Line
+	11950 10050 13000 10050
+Connection ~ 12750 10050
+Connection ~ 12300 10050
+Wire Wire Line
+	9600 9700 9950 9700
+Connection ~ 9250 8500
+Connection ~ 9900 9600
+Wire Wire Line
+	5350 7700 5350 8350
+Wire Wire Line
+	5350 8000 4850 8000
+Connection ~ 5450 9300
+Wire Wire Line
+	5350 8600 5600 8600
+Wire Wire Line
+	5350 10500 5700 10500
+Connection ~ 6150 10600
+Connection ~ 6500 10600
+Connection ~ 6850 10600
+Wire Wire Line
+	5350 10000 6150 10000
+Wire Wire Line
+	6150 10000 6150 10600
+Wire Wire Line
+	6150 10600 7000 10600
+Connection ~ 7550 10600
+Connection ~ 7900 10600
+Connection ~ 8250 10600
+Wire Wire Line
+	7550 11000 8250 11000
+Connection ~ 7900 11000
+Wire Wire Line
+	7900 11000 7900 11100
+Wire Wire Line
+	7550 10600 8400 10600
+Connection ~ 5450 8000
+Wire Wire Line
+	5600 8600 5600 8550
+Wire Wire Line
+	5900 8700 5900 8550
+Wire Wire Line
+	6200 8800 6200 8550
+Wire Wire Line
+	5350 8800 6200 8800
+Wire Wire Line
+	5350 8900 6500 8900
+Wire Wire Line
+	6500 8900 6500 8550
+Wire Wire Line
+	5350 9000 6800 9000
+Wire Wire Line
+	6800 9000 6800 8550
+Wire Wire Line
+	5600 8150 8100 8150
+Connection ~ 5900 8150
+Connection ~ 6500 8150
+Connection ~ 6200 8150
+Connection ~ 6800 8150
+Wire Wire Line
+	7100 9100 7100 8550
+Wire Wire Line
+	7700 9300 7700 8550
+Wire Wire Line
+	5350 9400 8600 9400
+Connection ~ 8750 9500
+Connection ~ 8450 9500
+Connection ~ 7950 9400
+Wire Wire Line
+	8450 9900 8850 9900
+Connection ~ 8750 9900
+Wire Wire Line
+	7550 10600 7550 10300
+Wire Wire Line
+	7550 10300 6250 10300
+Wire Wire Line
+	6250 10300 6250 9800
+Wire Wire Line
+	6250 9900 6000 9900
+Wire Wire Line
+	6250 9800 5350 9800
+Connection ~ 6250 9900
+Wire Wire Line
+	7500 10000 7950 10000
+Connection ~ 7800 10000
+Wire Wire Line
+	6550 10100 7000 10100
+Connection ~ 6850 10100
+Wire Wire Line
+	11300 8550 11300 8400
+Wire Wire Line
+	11300 8400 11350 8400
+Connection ~ 11300 8550
+Connection ~ 11650 8550
+Wire Wire Line
+	11300 8950 12500 8950
+Connection ~ 12000 8950
+Wire Wire Line
+	5550 8000 5450 8000
+Wire Wire Line
+	2800 10500 2800 10250
+Connection ~ 2800 10250
+Wire Wire Line
+	2900 9850 3800 9850
+Connection ~ 3400 9850
+Connection ~ 3000 9850
+Wire Wire Line
+	8950 8500 9300 8500
+Wire Wire Line
+	15350 3400 15350 3850
+Wire Wire Line
+	15750 3400 15900 3400
+Connection ~ 15900 3400
+Wire Wire Line
+	15750 3700 15900 3700
+Connection ~ 15900 3700
+Connection ~ 15350 3700
+Connection ~ 3800 10250
+Connection ~ 3400 10250
+Connection ~ 3000 10250
+Connection ~ 4150 10250
+Connection ~ 7800 9600
+Connection ~ 7500 9600
+Connection ~ 6850 9700
+Connection ~ 6550 9700
+Wire Wire Line
+	6500 11000 6500 11100
+Connection ~ 6500 11000
+Wire Wire Line
+	6150 11000 6850 11000
+Connection ~ 12750 9650
+Connection ~ 12300 9650
+Connection ~ 11950 9650
+Connection ~ 7700 8150
+Connection ~ 7400 8150
+Connection ~ 7100 8150
+Wire Wire Line
+	11950 4800 12000 4800
+Wire Wire Line
+	2550 4600 2650 4600
+Wire Wire Line
+	14950 2100 14950 1750
+Wire Wire Line
+	14950 2200 14950 2600
+Wire Wire Line
+	14950 2350 15400 2350
+Wire Wire Line
+	15500 900  15700 900 
+Wire Wire Line
+	15700 900  15700 1400
+Wire Wire Line
+	15700 1400 15500 1400
+Wire Wire Line
+	15800 1100 15700 1100
+Connection ~ 15700 1100
+Wire Wire Line
+	15100 900  14900 900 
+Wire Wire Line
+	14250 850  14900 850 
+Wire Wire Line
+	14900 850  14900 900 
+Connection ~ 14900 900 
+Wire Wire Line
+	15100 1400 14900 1400
+Wire Wire Line
+	14900 1400 14900 1450
+Wire Wire Line
+	14900 1450 14550 1450
+Connection ~ 14900 1400
+Wire Wire Line
+	13700 2000 14550 2000
+Wire Wire Line
+	14550 2000 14550 1450
+Connection ~ 14550 1450
+Wire Wire Line
+	14250 850  14250 1900
+Wire Wire Line
+	14250 1900 13700 1900
+Connection ~ 14550 850 
+Wire Wire Line
+	5800 5600 5500 5600
+Wire Wire Line
+	5800 5700 5500 5700
+Wire Wire Line
+	5050 5600 5250 5600
+Wire Wire Line
+	5050 5700 5250 5700
+Wire Wire Line
+	5100 7250 5400 7250
+Wire Wire Line
+	4600 7250 4400 7250
+Wire Wire Line
+	5100 7450 5400 7450
+Wire Wire Line
+	4600 7450 4400 7450
+Wire Wire Line
+	13700 6300 14000 6300
+Wire Wire Line
+	13700 6400 14000 6400
+Wire Wire Line
+	14000 6500 13700 6500
+Wire Wire Line
+	14000 6600 13700 6600
+Wire Wire Line
+	14000 6700 13700 6700
+Wire Wire Line
+	14000 6800 13700 6800
+Wire Wire Line
+	14000 6900 13700 6900
+Wire Wire Line
+	14000 7000 13700 7000
+Wire Wire Line
+	14000 7100 13700 7100
+Wire Wire Line
+	14000 7200 13700 7200
+Wire Wire Line
+	14000 7300 13700 7300
+Wire Wire Line
+	14000 7400 13700 7400
+Wire Wire Line
+	14000 8250 14300 8250
+Wire Wire Line
+	14000 8350 14300 8350
+Wire Wire Line
+	14300 8550 14000 8550
+Wire Wire Line
+	14300 8650 14000 8650
+Wire Wire Line
+	14300 8850 14000 8850
+Wire Wire Line
+	14300 8950 14000 8950
+Wire Wire Line
+	14300 9150 14000 9150
+Wire Wire Line
+	14300 9250 14000 9250
+Wire Wire Line
+	14300 9450 14000 9450
+Wire Wire Line
+	14300 9550 14000 9550
+Wire Wire Line
+	14300 9750 14000 9750
+Wire Wire Line
+	14300 9850 14000 9850
+Wire Wire Line
+	13700 5700 13750 5700
+Wire Wire Line
+	14250 5700 15450 5700
+Wire Wire Line
+	13700 5800 14250 5800
+Wire Wire Line
+	14750 5800 15450 5800
+Wire Wire Line
+	13700 5900 13750 5900
+Wire Wire Line
+	14250 5900 15450 5900
+Wire Wire Line
+	14750 6000 15450 6000
+Wire Wire Line
+	14250 6000 13700 6000
+Wire Wire Line
+	13700 6100 13750 6100
+Wire Wire Line
+	14250 6100 15450 6100
+Wire Wire Line
+	15450 6200 14750 6200
+Wire Wire Line
+	14750 6200 14750 6450
+Wire Wire Line
+	14850 6450 14850 6100
+Connection ~ 14850 6100
+Wire Wire Line
+	14950 6450 14950 6000
+Connection ~ 14950 6000
+Connection ~ 14750 6200
+Wire Wire Line
+	15050 6450 15050 5900
+Connection ~ 15050 5900
+Wire Wire Line
+	15150 6450 15150 5800
+Connection ~ 15150 5800
+Wire Wire Line
+	15250 6450 15250 5700
+Connection ~ 15250 5700
+Wire Wire Line
+	15450 6400 15450 6700
+Wire Wire Line
+	15450 6700 15500 6700
+Connection ~ 15450 6600
+Wire Wire Line
+	14750 6950 15550 6950
+Connection ~ 14850 6950
+Connection ~ 14950 6950
+Connection ~ 15050 6950
+Connection ~ 15150 6950
+Connection ~ 15250 6950
+Connection ~ 15450 6500
+Wire Wire Line
+	15450 6300 15350 6300
+Wire Wire Line
+	15350 6300 15350 7050
+Connection ~ 15350 6950
+Wire Wire Line
+	15150 9850 15550 9850
+Wire Wire Line
+	15550 10050 15750 10050
+Wire Wire Line
+	15550 9850 15550 10050
+Wire Wire Line
+	15450 10000 15450 9750
+Connection ~ 15450 9750
+Wire Wire Line
+	15300 10000 15300 9550
+Connection ~ 15300 9550
+Wire Wire Line
+	15100 10500 15450 10500
+Wire Wire Line
+	15100 9950 15100 10500
+Wire Wire Line
+	15100 9950 15750 9950
+Connection ~ 15100 10050
+Connection ~ 14650 10050
+Connection ~ 15300 10500
+Wire Wire Line
+	15050 5500 15900 5500
+Wire Wire Line
+	15900 5500 15900 3400
+Connection ~ 15050 5400
+Wire Wire Line
+	15550 4000 15900 4000
+Connection ~ 15900 4000
+Wire Wire Line
+	4150 10700 4050 10700
+Wire Wire Line
+	4050 10700 4050 11050
+Wire Wire Line
+	4050 11050 4200 11050
+Wire Wire Line
+	3800 10600 4150 10600
+Wire Wire Line
+	3400 10450 3400 10600
+Connection ~ 3400 10450
+Wire Wire Line
+	3200 10450 3400 10450
+Wire Wire Line
+	3200 11100 3200 11050
+Wire Wire Line
+	3400 11000 3400 11050
+Wire Wire Line
+	3400 11050 3200 11050
+Connection ~ 3200 11050
+Wire Wire Line
+	2650 10250 4150 10250
+Wire Wire Line
+	5450 7850 5450 9300
+Wire Wire Line
+	2050 8250 2350 8250
+Wire Wire Line
+	2050 8450 2350 8450
+Wire Wire Line
+	2050 8650 2350 8650
+Wire Wire Line
+	2050 7750 2450 7750
+Wire Wire Line
+	2450 7750 2450 8000
+Wire Wire Line
+	3650 7650 3650 7900
+Connection ~ 3650 7750
+Wire Wire Line
+	3650 7750 4000 7750
+Wire Wire Line
+	3650 7450 4000 7450
+Wire Wire Line
+	3650 8100 4000 8100
+Wire Wire Line
+	2050 7550 2450 7550
+Wire Wire Line
+	2150 8350 2050 8350
+Wire Wire Line
+	2150 7150 2150 8350
+Wire Wire Line
+	2050 7250 2150 7250
+Connection ~ 2150 7250
+Wire Wire Line
+	2050 7450 2150 7450
+Connection ~ 2150 7450
+Wire Wire Line
+	2050 7650 2150 7650
+Connection ~ 2150 7650
+Wire Wire Line
+	2050 7850 2150 7850
+Connection ~ 2150 7850
+Wire Wire Line
+	2050 8150 2150 8150
+Connection ~ 2150 8150
+Wire Wire Line
+	2750 7300 3300 7300
+Wire Wire Line
+	8050 3300 8350 3300
+Wire Wire Line
+	8350 3400 8050 3400
+Wire Wire Line
+	8000 3900 8350 3900
+Wire Wire Line
+	8000 4000 8350 4000
+Wire Wire Line
+	8000 4100 8350 4100
+Wire Wire Line
+	8000 4200 8350 4200
+Wire Wire Line
+	2300 9050 1950 9050
+Wire Wire Line
+	2300 9150 1950 9150
+Wire Wire Line
+	2300 9250 1950 9250
+Wire Wire Line
+	2300 9350 1950 9350
+Wire Wire Line
+	2300 9450 1950 9450
+Wire Wire Line
+	2300 9550 1950 9550
+Wire Wire Line
+	1950 9750 2300 9750
+Wire Wire Line
+	3100 8300 2900 8300
+Wire Wire Line
+	5500 5800 5800 5800
+Wire Wire Line
+	5500 5900 5800 5900
+Wire Wire Line
+	5500 6000 5800 6000
+Wire Wire Line
+	5500 6100 5800 6100
+Wire Wire Line
+	5500 6200 5800 6200
+Wire Wire Line
+	5050 5800 5250 5800
+Wire Wire Line
+	5250 5900 5050 5900
+Wire Wire Line
+	5250 6000 5050 6000
+Wire Wire Line
+	5250 6100 5050 6100
+Wire Wire Line
+	5250 6200 5050 6200
+Wire Wire Line
+	8300 5700 8350 5700
+$Comp
+L C C25
+U 1 1 5151D4E3
+P 2550 7300
+F 0 "C25" V 2600 7400 50  0000 L CNN
+F 1 ".22" V 2600 7100 50  0000 L CNN
+	1    2550 7300
+	0    -1   -1   0   
+$EndComp
+Wire Wire Line
+	3450 9450 4150 9450
+Wire Wire Line
+	5350 9200 5450 9200
+Wire Wire Line
+	15100 10050 14650 10050
+Text Label 13850 4900 0    60   ~ 0
+USB2P
+Text Label 13850 5000 0    60   ~ 0
+USB2M
+Text Label 13850 5100 0    60   ~ 0
+USB1P
+Text Label 13850 5200 0    60   ~ 0
+USB1M
+Text Label 13850 2900 0    60   ~ 0
+VMIC
+Text Label 13750 3000 0    60   ~ 0
+MICIN2
+Text Label 13750 3100 0    60   ~ 0
+MICIN1
+Text Label 13850 3200 0    60   ~ 0
+VRA1
+Text Label 13850 3300 0    60   ~ 0
+VRA2
+Text Label 13900 3400 0    60   ~ 0
+VRP
+Text Label 13800 3500 0    60   ~ 0
+LIN_R
+Text Label 13800 3600 0    60   ~ 0
+LIN_L
+Wire Wire Line
+	14700 3000 14350 3000
+Wire Wire Line
+	14350 3100 14700 3100
+Wire Wire Line
+	14700 3200 14350 3200
+Wire Wire Line
+	14200 3300 14700 3300
+Wire Wire Line
+	14350 3400 14700 3400
+Wire Wire Line
+	14700 3500 14350 3500
+Wire Wire Line
+	14350 3600 14700 3600
+Text Label 14350 3400 0    60   ~ 0
+VMIC
+Text Label 14350 3000 0    60   ~ 0
+MICIN2
+Text Label 14350 2900 0    60   ~ 0
+MICIN1
+Text Label 14350 3500 0    60   ~ 0
+VRA1
+Text Label 14350 3600 0    60   ~ 0
+VRA2
+Text Label 14350 3700 0    60   ~ 0
+VRP
+Text Label 14350 3200 0    60   ~ 0
+LIN_R
+Text Label 14350 3100 0    60   ~ 0
+LIN_L
+Wire Wire Line
+	14400 2800 14400 2700
+Wire Wire Line
+	14350 3700 14700 3700
+Wire Wire Line
+	14200 3800 14700 3800
+Wire Wire Line
+	14650 3800 14650 3900
+Wire Wire Line
+	14600 2700 14700 2700
+Connection ~ 14600 2700
+Text Label 5400 4800 0    60   ~ 0
+SDQS0
+Text Label 5400 4900 0    60   ~ 0
+SDQS0#
+Text Label 5400 5000 0    60   ~ 0
+SDQS1
+Text Label 5400 5100 0    60   ~ 0
+SDQS1#
+Text Label 5400 5200 0    60   ~ 0
+SDQM0
+Text Label 5400 5300 0    60   ~ 0
+SDQM1
+Text Label 5350 6600 0    60   ~ 0
+SDQS2
+Text Label 5350 6700 0    60   ~ 0
+SDQS2#
+Text Label 5350 6800 0    60   ~ 0
+SDQS3
+Text Label 5350 6900 0    60   ~ 0
+SDQS3#
+Text Label 5350 7000 0    60   ~ 0
+SDQM2
+Text Label 5350 7100 0    60   ~ 0
+SDQM3
+Wire Wire Line
+	1300 5100 1200 5100
+Text Label 2600 4800 0    60   ~ 0
+SDQS2
+Text Label 2600 4900 0    60   ~ 0
+SDQS2#
+Text Label 2600 5000 0    60   ~ 0
+SDQS3
+Text Label 2600 5100 0    60   ~ 0
+SDQS3#
+Text Label 2600 5200 0    60   ~ 0
+SDQM2
+Text Label 2600 5300 0    60   ~ 0
+SDQM3
+NoConn ~ 9550 7000
+NoConn ~ 9550 7100
+Wire Wire Line
+	1300 7200 950  7200
+Wire Wire Line
+	1300 7100 950  7100
+$Comp
+L CONN_8 J6
+U 1 1 51729C76
+P 600 7250
+F 0 "J6" V 550 7250 60  0000 C CNN
+F 1 "CONN_8" V 650 7250 60  0000 C CNN
+	1    600  7250
+	-1   0    0    -1  
+$EndComp
+Text Label 2600 6000 0    60   ~ 0
+SWE
+Text Label 5050 5500 0    60   ~ 0
+CS#
+Text Label 5050 5400 0    60   ~ 0
+CKE
+Wire Wire Line
+	5800 5400 5500 5400
+Wire Wire Line
+	5800 5500 5500 5500
+Wire Wire Line
+	5050 5500 5250 5500
+Wire Wire Line
+	5050 5400 5250 5400
+Wire Wire Line
+	14700 2900 14350 2900
+Wire Wire Line
+	14200 3300 14200 3800
+Connection ~ 14650 3800
+Wire Wire Line
+	2350 7300 2150 7300
+Connection ~ 2150 7300
+$Comp
+L GND #PWR059
+U 1 1 5188D84D
+P 7950 9000
+F 0 "#PWR059" H 7950 9000 30  0001 C CNN
+F 1 "GND" H 7950 8930 30  0001 C CNN
+	1    7950 9000
+	-1   0    0    1   
+$EndComp
+Connection ~ 12400 8950
+Connection ~ 12000 8550
+$Comp
+L CONN_1 P5
+U 1 1 5189B2BF
+P 12550 8550
+F 0 "P5" H 12630 8550 40  0000 L CNN
+F 1 "CONN_1" H 12550 8605 30  0001 C CNN
+	1    12550 8550
+	1    0    0    -1  
+$EndComp
+$Comp
+L CONN_1 P6
+U 1 1 5189B2CC
+P 12900 9450
+F 0 "P6" H 12980 9450 40  0000 L CNN
+F 1 "CONN_1" H 12900 9505 30  0001 C CNN
+	1    12900 9450
+	1    0    0    -1  
+$EndComp
+$Comp
+L CONN_1 P7
+U 1 1 5189B2D2
+P 7000 10450
+F 0 "P7" H 7080 10450 40  0000 L CNN
+F 1 "CONN_1" H 7000 10505 30  0001 C CNN
+	1    7000 10450
+	1    0    0    -1  
+$EndComp
+$Comp
+L CONN_1 P8
+U 1 1 5189B2D8
+P 8400 10400
+F 0 "P8" H 8480 10400 40  0000 L CNN
+F 1 "CONN_1" H 8400 10455 30  0001 C CNN
+	1    8400 10400
+	1    0    0    -1  
+$EndComp
+$Comp
+L CONN_1 P9
+U 1 1 5189B2DE
+P 5600 7850
+F 0 "P9" H 5680 7850 40  0000 L CNN
+F 1 "CONN_1" H 5600 7905 30  0001 C CNN
+	1    5600 7850
+	1    0    0    -1  
+$EndComp
+Wire Wire Line
+	12750 9650 12750 9450
+Wire Wire Line
+	6850 10600 6850 10450
+Wire Wire Line
+	8250 10600 8250 10400
+$Comp
+L CONN_1 P12
+U 1 1 5189B501
+P 7850 8000
+F 0 "P12" H 7930 8000 40  0000 L CNN
+F 1 "CONN_1" H 7850 8055 30  0001 C CNN
+	1    7850 8000
+	1    0    0    -1  
+$EndComp
+Wire Wire Line
+	7700 8150 7700 8000
+$Comp
+L CONN_1 P13
+U 1 1 5189B880
+P 3050 10350
+F 0 "P13" H 3130 10350 40  0000 L CNN
+F 1 "CONN_1" H 3050 10405 30  0001 C CNN
+	1    3050 10350
+	1    0    0    -1  
+$EndComp
+Wire Wire Line
+	2900 10350 2800 10350
+Connection ~ 2800 10350
+$Comp
+L CONN_1 P10
+U 1 1 5189BC00
+P 15100 2600
+F 0 "P10" H 15180 2600 40  0000 L CNN
+F 1 "CONN_1" H 15100 2655 30  0001 C CNN
+	1    15100 2600
+	1    0    0    -1  
+$EndComp
+Connection ~ 14950 2350
+$Comp
+L CONN_1 P11
+U 1 1 5189BF82
+P 2950 4450
+F 0 "P11" H 3030 4450 40  0000 L CNN
+F 1 "CONN_1" H 2950 4505 30  0001 C CNN
+	1    2950 4450
+	1    0    0    -1  
+$EndComp
+Connection ~ 5350 8000
+Wire Wire Line
+	9550 4400 9300 4400
+Text Label 9300 4400 0    60   ~ 0
+PE0
+Text Label 9300 4500 0    60   ~ 0
+PE1
+Text Label 9300 4600 0    60   ~ 0
+PE2
+Text Label 9300 4700 0    60   ~ 0
+PE3
+Text Label 9300 4800 0    60   ~ 0
+PE4
+Text Label 9300 4900 0    60   ~ 0
+PE5
+Text Label 9300 5000 0    60   ~ 0
+PE6
+Text Label 9300 5100 0    60   ~ 0
+PE7
+Wire Wire Line
+	9300 4500 9550 4500
+Wire Wire Line
+	9550 4600 9300 4600
+Wire Wire Line
+	9300 4700 9550 4700
+Wire Wire Line
+	9550 4800 9300 4800
+Wire Wire Line
+	9300 4900 9550 4900
+Wire Wire Line
+	9550 5000 9300 5000
+Wire Wire Line
+	9300 5100 9550 5100
+Text Label 13400 7850 0    60   ~ 0
+PE0
+$Comp
+L CONN_8 P14
+U 1 1 5189E5B2
+P 13050 8200
+F 0 "P14" V 13000 8200 60  0000 C CNN
+F 1 "CONN_8" V 13100 8200 60  0000 C CNN
+	1    13050 8200
+	-1   0    0    -1  
+$EndComp
+Text Label 13400 7950 0    60   ~ 0
+PE1
+Text Label 13400 8050 0    60   ~ 0
+PE2
+Text Label 13400 8150 0    60   ~ 0
+PE3
+Text Label 13400 8250 0    60   ~ 0
+PE4
+Text Label 13400 8350 0    60   ~ 0
+PE5
+Text Label 13400 8450 0    60   ~ 0
+PE6
+Text Label 13400 8550 0    60   ~ 0
+PE7
+Wire Wire Line
+	2800 4450 2550 4450
+Connection ~ 2550 4500
+$Comp
+L R R50
+U 1 1 5189E9C5
+P 5600 7700
+F 0 "R50" V 5680 7700 50  0000 C CNN
+F 1 "4k7" V 5600 7700 50  0000 C CNN
+	1    5600 7700
+	0    -1   -1   0   
+$EndComp
+$Comp
+L LED D1
+U 1 1 5189E9D2
+P 6050 7700
+F 0 "D1" H 6050 7800 50  0000 C CNN
+F 1 "LED" H 6050 7600 50  0000 C CNN
+	1    6050 7700
+	1    0    0    -1  
+$EndComp
+$Comp
+L GND #PWR060
+U 1 1 5189E9DF
+P 6250 7700
+F 0 "#PWR060" H 6250 7700 30  0001 C CNN
+F 1 "GND" H 6250 7630 30  0001 C CNN
+	1    6250 7700
+	0    -1   -1   0   
+$EndComp
+$EndSCHEMATC
diff --git a/SBC/sbc-ver1/decouple.sch b/SBC/sbc-ver1/decouple.sch
new file mode 100644
index 0000000..d78d32b
--- /dev/null
+++ b/SBC/sbc-ver1/decouple.sch
@@ -0,0 +1,1045 @@
+EESchema Schematic File Version 2  date Thu 30 May 2013 10:03:11 AM IST
+LIBS:power
+LIBS:device
+LIBS:transistors
+LIBS:conn
+LIBS:linear
+LIBS:regul
+LIBS:cmos4000
+LIBS:adc-dac
+LIBS:memory
+LIBS:xilinx
+LIBS:special
+LIBS:microcontrollers
+LIBS:dsp
+LIBS:microchip
+LIBS:analog_switches
+LIBS:motorola
+LIBS:texas
+LIBS:intel
+LIBS:audio
+LIBS:interface
+LIBS:digital-audio
+LIBS:philips
+LIBS:display
+LIBS:cypress
+LIBS:siliconi
+LIBS:opto
+LIBS:atmel
+LIBS:contrib
+LIBS:valves
+LIBS:A10-plus
+LIBS:myconns
+LIBS:a10-sbc-cache
+EELAYER 27 0
+EELAYER END
+$Descr A4 11693 8268
+encoding utf-8
+Sheet 2 3
+Title ""
+Date "30 may 2013"
+Rev ""
+Comp ""
+Comment1 ""
+Comment2 ""
+Comment3 ""
+Comment4 ""
+$EndDescr
+Text GLabel 1000 3650 0    60   Input ~ 0
+3.3V
+Text GLabel 950  1450 0    60   Input ~ 0
+1.25V
+Text GLabel 1000 2250 0    60   Input ~ 0
+DRAM_VCC
+Text GLabel 900  750  0    60   Input ~ 0
+1.2V
+$Comp
+L C C49
+U 1 1 515197E6
+P 1000 950
+F 0 "C49" H 1050 1050 50  0000 L CNN
+F 1 ".22u" H 1050 850 50  0000 L CNN
+	1    1000 950 
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C82
+U 1 1 51523C00
+P 1250 2450
+F 0 "C82" H 1300 2550 50  0000 L CNN
+F 1 ".22u" H 1300 2350 50  0000 L CNN
+	1    1250 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C83
+U 1 1 51518E22
+P 1600 2450
+F 0 "C83" H 1650 2550 50  0000 L CNN
+F 1 ".22u" H 1650 2350 50  0000 L CNN
+	1    1600 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C84
+U 1 1 51518E28
+P 1950 2450
+F 0 "C84" H 2000 2550 50  0000 L CNN
+F 1 ".22u" H 2000 2350 50  0000 L CNN
+	1    1950 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C85
+U 1 1 51518E2E
+P 2300 2450
+F 0 "C85" H 2350 2550 50  0000 L CNN
+F 1 ".22u" H 2350 2350 50  0000 L CNN
+	1    2300 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C86
+U 1 1 51518E34
+P 2650 2450
+F 0 "C86" H 2700 2550 50  0000 L CNN
+F 1 ".22u" H 2700 2350 50  0000 L CNN
+	1    2650 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C87
+U 1 1 51518E3A
+P 3000 2450
+F 0 "C87" H 3050 2550 50  0000 L CNN
+F 1 ".22u" H 3050 2350 50  0000 L CNN
+	1    3000 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C88
+U 1 1 51518E40
+P 3350 2450
+F 0 "C88" H 3400 2550 50  0000 L CNN
+F 1 ".22u" H 3400 2350 50  0000 L CNN
+	1    3350 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C89
+U 1 1 51518E46
+P 3700 2450
+F 0 "C89" H 3750 2550 50  0000 L CNN
+F 1 ".22u" H 3750 2350 50  0000 L CNN
+	1    3700 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C90
+U 1 1 51518E4C
+P 4050 2450
+F 0 "C90" H 4100 2550 50  0000 L CNN
+F 1 ".22u" H 4100 2350 50  0000 L CNN
+	1    4050 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C91
+U 1 1 51518E52
+P 4400 2450
+F 0 "C91" H 4450 2550 50  0000 L CNN
+F 1 ".22u" H 4450 2350 50  0000 L CNN
+	1    4400 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C92
+U 1 1 51518E58
+P 4750 2450
+F 0 "C92" H 4800 2550 50  0000 L CNN
+F 1 ".22u" H 4800 2350 50  0000 L CNN
+	1    4750 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C93
+U 1 1 51518E5E
+P 5100 2450
+F 0 "C93" H 5150 2550 50  0000 L CNN
+F 1 ".22u" H 5150 2350 50  0000 L CNN
+	1    5100 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C94
+U 1 1 51518E64
+P 5450 2450
+F 0 "C94" H 5500 2550 50  0000 L CNN
+F 1 ".22u" H 5500 2350 50  0000 L CNN
+	1    5450 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C95
+U 1 1 51518E6A
+P 5800 2450
+F 0 "C95" H 5850 2550 50  0000 L CNN
+F 1 ".22u" H 5850 2350 50  0000 L CNN
+	1    5800 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C96
+U 1 1 51518E70
+P 6150 2450
+F 0 "C96" H 6200 2550 50  0000 L CNN
+F 1 ".22u" H 6200 2350 50  0000 L CNN
+	1    6150 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C97
+U 1 1 51518E76
+P 6500 2450
+F 0 "C97" H 6550 2550 50  0000 L CNN
+F 1 ".22u" H 6550 2350 50  0000 L CNN
+	1    6500 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C98
+U 1 1 51518E7C
+P 6850 2450
+F 0 "C98" H 6900 2550 50  0000 L CNN
+F 1 ".22u" H 6900 2350 50  0000 L CNN
+	1    6850 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C99
+U 1 1 51518E82
+P 7200 2450
+F 0 "C99" H 7250 2550 50  0000 L CNN
+F 1 ".22u" H 7250 2350 50  0000 L CNN
+	1    7200 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C100
+U 1 1 51518E88
+P 7550 2450
+F 0 "C100" H 7600 2550 50  0000 L CNN
+F 1 ".22u" H 7600 2350 50  0000 L CNN
+	1    7550 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C101
+U 1 1 51518E8E
+P 7900 2450
+F 0 "C101" H 7950 2550 50  0000 L CNN
+F 1 ".22u" H 7950 2350 50  0000 L CNN
+	1    7900 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C102
+U 1 1 51518E94
+P 8200 2450
+F 0 "C102" H 8250 2550 50  0000 L CNN
+F 1 ".22u" H 8250 2350 50  0000 L CNN
+	1    8200 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C103
+U 1 1 51518E9A
+P 8550 2450
+F 0 "C103" H 8600 2550 50  0000 L CNN
+F 1 ".22u" H 8600 2350 50  0000 L CNN
+	1    8550 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C104
+U 1 1 51518EA0
+P 8900 2450
+F 0 "C104" H 8950 2550 50  0000 L CNN
+F 1 ".22u" H 8950 2350 50  0000 L CNN
+	1    8900 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C105
+U 1 1 51518EA6
+P 9250 2450
+F 0 "C105" H 9300 2550 50  0000 L CNN
+F 1 ".22u" H 9300 2350 50  0000 L CNN
+	1    9250 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C106
+U 1 1 51518EAC
+P 9600 2450
+F 0 "C106" H 9650 2550 50  0000 L CNN
+F 1 ".22u" H 9650 2350 50  0000 L CNN
+	1    9600 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C107
+U 1 1 51518EB2
+P 9950 2450
+F 0 "C107" H 10000 2550 50  0000 L CNN
+F 1 ".22u" H 10000 2350 50  0000 L CNN
+	1    9950 2450
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C50
+U 1 1 5151947E
+P 1350 950
+F 0 "C50" H 1400 1050 50  0000 L CNN
+F 1 ".22u" H 1400 850 50  0000 L CNN
+	1    1350 950 
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C53
+U 1 1 51519484
+P 2400 950
+F 0 "C53" H 2450 1050 50  0000 L CNN
+F 1 ".22u" H 2450 850 50  0000 L CNN
+	1    2400 950 
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C52
+U 1 1 5151948A
+P 2050 950
+F 0 "C52" H 2100 1050 50  0000 L CNN
+F 1 ".22u" H 2100 850 50  0000 L CNN
+	1    2050 950 
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C51
+U 1 1 51519490
+P 1700 950
+F 0 "C51" H 1750 1050 50  0000 L CNN
+F 1 ".22u" H 1750 850 50  0000 L CNN
+	1    1700 950 
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C54
+U 1 1 51519496
+P 2750 950
+F 0 "C54" H 2800 1050 50  0000 L CNN
+F 1 ".22u" H 2800 850 50  0000 L CNN
+	1    2750 950 
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C55
+U 1 1 5151949C
+P 3100 950
+F 0 "C55" H 3150 1050 50  0000 L CNN
+F 1 ".22u" H 3150 850 50  0000 L CNN
+	1    3100 950 
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C56
+U 1 1 515194A2
+P 3450 950
+F 0 "C56" H 3500 1050 50  0000 L CNN
+F 1 ".22u" H 3500 850 50  0000 L CNN
+	1    3450 950 
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C57
+U 1 1 515194A8
+P 3800 950
+F 0 "C57" H 3850 1050 50  0000 L CNN
+F 1 ".22u" H 3850 850 50  0000 L CNN
+	1    3800 950 
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C58
+U 1 1 515194AE
+P 1100 1650
+F 0 "C58" H 1150 1750 50  0000 L CNN
+F 1 ".22u" H 1150 1550 50  0000 L CNN
+	1    1100 1650
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C59
+U 1 1 515194B4
+P 1450 1650
+F 0 "C59" H 1500 1750 50  0000 L CNN
+F 1 ".22u" H 1500 1550 50  0000 L CNN
+	1    1450 1650
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C60
+U 1 1 515194BA
+P 1800 1650
+F 0 "C60" H 1850 1750 50  0000 L CNN
+F 1 ".22u" H 1850 1550 50  0000 L CNN
+	1    1800 1650
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C61
+U 1 1 515194C0
+P 1100 3850
+F 0 "C61" H 1150 3950 50  0000 L CNN
+F 1 ".22u" H 1150 3750 50  0000 L CNN
+	1    1100 3850
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C62
+U 1 1 515194C6
+P 1450 3850
+F 0 "C62" H 1500 3950 50  0000 L CNN
+F 1 ".22u" H 1500 3750 50  0000 L CNN
+	1    1450 3850
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C63
+U 1 1 515194D6
+P 1800 3850
+F 0 "C63" H 1850 3950 50  0000 L CNN
+F 1 ".22u" H 1850 3750 50  0000 L CNN
+	1    1800 3850
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C64
+U 1 1 515194DC
+P 2150 3850
+F 0 "C64" H 2200 3950 50  0000 L CNN
+F 1 ".22u" H 2200 3750 50  0000 L CNN
+	1    2150 3850
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C65
+U 1 1 515194E2
+P 2500 3850
+F 0 "C65" H 2550 3950 50  0000 L CNN
+F 1 ".22u" H 2550 3750 50  0000 L CNN
+	1    2500 3850
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C66
+U 1 1 515194E8
+P 2850 3850
+F 0 "C66" H 2900 3950 50  0000 L CNN
+F 1 ".22u" H 2900 3750 50  0000 L CNN
+	1    2850 3850
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C67
+U 1 1 515194EE
+P 3200 3850
+F 0 "C67" H 3250 3950 50  0000 L CNN
+F 1 ".22u" H 3250 3750 50  0000 L CNN
+	1    3200 3850
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C68
+U 1 1 515194F4
+P 3550 3850
+F 0 "C68" H 3600 3950 50  0000 L CNN
+F 1 ".22u" H 3600 3750 50  0000 L CNN
+	1    3550 3850
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C69
+U 1 1 51519600
+P 3900 3850
+F 0 "C69" H 3950 3950 50  0000 L CNN
+F 1 ".22u" H 3950 3750 50  0000 L CNN
+	1    3900 3850
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C70
+U 1 1 51519606
+P 4250 3850
+F 0 "C70" H 4300 3950 50  0000 L CNN
+F 1 ".22u" H 4300 3750 50  0000 L CNN
+	1    4250 3850
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C72
+U 1 1 5151960C
+P 4950 3850
+F 0 "C72" H 5000 3950 50  0000 L CNN
+F 1 ".22u" H 5000 3750 50  0000 L CNN
+	1    4950 3850
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C71
+U 1 1 51519612
+P 4600 3850
+F 0 "C71" H 4650 3950 50  0000 L CNN
+F 1 ".22u" H 4650 3750 50  0000 L CNN
+	1    4600 3850
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C73
+U 1 1 51519618
+P 7800 1800
+F 0 "C73" H 7850 1900 50  0000 L CNN
+F 1 ".22u" H 7850 1700 50  0000 L CNN
+	1    7800 1800
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C74
+U 1 1 5151961E
+P 8150 1800
+F 0 "C74" H 8200 1900 50  0000 L CNN
+F 1 ".22u" H 8200 1700 50  0000 L CNN
+	1    8150 1800
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C75
+U 1 1 51519624
+P 8500 1800
+F 0 "C75" H 8550 1900 50  0000 L CNN
+F 1 ".22u" H 8550 1700 50  0000 L CNN
+	1    8500 1800
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C76
+U 1 1 5151962A
+P 8850 1800
+F 0 "C76" H 8900 1900 50  0000 L CNN
+F 1 ".22u" H 8900 1700 50  0000 L CNN
+	1    8850 1800
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C109
+U 1 1 515198E6
+P 1300 3050
+F 0 "C109" H 1350 3150 50  0000 L CNN
+F 1 ".22u" H 1350 2950 50  0000 L CNN
+	1    1300 3050
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C110
+U 1 1 51519944
+P 1650 3050
+F 0 "C110" H 1700 3150 50  0000 L CNN
+F 1 ".22u" H 1700 2950 50  0000 L CNN
+	1    1650 3050
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C111
+U 1 1 5151994A
+P 2000 3050
+F 0 "C111" H 2050 3150 50  0000 L CNN
+F 1 ".22u" H 2050 2950 50  0000 L CNN
+	1    2000 3050
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C112
+U 1 1 51519950
+P 2350 3050
+F 0 "C112" H 2400 3150 50  0000 L CNN
+F 1 ".22u" H 2400 2950 50  0000 L CNN
+	1    2350 3050
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C113
+U 1 1 51519956
+P 2750 3050
+F 0 "C113" H 2800 3150 50  0000 L CNN
+F 1 ".22u" H 2800 2950 50  0000 L CNN
+	1    2750 3050
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C114
+U 1 1 5151995C
+P 3100 3050
+F 0 "C114" H 3150 3150 50  0000 L CNN
+F 1 ".22u" H 3150 2950 50  0000 L CNN
+	1    3100 3050
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C115
+U 1 1 51519962
+P 3450 3050
+F 0 "C115" H 3500 3150 50  0000 L CNN
+F 1 ".22u" H 3500 2950 50  0000 L CNN
+	1    3450 3050
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C116
+U 1 1 51519968
+P 3800 3050
+F 0 "C116" H 3850 3150 50  0000 L CNN
+F 1 ".22u" H 3850 2950 50  0000 L CNN
+	1    3800 3050
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C117
+U 1 1 5151996E
+P 4200 3050
+F 0 "C117" H 4250 3150 50  0000 L CNN
+F 1 ".22u" H 4250 2950 50  0000 L CNN
+	1    4200 3050
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C118
+U 1 1 51519974
+P 4550 3050
+F 0 "C118" H 4600 3150 50  0000 L CNN
+F 1 ".22u" H 4600 2950 50  0000 L CNN
+	1    4550 3050
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C119
+U 1 1 5151997A
+P 4900 3050
+F 0 "C119" H 4950 3150 50  0000 L CNN
+F 1 ".22u" H 4950 2950 50  0000 L CNN
+	1    4900 3050
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C120
+U 1 1 51519980
+P 5250 3050
+F 0 "C120" H 5300 3150 50  0000 L CNN
+F 1 ".22u" H 5300 2950 50  0000 L CNN
+	1    5250 3050
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C121
+U 1 1 51519986
+P 5600 3050
+F 0 "C121" H 5650 3150 50  0000 L CNN
+F 1 ".22u" H 5650 2950 50  0000 L CNN
+	1    5600 3050
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C122
+U 1 1 5151998C
+P 5950 3050
+F 0 "C122" H 6000 3150 50  0000 L CNN
+F 1 ".22u" H 6000 2950 50  0000 L CNN
+	1    5950 3050
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C123
+U 1 1 51519992
+P 6300 3050
+F 0 "C123" H 6350 3150 50  0000 L CNN
+F 1 ".22u" H 6350 2950 50  0000 L CNN
+	1    6300 3050
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C124
+U 1 1 51519998
+P 6650 3050
+F 0 "C124" H 6700 3150 50  0000 L CNN
+F 1 ".22u" H 6700 2950 50  0000 L CNN
+	1    6650 3050
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C125
+U 1 1 5151999E
+P 7000 3050
+F 0 "C125" H 7050 3150 50  0000 L CNN
+F 1 ".22u" H 7050 2950 50  0000 L CNN
+	1    7000 3050
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C23
+U 1 1 515199A4
+P 7350 3050
+F 0 "C23" H 7400 3150 50  0000 L CNN
+F 1 ".22u" H 7400 2950 50  0000 L CNN
+	1    7350 3050
+	1    0    0    -1  
+$EndComp
+$Comp
+L GND #PWR061
+U 1 1 51513645
+P 900 1150
+F 0 "#PWR061" H 900 1150 30  0001 C CNN
+F 1 "GND" H 900 1080 30  0001 C CNN
+	1    900  1150
+	0    1    1    0   
+$EndComp
+$Comp
+L GND #PWR062
+U 1 1 515136F7
+P 1000 1850
+F 0 "#PWR062" H 1000 1850 30  0001 C CNN
+F 1 "GND" H 1000 1780 30  0001 C CNN
+	1    1000 1850
+	0    1    1    0   
+$EndComp
+$Comp
+L GND #PWR063
+U 1 1 515136FD
+P 1000 4050
+F 0 "#PWR063" H 1000 4050 30  0001 C CNN
+F 1 "GND" H 1000 3980 30  0001 C CNN
+	1    1000 4050
+	0    1    1    0   
+$EndComp
+$Comp
+L GND #PWR064
+U 1 1 51513850
+P 1150 2650
+F 0 "#PWR064" H 1150 2650 30  0001 C CNN
+F 1 "GND" H 1150 2580 30  0001 C CNN
+	1    1150 2650
+	0    1    1    0   
+$EndComp
+$Comp
+L C C108
+U 1 1 51513AB2
+P 10300 2450
+F 0 "C108" H 10200 2350 50  0000 L CNN
+F 1 "22u" H 10100 2550 50  0000 L CNN
+	1    10300 2450
+	-1   0    0    1   
+$EndComp
+$Comp
+L R R33
+U 1 1 515147D0
+P 10750 1850
+F 0 "R33" V 10830 1850 50  0000 C CNN
+F 1 "2k2" V 10750 1850 50  0000 C CNN
+	1    10750 1850
+	0    -1   -1   0   
+$EndComp
+$Comp
+L R R32
+U 1 1 515147DD
+P 10750 1600
+F 0 "R32" V 10830 1600 50  0000 C CNN
+F 1 "2k2" V 10750 1600 50  0000 C CNN
+	1    10750 1600
+	0    -1   -1   0   
+$EndComp
+$Comp
+L GND #PWR065
+U 1 1 51514A33
+P 11050 1600
+F 0 "#PWR065" H 11050 1600 30  0001 C CNN
+F 1 "GND" H 11050 1530 30  0001 C CNN
+	1    11050 1600
+	0    -1   -1   0   
+$EndComp
+$Comp
+L C C80
+U 1 1 51514B03
+P 10250 1800
+F 0 "C80" H 10300 1900 50  0000 L CNN
+F 1 ".22u" H 10300 1700 50  0000 L CNN
+	1    10250 1800
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C79
+U 1 1 51514BCD
+P 9900 1800
+F 0 "C79" H 9950 1900 50  0000 L CNN
+F 1 ".22u" H 9950 1700 50  0000 L CNN
+	1    9900 1800
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C78
+U 1 1 51514BD3
+P 9600 1800
+F 0 "C78" H 9650 1900 50  0000 L CNN
+F 1 ".22u" H 9650 1700 50  0000 L CNN
+	1    9600 1800
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C77
+U 1 1 51514BD9
+P 9250 1800
+F 0 "C77" H 9300 1900 50  0000 L CNN
+F 1 ".22u" H 9300 1700 50  0000 L CNN
+	1    9250 1800
+	1    0    0    -1  
+$EndComp
+$Comp
+L C C81
+U 1 1 51514BDF
+P 10750 2100
+F 0 "C81" V 10850 2200 50  0000 L CNN
+F 1 ".22u" V 10850 1850 50  0000 L CNN
+	1    10750 2100
+	0    -1   -1   0   
+$EndComp
+$Comp
+L GND #PWR066
+U 1 1 515150B9
+P 10300 2000
+F 0 "#PWR066" H 10300 2000 30  0001 C CNN
+F 1 "GND" H 10300 1930 30  0001 C CNN
+	1    10300 2000
+	0    -1   -1   0   
+$EndComp
+Text GLabel 7650 1600 0    60   Input ~ 0
+DRAM_REF
+Text Notes 800  4600 0    100  ~ 0
+After ERC check passed, all Power supply labels are disconnected to do routing\nfirst without decoupling capacitors.
+Connection ~ 3450 750 
+Connection ~ 2400 750 
+Connection ~ 9250 1600
+Connection ~ 10250 2000
+Connection ~ 9600 2000
+Connection ~ 9900 2000
+Connection ~ 10250 1600
+Connection ~ 9900 1600
+Connection ~ 9600 1600
+Wire Wire Line
+	7800 2000 10300 2000
+Connection ~ 11050 2100
+Wire Wire Line
+	10950 2100 11050 2100
+Connection ~ 10500 1850
+Wire Wire Line
+	10500 2100 10550 2100
+Wire Wire Line
+	7650 1600 10500 1600
+Wire Wire Line
+	10500 1600 10500 2100
+Wire Wire Line
+	11050 2250 11050 1850
+Wire Wire Line
+	11050 1850 11000 1850
+Wire Wire Line
+	11050 1600 11000 1600
+Connection ~ 10300 2650
+Connection ~ 9950 2650
+Connection ~ 10300 2250
+Connection ~ 9950 2250
+Connection ~ 1250 2650
+Connection ~ 1100 1850
+Connection ~ 1100 4050
+Connection ~ 1000 1150
+Connection ~ 1450 4050
+Connection ~ 1800 4050
+Connection ~ 2150 4050
+Connection ~ 2500 4050
+Connection ~ 2850 4050
+Connection ~ 3200 4050
+Connection ~ 3550 4050
+Connection ~ 3900 4050
+Connection ~ 4250 4050
+Connection ~ 4600 4050
+Connection ~ 4950 4050
+Connection ~ 4950 3650
+Connection ~ 4600 3650
+Connection ~ 4250 3650
+Connection ~ 3900 3650
+Connection ~ 3200 3650
+Connection ~ 2850 3650
+Connection ~ 2500 3650
+Connection ~ 2150 3650
+Connection ~ 1800 3650
+Connection ~ 1450 3650
+Connection ~ 1100 3650
+Connection ~ 7900 2650
+Connection ~ 8200 2650
+Connection ~ 7550 2650
+Connection ~ 8550 2650
+Connection ~ 8900 2650
+Connection ~ 9250 2650
+Connection ~ 9600 2650
+Connection ~ 9600 2250
+Connection ~ 9250 2250
+Connection ~ 8900 2250
+Connection ~ 8550 2250
+Connection ~ 8200 2250
+Connection ~ 7900 2250
+Connection ~ 7550 2250
+Connection ~ 1450 1450
+Connection ~ 6150 2250
+Connection ~ 5450 2650
+Connection ~ 5800 2650
+Connection ~ 5450 2250
+Connection ~ 6150 2650
+Connection ~ 6500 2650
+Connection ~ 6850 2650
+Connection ~ 7200 2650
+Connection ~ 7200 2250
+Connection ~ 6850 2250
+Connection ~ 6500 2250
+Connection ~ 5800 2250
+Connection ~ 1600 2650
+Connection ~ 1950 2650
+Connection ~ 2300 2650
+Connection ~ 2650 2650
+Connection ~ 3000 2650
+Connection ~ 3350 2650
+Connection ~ 3700 2650
+Connection ~ 4050 2650
+Connection ~ 4400 2650
+Connection ~ 4750 2650
+Connection ~ 5100 2650
+Connection ~ 5100 2250
+Connection ~ 4750 2250
+Connection ~ 4400 2250
+Connection ~ 4050 2250
+Connection ~ 3350 2250
+Connection ~ 3000 2250
+Connection ~ 2650 2250
+Connection ~ 2300 2250
+Connection ~ 1950 2250
+Connection ~ 1600 2250
+Connection ~ 1250 2250
+Wire Wire Line
+	1000 2250 11050 2250
+Connection ~ 1450 1850
+Connection ~ 1800 1850
+Connection ~ 1800 1450
+Connection ~ 1100 1450
+Connection ~ 1350 1150
+Connection ~ 1700 1150
+Connection ~ 2050 1150
+Connection ~ 2400 1150
+Connection ~ 2750 1150
+Connection ~ 3100 1150
+Connection ~ 3450 1150
+Connection ~ 3800 1150
+Connection ~ 4150 1150
+Connection ~ 4150 750 
+Connection ~ 3800 750 
+Connection ~ 3100 750 
+Connection ~ 2750 750 
+Connection ~ 2050 750 
+Connection ~ 1700 750 
+Connection ~ 1350 750 
+Connection ~ 1000 750 
+Wire Wire Line
+	900  750  4150 750 
+Connection ~ 3700 2250
+Wire Wire Line
+	10300 2650 1150 2650
+Connection ~ 3550 3650
+Wire Wire Line
+	900  2850 8050 2850
+Connection ~ 2000 2850
+Connection ~ 1650 2850
+Wire Wire Line
+	900  2850 900  2400
+Wire Wire Line
+	900  2400 1100 2400
+Wire Wire Line
+	1100 2400 1100 2250
+Connection ~ 1100 2250
+Connection ~ 1300 2850
+Wire Wire Line
+	1200 3250 8050 3250
+Connection ~ 1650 3250
+Connection ~ 2000 3250
+$Comp
+L GND #PWR067
+U 1 1 518773CA
+P 1200 3250
+F 0 "#PWR067" H 1200 3250 30  0001 C CNN
+F 1 "GND" H 1200 3180 30  0001 C CNN
+	1    1200 3250
+	0    1    1    0   
+$EndComp
+Connection ~ 1300 3250
+Connection ~ 2350 2850
+Connection ~ 2750 2850
+Connection ~ 3100 2850
+Connection ~ 3450 2850
+Connection ~ 2350 3250
+Connection ~ 2750 3250
+Connection ~ 3100 3250
+Connection ~ 3450 3250
+Connection ~ 3800 2850
+Connection ~ 4200 2850
+Connection ~ 4550 2850
+Connection ~ 4900 2850
+Connection ~ 3800 3250
+Connection ~ 5250 2850
+Connection ~ 5600 2850
+Connection ~ 5950 2850
+Connection ~ 6300 2850
+Connection ~ 6650 2850
+Connection ~ 7000 2850
+Connection ~ 7000 3250
+Connection ~ 6650 3250
+Connection ~ 6300 3250
+Connection ~ 5950 3250
+Connection ~ 5600 3250
+Connection ~ 5250 3250
+Connection ~ 4900 3250
+Connection ~ 4550 3250
+Connection ~ 4200 3250
+Connection ~ 8850 1600
+Connection ~ 8500 1600
+Connection ~ 9250 2000
+Connection ~ 8850 2000
+Connection ~ 8150 1600
+Connection ~ 8500 2000
+Connection ~ 8150 2000
+Connection ~ 7800 1600
+Connection ~ 7350 2850
+Connection ~ 7700 2850
+Connection ~ 7700 3250
+Connection ~ 7350 3250
+Wire Wire Line
+	900  1150 4150 1150
+Wire Wire Line
+	1800 1450 950  1450
+Wire Wire Line
+	1800 1850 1000 1850
+Wire Wire Line
+	1000 3650 4950 3650
+Wire Wire Line
+	4950 4050 1000 4050
+$EndSCHEMATC
diff --git a/SBC/sbc-ver1/esbc-modules.mod b/SBC/sbc-ver1/esbc-modules.mod
new file mode 100644
index 0000000..c0bef88
--- /dev/null
+++ b/SBC/sbc-ver1/esbc-modules.mod
@@ -0,0 +1,8290 @@
+PCBNEW-LibModule-V1  Fri 31 May 2013 12:50:44 PM IST
+# encoding utf-8
+Units mm
+$INDEX
+C2V8
+Crystal-TXC-SMD3.2x2.5
+Crystal_SMD_0603_4Pads_RevA_09Aug2010
+Crystal_SMD_7x5mm
+DB_15F-VGA
+FBGA96-new
+HDMI
+LED-3MM
+PIN_ARRAY_1
+PIN_ARRAY_2X1
+PIN_ARRAY_5x2
+QFN48+1
+RCLAMP
+RJ45-HANRUN
+RJ45_TRANSFO
+SIL-2
+SM0402
+SM0603
+SM0805
+SM1210L
+SO14E
+SODIMM200-JAE
+SOT23-5
+TFBGA441-new
+USB_DA
+USB_Double-from-FCI
+conn_usb_A-vert
+conn_usb_B_micro_smd
+crystal-32k-4.5x1.9mm
+lqfp48
+microsd_socket
+pin2mm-30
+pin_array_4x2
+$EndINDEX
+$MODULE C2V8
+Po 86.4489 31.0134 0 15 46544AA3 00000000 ~~
+Li C2V8
+Cd Condensateur polarise
+Kw CP
+Sc 0
+AR /515489AE
+Op 0 0 0
+T0 0 2.54 1.016 1.016 0 0.2032 N V 21 N "C33"
+T1 0 -2.54 1.016 1.016 0 0.2032 N V 21 N "220u"
+DC 0 0 -4.445 0 0.3048 21
+$PAD
+Sh "1" R 1.778 1.778 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 165 "5V_IN"
+Po -2.54 0
+$EndPAD
+$PAD
+Sh "2" C 1.778 1.778 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 187 "GND"
+Po 2.54 0
+$EndPAD
+$SHAPE3D
+Na "discret/c_vert_c2v10.wrl"
+Sc 1 1 1
+Of 0 0 0
+Ro 0 0 0
+$EndSHAPE3D
+$EndMODULE C2V8
+$MODULE Crystal-TXC-SMD3.2x2.5
+Po 0 0 0 15 51A6B254 00000000 ~~
+Li Crystal-TXC-SMD3.2x2.5
+Cd Crystal, Quarz, SMD, 0603, 4 Pads,
+Kw Crystal, Quarz, SMD, 0603, 4 Pads,
+Sc 0
+AR /51523481
+Op 0 0 0
+At SMD
+T0 0.075 -0.075 1.524 1.524 900 0.3048 N V 21 N "~"
+T1 -0.1 -3 1 1 0 0.2 N I 21 N "24MHz"
+DS -2.13 -1.76 2.08 -1.76 0.2 21
+DS 2.08 -1.76 2.07 1.68 0.2 21
+DS 2.07 1.68 -2.11 1.68 0.2 21
+DS -2.11 1.68 -2.11 -1.78 0.2 21
+$PAD
+Sh "4" R 1.4 1.2 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 2 "N-00000301"
+Po -1.1 -0.85
+$EndPAD
+$PAD
+Sh "2" R 1.4 1.2 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 1 "N-00000300"
+Po 1.1 0.85
+$EndPAD
+$PAD
+Sh "3" R 1.4 1.2 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 1 "N-00000300"
+Po 1.1 -0.85
+$EndPAD
+$PAD
+Sh "1" R 1.4 1.2 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 2 "N-00000301"
+Po -1.1 0.85
+$EndPAD
+$EndMODULE Crystal-TXC-SMD3.2x2.5
+$MODULE Crystal_SMD_0603_4Pads_RevA_09Aug2010
+Po 0 0 0 15 51922935 00000000 ~~
+Li Crystal_SMD_0603_4Pads_RevA_09Aug2010
+Cd Crystal, Quarz, SMD, 0603, 4 Pads,
+Kw Crystal, Quarz, SMD, 0603, 4 Pads,
+Sc 0
+AR /51523481
+Op 0 0 0
+At SMD
+T0 0.075 -0.075 1.524 1.524 900 0.3048 N V 21 N "X2"
+T1 -0.1 -3 1 1 0 0.2 N I 21 N "24MHz"
+DC -0.50038 0.94996 -0.39878 1.04902 0.381 21
+DS 0.70104 1.84912 -0.70104 1.84912 0.381 21
+DS -0.70104 -1.84912 0.70104 -1.84912 0.381 21
+$PAD
+Sh "1" R 1.80086 1.39954 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 2 "N-00000301"
+Po -2.19964 1.19888
+$EndPAD
+$PAD
+Sh "2" R 1.80086 1.39954 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 1 "N-00000300"
+Po 2.19964 1.19888
+$EndPAD
+$PAD
+Sh "2" R 1.80086 1.39954 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 2.19964 -1.19888
+$EndPAD
+$PAD
+Sh "1" R 1.80086 1.39954 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -2.19964 -1.19888
+$EndPAD
+$EndMODULE Crystal_SMD_0603_4Pads_RevA_09Aug2010
+$MODULE Crystal_SMD_7x5mm
+Po 0 0 0 15 519E0235 00000000 ~~
+Li Crystal_SMD_7x5mm
+Cd Crystal, Quarz, SMD, 0603, 4 Pads,
+Kw Crystal, Quarz, SMD, 0603, 4 Pads,
+Sc 0
+AR /51523481
+Op 0 0 0
+At SMD
+T0 0.075 -0.075 1.524 1.524 900 0.3048 N V 21 N "~"
+T1 -0.1 -3 1 1 0 0.2 N I 21 N "24MHz"
+DS -4.6 -2.45 4.65 -2.45 0.15 21
+DS 4.65 -2.45 4.65 2.35 0.15 21
+DS 4.65 2.35 -4.6 2.35 0.15 21
+DS -4.6 2.35 -4.6 -2.45 0.15 21
+$PAD
+Sh "1" R 2.2 1.4 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 2 "N-00000301"
+Po -3.15 1.25
+$EndPAD
+$PAD
+Sh "2" R 2.2 1.4 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 1 "N-00000300"
+Po 3.15 1.25
+$EndPAD
+$PAD
+Sh "2" R 2.2 1.4 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 1 "N-00000300"
+Po 3.15 -1.25
+$EndPAD
+$PAD
+Sh "1" R 2.2 1.4 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 2 "N-00000301"
+Po -3.15 -1.25
+$EndPAD
+$EndMODULE Crystal_SMD_7x5mm
+$MODULE DB_15F-VGA
+Po 49.825 105.1 0 15 515A8448 00000000 ~~
+Li DB_15F-VGA
+Cd D-SUB 15 pin VGA socket, Tyco P/N 440467-1
+Sc 0
+AR /5157D108
+Op 0 0 0
+T0 0 4.699 1.524 1.524 0 0.3048 N V 21 N "J2"
+T1 5.0165 4.826 1.524 1.524 0 0.3048 N V 21 N "DB15"
+DS -10.033 1.27 -10.033 7.112 0.381 21
+DS -10.922 -2.413 -10.16 -1.016 0.381 21
+DS -14.097 -2.413 -14.986 -1.016 0.381 21
+DS -9.017 -7.112 -9.017 -0.635 0.381 21
+DS -15.494 1.27 -15.494 -7.112 0.381 21
+DS 15.494 -7.112 15.494 1.27 0.381 21
+DS 15.494 -7.112 -15.494 -7.112 0.381 21
+DS 9.017 -0.635 9.017 -7.112 0.381 21
+DS 14.097 -2.413 14.859 -1.016 0.381 21
+DS 10.922 -2.413 10.287 -1.016 0.381 21
+DS 10.922 -2.413 14.097 -2.413 0.381 21
+DS -14.097 -2.413 -10.922 -2.413 0.381 21
+DS 14.859 -1.016 10.287 -1.016 0.381 21
+DS 10.287 -1.016 10.287 -0.762 0.381 21
+DS 10.287 -0.762 14.859 -0.762 0.381 21
+DS 14.859 -0.762 14.859 -1.016 0.381 21
+DS -10.16 -1.016 -14.986 -1.016 0.381 21
+DS -14.986 -1.016 -14.986 -0.762 0.381 21
+DS -14.986 -0.762 -10.16 -0.762 0.381 21
+DS -10.16 -0.762 -10.16 -1.016 0.381 21
+DS 11.43 -0.635 11.43 -3.175 0.381 21
+DS 11.43 -3.175 13.716 -3.175 0.381 21
+DS 13.716 -3.175 13.716 -0.635 0.381 21
+DS -13.716 -0.635 -13.716 -3.175 0.381 21
+DS -13.716 -3.175 -11.43 -3.175 0.381 21
+DS -11.43 -3.175 -11.43 -0.635 0.381 21
+DS -15.494 -0.635 15.494 -0.635 0.381 21
+DS -11.049 1.27 -11.049 7.112 0.381 21
+DS -14.097 1.27 -14.097 7.112 0.381 21
+DS 14.097 1.27 14.097 7.112 0.381 21
+DS 11.049 1.27 11.049 7.112 0.381 21
+DS 10.033 1.27 10.033 7.112 0.381 21
+DS 10.033 7.112 15.113 7.112 0.381 21
+DS 15.113 7.112 15.113 1.397 0.381 21
+DS -15.113 1.27 -15.113 7.112 0.381 21
+DS -15.113 7.112 -10.033 7.112 0.381 21
+DS -8.128 1.27 -8.128 7.112 0.381 21
+DS -8.128 7.112 8.128 7.112 0.381 21
+DS 8.128 7.112 8.128 1.27 0.381 21
+DS -15.494 1.27 15.494 1.27 0.381 21
+$PAD
+Sh "" C 5.00126 5.00126 0 0 0
+Dr 3.2004 0 0
+At STD N 0000FFFF
+Ne 0 ""
+Po 12.49426 -2.47142
+$EndPAD
+$PAD
+Sh "3" C 1.19888 1.19888 0 0 0
+Dr 0.65024 0 0
+At STD N 0000FFFF
+Ne 147 "/VGA_B"
+Po -0.2667 -4.4704
+$EndPAD
+$PAD
+Sh "2" C 1.19888 1.19888 0 0 0
+Dr 0.65024 0 0
+At STD N 0000FFFF
+Ne 148 "/VGA_G"
+Po 2.0193 -4.4704
+$EndPAD
+$PAD
+Sh "1" C 1.19888 1.19888 0 0 0
+Dr 0.65024 0 0
+At STD N 0000FFFF
+Ne 149 "/VGA_R"
+Po 4.31038 -4.4704
+$EndPAD
+$PAD
+Sh "4" C 1.19888 1.19888 0 0 0
+Dr 0.65024 0 0
+At STD N 0000FFFF
+Ne 0 ""
+Po -2.56032 -4.4704
+$EndPAD
+$PAD
+Sh "5" C 1.19888 1.19888 0 0 0
+Dr 0.65024 0 0
+At STD N 0000FFFF
+Ne 187 "GND"
+Po -4.84886 -4.4704
+$EndPAD
+$PAD
+Sh "9" C 1.19888 1.19888 0 0 0
+Dr 0.65024 0 0
+At STD N 0000FFFF
+Ne 0 ""
+Po -1.41478 -2.9718
+$EndPAD
+$PAD
+Sh "8" C 1.19888 1.19888 0 0 0
+Dr 0.65024 0 0
+At STD N 0000FFFF
+Ne 187 "GND"
+Po 0.87376 -2.9718
+$EndPAD
+$PAD
+Sh "7" C 1.19888 1.19888 0 0 0
+Dr 0.65024 0 0
+At STD N 0000FFFF
+Ne 187 "GND"
+Po 3.16484 -2.9718
+$EndPAD
+$PAD
+Sh "6" C 1.19888 1.19888 0 0 0
+Dr 0.65024 0 0
+At STD N 0000FFFF
+Ne 187 "GND"
+Po 5.45592 -2.9718
+$EndPAD
+$PAD
+Sh "" C 5.00126 5.00126 0 0 0
+Dr 3.2004 0 0
+At STD N 0000FFFF
+Ne 0 ""
+Po -12.49426 -2.47142
+$EndPAD
+$PAD
+Sh "10" C 1.19888 1.19888 0 0 0
+Dr 0.65024 0 0
+At STD N 0000FFFF
+Ne 187 "GND"
+Po -3.70586 -2.9718
+$EndPAD
+$PAD
+Sh "11" C 1.19888 1.19888 0 0 0
+Dr 0.65024 0 0
+At STD N 0000FFFF
+Ne 187 "GND"
+Po 4.31038 -1.47066
+$EndPAD
+$PAD
+Sh "12" C 1.19888 1.19888 0 0 0
+Dr 0.65024 0 0
+At STD N 0000FFFF
+Ne 0 ""
+Po 2.0193 -1.47066
+$EndPAD
+$PAD
+Sh "13" C 1.19888 1.19888 0 0 0
+Dr 0.65024 0 0
+At STD N 0000FFFF
+Ne 210 "N-00000202"
+Po -0.26924 -1.4732
+$EndPAD
+$PAD
+Sh "14" C 1.19888 1.19888 0 0 0
+Dr 0.65024 0 0
+At STD N 0000FFFF
+Ne 206 "N-00000197"
+Po -2.56032 -1.47066
+$EndPAD
+$PAD
+Sh "15" C 1.19888 1.19888 0 0 0
+Dr 0.65024 0 0
+At STD N 0000FFFF
+Ne 0 ""
+Po -4.84886 -1.47066
+$EndPAD
+$SHAPE3D
+Na "walter/conn_pc/db_15-vga.wrl"
+Sc 1 1 1
+Of 0 0 0
+Ro 0 0 0
+$EndSHAPE3D
+$EndMODULE DB_15F-VGA
+$MODULE FBGA96-new
+Po 46 81 0 15 51920FA0 00000000 ~~
+Li FBGA96-new
+Sc 0
+AR /514D42E8
+Op 0 0 0
+At SMD
+T0 -3.6 -7.6 0.508 0.508 900 0.1016 N V 21 N "U2"
+T1 -0.5 -8.9 0.508 0.508 900 0.1016 N I 21 N "4GBDDR3"
+DS -3.5 -7 -4 -6.5 0.15 21
+DS -4 -7 4 -7 0.15 21
+DS 4 -7 4 7 0.15 21
+DS 4 7 -4 7 0.15 21
+DS -4 7 -4 -7 0.15 21
+$PAD
+Sh "A1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po -3.2 -6
+$EndPAD
+$PAD
+Sh "A2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 13 "/DQ13"
+Po -2.4 -6
+$EndPAD
+$PAD
+Sh "A3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 15 "/DQ15"
+Po -1.6 -6
+$EndPAD
+$PAD
+Sh "A7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 12 "/DQ12"
+Po 1.6 -6
+$EndPAD
+$PAD
+Sh "A8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po 2.4 -6
+$EndPAD
+$PAD
+Sh "A9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 3.2 -6
+$EndPAD
+$PAD
+Sh "B1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -3.2 -5.2
+$EndPAD
+$PAD
+Sh "B2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po -2.4 -5.2
+$EndPAD
+$PAD
+Sh "B3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -1.6 -5.2
+$EndPAD
+$PAD
+Sh "B7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 125 "/SDQS1#"
+Po 1.6 -5.2
+$EndPAD
+$PAD
+Sh "B8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 14 "/DQ14"
+Po 2.4 -5.2
+$EndPAD
+$PAD
+Sh "B9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 3.2 -5.2
+$EndPAD
+$PAD
+Sh "C1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po -3.2 -4.4
+$EndPAD
+$PAD
+Sh "C2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 11 "/DQ11"
+Po -2.4 -4.4
+$EndPAD
+$PAD
+Sh "C3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 39 "/DQ9"
+Po -1.6 -4.4
+$EndPAD
+$PAD
+Sh "C7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 124 "/SDQS1"
+Po 1.6 -4.4
+$EndPAD
+$PAD
+Sh "C8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 10 "/DQ10"
+Po 2.4 -4.4
+$EndPAD
+$PAD
+Sh "C9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po 3.2 -4.4
+$EndPAD
+$PAD
+Sh "D1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -3.2 -3.6
+$EndPAD
+$PAD
+Sh "D2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po -2.4 -3.6
+$EndPAD
+$PAD
+Sh "D3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 119 "/SDQM1"
+Po -1.6 -3.6
+$EndPAD
+$PAD
+Sh "D7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 38 "/DQ8"
+Po 1.6 -3.6
+$EndPAD
+$PAD
+Sh "D8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 2.4 -3.6
+$EndPAD
+$PAD
+Sh "D9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po 3.2 -3.6
+$EndPAD
+$PAD
+Sh "E1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -3.2 -2.8
+$EndPAD
+$PAD
+Sh "E2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -2.4 -2.8
+$EndPAD
+$PAD
+Sh "E3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 8 "/DQ0"
+Po -1.6 -2.8
+$EndPAD
+$PAD
+Sh "E7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 118 "/SDQM0"
+Po 1.6 -2.8
+$EndPAD
+$PAD
+Sh "E8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 2.4 -2.8
+$EndPAD
+$PAD
+Sh "E9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po 3.2 -2.8
+$EndPAD
+$PAD
+Sh "F1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po -3.2 -2
+$EndPAD
+$PAD
+Sh "F2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 20 "/DQ2"
+Po -2.4 -2
+$EndPAD
+$PAD
+Sh "F3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 122 "/SDQS0"
+Po -1.6 -2
+$EndPAD
+$PAD
+Sh "F7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 9 "/DQ1"
+Po 1.6 -2
+$EndPAD
+$PAD
+Sh "F8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 31 "/DQ3"
+Po 2.4 -2
+$EndPAD
+$PAD
+Sh "F9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 3.2 -2
+$EndPAD
+$PAD
+Sh "G1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -3.2 -1.2
+$EndPAD
+$PAD
+Sh "G2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 36 "/DQ6"
+Po -2.4 -1.2
+$EndPAD
+$PAD
+Sh "G3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 123 "/SDQS0#"
+Po -1.6 -1.2
+$EndPAD
+$PAD
+Sh "G7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po 1.6 -1.2
+$EndPAD
+$PAD
+Sh "G8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 2.4 -1.2
+$EndPAD
+$PAD
+Sh "G9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 3.2 -1.2
+$EndPAD
+$PAD
+Sh "H1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 167 "DRAM_REF"
+Po -3.2 -0.4
+$EndPAD
+$PAD
+Sh "H2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po -2.4 -0.4
+$EndPAD
+$PAD
+Sh "H3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 34 "/DQ4"
+Po -1.6 -0.4
+$EndPAD
+$PAD
+Sh "H7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 37 "/DQ7"
+Po 1.6 -0.4
+$EndPAD
+$PAD
+Sh "H8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 35 "/DQ5"
+Po 2.4 -0.4
+$EndPAD
+$PAD
+Sh "H9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po 3.2 -0.4
+$EndPAD
+$PAD
+Sh "J1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -3.2 0.4
+$EndPAD
+$PAD
+Sh "J2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -2.4 0.4
+$EndPAD
+$PAD
+Sh "J3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 130 "/SRAS"
+Po -1.6 0.4
+$EndPAD
+$PAD
+Sh "J7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 4 "/CK"
+Po 1.6 0.4
+$EndPAD
+$PAD
+Sh "J8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 2.4 0.4
+$EndPAD
+$PAD
+Sh "J9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 3.2 0.4
+$EndPAD
+$PAD
+Sh "K1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 90 "/ODT"
+Po -3.2 1.2
+$EndPAD
+$PAD
+Sh "K2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po -2.4 1.2
+$EndPAD
+$PAD
+Sh "K3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 115 "/SCAS"
+Po -1.6 1.2
+$EndPAD
+$PAD
+Sh "K7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 5 "/CK#"
+Po 1.6 1.2
+$EndPAD
+$PAD
+Sh "K8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po 2.4 1.2
+$EndPAD
+$PAD
+Sh "K9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 6 "/CKE"
+Po 3.2 1.2
+$EndPAD
+$PAD
+Sh "L1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -3.2 2
+$EndPAD
+$PAD
+Sh "L2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 7 "/CS#"
+Po -2.4 2
+$EndPAD
+$PAD
+Sh "L3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 132 "/SWE"
+Po -1.6 2
+$EndPAD
+$PAD
+Sh "L7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 102 "/SA10"
+Po 1.6 2
+$EndPAD
+$PAD
+Sh "L8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 215 "N-00000265"
+Po 2.4 2
+$EndPAD
+$PAD
+Sh "L9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 3.2 2
+$EndPAD
+$PAD
+Sh "M1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -3.2 2.8
+$EndPAD
+$PAD
+Sh "M2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 1 "/BA0"
+Po -2.4 2.8
+$EndPAD
+$PAD
+Sh "M3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 3 "/BA2"
+Po -1.6 2.8
+$EndPAD
+$PAD
+Sh "M7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 1.6 2.8
+$EndPAD
+$PAD
+Sh "M8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 167 "DRAM_REF"
+Po 2.4 2.8
+$EndPAD
+$PAD
+Sh "M9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 3.2 2.8
+$EndPAD
+$PAD
+Sh "N1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po -3.2 3.6
+$EndPAD
+$PAD
+Sh "N2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 108 "/SA3"
+Po -2.4 3.6
+$EndPAD
+$PAD
+Sh "N3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 100 "/SA0"
+Po -1.6 3.6
+$EndPAD
+$PAD
+Sh "N7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 104 "/SA12"
+Po 1.6 3.6
+$EndPAD
+$PAD
+Sh "N8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 2 "/BA1"
+Po 2.4 3.6
+$EndPAD
+$PAD
+Sh "N9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po 3.2 3.6
+$EndPAD
+$PAD
+Sh "P1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -3.2 4.4
+$EndPAD
+$PAD
+Sh "P2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 110 "/SA5"
+Po -2.4 4.4
+$EndPAD
+$PAD
+Sh "P3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 107 "/SA2"
+Po -1.6 4.4
+$EndPAD
+$PAD
+Sh "P7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 101 "/SA1"
+Po 1.6 4.4
+$EndPAD
+$PAD
+Sh "P8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 109 "/SA4"
+Po 2.4 4.4
+$EndPAD
+$PAD
+Sh "P9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 3.2 4.4
+$EndPAD
+$PAD
+Sh "R1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po -3.2 5.2
+$EndPAD
+$PAD
+Sh "R2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 112 "/SA7"
+Po -2.4 5.2
+$EndPAD
+$PAD
+Sh "R3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 114 "/SA9"
+Po -1.6 5.2
+$EndPAD
+$PAD
+Sh "R7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 103 "/SA11"
+Po 1.6 5.2
+$EndPAD
+$PAD
+Sh "R8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 111 "/SA6"
+Po 2.4 5.2
+$EndPAD
+$PAD
+Sh "R9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po 3.2 5.2
+$EndPAD
+$PAD
+Sh "T1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -3.2 6
+$EndPAD
+$PAD
+Sh "T2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 131 "/SRST"
+Po -2.4 6
+$EndPAD
+$PAD
+Sh "T3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 105 "/SA13"
+Po -1.6 6
+$EndPAD
+$PAD
+Sh "T7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 106 "/SA14"
+Po 1.6 6
+$EndPAD
+$PAD
+Sh "T8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 113 "/SA8"
+Po 2.4 6
+$EndPAD
+$PAD
+Sh "T9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 3.2 6
+$EndPAD
+$EndMODULE FBGA96-new
+$MODULE HDMI
+Po 0 0 0 15 519F38FE 00000000 ~~
+Li HDMI
+Cd Cms SOJ 20 pins large
+Kw CMS SOJ
+Sc 0
+AR /514F1A03
+Op 0 0 0
+At SMD
+T0 5.95 4.75 1.524 1.524 0 0.127 N V 21 N "J7"
+T1 0 4.9 1 1 0 0.1 N V 21 N "HDMI"
+DS -3.95 5.65 -3.95 -1.9 0.2 21
+DS -3.95 -1.75 4.25 -1.75 0.2 21
+DS 4.25 -1.9 4.25 5.65 0.2 21
+DS 4.25 5.65 -3.95 5.65 0.2 21
+$PAD
+Sh "9" R 0.23 0.85 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 12 "N-0000038"
+Po 0.2 -0.68
+$EndPAD
+$PAD
+Sh "7" R 0.23 0.85 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 11 "N-0000037"
+Po 0.6 -0.675
+$EndPAD
+$PAD
+Sh "5" R 0.23 0.85 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 2 "GND"
+Po 1 -0.675
+$EndPAD
+$PAD
+Sh "3" R 0.23 0.85 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 9 "N-0000035"
+Po 1.4 -0.675
+$EndPAD
+$PAD
+Sh "1" R 0.23 0.85 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 8 "N-0000034"
+Po 1.8 -0.675
+$EndPAD
+$PAD
+Sh "11" R 0.23 0.85 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 2 "GND"
+Po -0.2 -0.675
+$EndPAD
+$PAD
+Sh "15" R 0.23 0.85 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 6 "N-00000264"
+Po -1 -0.675
+$EndPAD
+$PAD
+Sh "13" R 0.23 0.85 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 3 "N-00000185"
+Po -0.6 -0.675
+$EndPAD
+$PAD
+Sh "17" R 0.23 0.85 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 2 "GND"
+Po -1.4 -0.675
+$EndPAD
+$PAD
+Sh "19" R 0.23 0.85 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 4 "N-00000189"
+Po -1.8 -0.675
+$EndPAD
+$PAD
+Sh "2" R 0.23 0.85 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 2 "GND"
+Po 1.595 0.675
+$EndPAD
+$PAD
+Sh "4" R 0.23 0.85 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 10 "N-0000036"
+Po 1.195 0.675
+$EndPAD
+$PAD
+Sh "6" R 0.23 0.85 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 7 "N-0000033"
+Po 0.795 0.675
+$EndPAD
+$PAD
+Sh "8" R 0.23 0.85 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 2 "GND"
+Po 0.395 0.675
+$EndPAD
+$PAD
+Sh "10" R 0.23 0.85 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 13 "N-0000039"
+Po -0.005 0.675
+$EndPAD
+$PAD
+Sh "12" R 0.23 0.85 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 14 "N-0000040"
+Po -0.405 0.675
+$EndPAD
+$PAD
+Sh "14" R 0.23 0.85 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 2 "GND"
+Po -0.805 0.675
+$EndPAD
+$PAD
+Sh "16" R 0.23 0.85 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 5 "N-00000190"
+Po -1.205 0.675
+$EndPAD
+$PAD
+Sh "18" R 0.23 0.85 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 1 "5V_IN"
+Po -1.605 0.675
+$EndPAD
+$PAD
+Sh "sh1" O 1.5 2.55 0 0 0
+Dr 0.65 0 0 O 0.65 1.699999
+At STD N 00E0FFFF
+Ne 2 "GND"
+Po -3.1 0.75
+$EndPAD
+$PAD
+Sh "sh2" O 1.5 2.55 0 0 0
+Dr 0.65 0 0 O 0.65 1.699999
+At STD N 00E0FFFF
+Ne 2 "GND"
+Po 3.1 0.75
+$EndPAD
+$PAD
+Sh "sh3" O 1.5 2.55 0 0 0
+Dr 0.65 0 0 O 0.65 1.699999
+At STD N 00E0FFFF
+Ne 2 "GND"
+Po -3.05 4.1
+$EndPAD
+$PAD
+Sh "sh4" O 1.5 2.55 0 0 0
+Dr 0.65 0 0 O 0.65 1.699999
+At STD N 00E0FFFF
+Ne 2 "GND"
+Po 3.1 4.1
+$EndPAD
+$SHAPE3D
+Na "smd/cms_so20.wrl"
+Sc 0.5 0.6 0.5
+Of 0 0 0
+Ro 0 0 0
+$EndSHAPE3D
+$EndMODULE HDMI
+$MODULE LED-3MM
+Po 103.4 38.35 0 15 5189C9A7 00000000 ~~
+Li LED-3MM
+Cd LED 3mm - Lead pitch 100mil (2,54mm)
+Kw LED led 3mm 3MM 100mil 2,54mm
+Sc 0
+AR /5189E9D2
+Op 0 0 0
+T0 2.775 -0.975 0.762 0.762 0 0.0889 N V 21 N "D1"
+T1 -0.9 -2.675 0.762 0.762 0 0.0889 N V 21 N "LED"
+DS 1.8288 1.27 1.8288 -1.27 0.254 21
+DA 0.254 0 -1.27 0 398 0.1524 21
+DA 0.254 0 -0.88392 1.01092 416 0.1524 21
+DA 0.254 0 1.4097 -0.9906 406 0.1524 21
+DA 0.254 0 1.778 0 398 0.1524 21
+DA 0.254 0 0.254 -1.524 544 0.1524 21
+DA 0.254 0 -0.9652 -0.9144 531 0.1524 21
+DA 0.254 0 1.45542 0.93472 521 0.1524 21
+DA 0.254 0 0.254 1.524 521 0.1524 21
+DA 0.254 0 -0.381 0 900 0.1524 21
+DA 0.254 0 -0.762 0 900 0.1524 21
+DA 0.254 0 0.889 0 900 0.1524 21
+DA 0.254 0 1.27 0 900 0.1524 21
+DA 0.254 0 0.254 -2.032 501 0.254 21
+DA 0.254 0 -1.5367 -0.95504 619 0.254 21
+DA 0.254 0 1.8034 1.31064 497 0.254 21
+DA 0.254 0 0.254 2.032 602 0.254 21
+DA 0.254 0 -1.778 0 283 0.254 21
+DA 0.254 0 -1.47574 1.06426 316 0.254 21
+$PAD
+Sh "1" C 1.6764 1.6764 0 0 0
+Dr 0.812799 0 0
+At STD N 00E0FFFF
+Ne 212 "N-00000228"
+Po -1.27 0
+$EndPAD
+$PAD
+Sh "2" C 1.6764 1.6764 0 0 0
+Dr 0.812799 0 0
+At STD N 00E0FFFF
+Ne 187 "GND"
+Po 1.27 0
+$EndPAD
+$SHAPE3D
+Na "discret/leds/led3_vertical_verde.wrl"
+Sc 1 1 1
+Of 0 0 0
+Ro 0 0 0
+$EndSHAPE3D
+$EndMODULE LED-3MM
+$MODULE PIN_ARRAY_1
+Po 52.95 93.875 0 15 51920FFF 00000000 ~~
+Li PIN_ARRAY_1
+Cd 1 pin
+Kw CONN DEV
+Sc 0
+AR /5189BF82
+Op 0 0 0
+T0 -2.6 0.85 0.762 0.762 0 0.1524 N V 21 N "P11"
+T1 -3.675 -0.55 0.762 0.762 0 0.1524 N V 21 N "CONN_1"
+DS 1.27 1.27 -1.27 1.27 0.1524 21
+DS -1.27 -1.27 1.27 -1.27 0.1524 21
+DS -1.27 1.27 -1.27 -1.27 0.1524 21
+DS 1.27 -1.27 1.27 1.27 0.1524 21
+$PAD
+Sh "1" R 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 167 "DRAM_REF"
+Po 0 0
+$EndPAD
+$SHAPE3D
+Na "pin_array\\pin_1.wrl"
+Sc 1 1 1
+Of 0 0 0
+Ro 0 0 0
+$EndSHAPE3D
+$EndMODULE PIN_ARRAY_1
+$MODULE PIN_ARRAY_2X1
+Po 73.475 107.1 0 15 5188A029 00000000 ~~
+Li PIN_ARRAY_2X1
+Cd Connecteurs 2 pins
+Kw CONN DEV
+Sc 0
+AR /515A7B4E
+Op 0 0 0
+T0 3.475 -0.025 0.762 0.762 0 0.1524 N V 21 N "P4"
+T1 0 -1.905 0.762 0.762 0 0.1524 N I 21 N "UBOOT"
+DS -2.54 1.27 -2.54 -1.27 0.1524 21
+DS -2.54 -1.27 2.54 -1.27 0.1524 21
+DS 2.54 -1.27 2.54 1.27 0.1524 21
+DS 2.54 1.27 -2.54 1.27 0.1524 21
+$PAD
+Sh "1" R 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 195 "N-00000139"
+Po -1.27 0
+$EndPAD
+$PAD
+Sh "2" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 187 "GND"
+Po 1.27 0
+$EndPAD
+$SHAPE3D
+Na "pin_array/pins_array_2x1.wrl"
+Sc 1 1 1
+Of 0 0 0
+Ro 0 0 0
+$EndSHAPE3D
+$EndMODULE PIN_ARRAY_2X1
+$MODULE PIN_ARRAY_5x2
+Po 83.87 83.31 0 15 51833FF7 00000000 ~~
+Li PIN_ARRAY_5x2
+Cd Double rangee de contacts 2 x 5 pins
+Kw CONN
+Sc 0
+AR /51518451
+Op 0 0 0
+T0 5.75 3.625 1.016 1.016 0 0.2032 N V 21 N "J1"
+T1 0 -3.81 1.016 1.016 0 0.2032 N I 21 N "CONN10"
+DS -6.35 -2.54 6.35 -2.54 0.3048 21
+DS 6.35 -2.54 6.35 2.54 0.3048 21
+DS 6.35 2.54 -6.35 2.54 0.3048 21
+DS -6.35 2.54 -6.35 -2.54 0.3048 21
+$PAD
+Sh "1" R 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 87 "/MICIN1"
+Po -5.08 1.27
+$EndPAD
+$PAD
+Sh "2" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 88 "/MICIN2"
+Po -5.08 -1.27
+$EndPAD
+$PAD
+Sh "3" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 84 "/LIN_L"
+Po -2.54 1.27
+$EndPAD
+$PAD
+Sh "4" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 85 "/LIN_R"
+Po -2.54 -1.27
+$EndPAD
+$PAD
+Sh "5" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 187 "GND"
+Po 0 1.27
+$EndPAD
+$PAD
+Sh "6" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 150 "/VMIC"
+Po 0 -1.27
+$EndPAD
+$PAD
+Sh "7" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 151 "/VRA1"
+Po 2.54 1.27
+$EndPAD
+$PAD
+Sh "8" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 152 "/VRA2"
+Po 2.54 -1.27
+$EndPAD
+$PAD
+Sh "9" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 153 "/VRP"
+Po 5.08 1.27
+$EndPAD
+$PAD
+Sh "10" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 187 "GND"
+Po 5.08 -1.27
+$EndPAD
+$SHAPE3D
+Na "pin_array/pins_array_5x2.wrl"
+Sc 1 1 1
+Of 0 0 0
+Ro 0 0 0
+$EndSHAPE3D
+$EndMODULE PIN_ARRAY_5x2
+$MODULE QFN48+1
+Po 99.2505 49.8348 0 15 515E7D5F 00000000 ~~
+Li QFN48+1
+Sc 0
+AR /514C3FF4
+Op 0 0 0
+At SMD
+T0 0.01016 2.45364 0.762 0.762 0 0.127 N V 21 N "U7"
+T1 0.381 -0.1524 0.762 0.635 0 0.1524 N I 21 N "AXP209"
+DS -2.921 -3.81 -3.175 -3.81 0.127 21
+DS -3.175 -3.81 -3.81 -3.302 0.127 21
+DS -3.81 -3.302 -3.81 -2.921 0.127 21
+DS -2.921 -3.81 3.81 -3.81 0.127 21
+DS 3.81 -3.81 3.81 3.81 0.127 21
+DS 3.81 3.81 -3.81 3.81 0.127 21
+DS -3.81 3.81 -3.81 -2.921 0.127 21
+DC -3.32994 -3.25882 -3.43154 -3.56108 0.127 21
+$PAD
+Sh "1" R 0.59944 0.24892 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 134 "/TWI0SDA"
+Po -3.39852 -2.74828
+$EndPAD
+$PAD
+Sh "2" R 0.59944 0.24892 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 133 "/TWI0SCK"
+Po -3.39852 -2.2479
+$EndPAD
+$PAD
+Sh "3" R 0.59944 0.24892 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -3.39852 -1.74752
+$EndPAD
+$PAD
+Sh "4" R 0.59944 0.24892 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -3.39852 -1.24714
+$EndPAD
+$PAD
+Sh "5" R 0.59944 0.24892 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -3.39852 -0.7493
+$EndPAD
+$PAD
+Sh "6" R 0.59944 0.24892 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -3.39852 -0.24892
+$EndPAD
+$PAD
+Sh "7" R 0.59944 0.24892 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 188 "IPSOUT"
+Po -3.39852 0.25146
+$EndPAD
+$PAD
+Sh "8" R 0.59944 0.24892 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 255 "N-0000053"
+Po -3.39852 0.75184
+$EndPAD
+$PAD
+Sh "9" R 0.59944 0.24892 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -3.39852 1.25222
+$EndPAD
+$PAD
+Sh "10" R 0.59944 0.24892 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 162 "1.25V"
+Po -3.39852 1.7526
+$EndPAD
+$PAD
+Sh "11" R 0.59944 0.24892 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 191 "LDO4"
+Po -3.39852 2.25298
+$EndPAD
+$PAD
+Sh "12" R 0.59944 0.24892 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 166 "AVCC"
+Po -3.39852 2.75082
+$EndPAD
+$PAD
+Sh "33" R 0.59944 0.24892 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 165 "5V_IN"
+Po 3.39852 -1.25222
+$EndPAD
+$PAD
+Sh "34" R 0.59944 0.24892 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 188 "IPSOUT"
+Po 3.39852 -1.75006
+$EndPAD
+$PAD
+Sh "35" R 0.59944 0.24892 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 188 "IPSOUT"
+Po 3.39852 -2.25044
+$EndPAD
+$PAD
+Sh "36" R 0.59944 0.24892 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 3.39852 -2.75082
+$EndPAD
+$PAD
+Sh "17" R 0.24892 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 163 "1.2V"
+Po -0.7493 3.40106
+$EndPAD
+$PAD
+Sh "18" R 0.24892 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -0.25146 3.40106
+$EndPAD
+$PAD
+Sh "19" R 0.24892 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 219 "N-00000276"
+Po 0.24892 3.40106
+$EndPAD
+$PAD
+Sh "20" R 0.24892 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 40 "/EXTEN"
+Po 0.7493 3.40106
+$EndPAD
+$PAD
+Sh "21" R 0.24892 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 188 "IPSOUT"
+Po 1.24968 3.40106
+$EndPAD
+$PAD
+Sh "22" R 0.24892 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 1.75006 3.40106
+$EndPAD
+$PAD
+Sh "23" R 0.24892 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 220 "N-00000277"
+Po 2.25044 3.40106
+$EndPAD
+$PAD
+Sh "24" R 0.24892 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 213 "N-00000263"
+Po 2.75082 3.40106
+$EndPAD
+$PAD
+Sh "49" R 5.10032 5.10032 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 0 0
+$EndPAD
+$PAD
+Sh "13" R 0.24892 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 188 "IPSOUT"
+Po -2.74828 3.40106
+$EndPAD
+$PAD
+Sh "14" R 0.24892 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 188 "IPSOUT"
+Po -2.2479 3.40106
+$EndPAD
+$PAD
+Sh "15" R 0.24892 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 256 "N-0000054"
+Po -1.74752 3.40106
+$EndPAD
+$PAD
+Sh "16" R 0.24892 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -1.24968 3.40106
+$EndPAD
+$PAD
+Sh "25" R 0.59944 0.24892 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 99 "/RESET#"
+Po 3.39852 2.74828
+$EndPAD
+$PAD
+Sh "26" R 0.59944 0.24892 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 208 "N-00000200"
+Po 3.40106 2.2479
+$EndPAD
+$PAD
+Sh "27" R 0.59944 0.24892 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 221 "N-00000294"
+Po 3.40106 1.75006
+$EndPAD
+$PAD
+Sh "28" R 0.59944 0.24892 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 189 "LDO1"
+Po 3.40106 1.24968
+$EndPAD
+$PAD
+Sh "29" R 0.59944 0.24892 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 3.40106 0.7493
+$EndPAD
+$PAD
+Sh "30" R 0.59944 0.24892 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 3.40106 0.24892
+$EndPAD
+$PAD
+Sh "31" R 0.59944 0.24892 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 3.40106 -0.25146
+$EndPAD
+$PAD
+Sh "32" R 0.59944 0.24892 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 165 "5V_IN"
+Po 3.40106 -0.75184
+$EndPAD
+$PAD
+Sh "37" R 0.24892 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 207 "N-00000199"
+Po 2.75082 -3.39852
+$EndPAD
+$PAD
+Sh "38" R 0.24892 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 2.25044 -3.39852
+$EndPAD
+$PAD
+Sh "39" R 0.24892 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 1.75006 -3.39852
+$EndPAD
+$PAD
+Sh "40" R 0.24892 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 188 "IPSOUT"
+Po 1.25222 -3.39852
+$EndPAD
+$PAD
+Sh "41" R 0.24892 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 190 "LDO3"
+Po 0.75184 -3.39852
+$EndPAD
+$PAD
+Sh "42" R 0.24892 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 0.25146 -3.39852
+$EndPAD
+$PAD
+Sh "43" R 0.24892 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -0.24892 -3.39852
+$EndPAD
+$PAD
+Sh "44" R 0.24892 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 188 "IPSOUT"
+Po -0.7493 -3.39852
+$EndPAD
+$PAD
+Sh "45" R 0.24892 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -1.24968 -3.39852
+$EndPAD
+$PAD
+Sh "46" R 0.24892 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -1.75006 -3.39852
+$EndPAD
+$PAD
+Sh "47" R 0.24892 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 217 "N-00000267"
+Po -2.2479 -3.39852
+$EndPAD
+$PAD
+Sh "48" R 0.24892 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 89 "/NMI#"
+Po -2.74828 -3.39852
+$EndPAD
+$EndMODULE QFN48+1
+$MODULE RCLAMP
+Po 82.5494 96.8924 0 15 5189C9EE 00000000 ~~
+Li RCLAMP
+Cd Module CMS SOJ 8 pins large
+Kw CMS SOJ
+Sc 0
+AR /514D0FDE
+Op 0 0 0
+At SMD
+T0 2.2994 1.7924 1.143 1.016 0 0.127 N V 21 N "U8"
+T1 1.3994 3.3424 1.016 1.016 0 0.127 N V 21 N "524P"
+DS -1.35 -1.05 1.4 -1.05 0.15 21
+DS 1.4 -1.05 1.4 1 0.15 21
+DS 1.4 1 -1.35 1 0.15 21
+DS -1.35 1 -1.35 -1.05 0.15 21
+$PAD
+Sh "7" R 0.2 0.675 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 199 "N-00000190"
+Po 0.5 -0.45
+$EndPAD
+$PAD
+Sh "8" R 0.4 0.675 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 0 -0.45
+$EndPAD
+$PAD
+Sh "3" R 0.4 0.675 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 0 0.45
+$EndPAD
+$PAD
+Sh "4" R 0.2 0.675 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 44 "/HSDA"
+Po 0.5 0.45
+$EndPAD
+$PAD
+Sh "6" R 0.2 0.675 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 198 "N-00000189"
+Po 1 -0.45
+$EndPAD
+$PAD
+Sh "5" R 0.2 0.675 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 42 "/HHPD"
+Po 1 0.45
+$EndPAD
+$PAD
+Sh "9" R 0.2 0.675 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 214 "N-00000264"
+Po -0.5 -0.45
+$EndPAD
+$PAD
+Sh "2" R 0.2 0.675 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 43 "/HSCL"
+Po -0.5 0.45
+$EndPAD
+$PAD
+Sh "10" R 0.2 0.675 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 197 "N-00000185"
+Po -1 -0.45
+$EndPAD
+$PAD
+Sh "1" R 0.2 0.675 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 41 "/HCEC"
+Po -1 0.45
+$EndPAD
+$SHAPE3D
+Na "smd/cms_so8.wrl"
+Sc 0.5 0.38 0.5
+Of 0 0 0
+Ro 0 0 0
+$EndSHAPE3D
+$EndMODULE RCLAMP
+$MODULE RJ45-HANRUN
+Po 0 0 0 15 51A4CE15 00000000 ~~
+Li RJ45-HANRUN
+Kw RJ45
+Sc 0
+AR /5159654C/515ADBB5
+Op 0 0 0
+T0 -3.81 15.24 1.524 1.524 0 0.3048 N V 21 N "J8"
+T1 0.51 12.27 1.00076 1.00076 0 0.2032 N V 21 N "RJ45-TRANSFO"
+DS -7.787 -11.226 -7.787 0.712 0.3048 21
+DS -7.787 3.887 -7.787 13.793 0.3048 21
+DS 8.215 -11.226 8.215 0.712 0.3048 21
+DS 8.215 3.887 8.215 13.793 0.3048 21
+DS -7.787 13.793 -6.771 13.793 0.3048 21
+DS 1.611 13.793 -1.691 13.793 0.3048 21
+DS 8.215 13.793 6.945 13.793 0.3048 21
+DS 8.215 -11.27172 -7.787 -11.27172 0.3048 21
+$PAD
+Sh "Hole" C 3.85064 3.85064 0 0 1800
+Dr 3.302 0 0
+At STD N 00F0FFFF
+Ne 0 ""
+Po -5.72 0
+$EndPAD
+$PAD
+Sh "Hole" C 3.85064 3.85064 0 0 1800
+Dr 3.302 0 0
+At STD N 00F0FFFF
+Ne 0 ""
+Po 5.71 0
+$EndPAD
+$PAD
+Sh "1" C 1.524 1.524 0 0 1800
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 0 ""
+Po 4.45 6.35
+$EndPAD
+$PAD
+Sh "2" C 1.524 1.524 0 0 1800
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 0 ""
+Po 3.18 8.89
+$EndPAD
+$PAD
+Sh "3" C 1.524 1.524 0 0 1800
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 0 ""
+Po 1.91 6.35
+$EndPAD
+$PAD
+Sh "4" C 1.524 1.524 0 0 1800
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 0 ""
+Po 0.64 8.89
+$EndPAD
+$PAD
+Sh "5" C 1.524 1.524 0 0 1800
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 0 ""
+Po -0.63 6.35
+$EndPAD
+$PAD
+Sh "6" C 1.524 1.524 0 0 1800
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 0 ""
+Po -1.9 8.89
+$EndPAD
+$PAD
+Sh "7" C 1.524 1.524 0 0 1800
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 2 "/ethernet/PFWBOUT"
+Po -3.17 6.35
+$EndPAD
+$PAD
+Sh "13" C 2.4 2.4 0 0 1800
+Dr 1.7 0 0
+At STD N 00F0FFFF
+Ne 3 "GND"
+Po -7.78 3.05
+$EndPAD
+$PAD
+Sh "13" C 2.4 2.4 0 0 1800
+Dr 1.66 0 0
+At STD N 00F0FFFF
+Ne 3 "GND"
+Po 7.75 3.05
+$EndPAD
+$PAD
+Sh "10" C 1.524 1.524 0 0 1800
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 4 "N-00000413"
+Po 4.08 -4.9
+$EndPAD
+$PAD
+Sh "11" C 1.524 1.524 0 0 1800
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 0 ""
+Po -4.08 -4.9
+$EndPAD
+$PAD
+Sh "9" C 1.524 1.524 0 0 1800
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 1 "/ethernet/LED4"
+Po 6.63 -4.9
+$EndPAD
+$PAD
+Sh "12" C 1.524 1.524 0 0 1800
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 0 ""
+Po -6.62 -4.9
+$EndPAD
+$PAD
+Sh "8" R 1.524 1.524 0 0 1800
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 0 ""
+Po -4.39 8.89
+$EndPAD
+$EndMODULE RJ45-HANRUN
+$MODULE RJ45_TRANSFO
+Po 31.175 45.425 0 15 517BEBB0 00000000 ~~
+Li RJ45_TRANSFO
+Kw RJ45
+Sc 0
+AR /5159654C/515ADBB5
+Op 0 0 0
+T0 -3.81 15.24 1.524 1.524 0 0.3048 N V 21 N "J8"
+T1 -3 12.25 1.00076 1.00076 0 0.2032 N V 21 N "RJ45-TRANSFO"
+DS 3.937 20.066 3.937 8.128 0.3048 21
+DS 3.937 4.953 3.937 -4.953 0.3048 21
+DS -12.065 20.066 -12.065 8.128 0.3048 21
+DS -12.065 4.953 -12.065 -4.953 0.3048 21
+DS 3.937 -4.953 2.921 -4.953 0.3048 21
+DS -5.461 -4.953 -2.159 -4.953 0.3048 21
+DS -12.065 -4.953 -10.795 -4.953 0.3048 21
+DS -12.065 20.11172 3.937 20.11172 0.3048 21
+$PAD
+Sh "Hole" C 3.85064 3.85064 0 0 0
+Dr 3.302 0 0
+At STD N 00F0FFFF
+Ne 0 ""
+Po 1.905 8.89
+$EndPAD
+$PAD
+Sh "Hole" C 3.85064 3.85064 0 0 0
+Dr 3.302 0 0
+At STD N 00F0FFFF
+Ne 0 ""
+Po -9.525 8.89
+$EndPAD
+$PAD
+Sh "8" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 187 "GND"
+Po -8.255 2.54
+$EndPAD
+$PAD
+Sh "7" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 0 ""
+Po -7.62 0
+$EndPAD
+$PAD
+Sh "6" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 159 "/ethernet/RX-"
+Po -5.715 2.54
+$EndPAD
+$PAD
+Sh "5" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 0 ""
+Po -5.08 0
+$EndPAD
+$PAD
+Sh "4" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 158 "/ethernet/RX+"
+Po -3.175 2.54
+$EndPAD
+$PAD
+Sh "3" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 161 "/ethernet/TX-"
+Po -2.54 0
+$EndPAD
+$PAD
+Sh "2" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 157 "/ethernet/PFWBOUT"
+Po -0.635 2.54
+$EndPAD
+$PAD
+Sh "13" C 2.54 2.54 0 0 0
+Dr 1.778 0 0
+At STD N 00F0FFFF
+Ne 187 "GND"
+Po 3.937 5.842
+$EndPAD
+$PAD
+Sh "13" C 2.54 2.54 0 0 0
+Dr 1.778 0 0
+At STD N 00F0FFFF
+Ne 187 "GND"
+Po -11.557 5.842
+$EndPAD
+$PAD
+Sh "YK" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 240 "N-00000413"
+Po -6.731 -4.826
+$EndPAD
+$PAD
+Sh "GA" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 164 "3.3V"
+Po -0.889 -4.826
+$EndPAD
+$PAD
+Sh "YA" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 156 "/ethernet/LED4"
+Po -9.271 -4.826
+$EndPAD
+$PAD
+Sh "GK" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 241 "N-00000415"
+Po 1.651 -4.826
+$EndPAD
+$PAD
+Sh "1" R 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 160 "/ethernet/TX+"
+Po 0 0
+$EndPAD
+$EndMODULE RJ45_TRANSFO
+$MODULE SIL-2
+Po 109.05 38.65 0 15 51920B20 00000000 ~~
+Li SIL-2
+Cd Connecteurs 2 pins
+Kw CONN DEV
+Sc 0
+AR /5152F670
+Op 0 0 0
+T0 2.4 2.875 1.72974 1.08712 0 0.3048 N V 21 N "SW1"
+T1 0 -2.54 1.524 1.016 0 0.254 N I 21 N " "
+DS -2.54 1.27 -2.54 -1.27 0.3048 21
+DS -2.54 -1.27 2.54 -1.27 0.3048 21
+DS 2.54 -1.27 2.54 1.27 0.3048 21
+DS 2.54 1.27 -2.54 1.27 0.3048 21
+$PAD
+Sh "1" R 1.397 1.397 0 0 0
+Dr 0.812799 0 0
+At STD N 00E0FFFF
+Ne 187 "GND"
+Po -1.27 0
+$EndPAD
+$PAD
+Sh "2" C 1.397 1.397 0 0 0
+Dr 0.812799 0 0
+At STD N 00E0FFFF
+Ne 209 "N-00000201"
+Po 1.27 0
+$EndPAD
+$EndMODULE SIL-2
+$MODULE SM0402
+Po 103.475 41.325 0 15 50A4E0BA 00000000 ~~
+Li SM0402
+Sc 0
+AR /5189E9C5
+Op 0 0 0
+At SMD
+T0 0 0 0.35052 0.3048 0 0.07112 N V 21 N "R50"
+T1 0.09906 0 0.35052 0.3048 0 0.07112 N I 21 N "4k7"
+DS -0.254 -0.381 -0.762 -0.381 0.07112 21
+DS -0.762 -0.381 -0.762 0.381 0.07112 21
+DS -0.762 0.381 -0.254 0.381 0.07112 21
+DS 0.254 -0.381 0.762 -0.381 0.07112 21
+DS 0.762 -0.381 0.762 0.381 0.07112 21
+DS 0.762 0.381 0.254 0.381 0.07112 21
+$PAD
+Sh "1" R 0.39878 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 99 "/RESET#"
+Po -0.44958 0
+$EndPAD
+$PAD
+Sh "2" R 0.39878 0.59944 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 212 "N-00000228"
+Po 0.44958 0
+$EndPAD
+$SHAPE3D
+Na "smd\\chip_cms.wrl"
+Sc 0.05 0.05 0.05
+Of 0 0 0.002
+Ro 0 0 0
+$EndSHAPE3D
+$EndMODULE SM0402
+$MODULE SM0603
+Po 44.775 88.325 0 15 5191E750 00000000 ~~
+Li SM0603
+Sc 0
+AR /51552419
+Op 0 0 0
+At SMD
+T0 -1.95 0.225 0.508 0.4572 0 0.1143 N V 21 N "C20"
+T1 -1.95 -0.55 0.508 0.4572 0 0.1143 N I 21 N "4.7u"
+DS -1.143 -0.635 1.143 -0.635 0.127 21
+DS 1.143 -0.635 1.143 0.635 0.127 21
+DS 1.143 0.635 -1.143 0.635 0.127 21
+DS -1.143 0.635 -1.143 -0.635 0.127 21
+$PAD
+Sh "1" R 0.635 1.143 0 0 -0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -0.762 0
+$EndPAD
+$PAD
+Sh "2" R 0.635 1.143 0 0 -0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po 0.762 0
+$EndPAD
+$SHAPE3D
+Na "smd\\resistors\\R0603.wrl"
+Sc 0.5 0.5 0.5
+Of 0 0 0.001
+Ro 0 0 0
+$EndSHAPE3D
+$EndMODULE SM0603
+$MODULE SM0805
+Po 46.25 36.475 0 15 518882C6 00000000 ~~
+Li SM0805
+Sc 0
+AR /5159654C/515AE226
+Op 0 0 0
+At SMD
+T0 -0.575 -1.375 0.50038 0.50038 0 0.10922 N V 21 N "C138"
+T1 -0.65 -2.175 0.50038 0.50038 0 0.10922 N V 21 N "10u"
+DC -1.651 0.762 -1.651 0.635 0.09906 21
+DS -0.508 0.762 -1.524 0.762 0.09906 21
+DS -1.524 0.762 -1.524 -0.762 0.09906 21
+DS -1.524 -0.762 -0.508 -0.762 0.09906 21
+DS 0.508 -0.762 1.524 -0.762 0.09906 21
+DS 1.524 -0.762 1.524 0.762 0.09906 21
+DS 1.524 0.762 0.508 0.762 0.09906 21
+$PAD
+Sh "1" R 0.889 1.397 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -0.9525 0
+$EndPAD
+$PAD
+Sh "2" R 0.889 1.397 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 157 "/ethernet/PFWBOUT"
+Po 0.9525 0
+$EndPAD
+$SHAPE3D
+Na "smd/chip_cms.wrl"
+Sc 0.1 0.1 0.1
+Of 0 0 0
+Ro 0 0 0
+$EndSHAPE3D
+$EndMODULE SM0805
+$MODULE SM1210L
+Po 100.375 31.65 0 15 5188CB68 00000000 ~~
+Li SM1210L
+Kw CMS SM
+Sc 0
+AR /5150FD3C
+Op 0 0 0
+At SMD
+T0 -0.325 2.2 0.762 0.762 0 0.127 N V 21 N "L2"
+T1 0.025 -2.35 0.889 0.762 0 0.127 N V 21 N "4.7uH/3A"
+DC -2.921 1.651 -2.794 1.524 0.127 21
+DS 0.889 1.524 2.794 1.524 0.127 21
+DS 2.794 1.524 2.794 -1.524 0.127 21
+DS 2.794 -1.524 0.889 -1.524 0.127 21
+DS -0.762 -1.524 -2.794 -1.524 0.127 21
+DS -2.794 -1.524 -2.794 1.524 0.127 21
+DS -2.794 1.524 -0.762 1.524 0.127 21
+$PAD
+Sh "1" R 1.778 2.794 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 222 "N-00000295"
+Po -1.778 0
+$EndPAD
+$PAD
+Sh "2" R 1.778 2.794 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po 1.778 0
+$EndPAD
+$SHAPE3D
+Na "smd/chip_cms.wrl"
+Sc 0.2 0.2 0.2
+Of 0 0 0
+Ro 0 0 0
+$EndSHAPE3D
+$EndMODULE SM1210L
+$MODULE SO14E
+Po 39.225 92.15 0 15 518A1445 00000000 ~~
+Li SO14E
+Cd module CMS SOJ 14 pins etroit
+Kw CMS SOJ
+Sc 0
+AR /51593628
+Op 0 0 0
+At SMD
+T0 -3.6 3.375 1.016 1.143 0 0.127 N V 21 N "U10"
+T1 -0.075 0.05 1.016 1.016 0 0.127 N V 21 N "74LS08"
+DS -4.826 -1.778 4.826 -1.778 0.2032 21
+DS 4.826 -1.778 4.826 2.032 0.2032 21
+DS 4.826 2.032 -4.826 2.032 0.2032 21
+DS -4.826 2.032 -4.826 -1.778 0.2032 21
+DS -4.826 -0.508 -4.064 -0.508 0.2032 21
+DS -4.064 -0.508 -4.064 0.508 0.2032 21
+DS -4.064 0.508 -4.826 0.508 0.2032 21
+$PAD
+Sh "1" R 0.508 1.143 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 165 "5V_IN"
+Po -3.81 2.794
+$EndPAD
+$PAD
+Sh "2" R 0.508 1.143 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 86 "/LVSYN"
+Po -2.54 2.794
+$EndPAD
+$PAD
+Sh "3" R 0.508 1.143 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 206 "N-00000197"
+Po -1.27 2.794
+$EndPAD
+$PAD
+Sh "4" R 0.508 1.143 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 83 "/LHSYN"
+Po 0 2.794
+$EndPAD
+$PAD
+Sh "5" R 0.508 1.143 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 165 "5V_IN"
+Po 1.27 2.794
+$EndPAD
+$PAD
+Sh "6" R 0.508 1.143 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 210 "N-00000202"
+Po 2.54 2.794
+$EndPAD
+$PAD
+Sh "7" R 0.508 1.143 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 3.81 2.794
+$EndPAD
+$PAD
+Sh "8" R 0.508 1.143 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 3.81 -2.54
+$EndPAD
+$PAD
+Sh "9" R 0.508 1.143 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 2.54 -2.54
+$EndPAD
+$PAD
+Sh "10" R 0.508 1.143 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 1.27 -2.54
+$EndPAD
+$PAD
+Sh "11" R 0.508 1.143 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 0 -2.54
+$EndPAD
+$PAD
+Sh "12" R 0.508 1.143 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -1.27 -2.54
+$EndPAD
+$PAD
+Sh "13" R 0.508 1.143 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -2.54 -2.54
+$EndPAD
+$PAD
+Sh "14" R 0.508 1.143 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 165 "5V_IN"
+Po -3.81 -2.54
+$EndPAD
+$SHAPE3D
+Na "smd/cms_so14.wrl"
+Sc 0.5 0.3 0.5
+Of 0 0 0
+Ro 0 0 0
+$EndSHAPE3D
+$EndMODULE SO14E
+$MODULE SODIMM200-JAE
+Po 0 0 0 15 5112D1A3 00000000 ~~
+Li SODIMM200-JAE
+Cd JAE-CONNECTOR SO-DIMM 200 CONNECTOR
+Kw JAE-CONNECTOR SO-DIMM 200 CONNECTOR
+Sc 0
+AR /51124EB5
+Op 0 0 0
+At SMD
+T0 -32.35198 -0.59436 1.27 1.27 900 0.0889 N I 20 N "SO1"
+T1 -36.44646 0.635 1.27 1.27 900 0.0889 N I 20 N "SODIMM200_EDGE_D"
+T2 36.44646 0 1.27 1.27 900 0.0889 N I 20 N ">VALUE"
+T2 -32.02432 1.89738 0.8128 0.8128 900 0.0889 N V 20 N "1"
+T2 31.05658 1.09474 0.8128 0.8128 900 0.0889 N V 20 N "199"
+T2 -16.42364 1.6891 0.8128 0.8128 900 0.0889 N V 20 N "41"
+$PAD
+Sh "1" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 65 "N-000240"
+Po -31.64586 4.14782
+$EndPAD
+$PAD
+Sh "2" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 176 "N-000813"
+Po -31.33598 4.14782
+$EndPAD
+$PAD
+Sh "3" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 51 "N-000226"
+Po -31.04896 4.14782
+$EndPAD
+$PAD
+Sh "4" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 162 "N-000799"
+Po -30.73908 4.14782
+$EndPAD
+$PAD
+Sh "5" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 63 "N-000238"
+Po -30.44952 4.14782
+$EndPAD
+$PAD
+Sh "6" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 174 "N-000811"
+Po -30.13964 4.14782
+$EndPAD
+$PAD
+Sh "7" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 62 "N-000237"
+Po -29.84754 4.14782
+$EndPAD
+$PAD
+Sh "8" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 173 "N-000810"
+Po -29.53766 4.14782
+$EndPAD
+$PAD
+Sh "9" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 61 "N-000236"
+Po -29.2481 4.14782
+$EndPAD
+$PAD
+Sh "10" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 172 "N-000809"
+Po -28.93822 4.14782
+$EndPAD
+$PAD
+Sh "11" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 60 "N-000235"
+Po -28.64866 4.14782
+$EndPAD
+$PAD
+Sh "12" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 171 "N-000808"
+Po -28.33878 4.14782
+$EndPAD
+$PAD
+Sh "13" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 59 "N-000234"
+Po -28.04922 4.14782
+$EndPAD
+$PAD
+Sh "14" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 170 "N-000807"
+Po -27.73934 4.14782
+$EndPAD
+$PAD
+Sh "15" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 58 "N-000233"
+Po -27.44978 4.14782
+$EndPAD
+$PAD
+Sh "16" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 169 "N-000806"
+Po -27.1399 4.14782
+$EndPAD
+$PAD
+Sh "17" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 57 "N-000232"
+Po -26.8478 4.14782
+$EndPAD
+$PAD
+Sh "18" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 168 "N-000805"
+Po -26.53792 4.14782
+$EndPAD
+$PAD
+Sh "19" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 56 "N-000231"
+Po -26.24836 4.14782
+$EndPAD
+$PAD
+Sh "20" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 167 "N-000804"
+Po -25.93848 4.14782
+$EndPAD
+$PAD
+Sh "21" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 55 "N-000230"
+Po -25.64892 4.14782
+$EndPAD
+$PAD
+Sh "22" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 166 "N-000803"
+Po -25.33904 4.14782
+$EndPAD
+$PAD
+Sh "23" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 54 "N-000229"
+Po -25.04948 4.14782
+$EndPAD
+$PAD
+Sh "24" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 165 "N-000802"
+Po -24.7396 4.14782
+$EndPAD
+$PAD
+Sh "25" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 53 "N-000228"
+Po -24.4475 4.14782
+$EndPAD
+$PAD
+Sh "26" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 164 "N-000801"
+Po -24.13762 4.14782
+$EndPAD
+$PAD
+Sh "27" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 52 "N-000227"
+Po -23.84806 4.14782
+$EndPAD
+$PAD
+Sh "28" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 163 "N-000800"
+Po -23.53818 4.14782
+$EndPAD
+$PAD
+Sh "29" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 64 "N-000239"
+Po -23.24862 4.14782
+$EndPAD
+$PAD
+Sh "30" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 175 "N-000812"
+Po -22.93874 4.14782
+$EndPAD
+$PAD
+Sh "31" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 89 "N-000265"
+Po -22.64918 4.14782
+$EndPAD
+$PAD
+Sh "32" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 200 "N-000837"
+Po -22.3393 4.14782
+$EndPAD
+$PAD
+Sh "33" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 88 "N-000264"
+Po -22.04974 4.14782
+$EndPAD
+$PAD
+Sh "34" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 199 "N-000836"
+Po -21.73986 4.14782
+$EndPAD
+$PAD
+Sh "35" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 87 "N-000263"
+Po -21.44776 4.14782
+$EndPAD
+$PAD
+Sh "36" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 198 "N-000835"
+Po -21.13788 4.14782
+$EndPAD
+$PAD
+Sh "37" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 86 "N-000262"
+Po -20.84832 4.14782
+$EndPAD
+$PAD
+Sh "38" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 197 "N-000834"
+Po -20.53844 4.14782
+$EndPAD
+$PAD
+Sh "39" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 85 "N-000261"
+Po -20.24888 4.14782
+$EndPAD
+$PAD
+Sh "40" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 196 "N-000833"
+Po -19.939 4.14782
+$EndPAD
+$PAD
+Sh "41" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 84 "N-000260"
+Po -16.03756 4.14782
+$EndPAD
+$PAD
+Sh "42" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 195 "N-000832"
+Po -15.73784 4.14782
+$EndPAD
+$PAD
+Sh "43" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 83 "N-000259"
+Po -15.44828 4.14782
+$EndPAD
+$PAD
+Sh "44" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 194 "N-000831"
+Po -15.1384 4.14782
+$EndPAD
+$PAD
+Sh "45" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 82 "N-000258"
+Po -14.84884 4.14782
+$EndPAD
+$PAD
+Sh "46" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 193 "N-000830"
+Po -14.53896 4.14782
+$EndPAD
+$PAD
+Sh "47" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 81 "N-000257"
+Po -14.2494 4.14782
+$EndPAD
+$PAD
+Sh "48" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 192 "N-000829"
+Po -13.93952 4.14782
+$EndPAD
+$PAD
+Sh "49" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 80 "N-000256"
+Po -13.64996 4.14782
+$EndPAD
+$PAD
+Sh "50" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 191 "N-000828"
+Po -13.33754 4.14782
+$EndPAD
+$PAD
+Sh "51" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 79 "N-000255"
+Po -13.04798 4.14782
+$EndPAD
+$PAD
+Sh "52" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 190 "N-000827"
+Po -12.7381 4.14782
+$EndPAD
+$PAD
+Sh "53" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 66 "N-000241"
+Po -12.44854 4.14782
+$EndPAD
+$PAD
+Sh "54" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 177 "N-000814"
+Po -12.13866 4.14782
+$EndPAD
+$PAD
+Sh "55" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 78 "N-000253"
+Po -11.8491 4.14782
+$EndPAD
+$PAD
+Sh "56" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 189 "N-000826"
+Po -11.53922 4.14782
+$EndPAD
+$PAD
+Sh "57" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 77 "N-000252"
+Po -11.24966 4.14782
+$EndPAD
+$PAD
+Sh "58" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 188 "N-000825"
+Po -10.93978 4.14782
+$EndPAD
+$PAD
+Sh "59" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 76 "N-000251"
+Po -10.64768 4.14782
+$EndPAD
+$PAD
+Sh "60" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 187 "N-000824"
+Po -10.3378 4.14782
+$EndPAD
+$PAD
+Sh "61" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 75 "N-000250"
+Po -10.04824 4.14782
+$EndPAD
+$PAD
+Sh "62" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 186 "N-000823"
+Po -9.73836 4.14782
+$EndPAD
+$PAD
+Sh "63" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 74 "N-000249"
+Po -9.4488 4.14782
+$EndPAD
+$PAD
+Sh "64" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 185 "N-000822"
+Po -9.13892 4.14782
+$EndPAD
+$PAD
+Sh "65" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 73 "N-000248"
+Po -8.84936 4.14782
+$EndPAD
+$PAD
+Sh "66" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 184 "N-000821"
+Po -8.53948 4.14782
+$EndPAD
+$PAD
+Sh "67" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 72 "N-000247"
+Po -8.24992 4.14782
+$EndPAD
+$PAD
+Sh "68" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 183 "N-000820"
+Po -7.9375 4.14782
+$EndPAD
+$PAD
+Sh "69" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 71 "N-000246"
+Po -7.64794 4.14782
+$EndPAD
+$PAD
+Sh "70" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 182 "N-000819"
+Po -7.33806 4.14782
+$EndPAD
+$PAD
+Sh "71" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 70 "N-000245"
+Po -7.0485 4.14782
+$EndPAD
+$PAD
+Sh "72" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 181 "N-000818"
+Po -6.73862 4.14782
+$EndPAD
+$PAD
+Sh "73" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 69 "N-000244"
+Po -6.44906 4.14782
+$EndPAD
+$PAD
+Sh "74" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 180 "N-000817"
+Po -6.13918 4.14782
+$EndPAD
+$PAD
+Sh "75" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 68 "N-000243"
+Po -5.84962 4.14782
+$EndPAD
+$PAD
+Sh "76" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 179 "N-000816"
+Po -5.53974 4.14782
+$EndPAD
+$PAD
+Sh "77" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 67 "N-000242"
+Po -5.24764 4.14782
+$EndPAD
+$PAD
+Sh "78" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 178 "N-000815"
+Po -4.93776 4.14782
+$EndPAD
+$PAD
+Sh "79" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 39 "N-000214"
+Po -4.6482 4.14782
+$EndPAD
+$PAD
+Sh "80" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 155 "N-000792"
+Po -4.33832 4.14782
+$EndPAD
+$PAD
+Sh "81" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 25 "N-000200"
+Po -4.04876 4.14782
+$EndPAD
+$PAD
+Sh "82" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 154 "N-000791"
+Po -3.73888 4.14782
+$EndPAD
+$PAD
+Sh "83" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 24 "N-000199"
+Po -3.44932 4.14782
+$EndPAD
+$PAD
+Sh "84" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 153 "N-000790"
+Po -3.13944 4.14782
+$EndPAD
+$PAD
+Sh "85" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 23 "N-000198"
+Po -2.84988 4.14782
+$EndPAD
+$PAD
+Sh "86" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 152 "N-000789"
+Po -2.54 4.14782
+$EndPAD
+$PAD
+Sh "87" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 22 "N-000197"
+Po -2.2479 4.14782
+$EndPAD
+$PAD
+Sh "88" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 151 "N-000788"
+Po -1.93802 4.14782
+$EndPAD
+$PAD
+Sh "89" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 21 "N-000196"
+Po -1.64846 4.14782
+$EndPAD
+$PAD
+Sh "90" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 150 "N-000787"
+Po -1.33858 4.14782
+$EndPAD
+$PAD
+Sh "91" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 20 "N-000195"
+Po -1.04902 4.14782
+$EndPAD
+$PAD
+Sh "92" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 149 "N-000786"
+Po -0.73914 4.14782
+$EndPAD
+$PAD
+Sh "93" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 19 "N-000194"
+Po -0.44958 4.14782
+$EndPAD
+$PAD
+Sh "94" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 148 "N-000785"
+Po -0.1397 4.14782
+$EndPAD
+$PAD
+Sh "95" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 18 "N-000193"
+Po 0.14986 4.14782
+$EndPAD
+$PAD
+Sh "96" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 147 "N-000784"
+Po 0.45974 4.14782
+$EndPAD
+$PAD
+Sh "97" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 17 "N-000192"
+Po 0.7493 4.14782
+$EndPAD
+$PAD
+Sh "98" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 146 "N-000783"
+Po 1.05918 4.14782
+$EndPAD
+$PAD
+Sh "99" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 16 "N-000191"
+Po 1.34874 4.14782
+$EndPAD
+$PAD
+Sh "100" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 145 "N-000782"
+Po 1.65862 4.14782
+$EndPAD
+$PAD
+Sh "101" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 15 "N-000190"
+Po 1.94818 4.14782
+$EndPAD
+$PAD
+Sh "102" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 144 "N-000781"
+Po 2.25806 4.14782
+$EndPAD
+$PAD
+Sh "103" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 1 "N-000176"
+Po 2.54762 4.14782
+$EndPAD
+$PAD
+Sh "104" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 130 "N-000767"
+Po 2.8575 4.14782
+$EndPAD
+$PAD
+Sh "105" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 13 "N-000188"
+Po 3.1496 4.14782
+$EndPAD
+$PAD
+Sh "106" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 142 "N-000779"
+Po 3.45948 4.14782
+$EndPAD
+$PAD
+Sh "107" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 12 "N-000187"
+Po 3.74904 4.14782
+$EndPAD
+$PAD
+Sh "108" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 141 "N-000778"
+Po 4.05892 4.14782
+$EndPAD
+$PAD
+Sh "109" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 11 "N-000186"
+Po 4.34848 4.14782
+$EndPAD
+$PAD
+Sh "110" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 140 "N-000777"
+Po 4.65836 4.14782
+$EndPAD
+$PAD
+Sh "111" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 10 "N-000185"
+Po 4.94792 4.14782
+$EndPAD
+$PAD
+Sh "112" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 139 "N-000776"
+Po 5.2578 4.14782
+$EndPAD
+$PAD
+Sh "113" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 9 "N-000184"
+Po 5.5499 4.14782
+$EndPAD
+$PAD
+Sh "114" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 138 "N-000775"
+Po 5.85978 4.14782
+$EndPAD
+$PAD
+Sh "115" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 8 "N-000183"
+Po 6.14934 4.14782
+$EndPAD
+$PAD
+Sh "116" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 137 "N-000774"
+Po 6.45922 4.14782
+$EndPAD
+$PAD
+Sh "117" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 7 "N-000182"
+Po 6.74878 4.14782
+$EndPAD
+$PAD
+Sh "118" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 136 "N-000773"
+Po 7.05866 4.14782
+$EndPAD
+$PAD
+Sh "119" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 6 "N-000181"
+Po 7.34822 4.14782
+$EndPAD
+$PAD
+Sh "120" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 135 "N-000772"
+Po 7.6581 4.14782
+$EndPAD
+$PAD
+Sh "121" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 5 "N-000180"
+Po 7.94766 4.14782
+$EndPAD
+$PAD
+Sh "122" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 134 "N-000771"
+Po 8.25754 4.14782
+$EndPAD
+$PAD
+Sh "123" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 4 "N-000179"
+Po 8.54964 4.14782
+$EndPAD
+$PAD
+Sh "124" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 133 "N-000770"
+Po 8.85952 4.14782
+$EndPAD
+$PAD
+Sh "125" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 3 "N-000178"
+Po 9.14908 4.14782
+$EndPAD
+$PAD
+Sh "126" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 132 "N-000769"
+Po 9.45896 4.14782
+$EndPAD
+$PAD
+Sh "127" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 2 "N-000177"
+Po 9.74852 4.14782
+$EndPAD
+$PAD
+Sh "128" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 131 "N-000768"
+Po 10.0584 4.14782
+$EndPAD
+$PAD
+Sh "129" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 14 "N-000189"
+Po 10.34796 4.14782
+$EndPAD
+$PAD
+Sh "130" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 143 "N-000780"
+Po 10.65784 4.14782
+$EndPAD
+$PAD
+Sh "131" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 50 "N-000225"
+Po 10.94994 4.14782
+$EndPAD
+$PAD
+Sh "132" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 161 "N-000798"
+Po 11.25982 4.14782
+$EndPAD
+$PAD
+Sh "133" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 49 "N-000224"
+Po 11.54938 4.14782
+$EndPAD
+$PAD
+Sh "134" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 160 "N-000797"
+Po 11.85926 4.14782
+$EndPAD
+$PAD
+Sh "135" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 48 "N-000223"
+Po 12.14882 4.14782
+$EndPAD
+$PAD
+Sh "136" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 159 "N-000796"
+Po 12.4587 4.14782
+$EndPAD
+$PAD
+Sh "137" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 47 "N-000222"
+Po 12.74826 4.14782
+$EndPAD
+$PAD
+Sh "138" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 158 "N-000795"
+Po 13.05814 4.14782
+$EndPAD
+$PAD
+Sh "139" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 46 "N-000221"
+Po 13.3477 4.14782
+$EndPAD
+$PAD
+Sh "140" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 157 "N-000794"
+Po 13.65758 4.14782
+$EndPAD
+$PAD
+Sh "141" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 45 "N-000220"
+Po 13.94968 4.14782
+$EndPAD
+$PAD
+Sh "142" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 156 "N-000793"
+Po 14.25956 4.14782
+$EndPAD
+$PAD
+Sh "143" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 44 "N-000219"
+Po 14.54912 4.14782
+$EndPAD
+$PAD
+Sh "144" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 110 "N-000706"
+Po 14.859 4.14782
+$EndPAD
+$PAD
+Sh "145" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 43 "N-000218"
+Po 15.14856 4.14782
+$EndPAD
+$PAD
+Sh "146" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 111 "N-000707"
+Po 15.45844 4.14782
+$EndPAD
+$PAD
+Sh "147" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 42 "N-000217"
+Po 15.748 4.14782
+$EndPAD
+$PAD
+Sh "148" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 112 "N-000708"
+Po 16.05788 4.14782
+$EndPAD
+$PAD
+Sh "149" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 41 "N-000216"
+Po 16.34998 4.14782
+$EndPAD
+$PAD
+Sh "150" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 113 "N-000709"
+Po 16.65986 4.14782
+$EndPAD
+$PAD
+Sh "151" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 40 "N-000215"
+Po 16.94942 4.14782
+$EndPAD
+$PAD
+Sh "152" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 114 "N-000710"
+Po 17.2593 4.14782
+$EndPAD
+$PAD
+Sh "153" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 26 "N-000201"
+Po 17.54886 4.14782
+$EndPAD
+$PAD
+Sh "154" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 115 "N-000711"
+Po 17.85874 4.14782
+$EndPAD
+$PAD
+Sh "155" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 38 "N-000213"
+Po 18.1483 4.14782
+$EndPAD
+$PAD
+Sh "156" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 109 "N-000705"
+Po 18.45818 4.14782
+$EndPAD
+$PAD
+Sh "157" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 37 "N-000212"
+Po 18.74774 4.14782
+$EndPAD
+$PAD
+Sh "158" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 117 "N-000713"
+Po 19.05762 4.14782
+$EndPAD
+$PAD
+Sh "159" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 36 "N-000211"
+Po 19.34972 4.14782
+$EndPAD
+$PAD
+Sh "160" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 118 "N-000714"
+Po 19.6596 4.14782
+$EndPAD
+$PAD
+Sh "161" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 35 "N-000210"
+Po 19.94916 4.14782
+$EndPAD
+$PAD
+Sh "162" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 119 "N-000715"
+Po 20.25904 4.14782
+$EndPAD
+$PAD
+Sh "163" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 34 "N-000209"
+Po 20.5486 4.14782
+$EndPAD
+$PAD
+Sh "164" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 120 "N-000716"
+Po 20.85848 4.14782
+$EndPAD
+$PAD
+Sh "165" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 33 "N-000208"
+Po 21.14804 4.14782
+$EndPAD
+$PAD
+Sh "166" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 121 "N-000717"
+Po 21.45792 4.14782
+$EndPAD
+$PAD
+Sh "167" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 32 "N-000207"
+Po 21.74748 4.14782
+$EndPAD
+$PAD
+Sh "168" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 97 "N-000693"
+Po 22.0599 4.14782
+$EndPAD
+$PAD
+Sh "169" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 31 "N-000206"
+Po 22.34946 4.14782
+$EndPAD
+$PAD
+Sh "170" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 91 "N-000687"
+Po 22.65934 4.14782
+$EndPAD
+$PAD
+Sh "171" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 30 "N-000205"
+Po 22.9489 4.14782
+$EndPAD
+$PAD
+Sh "172" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 92 "N-000688"
+Po 23.25878 4.14782
+$EndPAD
+$PAD
+Sh "173" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 29 "N-000204"
+Po 23.54834 4.14782
+$EndPAD
+$PAD
+Sh "174" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 93 "N-000689"
+Po 23.85822 4.14782
+$EndPAD
+$PAD
+Sh "175" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 28 "N-000203"
+Po 24.14778 4.14782
+$EndPAD
+$PAD
+Sh "176" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 94 "N-000690"
+Po 24.45766 4.14782
+$EndPAD
+$PAD
+Sh "177" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 27 "N-000202"
+Po 24.74976 4.14782
+$EndPAD
+$PAD
+Sh "178" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 95 "N-000691"
+Po 25.05964 4.14782
+$EndPAD
+$PAD
+Sh "179" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 90 "N-000614"
+Po 25.3492 4.14782
+$EndPAD
+$PAD
+Sh "180" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 96 "N-000692"
+Po 25.65908 4.14782
+$EndPAD
+$PAD
+Sh "181" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 99 "N-000695"
+Po 25.94864 4.14782
+$EndPAD
+$PAD
+Sh "182" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 98 "N-000694"
+Po 26.25852 4.14782
+$EndPAD
+$PAD
+Sh "183" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 101 "N-000697"
+Po 26.54808 4.14782
+$EndPAD
+$PAD
+Sh "184" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 100 "N-000696"
+Po 26.85796 4.14782
+$EndPAD
+$PAD
+Sh "185" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 103 "N-000699"
+Po 27.14752 4.14782
+$EndPAD
+$PAD
+Sh "186" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 102 "N-000698"
+Po 27.45994 4.14782
+$EndPAD
+$PAD
+Sh "187" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 105 "N-000701"
+Po 27.7495 4.14782
+$EndPAD
+$PAD
+Sh "188" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 104 "N-000700"
+Po 28.05938 4.14782
+$EndPAD
+$PAD
+Sh "189" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 107 "N-000703"
+Po 28.34894 4.14782
+$EndPAD
+$PAD
+Sh "190" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 106 "N-000702"
+Po 28.65882 4.14782
+$EndPAD
+$PAD
+Sh "191" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 116 "N-000712"
+Po 28.94838 4.14782
+$EndPAD
+$PAD
+Sh "192" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 108 "N-000704"
+Po 29.25826 4.14782
+$EndPAD
+$PAD
+Sh "193" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 123 "N-000745"
+Po 29.54782 4.14782
+$EndPAD
+$PAD
+Sh "194" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 122 "N-000744"
+Po 29.8577 4.14782
+$EndPAD
+$PAD
+Sh "195" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 125 "N-000747"
+Po 30.1498 4.14782
+$EndPAD
+$PAD
+Sh "196" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 124 "N-000746"
+Po 30.45968 4.14782
+$EndPAD
+$PAD
+Sh "197" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 127 "N-000749"
+Po 30.74924 4.14782
+$EndPAD
+$PAD
+Sh "198" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 126 "N-000748"
+Po 31.05912 4.14782
+$EndPAD
+$PAD
+Sh "199" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 129 "N-000751"
+Po 31.34868 4.14782
+$EndPAD
+$PAD
+Sh "200" R 0.44958 2.54762 0 0 0
+Dr 0 0 0
+At SMD N 00880001
+Ne 128 "N-000750"
+Po 31.65856 4.14782
+$EndPAD
+$EndMODULE SODIMM200-JAE
+$MODULE SOT23-5
+Po 85.65 38.175 0 15 5188CCAA 00000000 ~~
+Li SOT23-5
+Sc 0
+AR /514F0D71
+Op 0 0 0
+At SMD
+T0 2.05 -0.05 0.635 0.635 900 0.127 N V 21 N "U9"
+T1 -0.05 0.55 0.2 0.2 0 0.05 N V 21 N "SY8008C"
+DS 1.524 -0.889 1.524 0.889 0.127 21
+DS 1.524 0.889 -1.524 0.889 0.127 21
+DS -1.524 0.889 -1.524 -0.889 0.127 21
+DS -1.524 -0.889 1.524 -0.889 0.127 21
+$PAD
+Sh "1" R 0.508 0.762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 40 "/EXTEN"
+Po -0.9525 1.27
+$EndPAD
+$PAD
+Sh "3" R 0.508 0.762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 224 "N-00000297"
+Po 0.9525 1.27
+$EndPAD
+$PAD
+Sh "5" R 0.508 0.762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 218 "N-00000268"
+Po -0.9525 -1.27
+$EndPAD
+$PAD
+Sh "2" R 0.508 0.762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 0 1.27
+$EndPAD
+$PAD
+Sh "4" R 0.508 0.762 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 165 "5V_IN"
+Po 0.9525 -1.27
+$EndPAD
+$SHAPE3D
+Na "smd/SOT23_5.wrl"
+Sc 0.1 0.1 0.1
+Of 0 0 0
+Ro 0 0 0
+$EndSHAPE3D
+$EndMODULE SOT23-5
+$MODULE TFBGA441-new
+Po 77 66 0 15 510A4318 00000000 ~~
+Li TFBGA441-new
+Cd 441 pin Thin Fine Pitch BGA
+Sc 0
+AR /514D47F5
+Op 0 0 0
+T0 0 0 0.0004 0.0004 0 0.00012 N V 21 N "U3"
+T1 0 0 0.0004 0.0004 0 0.00012 N V 21 N "A10-SOC"
+DS 9.6 9.6 9.6 -9.4 0.15 21
+DS 9.6 -9.4 9.4 -9.4 0.15 21
+DS -9.6 -9.4 -10 -9.8 0.15 21
+DS -9.6 -9.4 9.4 -9.4 0.15 21
+DS 9.6 9.6 -9.6 9.6 0.15 21
+DS -9.6 9.6 -9.6 -9.4 0.15 21
+$PAD
+Sh "A1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -8.8 -8.8
+$EndPAD
+$PAD
+Sh "A2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -8 -8.8
+$EndPAD
+$PAD
+Sh "A3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -7.2 -8.8
+$EndPAD
+$PAD
+Sh "A4" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 142 "/U5TX"
+Po -6.4 -8.8
+$EndPAD
+$PAD
+Sh "A5" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -5.6 -8.8
+$EndPAD
+$PAD
+Sh "A6" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 138 "/U3TX"
+Po -4.8 -8.8
+$EndPAD
+$PAD
+Sh "A7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 136 "/U0Tx"
+Po -4 -8.8
+$EndPAD
+$PAD
+Sh "A8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -3.2 -8.8
+$EndPAD
+$PAD
+Sh "A9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 55 "/J_DO0"
+Po -2.4 -8.8
+$EndPAD
+$PAD
+Sh "A10" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 56 "/J_MS0"
+Po -1.6 -8.8
+$EndPAD
+$PAD
+Sh "A11" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -0.8 -8.8
+$EndPAD
+$PAD
+Sh "A12" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 0 -8.8
+$EndPAD
+$PAD
+Sh "A13" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 0.8 -8.8
+$EndPAD
+$PAD
+Sh "A14" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 1.6 -8.8
+$EndPAD
+$PAD
+Sh "A15" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 133 "/TWI0SCK"
+Po 2.4 -8.8
+$EndPAD
+$PAD
+Sh "A16" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 3.2 -8.8
+$EndPAD
+$PAD
+Sh "A17" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 4 -8.8
+$EndPAD
+$PAD
+Sh "A18" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 4.8 -8.8
+$EndPAD
+$PAD
+Sh "A19" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 5.6 -8.8
+$EndPAD
+$PAD
+Sh "A20" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 6.4 -8.8
+$EndPAD
+$PAD
+Sh "A21" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 7.2 -8.8
+$EndPAD
+$PAD
+Sh "A22" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 8 -8.8
+$EndPAD
+$PAD
+Sh "A23" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 8.8 -8.8
+$EndPAD
+$PAD
+Sh "B1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -8.8 -8
+$EndPAD
+$PAD
+Sh "B2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -8 -8
+$EndPAD
+$PAD
+Sh "B3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -7.2 -8
+$EndPAD
+$PAD
+Sh "B4" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 141 "/U5RX"
+Po -6.4 -8
+$EndPAD
+$PAD
+Sh "B5" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 140 "/U4TX"
+Po -5.6 -8
+$EndPAD
+$PAD
+Sh "B6" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 137 "/U3RX"
+Po -4.8 -8
+$EndPAD
+$PAD
+Sh "B7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 135 "/U0Rx"
+Po -4 -8
+$EndPAD
+$PAD
+Sh "B8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -3.2 -8
+$EndPAD
+$PAD
+Sh "B9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 54 "/J_DI0"
+Po -2.4 -8
+$EndPAD
+$PAD
+Sh "B10" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 53 "/J_CK0"
+Po -1.6 -8
+$EndPAD
+$PAD
+Sh "B11" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -0.8 -8
+$EndPAD
+$PAD
+Sh "B12" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 0 -8
+$EndPAD
+$PAD
+Sh "B13" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 0.8 -8
+$EndPAD
+$PAD
+Sh "B14" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 1.6 -8
+$EndPAD
+$PAD
+Sh "B15" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 134 "/TWI0SDA"
+Po 2.4 -8
+$EndPAD
+$PAD
+Sh "B16" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 3.2 -8
+$EndPAD
+$PAD
+Sh "B17" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 4 -8
+$EndPAD
+$PAD
+Sh "B18" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 4.8 -8
+$EndPAD
+$PAD
+Sh "B19" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 5.6 -8
+$EndPAD
+$PAD
+Sh "B20" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 6.4 -8
+$EndPAD
+$PAD
+Sh "B21" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 7.2 -8
+$EndPAD
+$PAD
+Sh "B22" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 98 "/PE7"
+Po 8 -8
+$EndPAD
+$PAD
+Sh "B23" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 97 "/PE6"
+Po 8.8 -8
+$EndPAD
+$PAD
+Sh "C1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -8.8 -7.2
+$EndPAD
+$PAD
+Sh "C2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -8 -7.2
+$EndPAD
+$PAD
+Sh "C3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -7.2 -7.2
+$EndPAD
+$PAD
+Sh "C4" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -6.4 -7.2
+$EndPAD
+$PAD
+Sh "C5" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 139 "/U4RX"
+Po -5.6 -7.2
+$EndPAD
+$PAD
+Sh "C6" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -4.8 -7.2
+$EndPAD
+$PAD
+Sh "C7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -4 -7.2
+$EndPAD
+$PAD
+Sh "C8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -3.2 -7.2
+$EndPAD
+$PAD
+Sh "C9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -2.4 -7.2
+$EndPAD
+$PAD
+Sh "C10" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -1.6 -7.2
+$EndPAD
+$PAD
+Sh "C11" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -0.8 -7.2
+$EndPAD
+$PAD
+Sh "C12" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 0 -7.2
+$EndPAD
+$PAD
+Sh "C13" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 186 "ETXERR"
+Po 0.8 -7.2
+$EndPAD
+$PAD
+Sh "C14" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 99 "/RESET#"
+Po 1.6 -7.2
+$EndPAD
+$PAD
+Sh "C15" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 2.4 -7.2
+$EndPAD
+$PAD
+Sh "C16" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 3.2 -7.2
+$EndPAD
+$PAD
+Sh "C17" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 4 -7.2
+$EndPAD
+$PAD
+Sh "C18" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 4.8 -7.2
+$EndPAD
+$PAD
+Sh "C19" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 5.6 -7.2
+$EndPAD
+$PAD
+Sh "C20" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 6.4 -7.2
+$EndPAD
+$PAD
+Sh "C21" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 7.2 -7.2
+$EndPAD
+$PAD
+Sh "C22" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 96 "/PE5"
+Po 8 -7.2
+$EndPAD
+$PAD
+Sh "C23" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 95 "/PE4"
+Po 8.8 -7.2
+$EndPAD
+$PAD
+Sh "D1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -8.8 -6.4
+$EndPAD
+$PAD
+Sh "D2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -8 -6.4
+$EndPAD
+$PAD
+Sh "D3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -7.2 -6.4
+$EndPAD
+$PAD
+Sh "D4" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -6.4 -6.4
+$EndPAD
+$PAD
+Sh "D5" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 177 "ERXD3"
+Po -5.6 -6.4
+$EndPAD
+$PAD
+Sh "D6" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 175 "ERXD1"
+Po -4.8 -6.4
+$EndPAD
+$PAD
+Sh "D7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 184 "ETXD3"
+Po -4 -6.4
+$EndPAD
+$PAD
+Sh "D8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 182 "ETXD1"
+Po -3.2 -6.4
+$EndPAD
+$PAD
+Sh "D9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 173 "ERXCK"
+Po -2.4 -6.4
+$EndPAD
+$PAD
+Sh "D10" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 178 "ERXDV"
+Po -1.6 -6.4
+$EndPAD
+$PAD
+Sh "D11" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 172 "EMDIO"
+Po -0.8 -6.4
+$EndPAD
+$PAD
+Sh "D12" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 180 "ETXCK"
+Po 0 -6.4
+$EndPAD
+$PAD
+Sh "D13" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 169 "ECOL"
+Po 0.8 -6.4
+$EndPAD
+$PAD
+Sh "D14" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 1.6 -6.4
+$EndPAD
+$PAD
+Sh "D15" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 2.4 -6.4
+$EndPAD
+$PAD
+Sh "D16" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 3.2 -6.4
+$EndPAD
+$PAD
+Sh "D17" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 4 -6.4
+$EndPAD
+$PAD
+Sh "D18" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 4.8 -6.4
+$EndPAD
+$PAD
+Sh "D19" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 5.6 -6.4
+$EndPAD
+$PAD
+Sh "D20" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 6.4 -6.4
+$EndPAD
+$PAD
+Sh "D21" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 7.2 -6.4
+$EndPAD
+$PAD
+Sh "D22" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 94 "/PE3"
+Po 8 -6.4
+$EndPAD
+$PAD
+Sh "D23" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 93 "/PE2"
+Po 8.8 -6.4
+$EndPAD
+$PAD
+Sh "E1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -8.8 -5.6
+$EndPAD
+$PAD
+Sh "E2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -8 -5.6
+$EndPAD
+$PAD
+Sh "E3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -7.2 -5.6
+$EndPAD
+$PAD
+Sh "E4" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -6.4 -5.6
+$EndPAD
+$PAD
+Sh "E5" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 176 "ERXD2"
+Po -5.6 -5.6
+$EndPAD
+$PAD
+Sh "E6" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 174 "ERXD0"
+Po -4.8 -5.6
+$EndPAD
+$PAD
+Sh "E7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 183 "ETXD2"
+Po -4 -5.6
+$EndPAD
+$PAD
+Sh "E8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 181 "ETXD0"
+Po -3.2 -5.6
+$EndPAD
+$PAD
+Sh "E9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 179 "ERXERR"
+Po -2.4 -5.6
+$EndPAD
+$PAD
+Sh "E10" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 171 "EMDC"
+Po -1.6 -5.6
+$EndPAD
+$PAD
+Sh "E11" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 185 "ETXEN"
+Po -0.8 -5.6
+$EndPAD
+$PAD
+Sh "E12" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 170 "ECRS"
+Po 0 -5.6
+$EndPAD
+$PAD
+Sh "E13" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 0.8 -5.6
+$EndPAD
+$PAD
+Sh "E14" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 1.6 -5.6
+$EndPAD
+$PAD
+Sh "E15" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 2.4 -5.6
+$EndPAD
+$PAD
+Sh "E16" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 3.2 -5.6
+$EndPAD
+$PAD
+Sh "E17" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 4 -5.6
+$EndPAD
+$PAD
+Sh "E18" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po 4.8 -5.6
+$EndPAD
+$PAD
+Sh "E19" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 5.6 -5.6
+$EndPAD
+$PAD
+Sh "E20" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 6.4 -5.6
+$EndPAD
+$PAD
+Sh "E21" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 7.2 -5.6
+$EndPAD
+$PAD
+Sh "E22" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 92 "/PE1"
+Po 8 -5.6
+$EndPAD
+$PAD
+Sh "E23" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 91 "/PE0"
+Po 8.8 -5.6
+$EndPAD
+$PAD
+Sh "F1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 234 "N-00000364"
+Po -8.8 -4.8
+$EndPAD
+$PAD
+Sh "F2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 211 "N-00000203"
+Po -8 -4.8
+$EndPAD
+$PAD
+Sh "F3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -7.2 -4.8
+$EndPAD
+$PAD
+Sh "F4" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -6.4 -4.8
+$EndPAD
+$PAD
+Sh "F5" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 89 "/NMI#"
+Po -5.6 -4.8
+$EndPAD
+$PAD
+Sh "F19" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po 5.6 -4.8
+$EndPAD
+$PAD
+Sh "F20" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 6.4 -4.8
+$EndPAD
+$PAD
+Sh "F21" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 7.2 -4.8
+$EndPAD
+$PAD
+Sh "F22" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 8 -4.8
+$EndPAD
+$PAD
+Sh "F23" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 8.8 -4.8
+$EndPAD
+$PAD
+Sh "G1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 121 "/SDQM3"
+Po -8.8 -4
+$EndPAD
+$PAD
+Sh "G2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 32 "/DQ30"
+Po -8 -4
+$EndPAD
+$PAD
+Sh "G3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -7.2 -4
+$EndPAD
+$PAD
+Sh "G4" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -6.4 -4
+$EndPAD
+$PAD
+Sh "G5" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po -5.6 -4
+$EndPAD
+$PAD
+Sh "G19" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 5.6 -4
+$EndPAD
+$PAD
+Sh "G20" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 6.4 -4
+$EndPAD
+$PAD
+Sh "G21" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 7.2 -4
+$EndPAD
+$PAD
+Sh "G22" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 8 -4
+$EndPAD
+$PAD
+Sh "G23" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 8.8 -4
+$EndPAD
+$PAD
+Sh "H1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 26 "/DQ25"
+Po -8.8 -3.2
+$EndPAD
+$PAD
+Sh "H2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 28 "/DQ27"
+Po -8 -3.2
+$EndPAD
+$PAD
+Sh "H3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 167 "DRAM_REF"
+Po -7.2 -3.2
+$EndPAD
+$PAD
+Sh "H4" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 167 "DRAM_REF"
+Po -6.4 -3.2
+$EndPAD
+$PAD
+Sh "H5" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po -5.6 -3.2
+$EndPAD
+$PAD
+Sh "H8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po -3.2 -3.2
+$EndPAD
+$PAD
+Sh "H9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po -2.4 -3.2
+$EndPAD
+$PAD
+Sh "H10" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po -1.6 -3.2
+$EndPAD
+$PAD
+Sh "H11" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 162 "1.25V"
+Po -0.8 -3.2
+$EndPAD
+$PAD
+Sh "H12" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 162 "1.25V"
+Po 0 -3.2
+$EndPAD
+$PAD
+Sh "H13" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 162 "1.25V"
+Po 0.8 -3.2
+$EndPAD
+$PAD
+Sh "H14" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 162 "1.25V"
+Po 1.6 -3.2
+$EndPAD
+$PAD
+Sh "H15" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po 2.4 -3.2
+$EndPAD
+$PAD
+Sh "H16" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 3.2 -3.2
+$EndPAD
+$PAD
+Sh "H19" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po 5.6 -3.2
+$EndPAD
+$PAD
+Sh "H20" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 6.4 -3.2
+$EndPAD
+$PAD
+Sh "H21" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 7.2 -3.2
+$EndPAD
+$PAD
+Sh "H22" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 8 -3.2
+$EndPAD
+$PAD
+Sh "H23" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 8.8 -3.2
+$EndPAD
+$PAD
+Sh "J1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 29 "/DQ28"
+Po -8.8 -2.4
+$EndPAD
+$PAD
+Sh "J2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 129 "/SDQS3#"
+Po -8 -2.4
+$EndPAD
+$PAD
+Sh "J3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -7.2 -2.4
+$EndPAD
+$PAD
+Sh "J4" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -6.4 -2.4
+$EndPAD
+$PAD
+Sh "J5" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -5.6 -2.4
+$EndPAD
+$PAD
+Sh "J8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po -3.2 -2.4
+$EndPAD
+$PAD
+Sh "J9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po -2.4 -2.4
+$EndPAD
+$PAD
+Sh "J10" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po -1.6 -2.4
+$EndPAD
+$PAD
+Sh "J11" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -0.8 -2.4
+$EndPAD
+$PAD
+Sh "J12" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 162 "1.25V"
+Po 0 -2.4
+$EndPAD
+$PAD
+Sh "J13" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 162 "1.25V"
+Po 0.8 -2.4
+$EndPAD
+$PAD
+Sh "J14" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po 1.6 -2.4
+$EndPAD
+$PAD
+Sh "J15" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 163 "1.2V"
+Po 2.4 -2.4
+$EndPAD
+$PAD
+Sh "J16" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 163 "1.2V"
+Po 3.2 -2.4
+$EndPAD
+$PAD
+Sh "J19" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po 5.6 -2.4
+$EndPAD
+$PAD
+Sh "J20" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 6.4 -2.4
+$EndPAD
+$PAD
+Sh "J21" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 7.2 -2.4
+$EndPAD
+$PAD
+Sh "J22" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 8 -2.4
+$EndPAD
+$PAD
+Sh "J23" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 8.8 -2.4
+$EndPAD
+$PAD
+Sh "K1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 128 "/SDQS3"
+Po -8.8 -1.6
+$EndPAD
+$PAD
+Sh "K2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 33 "/DQ31"
+Po -8 -1.6
+$EndPAD
+$PAD
+Sh "K3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 1 "/BA0"
+Po -7.2 -1.6
+$EndPAD
+$PAD
+Sh "K4" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 3 "/BA2"
+Po -6.4 -1.6
+$EndPAD
+$PAD
+Sh "K5" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -5.6 -1.6
+$EndPAD
+$PAD
+Sh "K8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 189 "LDO1"
+Po -3.2 -1.6
+$EndPAD
+$PAD
+Sh "K9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 163 "1.2V"
+Po -2.4 -1.6
+$EndPAD
+$PAD
+Sh "K10" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 163 "1.2V"
+Po -1.6 -1.6
+$EndPAD
+$PAD
+Sh "K11" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -0.8 -1.6
+$EndPAD
+$PAD
+Sh "K12" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 0 -1.6
+$EndPAD
+$PAD
+Sh "K13" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 0.8 -1.6
+$EndPAD
+$PAD
+Sh "K14" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 1.6 -1.6
+$EndPAD
+$PAD
+Sh "K15" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 163 "1.2V"
+Po 2.4 -1.6
+$EndPAD
+$PAD
+Sh "K16" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 163 "1.2V"
+Po 3.2 -1.6
+$EndPAD
+$PAD
+Sh "K19" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 192 "N-00000132"
+Po 5.6 -1.6
+$EndPAD
+$PAD
+Sh "K20" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 258 "N-0000097"
+Po 6.4 -1.6
+$EndPAD
+$PAD
+Sh "K21" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 7.2 -1.6
+$EndPAD
+$PAD
+Sh "K22" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 8 -1.6
+$EndPAD
+$PAD
+Sh "K23" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 8.8 -1.6
+$EndPAD
+$PAD
+Sh "L1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 25 "/DQ24"
+Po -8.8 -0.8
+$EndPAD
+$PAD
+Sh "L2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 27 "/DQ26"
+Po -8 -0.8
+$EndPAD
+$PAD
+Sh "L3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 102 "/SA10"
+Po -7.2 -0.8
+$EndPAD
+$PAD
+Sh "L4" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 2 "/BA1"
+Po -6.4 -0.8
+$EndPAD
+$PAD
+Sh "L5" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po -5.6 -0.8
+$EndPAD
+$PAD
+Sh "L8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 163 "1.2V"
+Po -3.2 -0.8
+$EndPAD
+$PAD
+Sh "L9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 163 "1.2V"
+Po -2.4 -0.8
+$EndPAD
+$PAD
+Sh "L10" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -1.6 -0.8
+$EndPAD
+$PAD
+Sh "L11" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -0.8 -0.8
+$EndPAD
+$PAD
+Sh "L12" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 0 -0.8
+$EndPAD
+$PAD
+Sh "L13" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 0.8 -0.8
+$EndPAD
+$PAD
+Sh "L14" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 1.6 -0.8
+$EndPAD
+$PAD
+Sh "L15" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po 2.4 -0.8
+$EndPAD
+$PAD
+Sh "L16" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po 3.2 -0.8
+$EndPAD
+$PAD
+Sh "L19" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 193 "N-00000133"
+Po 5.6 -0.8
+$EndPAD
+$PAD
+Sh "L20" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 259 "N-0000098"
+Po 6.4 -0.8
+$EndPAD
+$PAD
+Sh "L21" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 7.2 -0.8
+$EndPAD
+$PAD
+Sh "L22" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 8 -0.8
+$EndPAD
+$PAD
+Sh "L23" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 8.8 -0.8
+$EndPAD
+$PAD
+Sh "M1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 30 "/DQ29"
+Po -8.8 0
+$EndPAD
+$PAD
+Sh "M2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 24 "/DQ23"
+Po -8 0
+$EndPAD
+$PAD
+Sh "M3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 112 "/SA7"
+Po -7.2 0
+$EndPAD
+$PAD
+Sh "M4" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 108 "/SA3"
+Po -6.4 0
+$EndPAD
+$PAD
+Sh "M5" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po -5.6 0
+$EndPAD
+$PAD
+Sh "M8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 163 "1.2V"
+Po -3.2 0
+$EndPAD
+$PAD
+Sh "M9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -2.4 0
+$EndPAD
+$PAD
+Sh "M10" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -1.6 0
+$EndPAD
+$PAD
+Sh "M11" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -0.8 0
+$EndPAD
+$PAD
+Sh "M12" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 0 0
+$EndPAD
+$PAD
+Sh "M13" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 0.8 0
+$EndPAD
+$PAD
+Sh "M14" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 1.6 0
+$EndPAD
+$PAD
+Sh "M15" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 2.4 0
+$EndPAD
+$PAD
+Sh "M16" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 3.2 0
+$EndPAD
+$PAD
+Sh "M19" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 194 "N-00000134"
+Po 5.6 0
+$EndPAD
+$PAD
+Sh "M20" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 225 "N-0000030"
+Po 6.4 0
+$EndPAD
+$PAD
+Sh "M21" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 7.2 0
+$EndPAD
+$PAD
+Sh "M22" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 8 0
+$EndPAD
+$PAD
+Sh "M23" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 8.8 0
+$EndPAD
+$PAD
+Sh "N1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 16 "/DQ16"
+Po -8.8 0.8
+$EndPAD
+$PAD
+Sh "N2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 18 "/DQ18"
+Po -8 0.8
+$EndPAD
+$PAD
+Sh "N3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 6 "/CKE"
+Po -7.2 0.8
+$EndPAD
+$PAD
+Sh "N4" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 110 "/SA5"
+Po -6.4 0.8
+$EndPAD
+$PAD
+Sh "N5" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -5.6 0.8
+$EndPAD
+$PAD
+Sh "N8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -3.2 0.8
+$EndPAD
+$PAD
+Sh "N9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 163 "1.2V"
+Po -2.4 0.8
+$EndPAD
+$PAD
+Sh "N10" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -1.6 0.8
+$EndPAD
+$PAD
+Sh "N11" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -0.8 0.8
+$EndPAD
+$PAD
+Sh "N12" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 0 0.8
+$EndPAD
+$PAD
+Sh "N13" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 0.8 0.8
+$EndPAD
+$PAD
+Sh "N14" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 1.6 0.8
+$EndPAD
+$PAD
+Sh "N15" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 2.4 0.8
+$EndPAD
+$PAD
+Sh "N16" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 3.2 0.8
+$EndPAD
+$PAD
+Sh "N19" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po 5.6 0.8
+$EndPAD
+$PAD
+Sh "N20" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 228 "N-0000031"
+Po 6.4 0.8
+$EndPAD
+$PAD
+Sh "N21" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 229 "N-0000032"
+Po 7.2 0.8
+$EndPAD
+$PAD
+Sh "N22" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 227 "N-00000301"
+Po 8 0.8
+$EndPAD
+$PAD
+Sh "N23" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 226 "N-00000300"
+Po 8.8 0.8
+$EndPAD
+$PAD
+Sh "P1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 22 "/DQ21"
+Po -8.8 1.6
+$EndPAD
+$PAD
+Sh "P2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 127 "/SDQS2#"
+Po -8 1.6
+$EndPAD
+$PAD
+Sh "P3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 104 "/SA12"
+Po -7.2 1.6
+$EndPAD
+$PAD
+Sh "P4" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 114 "/SA9"
+Po -6.4 1.6
+$EndPAD
+$PAD
+Sh "P5" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -5.6 1.6
+$EndPAD
+$PAD
+Sh "P8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -3.2 1.6
+$EndPAD
+$PAD
+Sh "P9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 163 "1.2V"
+Po -2.4 1.6
+$EndPAD
+$PAD
+Sh "P10" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -1.6 1.6
+$EndPAD
+$PAD
+Sh "P11" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -0.8 1.6
+$EndPAD
+$PAD
+Sh "P12" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 0 1.6
+$EndPAD
+$PAD
+Sh "P13" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 0.8 1.6
+$EndPAD
+$PAD
+Sh "P14" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 1.6 1.6
+$EndPAD
+$PAD
+Sh "P15" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 2.4 1.6
+$EndPAD
+$PAD
+Sh "P16" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po 3.2 1.6
+$EndPAD
+$PAD
+Sh "P19" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 5.6 1.6
+$EndPAD
+$PAD
+Sh "P20" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 143 "/USB1M"
+Po 6.4 1.6
+$EndPAD
+$PAD
+Sh "P21" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 144 "/USB1P"
+Po 7.2 1.6
+$EndPAD
+$PAD
+Sh "P22" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 42 "/HHPD"
+Po 8 1.6
+$EndPAD
+$PAD
+Sh "P23" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 41 "/HCEC"
+Po 8.8 1.6
+$EndPAD
+$PAD
+Sh "R1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 126 "/SDQS2"
+Po -8.8 2.4
+$EndPAD
+$PAD
+Sh "R2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 120 "/SDQM2"
+Po -8 2.4
+$EndPAD
+$PAD
+Sh "R3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 106 "/SA14"
+Po -7.2 2.4
+$EndPAD
+$PAD
+Sh "R4" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 101 "/SA1"
+Po -6.4 2.4
+$EndPAD
+$PAD
+Sh "R5" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po -5.6 2.4
+$EndPAD
+$PAD
+Sh "R8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -3.2 2.4
+$EndPAD
+$PAD
+Sh "R9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 163 "1.2V"
+Po -2.4 2.4
+$EndPAD
+$PAD
+Sh "R10" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 163 "1.2V"
+Po -1.6 2.4
+$EndPAD
+$PAD
+Sh "R11" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -0.8 2.4
+$EndPAD
+$PAD
+Sh "R12" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 0 2.4
+$EndPAD
+$PAD
+Sh "R13" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 0.8 2.4
+$EndPAD
+$PAD
+Sh "R14" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 1.6 2.4
+$EndPAD
+$PAD
+Sh "R15" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 2.4 2.4
+$EndPAD
+$PAD
+Sh "R16" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 3.2 2.4
+$EndPAD
+$PAD
+Sh "R19" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 5.6 2.4
+$EndPAD
+$PAD
+Sh "R20" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 145 "/USB2M"
+Po 6.4 2.4
+$EndPAD
+$PAD
+Sh "R21" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 146 "/USB2P"
+Po 7.2 2.4
+$EndPAD
+$PAD
+Sh "R22" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 44 "/HSDA"
+Po 8 2.4
+$EndPAD
+$PAD
+Sh "R23" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 43 "/HSCL"
+Po 8.8 2.4
+$EndPAD
+$PAD
+Sh "T1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 23 "/DQ22"
+Po -8.8 3.2
+$EndPAD
+$PAD
+Sh "T2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 17 "/DQ17"
+Po -8 3.2
+$EndPAD
+$PAD
+Sh "T3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 132 "/SWE"
+Po -7.2 3.2
+$EndPAD
+$PAD
+Sh "T4" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 130 "/SRAS"
+Po -6.4 3.2
+$EndPAD
+$PAD
+Sh "T5" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po -5.6 3.2
+$EndPAD
+$PAD
+Sh "T8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 163 "1.2V"
+Po -3.2 3.2
+$EndPAD
+$PAD
+Sh "T9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -2.4 3.2
+$EndPAD
+$PAD
+Sh "T10" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 257 "N-0000088"
+Po -1.6 3.2
+$EndPAD
+$PAD
+Sh "T11" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -0.8 3.2
+$EndPAD
+$PAD
+Sh "T12" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 0 3.2
+$EndPAD
+$PAD
+Sh "T13" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po 0.8 3.2
+$EndPAD
+$PAD
+Sh "T14" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 1.6 3.2
+$EndPAD
+$PAD
+Sh "T15" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 2.4 3.2
+$EndPAD
+$PAD
+Sh "T16" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 3.2 3.2
+$EndPAD
+$PAD
+Sh "T19" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 166 "AVCC"
+Po 5.6 3.2
+$EndPAD
+$PAD
+Sh "T20" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 6.4 3.2
+$EndPAD
+$PAD
+Sh "T21" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 7.2 3.2
+$EndPAD
+$PAD
+Sh "T22" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 49 "/HTX2N"
+Po 8 3.2
+$EndPAD
+$PAD
+Sh "T23" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 50 "/HTX2P"
+Po 8.8 3.2
+$EndPAD
+$PAD
+Sh "U1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 19 "/DQ19"
+Po -8.8 4
+$EndPAD
+$PAD
+Sh "U2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 21 "/DQ20"
+Po -8 4
+$EndPAD
+$PAD
+Sh "U3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 115 "/SCAS"
+Po -7.2 4
+$EndPAD
+$PAD
+Sh "U4" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 107 "/SA2"
+Po -6.4 4
+$EndPAD
+$PAD
+Sh "U5" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -5.6 4
+$EndPAD
+$PAD
+Sh "U19" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 5.6 4
+$EndPAD
+$PAD
+Sh "U20" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 6.4 4
+$EndPAD
+$PAD
+Sh "U21" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 7.2 4
+$EndPAD
+$PAD
+Sh "U22" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 47 "/HTX1N"
+Po 8 4
+$EndPAD
+$PAD
+Sh "U23" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 48 "/HTX1P"
+Po 8.8 4
+$EndPAD
+$PAD
+Sh "V1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 116 "/SCK"
+Po -8.8 4.8
+$EndPAD
+$PAD
+Sh "V2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 117 "/SCK#"
+Po -8 4.8
+$EndPAD
+$PAD
+Sh "V3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 7 "/CS#"
+Po -7.2 4.8
+$EndPAD
+$PAD
+Sh "V4" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 111 "/SA6"
+Po -6.4 4.8
+$EndPAD
+$PAD
+Sh "V5" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -5.6 4.8
+$EndPAD
+$PAD
+Sh "V19" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 5.6 4.8
+$EndPAD
+$PAD
+Sh "V20" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 152 "/VRA2"
+Po 6.4 4.8
+$EndPAD
+$PAD
+Sh "V21" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 7.2 4.8
+$EndPAD
+$PAD
+Sh "V22" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 45 "/HTX0N"
+Po 8 4.8
+$EndPAD
+$PAD
+Sh "V23" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 46 "/HTX0P"
+Po 8.8 4.8
+$EndPAD
+$PAD
+Sh "W1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 119 "/SDQM1"
+Po -8.8 5.6
+$EndPAD
+$PAD
+Sh "W2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 14 "/DQ14"
+Po -8 5.6
+$EndPAD
+$PAD
+Sh "W3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 103 "/SA11"
+Po -7.2 5.6
+$EndPAD
+$PAD
+Sh "W4" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 100 "/SA0"
+Po -6.4 5.6
+$EndPAD
+$PAD
+Sh "W5" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po -5.6 5.6
+$EndPAD
+$PAD
+Sh "W6" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po -4.8 5.6
+$EndPAD
+$PAD
+Sh "W7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po -4 5.6
+$EndPAD
+$PAD
+Sh "W8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 195 "N-00000139"
+Po -3.2 5.6
+$EndPAD
+$PAD
+Sh "W9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -2.4 5.6
+$EndPAD
+$PAD
+Sh "W10" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -1.6 5.6
+$EndPAD
+$PAD
+Sh "W11" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -0.8 5.6
+$EndPAD
+$PAD
+Sh "W12" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po 0 5.6
+$EndPAD
+$PAD
+Sh "W13" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po 0.8 5.6
+$EndPAD
+$PAD
+Sh "W14" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po 1.6 5.6
+$EndPAD
+$PAD
+Sh "W15" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po 2.4 5.6
+$EndPAD
+$PAD
+Sh "W16" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po 3.2 5.6
+$EndPAD
+$PAD
+Sh "W17" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 4 5.6
+$EndPAD
+$PAD
+Sh "W18" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 4.8 5.6
+$EndPAD
+$PAD
+Sh "W19" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 5.6 5.6
+$EndPAD
+$PAD
+Sh "W20" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 151 "/VRA1"
+Po 6.4 5.6
+$EndPAD
+$PAD
+Sh "W21" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 153 "/VRP"
+Po 7.2 5.6
+$EndPAD
+$PAD
+Sh "W22" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 51 "/HTXCN"
+Po 8 5.6
+$EndPAD
+$PAD
+Sh "W23" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 52 "/HTXCP"
+Po 8.8 5.6
+$EndPAD
+$PAD
+Sh "Y1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 39 "/DQ9"
+Po -8.8 6.4
+$EndPAD
+$PAD
+Sh "Y2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 11 "/DQ11"
+Po -8 6.4
+$EndPAD
+$PAD
+Sh "Y3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 105 "/SA13"
+Po -7.2 6.4
+$EndPAD
+$PAD
+Sh "Y4" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 109 "/SA4"
+Po -6.4 6.4
+$EndPAD
+$PAD
+Sh "Y5" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 167 "DRAM_REF"
+Po -5.6 6.4
+$EndPAD
+$PAD
+Sh "Y6" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 168 "DRAM_VCC"
+Po -4.8 6.4
+$EndPAD
+$PAD
+Sh "Y7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -4 6.4
+$EndPAD
+$PAD
+Sh "Y8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -3.2 6.4
+$EndPAD
+$PAD
+Sh "Y9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 57 "/LCLK"
+Po -2.4 6.4
+$EndPAD
+$PAD
+Sh "Y10" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 71 "/LD20"
+Po -1.6 6.4
+$EndPAD
+$PAD
+Sh "Y11" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 68 "/LD18"
+Po -0.8 6.4
+$EndPAD
+$PAD
+Sh "Y12" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 66 "/LD16"
+Po 0 6.4
+$EndPAD
+$PAD
+Sh "Y13" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 64 "/LD14"
+Po 0.8 6.4
+$EndPAD
+$PAD
+Sh "Y14" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 62 "/LD12"
+Po 1.6 6.4
+$EndPAD
+$PAD
+Sh "Y15" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 60 "/LD10"
+Po 2.4 6.4
+$EndPAD
+$PAD
+Sh "Y16" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po 3.2 6.4
+$EndPAD
+$PAD
+Sh "Y17" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 4 6.4
+$EndPAD
+$PAD
+Sh "Y18" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 4.8 6.4
+$EndPAD
+$PAD
+Sh "Y19" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 5.6 6.4
+$EndPAD
+$PAD
+Sh "Y20" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 6.4 6.4
+$EndPAD
+$PAD
+Sh "Y21" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 7.2 6.4
+$EndPAD
+$PAD
+Sh "Y22" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 8 6.4
+$EndPAD
+$PAD
+Sh "Y23" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 8.8 6.4
+$EndPAD
+$PAD
+Sh "AA1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 12 "/DQ12"
+Po -8.8 7.2
+$EndPAD
+$PAD
+Sh "AA2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 125 "/SDQS1#"
+Po -8 7.2
+$EndPAD
+$PAD
+Sh "AA3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 113 "/SA8"
+Po -7.2 7.2
+$EndPAD
+$PAD
+Sh "AA4" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -6.4 7.2
+$EndPAD
+$PAD
+Sh "AA5" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 90 "/ODT"
+Po -5.6 7.2
+$EndPAD
+$PAD
+Sh "AA6" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 131 "/SRST"
+Po -4.8 7.2
+$EndPAD
+$PAD
+Sh "AA7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 196 "N-00000171"
+Po -4 7.2
+$EndPAD
+$PAD
+Sh "AA8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 167 "DRAM_REF"
+Po -3.2 7.2
+$EndPAD
+$PAD
+Sh "AA9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 82 "/LDE"
+Po -2.4 7.2
+$EndPAD
+$PAD
+Sh "AA10" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 72 "/LD21"
+Po -1.6 7.2
+$EndPAD
+$PAD
+Sh "AA11" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 69 "/LD19"
+Po -0.8 7.2
+$EndPAD
+$PAD
+Sh "AA12" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 67 "/LD17"
+Po 0 7.2
+$EndPAD
+$PAD
+Sh "AA13" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 65 "/LD15"
+Po 0.8 7.2
+$EndPAD
+$PAD
+Sh "AA14" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 63 "/LD13"
+Po 1.6 7.2
+$EndPAD
+$PAD
+Sh "AA15" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 61 "/LD11"
+Po 2.4 7.2
+$EndPAD
+$PAD
+Sh "AA16" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 3.2 7.2
+$EndPAD
+$PAD
+Sh "AA17" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 4 7.2
+$EndPAD
+$PAD
+Sh "AA18" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 4.8 7.2
+$EndPAD
+$PAD
+Sh "AA19" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 5.6 7.2
+$EndPAD
+$PAD
+Sh "AA20" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 6.4 7.2
+$EndPAD
+$PAD
+Sh "AA21" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 150 "/VMIC"
+Po 7.2 7.2
+$EndPAD
+$PAD
+Sh "AA22" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 8 7.2
+$EndPAD
+$PAD
+Sh "AA23" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 8.8 7.2
+$EndPAD
+$PAD
+Sh "AB1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 124 "/SDQS1"
+Po -8.8 8
+$EndPAD
+$PAD
+Sh "AB2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 38 "/DQ8"
+Po -8 8
+$EndPAD
+$PAD
+Sh "AB3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 13 "/DQ13"
+Po -7.2 8
+$EndPAD
+$PAD
+Sh "AB4" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 8 "/DQ0"
+Po -6.4 8
+$EndPAD
+$PAD
+Sh "AB5" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 35 "/DQ5"
+Po -5.6 8
+$EndPAD
+$PAD
+Sh "AB6" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 122 "/SDQS0"
+Po -4.8 8
+$EndPAD
+$PAD
+Sh "AB7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 36 "/DQ6"
+Po -4 8
+$EndPAD
+$PAD
+Sh "AB8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 31 "/DQ3"
+Po -3.2 8
+$EndPAD
+$PAD
+Sh "AB9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 83 "/LHSYN"
+Po -2.4 8
+$EndPAD
+$PAD
+Sh "AB10" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 73 "/LD22"
+Po -1.6 8
+$EndPAD
+$PAD
+Sh "AB11" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 80 "/LD8"
+Po -0.8 8
+$EndPAD
+$PAD
+Sh "AB12" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 78 "/LD6"
+Po 0 8
+$EndPAD
+$PAD
+Sh "AB13" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 76 "/LD4"
+Po 0.8 8
+$EndPAD
+$PAD
+Sh "AB14" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 70 "/LD2"
+Po 1.6 8
+$EndPAD
+$PAD
+Sh "AB15" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 58 "/LD0"
+Po 2.4 8
+$EndPAD
+$PAD
+Sh "AB16" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 147 "/VGA_B"
+Po 3.2 8
+$EndPAD
+$PAD
+Sh "AB17" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 4 8
+$EndPAD
+$PAD
+Sh "AB18" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 4.8 8
+$EndPAD
+$PAD
+Sh "AB19" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 5.6 8
+$EndPAD
+$PAD
+Sh "AB20" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 84 "/LIN_L"
+Po 6.4 8
+$EndPAD
+$PAD
+Sh "AB21" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 85 "/LIN_R"
+Po 7.2 8
+$EndPAD
+$PAD
+Sh "AB22" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 8 8
+$EndPAD
+$PAD
+Sh "AB23" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 8.8 8
+$EndPAD
+$PAD
+Sh "AC1" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 15 "/DQ15"
+Po -8.8 8.8
+$EndPAD
+$PAD
+Sh "AC2" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 10 "/DQ10"
+Po -8 8.8
+$EndPAD
+$PAD
+Sh "AC3" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 37 "/DQ7"
+Po -7.2 8.8
+$EndPAD
+$PAD
+Sh "AC4" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 20 "/DQ2"
+Po -6.4 8.8
+$EndPAD
+$PAD
+Sh "AC5" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 123 "/SDQS0#"
+Po -5.6 8.8
+$EndPAD
+$PAD
+Sh "AC6" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 118 "/SDQM0"
+Po -4.8 8.8
+$EndPAD
+$PAD
+Sh "AC7" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 9 "/DQ1"
+Po -4 8.8
+$EndPAD
+$PAD
+Sh "AC8" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 34 "/DQ4"
+Po -3.2 8.8
+$EndPAD
+$PAD
+Sh "AC9" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 86 "/LVSYN"
+Po -2.4 8.8
+$EndPAD
+$PAD
+Sh "AC10" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 74 "/LD23"
+Po -1.6 8.8
+$EndPAD
+$PAD
+Sh "AC11" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 81 "/LD9"
+Po -0.8 8.8
+$EndPAD
+$PAD
+Sh "AC12" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 79 "/LD7"
+Po 0 8.8
+$EndPAD
+$PAD
+Sh "AC13" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 77 "/LD5"
+Po 0.8 8.8
+$EndPAD
+$PAD
+Sh "AC14" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 75 "/LD3"
+Po 1.6 8.8
+$EndPAD
+$PAD
+Sh "AC15" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 59 "/LD1"
+Po 2.4 8.8
+$EndPAD
+$PAD
+Sh "AC16" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 148 "/VGA_G"
+Po 3.2 8.8
+$EndPAD
+$PAD
+Sh "AC17" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 149 "/VGA_R"
+Po 4 8.8
+$EndPAD
+$PAD
+Sh "AC18" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 4.8 8.8
+$EndPAD
+$PAD
+Sh "AC19" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po 5.6 8.8
+$EndPAD
+$PAD
+Sh "AC20" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 87 "/MICIN1"
+Po 6.4 8.8
+$EndPAD
+$PAD
+Sh "AC21" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 88 "/MICIN2"
+Po 7.2 8.8
+$EndPAD
+$PAD
+Sh "AC22" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 8 8.8
+$EndPAD
+$PAD
+Sh "AC23" C 0.35 0.35 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 8.8 8.8
+$EndPAD
+$SHAPE3D
+Na "walter/smd_bga/tfbga180.wrl"
+Sc 1 1 1
+Of 0 0 0
+Ro 0 0 0
+$EndSHAPE3D
+$EndMODULE TFBGA441-new
+$MODULE USB_DA
+Po 99.7 99.925 0 15 5189CA3A 00000000 ~~
+Li USB_DA
+Sc 0
+AR /5151A44D
+Op 0 0 0
+T0 -2.425 2.225 1.524 1.524 900 0.3048 N V 21 N "J3"
+T1 -3.6 -4.875 1.524 1.524 900 0.3048 N V 21 N "DUSB"
+DS -1.143 -7.493 12.954 -7.493 0.127 21
+DS 12.954 7.493 -1.143 7.493 0.127 21
+DS -1.143 -7.493 -1.143 7.493 0.127 21
+DS 12.954 -7.493 12.954 7.493 0.127 21
+$PAD
+Sh "7" C 1.50114 1.50114 0 0 0
+Dr 1.00076 0 0
+At STD N 00E0FFFF
+Ne 187 "GND"
+Po 0 -3.556
+$EndPAD
+$PAD
+Sh "5" C 1.50114 1.50114 0 0 0
+Dr 1.00076 0 0
+At STD N 00E0FFFF
+Ne 144 "/USB1P"
+Po 0 -1.025
+$EndPAD
+$PAD
+Sh "3" C 1.50114 1.50114 0 0 0
+Dr 1.00076 0 0
+At STD N 00E0FFFF
+Ne 143 "/USB1M"
+Po 0.025 1.025
+$EndPAD
+$PAD
+Sh "1" C 1.50114 1.50114 0 0 0
+Dr 1.00076 0 0
+At STD N 00E0FFFF
+Ne 165 "5V_IN"
+Po 0 3.556
+$EndPAD
+$PAD
+Sh "sh3" C 2.99974 2.99974 0 0 0
+Dr 2.301238 0 0
+At STD N 00E0FFFF
+Ne 187 "GND"
+Po -0.675 -6.6
+$EndPAD
+$PAD
+Sh "sh1" C 2.99974 2.99974 0 0 0
+Dr 2.301238 0 0
+At STD N 00E0FFFF
+Ne 187 "GND"
+Po -0.625 6.675
+$EndPAD
+$PAD
+Sh "sh4" C 2.99974 2.99974 0 0 0
+Dr 2.301238 0 0
+At STD N 00E0FFFF
+Ne 187 "GND"
+Po 5.675 -6.6
+$EndPAD
+$PAD
+Sh "sh2" C 2.99974 2.99974 0 0 0
+Dr 2.301238 0 0
+At STD N 00E0FFFF
+Ne 187 "GND"
+Po 5.65 6.35
+$EndPAD
+$PAD
+Sh "8" C 1.50114 1.50114 0 0 0
+Dr 1.00076 0 0
+At STD N 00E0FFFF
+Ne 187 "GND"
+Po 2.7 -3.55
+$EndPAD
+$PAD
+Sh "4" C 1.50114 1.50114 0 0 0
+Dr 1.00076 0 0
+At STD N 00E0FFFF
+Ne 145 "/USB2M"
+Po 2.7 1
+$EndPAD
+$PAD
+Sh "6" C 1.50114 1.50114 0 0 0
+Dr 1.00076 0 0
+At STD N 00E0FFFF
+Ne 146 "/USB2P"
+Po 2.71 -1.03
+$EndPAD
+$PAD
+Sh "2" C 1.50114 1.50114 0 0 0
+Dr 1.00076 0 0
+At STD N 00E0FFFF
+Ne 165 "5V_IN"
+Po 2.7 3.55
+$EndPAD
+$SHAPE3D
+Na "connectors/usb_a_through_hole.wrl"
+Sc 1 1 1
+Of 0 0 0
+Ro 0 0 0
+$EndSHAPE3D
+$EndMODULE USB_DA
+$MODULE USB_Double-from-FCI
+Po 0 0 0 15 519E1574 00000000 ~~
+Li USB_Double-from-FCI
+Sc 0
+AR /5151A44D
+Op 0 0 0
+T0 -2.425 2.225 1.524 1.524 900 0.3048 N V 21 N "J3"
+T1 -3.6 -4.875 1.524 1.524 900 0.3048 N V 21 N "DUSB"
+DS -1.143 -7.493 12.954 -7.493 0.127 21
+DS 12.954 7.493 -1.143 7.493 0.127 21
+DS -1.143 -7.493 -1.143 7.493 0.127 21
+DS 12.954 -7.493 12.954 7.493 0.127 21
+$PAD
+Sh "7" C 1.50114 1.50114 0 0 0
+Dr 1.00076 0 0
+At STD N 00E0FFFF
+Ne 6 "GND"
+Po 0 -3.556
+$EndPAD
+$PAD
+Sh "5" C 1.50114 1.50114 0 0 0
+Dr 1.00076 0 0
+At STD N 00E0FFFF
+Ne 2 "/USB1P"
+Po 0 -1.025
+$EndPAD
+$PAD
+Sh "3" C 1.50114 1.50114 0 0 0
+Dr 1.00076 0 0
+At STD N 00E0FFFF
+Ne 1 "/USB1M"
+Po 0.025 1.025
+$EndPAD
+$PAD
+Sh "1" C 1.50114 1.50114 0 0 0
+Dr 1.00076 0 0
+At STD N 00E0FFFF
+Ne 5 "5V_IN"
+Po 0 3.556
+$EndPAD
+$PAD
+Sh "sh3" C 2.99974 2.99974 0 0 0
+Dr 2.301238 0 0
+At STD N 00E0FFFF
+Ne 6 "GND"
+Po -0.675 -6.6
+$EndPAD
+$PAD
+Sh "sh1" C 2.99974 2.99974 0 0 0
+Dr 2.301238 0 0
+At STD N 00E0FFFF
+Ne 6 "GND"
+Po -0.625 6.675
+$EndPAD
+$PAD
+Sh "sh4" C 2.99974 2.99974 0 0 0
+Dr 2.301238 0 0
+At STD N 00E0FFFF
+Ne 6 "GND"
+Po 5 -6.6
+$EndPAD
+$PAD
+Sh "sh2" C 2.99974 2.99974 0 0 0
+Dr 2.301238 0 0
+At STD N 00E0FFFF
+Ne 6 "GND"
+Po 5.05 6.55
+$EndPAD
+$PAD
+Sh "8" C 1.50114 1.50114 0 0 0
+Dr 1.00076 0 0
+At STD N 00E0FFFF
+Ne 6 "GND"
+Po 2.6 -3.55
+$EndPAD
+$PAD
+Sh "4" C 1.50114 1.50114 0 0 0
+Dr 1.00076 0 0
+At STD N 00E0FFFF
+Ne 3 "/USB2M"
+Po 2.55 1
+$EndPAD
+$PAD
+Sh "6" C 1.50114 1.50114 0 0 0
+Dr 1.00076 0 0
+At STD N 00E0FFFF
+Ne 4 "/USB2P"
+Po 2.6 -1.05
+$EndPAD
+$PAD
+Sh "2" C 1.50114 1.50114 0 0 0
+Dr 1.00076 0 0
+At STD N 00E0FFFF
+Ne 5 "5V_IN"
+Po 2.55 3.6
+$EndPAD
+$SHAPE3D
+Na "connectors/usb_a_through_hole.wrl"
+Sc 1 1 1
+Of 0 0 0
+Ro 0 0 0
+$EndSHAPE3D
+$EndMODULE USB_Double-from-FCI
+$MODULE conn_usb_A-vert
+Po 104.425 86.525 0 15 5189CA31 00000000 ~~
+Li conn_usb_A-vert
+Cd USB A-type vertical receptacle, Tyco P/N 440260-2
+Sc 0
+AR /5151A43E
+Op 0 0 0
+T0 -3 -11.45 1.524 1.524 0 0.3048 N V 21 N "J4"
+T1 1.175 -13.25 1.524 1.524 0 0.3048 N V 21 N "USB1"
+DS 3.937 9.652 -3.937 9.652 0.381 21
+DS -3.937 9.398 -3.937 9.906 0.381 21
+DS -3.937 9.906 3.937 9.906 0.381 21
+DS 3.937 9.906 3.937 9.398 0.381 21
+DS 3.937 9.398 -3.937 9.398 0.381 21
+DS 2.921 -9.652 -2.921 -9.652 0.381 21
+DS -2.921 -9.652 -2.921 9.652 0.381 21
+DS 2.921 9.652 2.921 -9.652 0.381 21
+$PAD
+Sh "6" C 2.19964 2.19964 0 0 0
+Dr 1.30048 0 0
+At STD N 0000FFFF
+Ne 187 "GND"
+Po -2.72034 -9.29894
+$EndPAD
+$PAD
+Sh "5" C 2.19964 2.19964 0 0 0
+Dr 1.30048 0 0
+At STD N 0000FFFF
+Ne 187 "GND"
+Po 2.72034 -9.29894
+$EndPAD
+$PAD
+Sh "1" C 1.50114 1.50114 0 0 0
+Dr 0.899159 0 0
+At STD N 0000FFFF
+Ne 165 "5V_IN"
+Po 0 -3.03022
+$EndPAD
+$PAD
+Sh "2" C 1.50114 1.50114 0 0 0
+Dr 0.899159 0 0
+At STD N 0000FFFF
+Ne 228 "N-0000031"
+Po 0 -5.0292
+$EndPAD
+$PAD
+Sh "3" C 1.50114 1.50114 0 0 0
+Dr 0.899159 0 0
+At STD N 0000FFFF
+Ne 229 "N-0000032"
+Po 0 -7.03072
+$EndPAD
+$PAD
+Sh "4" C 1.50114 1.50114 0 0 0
+Dr 0.899159 0 0
+At STD N 0000FFFF
+Ne 187 "GND"
+Po 0 -9.0297
+$EndPAD
+$PAD
+Sh "" C 2.19964 2.19964 0 0 0
+Dr 1.30048 0 0
+At STD N 0000FFFF
+Ne 0 ""
+Po 2.72034 -2.30124
+$EndPAD
+$PAD
+Sh "" C 2.19964 2.19964 0 0 0
+Dr 1.30048 0 0
+At STD N 0000FFFF
+Ne 0 ""
+Po -2.72034 -2.30124
+$EndPAD
+$SHAPE3D
+Na "walter/conn_pc/usb_A_vert.wrl"
+Sc 1 1 1
+Of 0 0 0
+Ro 0 0 0
+$EndSHAPE3D
+$EndMODULE conn_usb_A-vert
+$MODULE conn_usb_B_micro_smd
+Po 77.343 29.7561 0 15 50432F3D 00000000 ~~
+Li conn_usb_B_micro_smd
+Cd USB B micro SMD connector, Molex P/N 47346-0001
+Sc 0
+AR /51526492
+Op 0 0 0
+T0 0 2.60096 0.50038 0.50038 0 0.09906 N V 21 N "P2"
+T1 0 -4.0005 0.50038 0.50038 0 0.09906 N V 21 N "CONN_5"
+DS -4.20116 1.99898 4.20116 1.99898 0.20066 21
+DS -4.20116 2.10058 4.20116 2.10058 0.20066 21
+DS 4.20116 2.10058 4.20116 1.89992 0.20066 21
+DS 4.20116 1.89992 -4.20116 1.89992 0.20066 21
+DS -4.20116 1.89992 -4.20116 2.10058 0.20066 21
+DS -3.8989 2.10058 -3.8989 -2.90068 0.20066 21
+DS -3.8989 -2.90068 3.8989 -2.90068 0.20066 21
+DS 3.8989 -2.90068 3.8989 2.10058 0.20066 21
+$PAD
+Sh "" R 1.17348 1.89738 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -0.8382 0
+$EndPAD
+$PAD
+Sh "" R 1.17348 1.89738 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 0.8382 0
+$EndPAD
+$PAD
+Sh "" R 2.3749 1.89738 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 2.91338 0
+$EndPAD
+$PAD
+Sh "" R 2.3749 1.89738 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -2.91338 0
+$EndPAD
+$PAD
+Sh "" R 1.4732 2.10058 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 2.46126 -2.2987
+$EndPAD
+$PAD
+Sh "" R 1.4732 2.10058 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -2.46126 -2.2987
+$EndPAD
+$PAD
+Sh "1" R 0.44958 1.37922 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 165 "5V_IN"
+Po -1.30048 -2.65938
+$EndPAD
+$PAD
+Sh "2" R 0.44958 1.37922 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -0.65024 -2.65938
+$EndPAD
+$PAD
+Sh "3" R 0.44958 1.37922 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 0 -2.65938
+$EndPAD
+$PAD
+Sh "4" R 0.44958 1.37922 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 0.65024 -2.65938
+$EndPAD
+$PAD
+Sh "5" R 0.44958 1.37922 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 1.30048 -2.65938
+$EndPAD
+$SHAPE3D
+Na "walter/conn_pc/usb_B_micro_smd.wrl"
+Sc 1 1 1
+Of 0 0 0
+Ro 0 0 0
+$EndSHAPE3D
+$EndMODULE conn_usb_B_micro_smd
+$MODULE crystal-32k-4.5x1.9mm
+Po 0 0 0 15 519E01CA 00000000 ~~
+Li crystal-32k-4.5x1.9mm
+Sc 0
+AR /51552586
+Op 0 0 0
+At SMD
+T0 -0.125 1.025 0.508 0.4572 0 0.1143 N V 21 N "~"
+T1 -0.1 1.825 0.508 0.4572 0 0.1143 N I 21 N "32k"
+DS -2.65 -1.4 2.7 -1.4 0.15 21
+DS 2.7 -1.4 2.7 1.4 0.15 21
+DS 2.7 1.4 -2.65 1.4 0.15 21
+DS -2.65 1.4 -2.65 -1.4 0.15 21
+$PAD
+Sh "1" R 1.1 1.9 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 1 "GND"
+Po -1.7 0
+$EndPAD
+$PAD
+Sh "2" R 1.1 1.9 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 2 "LDO3"
+Po 1.7 0.05
+$EndPAD
+$SHAPE3D
+Na "smd\\resistors\\R0603.wrl"
+Sc 0.5 0.5 0.5
+Of 0 0 0.001
+Ro 0 0 0
+$EndSHAPE3D
+$EndMODULE crystal-32k-4.5x1.9mm
+$MODULE lqfp48
+Po 52.225 41.075 0 15 518A3D42 00000000 ~~
+Li lqfp48
+Cd LQFP-48
+Sc 0
+AR /5159654C/51597EA2
+Op 0 0 0
+T0 6.375 -4.025 0.7493 0.7493 900 0.14986 N V 21 N "U11"
+T1 7.7 -2.85 0.7493 0.7493 900 0.14986 N V 21 N "RTL8201CP"
+DS -4.09956 3.8989 -3.8989 4.09956 0.14986 21
+DS -3.70078 4.09956 -4.09956 3.70078 0.14986 21
+DS -4.09956 3.50012 -3.50012 4.09956 0.14986 21
+DS -3.29946 4.09956 -4.09956 3.29946 0.14986 21
+DS -3.0988 4.09956 -4.09956 4.09956 0.14986 21
+DS -4.09956 4.09956 -4.09956 3.0988 0.14986 21
+DS -4.09956 3.0988 -3.0988 4.09956 0.14986 21
+DS 4.09956 3.0988 4.09956 4.09956 0.14986 21
+DS 4.09956 4.09956 3.0988 4.09956 0.14986 21
+DS 3.0988 -4.09956 4.09956 -4.09956 0.14986 21
+DS 4.09956 -4.09956 4.09956 -3.0988 0.14986 21
+DS -4.09956 -3.0988 -4.09956 -4.09956 0.14986 21
+DS -4.09956 -4.09956 -3.0988 -4.09956 0.14986 21
+DC -2.413 2.413 -2.667 2.54 0.127 21
+DS 3.556 3.175 3.175 3.556 0.127 21
+DS 3.175 3.556 -3.175 3.556 0.127 21
+DS -3.175 3.556 -3.556 3.175 0.127 21
+DS -3.556 3.175 -3.556 -3.175 0.127 21
+DS -3.556 -3.175 -3.175 -3.556 0.127 21
+DS -3.175 -3.556 3.175 -3.556 0.127 21
+DS 3.175 -3.556 3.556 -3.175 0.127 21
+DS 3.556 -3.175 3.556 3.175 0.127 21
+$PAD
+Sh "4" R 0.29972 1.30048 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 183 "ETXD2"
+Po -1.24968 4.09956
+$EndPAD
+$PAD
+Sh "5" R 0.29972 1.30048 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 182 "ETXD1"
+Po -0.7493 4.09956
+$EndPAD
+$PAD
+Sh "6" R 0.29972 1.30048 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 181 "ETXD0"
+Po -0.24892 4.09956
+$EndPAD
+$PAD
+Sh "7" R 0.29972 1.30048 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 180 "ETXCK"
+Po 0.24892 4.09956
+$EndPAD
+$PAD
+Sh "8" R 0.29972 1.30048 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 239 "N-00000408"
+Po 0.7493 4.09956
+$EndPAD
+$PAD
+Sh "1" R 0.29972 1.30048 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 169 "ECOL"
+Po -2.75082 4.09956
+$EndPAD
+$PAD
+Sh "2" R 0.29972 1.30048 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 185 "ETXEN"
+Po -2.25044 4.09956
+$EndPAD
+$PAD
+Sh "3" R 0.29972 1.30048 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 184 "ETXD3"
+Po -1.75006 4.09956
+$EndPAD
+$PAD
+Sh "13" R 1.30048 0.29972 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 242 "N-00000422"
+Po 4.09956 2.75082
+$EndPAD
+$PAD
+Sh "14" R 1.30048 0.29972 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po 4.09956 2.25044
+$EndPAD
+$PAD
+Sh "15" R 1.30048 0.29972 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 156 "/ethernet/LED4"
+Po 4.09956 1.75006
+$EndPAD
+$PAD
+Sh "16" R 1.30048 0.29972 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 173 "ERXCK"
+Po 4.09956 1.24968
+$EndPAD
+$PAD
+Sh "17" R 1.30048 0.29972 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 4.09956 0.7493
+$EndPAD
+$PAD
+Sh "18" R 1.30048 0.29972 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 177 "ERXD3"
+Po 4.09956 0.24892
+$EndPAD
+$PAD
+Sh "19" R 1.30048 0.29972 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 176 "ERXD2"
+Po 4.09956 -0.24892
+$EndPAD
+$PAD
+Sh "20" R 1.30048 0.29972 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 175 "ERXD1"
+Po 4.09956 -0.7493
+$EndPAD
+$PAD
+Sh "25" R 0.29972 1.30048 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 171 "EMDC"
+Po 2.75082 -4.09956
+$EndPAD
+$PAD
+Sh "26" R 0.29972 1.30048 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 172 "EMDIO"
+Po 2.25044 -4.09956
+$EndPAD
+$PAD
+Sh "27" R 0.29972 1.30048 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 1.75006 -4.09956
+$EndPAD
+$PAD
+Sh "28" R 0.29972 1.30048 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 249 "N-00000429"
+Po 1.24968 -4.09956
+$EndPAD
+$PAD
+Sh "29" R 0.29972 1.30048 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 0.7493 -4.09956
+$EndPAD
+$PAD
+Sh "30" R 0.29972 1.30048 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 159 "/ethernet/RX-"
+Po 0.24892 -4.09956
+$EndPAD
+$PAD
+Sh "31" R 0.29972 1.30048 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 158 "/ethernet/RX+"
+Po -0.24892 -4.09956
+$EndPAD
+$PAD
+Sh "32" R 0.29972 1.30048 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 157 "/ethernet/PFWBOUT"
+Po -0.7493 -4.09956
+$EndPAD
+$PAD
+Sh "37" R 1.30048 0.29972 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 248 "N-00000428"
+Po -4.09956 -2.75082
+$EndPAD
+$PAD
+Sh "38" R 1.30048 0.29972 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 250 "N-00000430"
+Po -4.09956 -2.25044
+$EndPAD
+$PAD
+Sh "39" R 1.30048 0.29972 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 252 "N-00000432"
+Po -4.09956 -1.75006
+$EndPAD
+$PAD
+Sh "40" R 1.30048 0.29972 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 247 "N-00000427"
+Po -4.09956 -1.24968
+$EndPAD
+$PAD
+Sh "41" R 1.30048 0.29972 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 245 "N-00000425"
+Po -4.09956 -0.7493
+$EndPAD
+$PAD
+Sh "42" R 1.30048 0.29972 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 186 "ETXERR"
+Po -4.09956 -0.24892
+$EndPAD
+$PAD
+Sh "43" R 1.30048 0.29972 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 246 "N-00000426"
+Po -4.09956 0.24892
+$EndPAD
+$PAD
+Sh "44" R 1.30048 0.29972 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 251 "N-00000431"
+Po -4.09956 0.7493
+$EndPAD
+$PAD
+Sh "9" R 0.29972 1.30048 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 155 "/ethernet/LED0"
+Po 1.24968 4.09956
+$EndPAD
+$PAD
+Sh "10" R 0.29972 1.30048 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 244 "N-00000424"
+Po 1.75006 4.09956
+$EndPAD
+$PAD
+Sh "11" R 0.29972 1.30048 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 2.25044 4.09956
+$EndPAD
+$PAD
+Sh "12" R 0.29972 1.30048 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 243 "N-00000423"
+Po 2.75082 4.09956
+$EndPAD
+$PAD
+Sh "21" R 1.30048 0.29972 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 174 "ERXD0"
+Po 4.09956 -1.24968
+$EndPAD
+$PAD
+Sh "22" R 1.30048 0.29972 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 178 "ERXDV"
+Po 4.09956 -1.75006
+$EndPAD
+$PAD
+Sh "23" R 1.30048 0.29972 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 170 "ECRS"
+Po 4.09956 -2.25044
+$EndPAD
+$PAD
+Sh "24" R 1.30048 0.29972 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 179 "ERXERR"
+Po 4.09956 -2.75082
+$EndPAD
+$PAD
+Sh "33" R 0.29972 1.30048 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 161 "/ethernet/TX-"
+Po -1.24968 -4.09956
+$EndPAD
+$PAD
+Sh "34" R 0.29972 1.30048 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 160 "/ethernet/TX+"
+Po -1.75006 -4.09956
+$EndPAD
+$PAD
+Sh "35" R 0.29972 1.30048 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -2.25044 -4.09956
+$EndPAD
+$PAD
+Sh "36" R 0.29972 1.30048 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 154 "/ethernet/ETHAVDD"
+Po -2.75082 -4.09956
+$EndPAD
+$PAD
+Sh "45" R 1.30048 0.29972 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -4.09956 1.24968
+$EndPAD
+$PAD
+Sh "46" R 1.30048 0.29972 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 254 "N-00000434"
+Po -4.09956 1.75006
+$EndPAD
+$PAD
+Sh "47" R 1.30048 0.29972 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 253 "N-00000433"
+Po -4.09956 2.25044
+$EndPAD
+$PAD
+Sh "48" R 1.30048 0.29972 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po -4.09956 2.75082
+$EndPAD
+$SHAPE3D
+Na "walter/smd_lqfp/lqfp-48.wrl"
+Sc 1 1 1
+Of 0 0 0
+Ro 0 0 0
+$EndSHAPE3D
+$EndMODULE lqfp48
+$MODULE microsd_socket
+Po 103.4796 74.4855 0 15 5189CA22 00000000 ~~
+Li microsd_socket
+Cd MicroSD Card socket, Molex P/N 502774-0811
+Sc 0
+AR /5151A880
+Op 0 0 0
+T0 -5.9395 -9.6796 1.524 1.524 0 0.3048 N V 21 N "J5"
+T1 -5.0395 9.5954 1.524 1.524 0 0.3048 N V 21 N "USD"
+DS 2.90068 7.29996 4.39928 7.59968 0.381 21
+DS -2.19964 7.59968 -1.39954 7.39902 0.381 21
+DS -1.39954 7.39902 0.50038 7.2009 0.381 21
+DS 0.50038 7.2009 1.80086 7.2009 0.381 21
+DS 1.80086 7.2009 2.90068 7.29996 0.381 21
+DS -2.19964 7.59968 -4.39928 7.59968 0.381 21
+DS -4.40182 7.59968 -4.70154 8.001 0.381 21
+DS 4.699 8.001 7.2009 8.001 0.381 21
+DS -4.699 8.001 -7.2009 8.001 0.381 21
+DS 4.699 8.001 4.39928 7.59968 0.381 21
+DS -3.70078 -7.00024 -3.70078 -8.001 0.381 21
+DS -3.70078 -8.001 -5.19938 -8.001 0.381 21
+DS -5.19938 -8.001 -5.19938 -7.00024 0.381 21
+DS -5.90042 -8.001 -7.00024 -8.001 0.381 21
+DS -5.90042 -8.001 -5.90042 -7.00024 0.381 21
+DS -7.00024 -7.00024 -7.00024 -8.001 0.381 21
+DS 5.69976 -7.8994 6.70052 -7.8994 0.381 21
+DS 5.69976 -7.00024 5.69976 -7.8994 0.381 21
+DS 6.70052 -7.8994 6.70052 -7.00024 0.381 21
+DS 4.8006 -7.8994 4.8006 -7.00024 0.381 21
+DS 3.70078 -7.8994 3.70078 -7.00024 0.381 21
+DS 2.60096 -7.8994 2.60096 -7.00024 0.381 21
+DS 1.50114 -7.8994 1.50114 -7.00024 0.381 21
+DS 0.39878 -7.8994 0.39878 -7.00024 0.381 21
+DS -0.70104 -7.8994 -0.70104 -7.0993 0.381 21
+DS -1.80086 -7.8994 -1.80086 -7.00024 0.381 21
+DS -2.90068 -7.00024 -2.90068 -7.8994 0.381 21
+DS 7.2009 8.001 7.2009 -7.00024 0.381 21
+DS 7.2009 -7.00024 -7.2009 -7.00024 0.381 21
+DS -7.2009 -7.00024 -7.2009 8.001 0.381 21
+$PAD
+Sh "1" R 0.8001 1.67894 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 200 "N-00000191"
+Po 4.8006 -7.38378
+.LocalClearance 0.20066
+$EndPAD
+$PAD
+Sh "2" R 0.8001 1.67894 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 205 "N-00000196"
+Po 3.70078 -7.38378
+.LocalClearance 0.20066
+$EndPAD
+$PAD
+Sh "3" R 0.8001 1.67894 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 204 "N-00000195"
+Po 2.60096 -7.38378
+.LocalClearance 0.20066
+$EndPAD
+$PAD
+Sh "4" R 0.8001 1.67894 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 164 "3.3V"
+Po 1.50114 -7.38378
+.LocalClearance 0.20066
+$EndPAD
+$PAD
+Sh "5" R 0.8001 1.67894 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 202 "N-00000193"
+Po 0.39878 -7.38378
+.LocalClearance 0.20066
+$EndPAD
+$PAD
+Sh "6" R 0.8001 1.67894 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po -0.70104 -7.38378
+.LocalClearance 0.20066
+$EndPAD
+$PAD
+Sh "7" R 0.8001 1.67894 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 201 "N-00000192"
+Po -1.80086 -7.38378
+.LocalClearance 0.20066
+$EndPAD
+$PAD
+Sh "8" R 0.8001 1.67894 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 203 "N-00000194"
+Po -2.90068 -7.38378
+.LocalClearance 0.20066
+$EndPAD
+$PAD
+Sh "sh1" R 1.29032 1.30048 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 6.85546 -5.82422
+.LocalClearance 0.20066
+$EndPAD
+$PAD
+Sh "" R 1.50114 1.69926 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 6.74878 7.37616
+$EndPAD
+$PAD
+Sh "" R 1.69926 1.50114 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -6.44906 -7.47522
+$EndPAD
+$PAD
+Sh "sh2" R 1.29032 1.39954 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 187 "GND"
+Po 6.85546 1.5748
+$EndPAD
+$PAD
+Sh "" R 1.50114 1.69926 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -6.74878 7.37616
+$EndPAD
+$PAD
+Sh "" R 1.6002 1.50114 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 6.2103 -7.47522
+.LocalClearance 0.20066
+$EndPAD
+$SHAPE3D
+Na "walter/conn_misc/microsd_socket.wrl"
+Sc 1 1 1
+Of 0 0 0
+Ro 0 0 0
+$EndSHAPE3D
+$EndMODULE microsd_socket
+$MODULE pin2mm-30
+Po 63.7 88.8 0 15 5189C9DC 00000000 ~~
+Li pin2mm-30
+Sc 0
+AR /5150C360
+Op 0 0 0
+T0 -12 -4.05 1 1 0 0.15 N V 21 N "P1"
+T1 1.1 -3.95 1 1 0 0.15 N V 21 N "CONN30 - LCD"
+DS -13 -3 17 -3 0.15 21
+DS 17 -3 17 1 0.15 21
+DS 17 1 -13 1 0.15 21
+DS -13 1 -13 -3 0.15 21
+$PAD
+Sh "1" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 58 "/LD0"
+Po -12 -2
+$EndPAD
+$PAD
+Sh "2" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 59 "/LD1"
+Po -12 0
+$EndPAD
+$PAD
+Sh "3" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 70 "/LD2"
+Po -10 -2
+$EndPAD
+$PAD
+Sh "4" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 75 "/LD3"
+Po -10 0
+$EndPAD
+$PAD
+Sh "5" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 76 "/LD4"
+Po -8 -2
+$EndPAD
+$PAD
+Sh "6" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 77 "/LD5"
+Po -8 0
+$EndPAD
+$PAD
+Sh "7" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 78 "/LD6"
+Po -6 -2
+$EndPAD
+$PAD
+Sh "8" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 79 "/LD7"
+Po -6 0
+$EndPAD
+$PAD
+Sh "9" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 80 "/LD8"
+Po -4 -2
+$EndPAD
+$PAD
+Sh "10" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 81 "/LD9"
+Po -4 0
+$EndPAD
+$PAD
+Sh "11" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 60 "/LD10"
+Po -2 -2
+$EndPAD
+$PAD
+Sh "12" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 61 "/LD11"
+Po -2 0
+$EndPAD
+$PAD
+Sh "13" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 62 "/LD12"
+Po 0 -2
+$EndPAD
+$PAD
+Sh "14" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 63 "/LD13"
+Po 0 0
+$EndPAD
+$PAD
+Sh "15" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 64 "/LD14"
+Po 2 -2
+$EndPAD
+$PAD
+Sh "16" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 65 "/LD15"
+Po 2 0
+$EndPAD
+$PAD
+Sh "17" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 66 "/LD16"
+Po 4 -2
+$EndPAD
+$PAD
+Sh "18" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 67 "/LD17"
+Po 4 0
+$EndPAD
+$PAD
+Sh "19" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 68 "/LD18"
+Po 6 -2
+$EndPAD
+$PAD
+Sh "20" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 69 "/LD19"
+Po 6 0
+$EndPAD
+$PAD
+Sh "21" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 71 "/LD20"
+Po 8 -2
+$EndPAD
+$PAD
+Sh "22" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 72 "/LD21"
+Po 8 0
+$EndPAD
+$PAD
+Sh "23" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 73 "/LD22"
+Po 10 -2
+$EndPAD
+$PAD
+Sh "24" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 74 "/LD23"
+Po 10 0
+$EndPAD
+$PAD
+Sh "25" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 57 "/LCLK"
+Po 12 -2
+$EndPAD
+$PAD
+Sh "26" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 82 "/LDE"
+Po 12 0
+$EndPAD
+$PAD
+Sh "27" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 83 "/LHSYN"
+Po 14 -2
+$EndPAD
+$PAD
+Sh "28" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 86 "/LVSYN"
+Po 14 0
+$EndPAD
+$PAD
+Sh "29" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 165 "5V_IN"
+Po 16 -2
+$EndPAD
+$PAD
+Sh "30" C 1 1 0 0 0
+Dr 0.8 0 0
+At STD N 00E0FFFF
+Ne 187 "GND"
+Po 16 0
+$EndPAD
+$EndMODULE pin2mm-30
+$MODULE pin_array_4x2
+Po 82.96 50.7 0 15 5189CA94 00000000 ~~
+Li pin_array_4x2
+Cd Double rangee de contacts 2 x 4 pins
+Kw CONN
+Sc 0
+AR /5189E5B2
+Op 0 0 0
+T0 -6.16 0.325 1.016 1.016 900 0.2032 N V 21 N "P14"
+T1 -0.01 -3.425 1.016 1.016 0 0.2032 N V 21 N "CONN_8"
+DS -5.08 -2.54 5.08 -2.54 0.3048 21
+DS 5.08 -2.54 5.08 2.54 0.3048 21
+DS 5.08 2.54 -5.08 2.54 0.3048 21
+DS -5.08 2.54 -5.08 -2.54 0.3048 21
+$PAD
+Sh "1" R 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 91 "/PE0"
+Po -3.81 1.27
+$EndPAD
+$PAD
+Sh "2" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 92 "/PE1"
+Po -3.81 -1.27
+$EndPAD
+$PAD
+Sh "3" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 93 "/PE2"
+Po -1.27 1.27
+$EndPAD
+$PAD
+Sh "4" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 94 "/PE3"
+Po -1.27 -1.27
+$EndPAD
+$PAD
+Sh "5" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 95 "/PE4"
+Po 1.27 1.27
+$EndPAD
+$PAD
+Sh "6" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 96 "/PE5"
+Po 1.27 -1.27
+$EndPAD
+$PAD
+Sh "7" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 97 "/PE6"
+Po 3.81 1.27
+$EndPAD
+$PAD
+Sh "8" C 1.524 1.524 0 0 0
+Dr 1.016 0 0
+At STD N 00E0FFFF
+Ne 98 "/PE7"
+Po 3.81 -1.27
+$EndPAD
+$SHAPE3D
+Na "pin_array/pins_array_4x2.wrl"
+Sc 1 1 1
+Of 0 0 0
+Ro 0 0 0
+$EndSHAPE3D
+$EndMODULE pin_array_4x2
+$EndLIBRARY
diff --git a/SBC/sbc-ver1/ethernet.sch b/SBC/sbc-ver1/ethernet.sch
new file mode 100644
index 0000000..8a4880f
--- /dev/null
+++ b/SBC/sbc-ver1/ethernet.sch
@@ -0,0 +1,632 @@
+EESchema Schematic File Version 2  date Thu 30 May 2013 10:03:11 AM IST
+LIBS:power
+LIBS:device
+LIBS:transistors
+LIBS:conn
+LIBS:linear
+LIBS:regul
+LIBS:cmos4000
+LIBS:adc-dac
+LIBS:memory
+LIBS:xilinx
+LIBS:special
+LIBS:microcontrollers
+LIBS:dsp
+LIBS:microchip
+LIBS:analog_switches
+LIBS:motorola
+LIBS:texas
+LIBS:intel
+LIBS:audio
+LIBS:interface
+LIBS:digital-audio
+LIBS:philips
+LIBS:display
+LIBS:cypress
+LIBS:siliconi
+LIBS:opto
+LIBS:atmel
+LIBS:contrib
+LIBS:valves
+LIBS:A10-plus
+LIBS:myconns
+LIBS:a10-sbc-cache
+EELAYER 27 0
+EELAYER END
+$Descr A4 11693 8268
+encoding utf-8
+Sheet 3 3
+Title ""
+Date "30 may 2013"
+Rev ""
+Comp ""
+Comment1 ""
+Comment2 ""
+Comment3 ""
+Comment4 ""
+$EndDescr
+Text GLabel 1900 2300 0    40   Input ~ 0
+EMDC
+Text GLabel 1900 2400 0    40   Input ~ 0
+EMDIO
+Text GLabel 1900 2500 0    40   Input ~ 0
+ETXEN
+Text GLabel 1900 2600 0    40   Input ~ 0
+ETXCK
+Text GLabel 1900 2700 0    40   Input ~ 0
+ECRS
+Text GLabel 1900 2800 0    40   Input ~ 0
+ECOL
+Text GLabel 1100 2900 0    40   Input ~ 0
+ETXERR
+Text GLabel 1900 1200 0    40   Input ~ 0
+ERXD3
+Text GLabel 1900 1300 0    40   Input ~ 0
+ERXD2
+Text GLabel 1900 1400 0    40   Input ~ 0
+ERXD1
+Text GLabel 1900 1500 0    40   Input ~ 0
+ERXD0
+Text GLabel 1900 1600 0    40   Input ~ 0
+ETXD3
+Text GLabel 1900 1700 0    40   Input ~ 0
+ETXD2
+Text GLabel 1900 1900 0    40   Input ~ 0
+ETXD0
+Text GLabel 1900 2000 0    40   Input ~ 0
+ERXCK
+Text GLabel 1900 2100 0    40   Input ~ 0
+ERXERR
+Text GLabel 1900 2200 0    40   Input ~ 0
+ERXDV
+Text GLabel 1900 1800 0    40   Input ~ 0
+ETXD1
+$Comp
+L RTL8201CP U11
+U 1 1 51597EA2
+P 2650 2450
+F 0 "U11" H 3000 3850 50  0000 C CNN
+F 1 "RTL8201CP" H 2450 3850 50  0000 C CNN
+F 2 "MODULE" H 2650 2450 50  0001 C CNN
+F 3 "~" H 2650 2450 50  0001 C CNN
+	1    2650 2450
+	1    0    0    -1  
+$EndComp
+Wire Wire Line
+	3400 1200 3450 1200
+Wire Wire Line
+	3450 1200 3450 700 
+Wire Wire Line
+	3450 700  3850 700 
+$Comp
+L C C136
+U 1 1 51599A05
+P 4050 1300
+F 0 "C136" V 3950 1400 50  0000 L CNN
+F 1 "18pF" V 3950 1050 50  0000 L CNN
+	1    4050 1300
+	0    -1   -1   0   
+$EndComp
+Connection ~ 3750 1300
+Wire Wire Line
+	4250 700  4250 1300
+Wire Wire Line
+	4250 1000 4350 1000
+Connection ~ 4250 1000
+$Comp
+L GND #PWR068
+U 1 1 51599A3B
+P 4350 1000
+F 0 "#PWR068" H 4350 1000 30  0001 C CNN
+F 1 "GND" H 4350 930 30  0001 C CNN
+	1    4350 1000
+	0    -1   -1   0   
+$EndComp
+$Comp
+L C C135
+U 1 1 51599A5C
+P 4050 700
+F 0 "C135" V 4100 800 50  0000 L CNN
+F 1 "18pF" V 4100 450 50  0000 L CNN
+	1    4050 700 
+	0    -1   -1   0   
+$EndComp
+$Comp
+L R R39
+U 1 1 5159A0EF
+P 3800 2650
+F 0 "R39" V 3850 2400 50  0000 C CNN
+F 1 "2k2" V 3800 2650 50  0000 C CNN
+	1    3800 2650
+	0    -1   -1   0   
+$EndComp
+$Comp
+L R R40
+U 1 1 5159A133
+P 3800 2750
+F 0 "R40" V 3850 2500 50  0000 C CNN
+F 1 "5k1" V 3800 2750 50  0000 C CNN
+	1    3800 2750
+	0    -1   -1   0   
+$EndComp
+$Comp
+L R R41
+U 1 1 5159A139
+P 3800 2850
+F 0 "R41" V 3850 2600 50  0000 C CNN
+F 1 "5k1" V 3800 2850 50  0000 C CNN
+	1    3800 2850
+	0    -1   -1   0   
+$EndComp
+$Comp
+L R R35
+U 1 1 5159A227
+P 3800 1750
+F 0 "R35" V 3850 1500 50  0000 C CNN
+F 1 "5k1" V 3800 1750 50  0000 C CNN
+	1    3800 1750
+	0    -1   -1   0   
+$EndComp
+$Comp
+L R R36
+U 1 1 5159A22D
+P 3800 1850
+F 0 "R36" V 3850 1600 50  0000 C CNN
+F 1 "5k1" V 3800 1850 50  0000 C CNN
+	1    3800 1850
+	0    -1   -1   0   
+$EndComp
+$Comp
+L R R37
+U 1 1 5159A233
+P 3800 1950
+F 0 "R37" V 3850 1700 50  0000 C CNN
+F 1 "5k1" V 3800 1950 50  0000 C CNN
+	1    3800 1950
+	0    -1   -1   0   
+$EndComp
+$Comp
+L R R38
+U 1 1 5159A239
+P 3800 2050
+F 0 "R38" V 3850 1800 50  0000 C CNN
+F 1 "5k1" V 3800 2050 50  0000 C CNN
+	1    3800 2050
+	0    -1   -1   0   
+$EndComp
+Wire Wire Line
+	3400 1650 3550 1650
+Wire Wire Line
+	3550 1750 3400 1750
+Wire Wire Line
+	3400 1850 3550 1850
+Wire Wire Line
+	3550 1950 3400 1950
+Wire Wire Line
+	3400 2050 3550 2050
+Wire Wire Line
+	3400 2650 3550 2650
+Wire Wire Line
+	3550 2750 3400 2750
+Wire Wire Line
+	3400 2850 3550 2850
+$Comp
+L R R34
+U 1 1 5159ABE0
+P 3800 1650
+F 0 "R34" V 3850 1400 50  0000 C CNN
+F 1 "5k1" V 3800 1650 50  0000 C CNN
+	1    3800 1650
+	0    -1   -1   0   
+$EndComp
+$Comp
+L INDUCTOR L1
+U 1 1 515ADE75
+P 1450 4700
+F 0 "L1" V 1400 4700 40  0000 C CNN
+F 1 "Bead / SM0603" V 1550 4700 40  0000 C CNN
+	1    1450 4700
+	0    -1   -1   0   
+$EndComp
+Text GLabel 1150 4700 0    60   Input ~ 0
+3.3V
+Text Label 1950 4700 0    60   ~ 0
+ETHAVDD
+Wire Wire Line
+	1750 4700 2250 4700
+$Comp
+L C C140
+U 1 1 515ADE9A
+P 1800 4900
+F 0 "C140" H 1550 5000 50  0000 L CNN
+F 1 "10u" H 1600 4800 50  0000 L CNN
+	1    1800 4900
+	-1   0    0    1   
+$EndComp
+Connection ~ 1800 4700
+Wire Wire Line
+	1900 3200 1900 3300
+Wire Wire Line
+	1900 3300 1450 3300
+Text Label 1450 3300 0    60   ~ 0
+3.3V
+Text Label 1450 3100 0    60   ~ 0
+ETHAVDD
+Wire Wire Line
+	1900 3100 1450 3100
+Wire Wire Line
+	4050 1650 4250 1650
+Wire Wire Line
+	4250 1650 4250 2050
+Wire Wire Line
+	4250 2050 4050 2050
+Wire Wire Line
+	4050 1950 4250 1950
+Connection ~ 4250 1950
+Wire Wire Line
+	4050 1850 4600 1850
+Connection ~ 4250 1850
+Wire Wire Line
+	4050 1750 4250 1750
+Connection ~ 4250 1750
+Text Label 4350 1850 0    60   ~ 0
+3.3V
+Wire Wire Line
+	4050 2650 4250 2650
+Wire Wire Line
+	4250 2650 4250 2850
+Wire Wire Line
+	4250 2850 4050 2850
+Wire Wire Line
+	4050 2750 4350 2750
+Connection ~ 4250 2750
+$Comp
+L GND #PWR069
+U 1 1 515AE043
+P 4350 2750
+F 0 "#PWR069" H 4350 2750 30  0001 C CNN
+F 1 "GND" H 4350 2680 30  0001 C CNN
+	1    4350 2750
+	0    -1   -1   0   
+$EndComp
+Wire Wire Line
+	3400 2300 3400 2500
+Wire Wire Line
+	3400 2400 3500 2400
+Connection ~ 3400 2400
+$Comp
+L GND #PWR070
+U 1 1 515AE09C
+P 3500 2400
+F 0 "#PWR070" H 3500 2400 30  0001 C CNN
+F 1 "GND" H 3500 2330 30  0001 C CNN
+	1    3500 2400
+	0    -1   -1   0   
+$EndComp
+Wire Wire Line
+	4300 3600 3400 3600
+Wire Wire Line
+	3400 3700 3650 3700
+Wire Wire Line
+	3650 3700 3650 3800
+Wire Wire Line
+	3650 3800 4300 3800
+Wire Wire Line
+	3400 3800 3550 3800
+Wire Wire Line
+	3550 3800 3550 3900
+Wire Wire Line
+	3550 3900 4300 3900
+Wire Wire Line
+	3400 3900 3400 4100
+Wire Wire Line
+	3400 4100 4300 4100
+Wire Wire Line
+	4300 3700 3800 3700
+Text Label 3800 3700 0    60   ~ 0
+PFWBOUT
+Text Label 1500 3600 0    60   ~ 0
+PFWBOUT
+Wire Wire Line
+	1150 3600 1900 3600
+$Comp
+L INDUCTOR L5
+U 1 1 515AE1D6
+P 1600 3450
+F 0 "L5" V 1550 3650 40  0000 C CNN
+F 1 "bead /SM0603" V 1700 3450 40  0000 C CNN
+	1    1600 3450
+	0    -1   -1   0   
+$EndComp
+Wire Wire Line
+	1300 3450 1150 3450
+Wire Wire Line
+	1150 3450 1150 3600
+$Comp
+L C C138
+U 1 1 515AE226
+P 1150 3800
+F 0 "C138" H 950 3900 50  0000 L CNN
+F 1 "10u" H 1000 3700 50  0000 L CNN
+	1    1150 3800
+	-1   0    0    1   
+$EndComp
+$Comp
+L C C139
+U 1 1 515AE245
+P 1500 3800
+F 0 "C139" H 1300 3900 50  0000 L CNN
+F 1 ".22u" H 1350 3700 50  0000 L CNN
+	1    1500 3800
+	-1   0    0    1   
+$EndComp
+Connection ~ 1500 3600
+Connection ~ 1150 3600
+Wire Wire Line
+	1900 4000 1150 4000
+$Comp
+L GND #PWR071
+U 1 1 515AE282
+P 1300 4100
+F 0 "#PWR071" H 1300 4100 30  0001 C CNN
+F 1 "GND" H 1300 4030 30  0001 C CNN
+	1    1300 4100
+	1    0    0    -1  
+$EndComp
+Wire Wire Line
+	1300 4100 1300 4000
+Connection ~ 1300 4000
+$Comp
+L GND #PWR072
+U 1 1 515AE2C0
+P 1800 5200
+F 0 "#PWR072" H 1800 5200 30  0001 C CNN
+F 1 "GND" H 1800 5130 30  0001 C CNN
+	1    1800 5200
+	1    0    0    -1  
+$EndComp
+Wire Wire Line
+	1800 5200 1800 5100
+Wire Wire Line
+	1100 2900 1900 2900
+$Comp
+L C C137
+U 1 1 515AE348
+P 1200 3100
+F 0 "C137" H 1250 3250 50  0000 L CNN
+F 1 ".22u" H 1300 3000 50  0000 L CNN
+	1    1200 3100
+	-1   0    0    1   
+$EndComp
+$Comp
+L R R42
+U 1 1 515AE399
+P 1200 2650
+F 0 "R42" V 1300 2700 50  0000 C CNN
+F 1 "5k1" V 1200 2650 50  0000 C CNN
+	1    1200 2650
+	1    0    0    -1  
+$EndComp
+Connection ~ 1200 2900
+Wire Wire Line
+	1200 2400 1200 2300
+Wire Wire Line
+	1200 2300 1050 2300
+Text Label 1050 2300 0    60   ~ 0
+3.3V
+$Comp
+L GND #PWR073
+U 1 1 515AE3E5
+P 1200 3300
+F 0 "#PWR073" H 1200 3300 30  0001 C CNN
+F 1 "GND" H 1200 3230 30  0001 C CNN
+	1    1200 3300
+	1    0    0    -1  
+$EndComp
+Wire Wire Line
+	1900 3800 1900 4000
+Connection ~ 1900 3900
+Connection ~ 1500 4000
+$Comp
+L GND #PWR074
+U 1 1 515AE490
+P 4300 4200
+F 0 "#PWR074" H 4300 4200 30  0001 C CNN
+F 1 "GND" H 4300 4130 30  0001 C CNN
+	1    4300 4200
+	0    1    1    0   
+$EndComp
+$Comp
+L R R43
+U 1 1 515AE4AA
+P 4200 3050
+F 0 "R43" V 4250 2800 50  0000 C CNN
+F 1 "5k1" V 4200 3050 50  0000 C CNN
+	1    4200 3050
+	0    -1   -1   0   
+$EndComp
+$Comp
+L R R44
+U 1 1 515AE4B0
+P 4200 3150
+F 0 "R44" V 4250 2900 50  0000 C CNN
+F 1 "5k1" V 4200 3150 50  0000 C CNN
+	1    4200 3150
+	0    -1   -1   0   
+$EndComp
+$Comp
+L R R45
+U 1 1 515AE4B6
+P 4200 3250
+F 0 "R45" V 4250 3000 50  0000 C CNN
+F 1 "5k1" V 4200 3250 50  0000 C CNN
+	1    4200 3250
+	0    -1   -1   0   
+$EndComp
+$Comp
+L R R46
+U 1 1 515AE4BC
+P 4200 3350
+F 0 "R46" V 4250 3100 50  0000 C CNN
+F 1 "5k1" V 4200 3350 50  0000 C CNN
+	1    4200 3350
+	0    -1   -1   0   
+$EndComp
+$Comp
+L R R47
+U 1 1 515AE4C2
+P 4200 3450
+F 0 "R47" V 4250 3200 50  0000 C CNN
+F 1 "5k1" V 4200 3450 50  0000 C CNN
+	1    4200 3450
+	0    -1   -1   0   
+$EndComp
+Wire Wire Line
+	3400 3450 3950 3450
+Wire Wire Line
+	3950 3350 3400 3350
+Wire Wire Line
+	3400 3250 3950 3250
+Wire Wire Line
+	3950 3150 3400 3150
+Wire Wire Line
+	3400 3050 3950 3050
+Wire Wire Line
+	4450 3150 4650 3150
+Wire Wire Line
+	4650 3450 4450 3450
+Wire Wire Line
+	4650 3350 4450 3350
+Wire Wire Line
+	4450 3250 4750 3250
+Connection ~ 4650 3250
+$Comp
+L GND #PWR075
+U 1 1 515AE755
+P 4750 3250
+F 0 "#PWR075" H 4750 3250 30  0001 C CNN
+F 1 "GND" H 4750 3180 30  0001 C CNN
+	1    4750 3250
+	0    -1   -1   0   
+$EndComp
+Text Label 4500 3450 0    60   ~ 0
+3.3V
+Wire Wire Line
+	4450 3050 4650 3050
+Wire Wire Line
+	4650 3050 4650 3350
+Connection ~ 4650 3150
+$Comp
+L R R49
+U 1 1 515AE7FB
+P 3900 4600
+F 0 "R49" V 3950 4350 50  0000 C CNN
+F 1 "1k" V 3900 4600 50  0000 C CNN
+	1    3900 4600
+	0    -1   -1   0   
+$EndComp
+$Comp
+L R R48
+U 1 1 515AE801
+P 3900 4400
+F 0 "R48" V 3950 4150 50  0000 C CNN
+F 1 "1k" V 3900 4400 50  0000 C CNN
+	1    3900 4400
+	0    -1   -1   0   
+$EndComp
+Wire Wire Line
+	4150 4400 4300 4400
+Wire Wire Line
+	4300 4600 4150 4600
+Wire Wire Line
+	4300 4500 3300 4500
+Wire Wire Line
+	3650 4400 3300 4400
+Wire Wire Line
+	3300 4600 3650 4600
+Text Label 3450 3050 0    60   ~ 0
+LED4
+Text Label 3300 4400 0    60   ~ 0
+LED0
+Text Label 3300 4600 0    60   ~ 0
+3.3V
+Text Label 3450 3450 0    60   ~ 0
+LED0
+$Comp
+L GND #PWR076
+U 1 1 515C00E8
+P 6000 4500
+F 0 "#PWR076" H 6000 4500 30  0001 C CNN
+F 1 "GND" H 6000 4430 30  0001 C CNN
+	1    6000 4500
+	0    -1   -1   0   
+$EndComp
+Text Label 3850 4300 0    60   ~ 0
+3.3V
+Wire Wire Line
+	3850 4300 4300 4300
+Text Label 3300 4500 0    60   ~ 0
+LED4
+NoConn ~ 3400 1400
+Text Label 3500 3600 0    60   ~ 0
+TX+
+Text Label 3500 3700 0    60   ~ 0
+TX-
+Text Label 3600 3900 0    60   ~ 0
+RX+
+Text Label 3550 4100 0    60   ~ 0
+RX-
+$Comp
+L RJ45-HANRUN J8
+U 1 1 51A4CD0A
+P 5400 4100
+F 0 "J8" H 5600 4700 60  0000 L CNN
+F 1 "RJ45-HANRUN" H 5350 4700 60  0000 R CNN
+	1    5400 4100
+	1    0    0    -1  
+$EndComp
+Wire Wire Line
+	4300 4000 4150 4000
+Wire Wire Line
+	4150 4000 4150 3700
+Connection ~ 4150 3700
+NoConn ~ 6000 4600
+$Comp
+L XTL-SMD X3
+U 1 1 51A6DE19
+P 3650 1050
+F 0 "X3" H 3700 1150 60  0000 C CNN
+F 1 "25M" H 3700 850 30  0000 C CNN
+	1    3650 1050
+	0    -1   -1   0   
+$EndComp
+Wire Wire Line
+	3750 1250 3750 1350
+Wire Wire Line
+	3650 800  3650 700 
+Connection ~ 3650 700 
+$Comp
+L GND #PWR077
+U 1 1 51A6DEEB
+P 3750 800
+F 0 "#PWR077" H 3750 800 30  0001 C CNN
+F 1 "GND" H 3750 730 30  0001 C CNN
+	1    3750 800 
+	-1   0    0    1   
+$EndComp
+$Comp
+L GND #PWR078
+U 1 1 51A6DEF1
+P 3650 1250
+F 0 "#PWR078" H 3650 1250 30  0001 C CNN
+F 1 "GND" H 3650 1180 30  0001 C CNN
+	1    3650 1250
+	1    0    0    -1  
+$EndComp
+Wire Wire Line
+	3400 1300 3400 1350
+Wire Wire Line
+	3400 1350 3750 1350
+Wire Wire Line
+	3850 1300 3750 1300
+$EndSCHEMATC
diff --git a/bin/Makefile b/bin/Makefile
new file mode 100644
index 0000000..b70dedd
--- /dev/null
+++ b/bin/Makefile
@@ -0,0 +1,36 @@
+DESTDIR=
+DB2MAN = /usr/share/sgml/docbook/stylesheet/xsl/docbook-xsl/manpages/docbook.xsl
+XP     = xsltproc --nonet --param man.charmap.use.subset "0"
+
+all: expeyes.1 expeyes-junior.1 expeyes-doc.1 expeyes-progman-jr-doc.1
+
+expeyes.1: expeyes-man.xml
+	$(XP) $(DB2MAN) $<
+
+expeyes-junior.1: expeyes-junior-man.xml
+	$(XP) $(DB2MAN) $<
+
+expeyes-doc.1: expeyes-doc.xml
+	$(XP) $(DB2MAN) $<
+
+expeyes-progman-jr-doc.1: expeyes-progman-jr-doc.xml
+	$(XP) $(DB2MAN) $<
+
+clean:
+	rm -f *~
+
+install:
+	install -d $(DESTDIR)/usr/share/man/man1
+	gzip -c9 expeyes.1 > $(DESTDIR)/usr/share/man/man1/expeyes.1.gz
+	gzip -c9 expeyes-junior.1 > $(DESTDIR)/usr/share/man/man1/expeyes-junior.1.gz
+	gzip -c9 expeyes-doc.1 > $(DESTDIR)/usr/share/man/man1/expeyes-doc.1.gz
+	ln -s expeyes-doc.1.gz $(DESTDIR)/usr/share/man/man1/expeyes-junior-doc.1.gz
+	gzip -c9 expeyes-progman-jr-doc.1 > $(DESTDIR)/usr/share/man/man1/expeyes-progman-jr-doc.1.gz
+	install -d $(DESTDIR)/usr/bin
+	install -m 755 expeyes $(DESTDIR)/usr/bin
+	install -m 755 expeyes-junior $(DESTDIR)/usr/bin
+	install -m 755 expeyes-doc $(DESTDIR)/usr/bin
+	install -m 755 expeyes-progman-jr-doc $(DESTDIR)/usr/bin
+	ln -s expeyes-doc $(DESTDIR)/usr/bin/expeyes-junior-doc
+
+.PHONY: all clean install
diff --git a/bin/expEYES b/bin/expEYES
new file mode 100644
index 0000000..162a66b
--- /dev/null
+++ b/bin/expEYES
@@ -0,0 +1,3 @@
+#!/bin/sh
+
+exec python /usr/share/expeyes/explore.py
diff --git a/bin/expeyes b/bin/expeyes
new file mode 100644
index 0000000..2469cd6
--- /dev/null
+++ b/bin/expeyes
@@ -0,0 +1,3 @@
+#!/bin/sh
+
+exec python /usr/share/expeyes/eyes/explore.py
diff --git a/bin/expeyes-doc b/bin/expeyes-doc
new file mode 100644
index 0000000..9c7cf3a
--- /dev/null
+++ b/bin/expeyes-doc
@@ -0,0 +1,27 @@
+#! /usr/bin/python
+
+import sys, os, os.path
+from subprocess import call
+
+lang     = os.environ.get('LANG')
+language = os.environ.get('LANGUAGE')
+lc_all   = os.environ.get('LC_ALL')
+
+pdfTail  = 'eyes.pdf'
+if os.path.basename(sys.argv[0]) == 'expeyes-junior-doc':
+    pdfTail = 'eyesj.pdf'
+
+preferred='en'
+if language and language[0:2] != preferred:
+    preferred=language[0:2]
+elif lang and lang[0:2] != preferred:
+    preferred=lang[0:2]
+elif lc_all and lc_all[0:2] != preferred:
+    preferred=lc_all[0:2]
+if len(sys.argv)>1:
+    preferred=sys.argv[1]
+
+filename=os.path.join('/usr/share/expeyes/doc', preferred+'-'+pdfTail)
+if not os.path.exists(filename):
+    filename=os.path.join('/usr/share/expeyes/doc', 'en-'+pdfTail)
+call('evince '+filename, shell=True)
diff --git a/bin/expeyes-doc.1 b/bin/expeyes-doc.1
new file mode 100644
index 0000000..093a41c
--- /dev/null
+++ b/bin/expeyes-doc.1
@@ -0,0 +1,84 @@
+'\" t
+.\"     Title: EXPEYES-DOC
+.\"    Author: Georges Khaznadar <georgesk at ofset.org>
+.\" Generator: DocBook XSL Stylesheets v1.76.1 <http://docbook.sf.net/>
+.\"      Date: 08/28/2012
+.\"    Manual: expEYES-doc User Manual
+.\"    Source: expeyes-doc
+.\"  Language: English
+.\"
+.TH "EXPEYES\-DOC" "1" "08/28/2012" "expeyes-doc" "expEYES-doc User Manual"
+.\" -----------------------------------------------------------------
+.\" * Define some portability stuff
+.\" -----------------------------------------------------------------
+.\" ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
+.\" http://bugs.debian.org/507673
+.\" http://lists.gnu.org/archive/html/groff/2009-02/msg00013.html
+.\" ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
+.ie \n(.g .ds Aq \(aq
+.el       .ds Aq '
+.\" -----------------------------------------------------------------
+.\" * set default formatting
+.\" -----------------------------------------------------------------
+.\" disable hyphenation
+.nh
+.\" disable justification (adjust text to left margin only)
+.ad l
+.\" -----------------------------------------------------------------
+.\" * MAIN CONTENT STARTS HERE *
+.\" -----------------------------------------------------------------
+.SH "NAME"
+expeyes-doc \- program to display the user manual of expeyes and expeyes\-junior\&.
+.SH "SYNOPSIS"
+.HP \w'\fBexpeyes\-doc\fR\ 'u
+\fBexpeyes\-doc\fR [\fIlang\fR]
+.HP \w'\fBexpeyes\-junior\-doc\fR\ 'u
+\fBexpeyes\-junior\-doc\fR [\fIlang\fR]
+.SH "DESCRIPTION"
+.PP
+\fBexpeyes\-doc\fR
+launches a viewer for the user manual of expeyes\&. If invoked as
+\fBexpeyes\-junior\-doc\fR
+it launches a viewer for the manual of expeyes\-junior\&.
+.PP
+The preferred language is detected automatically\&.
+.SH "OPTIONS"
+.PP
+\fB\fIlang\fR\fR
+.RS 4
+Enforces the language
+\fIlang\fR
+as preferred\&. For example,
+\fIlang\fR
+may be \*(Aqen\*(Aq or \*(Aqfr\*(Aq\&.
+.RE
+.SH "ENVIRONMENT"
+.PP
+\fBLANGUAGE\fR, \fBLANG\fR, \fBLC_ALL\fR
+.RS 4
+If defined, these variables are taken in account to find automatically the preferred language\&. These variables are taken in account only when the optional argument
+\fIlang\fR
+is not given\&.
+.RE
+.SH "SEE ALSO"
+.PP
+\fBexpeyes\fR(1),
+\fBexpeyes-junior\fR(1)
+.SH "AUTHOR"
+.PP
+\fBGeorges Khaznadar\fR <\&georgesk at ofset\&.org\&>
+.RS 4
+Wrote this manpage for the Debian system\&.
+.RE
+.SH "COPYRIGHT"
+.br
+Copyright \(co 2012 Georges Khaznadar
+.br
+.PP
+This manual page was written for the Debian system (and may be used by others)\&.
+.PP
+Permission is granted to copy, distribute and/or modify this document under the terms of the GNU General Public License, Version 2 or (at your option) any later version published by the Free Software Foundation\&.
+.PP
+On Debian systems, the complete text of the GNU General Public License can be found in
+/usr/share/common\-licenses/GPL\&.
+.sp
diff --git a/bin/expeyes-doc.xml b/bin/expeyes-doc.xml
new file mode 100644
index 0000000..8b985e2
--- /dev/null
+++ b/bin/expeyes-doc.xml
@@ -0,0 +1,166 @@
+<?xml version='1.0' encoding='UTF-8'?>
+<!DOCTYPE refentry PUBLIC "-//OASIS//DTD DocBook XML V4.5//EN"
+"http://www.oasis-open.org/docbook/xml/4.5/docbookx.dtd" [
+
+<!--
+
+`xsltproc -''-nonet \
+          -''-param man.charmap.use.subset "0" \
+          -''-param make.year.ranges "1" \
+          -''-param make.single.year.ranges "1" \
+          /usr/share/xml/docbook/stylesheet/docbook-xsl/manpages/docbook.xsl \
+          manpage.xml'
+
+A manual page <package>.<section> will be generated. You may view the
+manual page with: nroff -man <package>.<section> | less'. A typical entry
+in a Makefile or Makefile.am is:
+
+DB2MAN = /usr/share/sgml/docbook/stylesheet/xsl/docbook-xsl/manpages/docbook.xsl
+XP     = xsltproc -''-nonet -''-param man.charmap.use.subset "0"
+
+manpage.1: manpage.xml
+        $(XP) $(DB2MAN) $<
+
+The xsltproc binary is found in the xsltproc package. The XSL files are in
+docbook-xsl. A description of the parameters you can use can be found in the
+docbook-xsl-doc-* packages. Please remember that if you create the nroff
+version in one of the debian/rules file targets (such as build), you will need
+to include xsltproc and docbook-xsl in your Build-Depends control field.
+Alternatively use the xmlto command/package. That will also automatically
+pull in xsltproc and docbook-xsl.
+
+Notes for using docbook2x: docbook2x-man does not automatically create the
+AUTHOR(S) and COPYRIGHT sections. In this case, please add them manually as
+<refsect1> ... </refsect1>.
+
+To disable the automatic creation of the AUTHOR(S) and COPYRIGHT sections
+read /usr/share/doc/docbook-xsl/doc/manpages/authors.html. This file can be
+found in the docbook-xsl-doc-html package.
+
+Validation can be done using: `xmllint -''-noout -''-valid manpage.xml`
+
+General documentation about man-pages and man-page-formatting:
+man(1), man(7), http://www.tldp.org/HOWTO/Man-Page/
+
+-->
+
+  <!-- Fill in your name for FIRSTNAME and SURNAME. -->
+  <!ENTITY dhfirstname "Georges">
+  <!ENTITY dhsurname   "Khaznadar">
+  <!-- dhusername could also be set to "&dhfirstname; &dhsurname;". -->
+  <!ENTITY dhusername  "Georges Khaznadar">
+  <!ENTITY dhemail     "georgesk at ofset.org">
+  <!-- SECTION should be 1-8, maybe w/ subsection other parameters are
+       allowed: see man(7), man(1) and
+       http://www.tldp.org/HOWTO/Man-Page/q2.html. -->
+  <!ENTITY dhsection   "1">
+  <!-- TITLE should be something like "User commands" or similar (see
+       http://www.tldp.org/HOWTO/Man-Page/q2.html). -->
+  <!ENTITY dhtitle     "expEYES-doc User Manual">
+  <!ENTITY dhucpackage "EXPEYES-DOC">
+  <!ENTITY dhpackage   "expeyes-doc">
+]>
+
+<refentry>
+  <refentryinfo>
+    <title>&dhtitle;</title>
+    <productname>&dhpackage;</productname>
+    <authorgroup>
+      <author>
+       <firstname>&dhfirstname;</firstname>
+        <surname>&dhsurname;</surname>
+        <contrib>Wrote this manpage for the Debian system.</contrib>
+        <address>
+          <email>&dhemail;</email>
+        </address>
+      </author>
+    </authorgroup>
+    <copyright>
+      <year>2012</year>
+      <holder>&dhusername;</holder>
+    </copyright>
+    <legalnotice>
+      <para>This manual page was written for the Debian system
+        (and may be used by others).</para>
+      <para>Permission is granted to copy, distribute and/or modify this
+        document under the terms of the GNU General Public License,
+        Version 2 or (at your option) any later version published by
+        the Free Software Foundation.</para>
+      <para>On Debian systems, the complete text of the GNU General Public
+        License can be found in
+        <filename>/usr/share/common-licenses/GPL</filename>.</para>
+    </legalnotice>
+  </refentryinfo>
+  <refmeta>
+    <refentrytitle>&dhucpackage;</refentrytitle>
+    <manvolnum>&dhsection;</manvolnum>
+  </refmeta>
+  <refnamediv>
+    <refname>&dhpackage;</refname>
+    <refpurpose>program to display the user manual of expeyes and
+    expeyes-junior.</refpurpose>
+  </refnamediv>
+  <refsynopsisdiv>
+    <cmdsynopsis>
+      <command>&dhpackage;</command>
+      <arg choice="opt">
+        <replaceable class="option">lang</replaceable>
+      </arg>
+    </cmdsynopsis>
+    <cmdsynopsis>
+      <command>expeyes-junior-doc</command>
+      <arg choice="opt">
+        <replaceable class="option">lang</replaceable>
+      </arg>
+    </cmdsynopsis>
+  </refsynopsisdiv>
+  <refsect1 id="description">
+    <title>DESCRIPTION</title>
+    <para><command>&dhpackage;</command> launches a viewer for the
+    user manual of expeyes. If invoked as <command>expeyes-junior-doc</command>
+    it launches a viewer for the manual of expeyes-junior.</para>
+    <para> The preferred language is detected automatically.</para>
+  </refsect1>
+  <refsect1 id="options">
+    <title>OPTIONS</title>
+    <variablelist>
+      <varlistentry>
+        <term><option><replaceable>lang</replaceable></option></term>
+        <listitem>
+          <para>Enforces the language <replaceable>lang</replaceable> as
+	  preferred. For example, <replaceable>lang</replaceable> may be
+	  'en' or 'fr'.</para>
+        </listitem>
+      </varlistentry>
+    </variablelist>
+  </refsect1>
+  <refsect1 id="environment">
+    <title>ENVIRONMENT</title>
+    <variablelist>
+      <varlistentry>
+        <term><envar>LANGUAGE</envar></term>
+        <term><envar>LANG</envar></term>
+        <term><envar>LC_ALL</envar></term>
+        <listitem>
+          <para>If defined, these variables are taken in account to
+	  find automatically the preferred language. These variables are
+	  taken in account only when the optional argument
+	  <replaceable>lang</replaceable> is not given.</para>
+        </listitem>
+      </varlistentry>
+    </variablelist>
+  </refsect1>
+  <refsect1 id="see_also">
+    <title>SEE ALSO</title>
+    <!-- In alpabetical order. -->
+    <para><citerefentry>
+        <refentrytitle>expeyes</refentrytitle>
+        <manvolnum>1</manvolnum>
+      </citerefentry>, <citerefentry>
+        <refentrytitle>expeyes-junior</refentrytitle>
+        <manvolnum>1</manvolnum>
+      </citerefentry>
+    </para>
+  </refsect1>
+</refentry>
+
diff --git a/bin/expeyes-junior b/bin/expeyes-junior
new file mode 100644
index 0000000..3df7430
--- /dev/null
+++ b/bin/expeyes-junior
@@ -0,0 +1,3 @@
+#!/bin/sh
+
+exec python /usr/share/expeyes/eyes-junior/croplus.py
diff --git a/bin/expeyes-junior-man.xml b/bin/expeyes-junior-man.xml
new file mode 100644
index 0000000..61c2ed5
--- /dev/null
+++ b/bin/expeyes-junior-man.xml
@@ -0,0 +1,133 @@
+<?xml version='1.0' encoding='UTF-8'?>
+<!DOCTYPE refentry PUBLIC "-//OASIS//DTD DocBook XML V4.5//EN"
+"http://www.oasis-open.org/docbook/xml/4.5/docbookx.dtd" [
+
+<!--
+
+`xsltproc -''-nonet \
+          -''-param man.charmap.use.subset "0" \
+          -''-param make.year.ranges "1" \
+          -''-param make.single.year.ranges "1" \
+          /usr/share/xml/docbook/stylesheet/docbook-xsl/manpages/docbook.xsl \
+          manpage.xml'
+
+A manual page <package>.<section> will be generated. You may view the
+manual page with: nroff -man <package>.<section> | less'. A typical entry
+in a Makefile or Makefile.am is:
+
+DB2MAN = /usr/share/sgml/docbook/stylesheet/xsl/docbook-xsl/manpages/docbook.xsl
+XP     = xsltproc -''-nonet -''-param man.charmap.use.subset "0"
+
+manpage.1: manpage.xml
+        $(XP) $(DB2MAN) $<
+
+The xsltproc binary is found in the xsltproc package. The XSL files are in
+docbook-xsl. A description of the parameters you can use can be found in the
+docbook-xsl-doc-* packages. Please remember that if you create the nroff
+version in one of the debian/rules file targets (such as build), you will need
+to include xsltproc and docbook-xsl in your Build-Depends control field.
+Alternatively use the xmlto command/package. That will also automatically
+pull in xsltproc and docbook-xsl.
+
+Notes for using docbook2x: docbook2x-man does not automatically create the
+AUTHOR(S) and COPYRIGHT sections. In this case, please add them manually as
+<refsect1> ... </refsect1>.
+
+To disable the automatic creation of the AUTHOR(S) and COPYRIGHT sections
+read /usr/share/doc/docbook-xsl/doc/manpages/authors.html. This file can be
+found in the docbook-xsl-doc-html package.
+
+Validation can be done using: `xmllint -''-noout -''-valid manpage.xml`
+
+General documentation about man-pages and man-page-formatting:
+man(1), man(7), http://www.tldp.org/HOWTO/Man-Page/
+
+-->
+
+  <!-- Fill in your name for FIRSTNAME and SURNAME. -->
+  <!ENTITY dhfirstname "Georges">
+  <!ENTITY dhsurname   "Khaznadar">
+  <!-- dhusername could also be set to "&dhfirstname; &dhsurname;". -->
+  <!ENTITY dhusername  "Georges Khaznadar">
+  <!ENTITY dhemail     "georgesk at ofset.org">
+  <!-- SECTION should be 1-8, maybe w/ subsection other parameters are
+       allowed: see man(7), man(1) and
+       http://www.tldp.org/HOWTO/Man-Page/q2.html. -->
+  <!ENTITY dhsection   "1">
+  <!-- TITLE should be something like "User commands" or similar (see
+       http://www.tldp.org/HOWTO/Man-Page/q2.html). -->
+  <!ENTITY dhtitle     "expeyes-junior User Manual">
+  <!ENTITY dhucpackage "EXPEYES-JUNIOR">
+  <!ENTITY dhpackage   "expeyes-junior">
+]>
+
+<refentry>
+  <refentryinfo>
+    <title>&dhtitle;</title>
+    <productname>&dhpackage;</productname>
+    <authorgroup>
+      <author>
+       <firstname>&dhfirstname;</firstname>
+        <surname>&dhsurname;</surname>
+        <contrib>Wrote this manpage for the Debian system.</contrib>
+        <address>
+          <email>&dhemail;</email>
+        </address>
+      </author>
+    </authorgroup>
+    <copyright>
+      <year>2011</year>
+      <holder>&dhusername;</holder>
+    </copyright>
+    <legalnotice>
+      <para>This manual page was written for the Debian system
+        (and may be used by others).</para>
+      <para>Permission is granted to copy, distribute and/or modify this
+        document under the terms of the GNU General Public License,
+        Version 2 or (at your option) any later version published by
+        the Free Software Foundation.</para>
+      <para>On Debian systems, the complete text of the GNU General Public
+        License can be found in
+        <filename>/usr/share/common-licenses/GPL</filename>.</para>
+    </legalnotice>
+  </refentryinfo>
+  <refmeta>
+    <refentrytitle>&dhucpackage;</refentrytitle>
+    <manvolnum>&dhsection;</manvolnum>
+  </refmeta>
+  <refnamediv>
+    <refname>&dhpackage;</refname>
+    <refpurpose>an interactive board to control the expeyes-junior box</refpurpose>
+  </refnamediv>
+  <refsynopsisdiv>
+    <cmdsynopsis>
+      <command>&dhpackage;</command>
+    </cmdsynopsis>
+  </refsynopsisdiv>
+  <refsect1 id="description">
+    <title>DESCRIPTION</title>
+    <para><command>&dhpackage;</command> is a program to control
+    interactively most features of the expeyes-junior box.
+    </para>
+    <para>
+      Expeyes-junior is from the PHOENIX project of Inter-University Accelerator
+      Centre, New Delhi. It is a hardware & software framework for
+      developing science experiments, demonstrations and projects and learn
+      science and engineering by exploration. Capable of doing real time
+      measurements and analysing the data in different ways. Analog
+      voltages are measured with 0.025% resolution and time intervals with
+      one microsecond. This project is based on Free and Open Source
+      software, mostly written in Python programming language. The hardware
+      design is also open.
+    </para>      
+  </refsect1>
+  <refsect1 id="see_also">
+    <title>SEE ALSO</title>
+    <para>You can find a user manual in the directory 
+    <command>/usr/share/expeyes/doc/</command> as a PDF file called
+    <command>xx-eyesj.pdf</command>, where xx refers to a language
+    (for example, xx=en).
+    </para>      
+  </refsect1>
+</refentry>
+
diff --git a/bin/expeyes-junior.1 b/bin/expeyes-junior.1
new file mode 100644
index 0000000..037c3ee
--- /dev/null
+++ b/bin/expeyes-junior.1
@@ -0,0 +1,64 @@
+'\" t
+.\"     Title: EXPEYES-JUNIOR
+.\"    Author: Georges Khaznadar <georgesk at ofset.org>
+.\" Generator: DocBook XSL Stylesheets v1.76.1 <http://docbook.sf.net/>
+.\"      Date: 08/07/2012
+.\"    Manual: expeyes-junior User Manual
+.\"    Source: expeyes-junior
+.\"  Language: English
+.\"
+.TH "EXPEYES\-JUNIOR" "1" "08/07/2012" "expeyes-junior" "expeyes-junior User Manual"
+.\" -----------------------------------------------------------------
+.\" * Define some portability stuff
+.\" -----------------------------------------------------------------
+.\" ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
+.\" http://bugs.debian.org/507673
+.\" http://lists.gnu.org/archive/html/groff/2009-02/msg00013.html
+.\" ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
+.ie \n(.g .ds Aq \(aq
+.el       .ds Aq '
+.\" -----------------------------------------------------------------
+.\" * set default formatting
+.\" -----------------------------------------------------------------
+.\" disable hyphenation
+.nh
+.\" disable justification (adjust text to left margin only)
+.ad l
+.\" -----------------------------------------------------------------
+.\" * MAIN CONTENT STARTS HERE *
+.\" -----------------------------------------------------------------
+.SH "NAME"
+expeyes-junior \- an interactive board to control the expeyes\-junior box
+.SH "SYNOPSIS"
+.HP \w'\fBexpeyes\-junior\fR\ 'u
+\fBexpeyes\-junior\fR
+.SH "DESCRIPTION"
+.PP
+\fBexpeyes\-junior\fR
+is a program to control interactively most features of the expeyes\-junior box\&.
+.PP
+Expeyes\-junior is from the PHOENIX project of Inter\-University Accelerator Centre, New Delhi\&. It is a hardware & software framework for developing science experiments, demonstrations and projects and learn science and engineering by exploration\&. Capable of doing real time measurements and analysing the data in different ways\&. Analog voltages are measured with 0\&.025% resolution and time intervals with one microsecond\&. This project is based on Free and Open Source software, mos [...]
+.SH "SEE ALSO"
+.PP
+You can find a user manual in the directory
+\fB/usr/share/expeyes/doc/\fR
+as a PDF file called
+\fBxx\-eyesj\&.pdf\fR, where xx refers to a language (for example, xx=en)\&.
+.SH "AUTHOR"
+.PP
+\fBGeorges Khaznadar\fR <\&georgesk at ofset\&.org\&>
+.RS 4
+Wrote this manpage for the Debian system\&.
+.RE
+.SH "COPYRIGHT"
+.br
+Copyright \(co 2011 Georges Khaznadar
+.br
+.PP
+This manual page was written for the Debian system (and may be used by others)\&.
+.PP
+Permission is granted to copy, distribute and/or modify this document under the terms of the GNU General Public License, Version 2 or (at your option) any later version published by the Free Software Foundation\&.
+.PP
+On Debian systems, the complete text of the GNU General Public License can be found in
+/usr/share/common\-licenses/GPL\&.
+.sp
diff --git a/bin/expeyes-man.xml b/bin/expeyes-man.xml
new file mode 100644
index 0000000..4db2373
--- /dev/null
+++ b/bin/expeyes-man.xml
@@ -0,0 +1,133 @@
+<?xml version='1.0' encoding='UTF-8'?>
+<!DOCTYPE refentry PUBLIC "-//OASIS//DTD DocBook XML V4.5//EN"
+"http://www.oasis-open.org/docbook/xml/4.5/docbookx.dtd" [
+
+<!--
+
+`xsltproc -''-nonet \
+          -''-param man.charmap.use.subset "0" \
+          -''-param make.year.ranges "1" \
+          -''-param make.single.year.ranges "1" \
+          /usr/share/xml/docbook/stylesheet/docbook-xsl/manpages/docbook.xsl \
+          manpage.xml'
+
+A manual page <package>.<section> will be generated. You may view the
+manual page with: nroff -man <package>.<section> | less'. A typical entry
+in a Makefile or Makefile.am is:
+
+DB2MAN = /usr/share/sgml/docbook/stylesheet/xsl/docbook-xsl/manpages/docbook.xsl
+XP     = xsltproc -''-nonet -''-param man.charmap.use.subset "0"
+
+manpage.1: manpage.xml
+        $(XP) $(DB2MAN) $<
+
+The xsltproc binary is found in the xsltproc package. The XSL files are in
+docbook-xsl. A description of the parameters you can use can be found in the
+docbook-xsl-doc-* packages. Please remember that if you create the nroff
+version in one of the debian/rules file targets (such as build), you will need
+to include xsltproc and docbook-xsl in your Build-Depends control field.
+Alternatively use the xmlto command/package. That will also automatically
+pull in xsltproc and docbook-xsl.
+
+Notes for using docbook2x: docbook2x-man does not automatically create the
+AUTHOR(S) and COPYRIGHT sections. In this case, please add them manually as
+<refsect1> ... </refsect1>.
+
+To disable the automatic creation of the AUTHOR(S) and COPYRIGHT sections
+read /usr/share/doc/docbook-xsl/doc/manpages/authors.html. This file can be
+found in the docbook-xsl-doc-html package.
+
+Validation can be done using: `xmllint -''-noout -''-valid manpage.xml`
+
+General documentation about man-pages and man-page-formatting:
+man(1), man(7), http://www.tldp.org/HOWTO/Man-Page/
+
+-->
+
+  <!-- Fill in your name for FIRSTNAME and SURNAME. -->
+  <!ENTITY dhfirstname "Georges">
+  <!ENTITY dhsurname   "Khaznadar">
+  <!-- dhusername could also be set to "&dhfirstname; &dhsurname;". -->
+  <!ENTITY dhusername  "Georges Khaznadar">
+  <!ENTITY dhemail     "georgesk at ofset.org">
+  <!-- SECTION should be 1-8, maybe w/ subsection other parameters are
+       allowed: see man(7), man(1) and
+       http://www.tldp.org/HOWTO/Man-Page/q2.html. -->
+  <!ENTITY dhsection   "1">
+  <!-- TITLE should be something like "User commands" or similar (see
+       http://www.tldp.org/HOWTO/Man-Page/q2.html). -->
+  <!ENTITY dhtitle     "expeyes User Manual">
+  <!ENTITY dhucpackage "EXPEYES">
+  <!ENTITY dhpackage   "expeyes">
+]>
+
+<refentry>
+  <refentryinfo>
+    <title>&dhtitle;</title>
+    <productname>&dhpackage;</productname>
+    <authorgroup>
+      <author>
+       <firstname>&dhfirstname;</firstname>
+        <surname>&dhsurname;</surname>
+        <contrib>Wrote this manpage for the Debian system.</contrib>
+        <address>
+          <email>&dhemail;</email>
+        </address>
+      </author>
+    </authorgroup>
+    <copyright>
+      <year>2011</year>
+      <holder>&dhusername;</holder>
+    </copyright>
+    <legalnotice>
+      <para>This manual page was written for the Debian system
+        (and may be used by others).</para>
+      <para>Permission is granted to copy, distribute and/or modify this
+        document under the terms of the GNU General Public License,
+        Version 2 or (at your option) any later version published by
+        the Free Software Foundation.</para>
+      <para>On Debian systems, the complete text of the GNU General Public
+        License can be found in
+        <filename>/usr/share/common-licenses/GPL</filename>.</para>
+    </legalnotice>
+  </refentryinfo>
+  <refmeta>
+    <refentrytitle>&dhucpackage;</refentrytitle>
+    <manvolnum>&dhsection;</manvolnum>
+  </refmeta>
+  <refnamediv>
+    <refname>&dhpackage;</refname>
+    <refpurpose>an interactive board to control the expeyes box</refpurpose>
+  </refnamediv>
+  <refsynopsisdiv>
+    <cmdsynopsis>
+      <command>&dhpackage;</command>
+    </cmdsynopsis>
+  </refsynopsisdiv>
+  <refsect1 id="description">
+    <title>DESCRIPTION</title>
+    <para><command>&dhpackage;</command> is a program to control
+    interactively most features of the expeyes box.
+    </para>
+    <para>
+      Expeyes is from the PHOENIX project of Inter-University Accelerator
+      Centre, New Delhi. It is a hardware & software framework for
+      developing science experiments, demonstrations and projects and learn
+      science and engineering by exploration. Capable of doing real time
+      measurements and analysing the data in different ways. Analog
+      voltages are measured with 0.025% resolution and time intervals with
+      one microsecond. This project is based on Free and Open Source
+      software, mostly written in Python programming language. The hardware
+      design is also open.
+    </para>      
+  </refsect1>
+  <refsect1 id="see_also">
+    <title>SEE ALSO</title>
+    <para>You can find a user manual in the directory 
+    <command>/usr/share/expeyes/doc/</command> as a PDF file called
+    <command>xx-eyes.pdf</command>, where xx refers to a language
+    (for example, xx=en).
+    </para>      
+  </refsect1>
+</refentry>
+
diff --git a/bin/expeyes-progman-jr-doc b/bin/expeyes-progman-jr-doc
new file mode 100644
index 0000000..8ad23ca
--- /dev/null
+++ b/bin/expeyes-progman-jr-doc
@@ -0,0 +1,24 @@
+#! /usr/bin/python
+
+import sys, os, os.path
+from subprocess import call
+
+lang     = os.environ.get('LANG')
+language = os.environ.get('LANGUAGE')
+lc_all   = os.environ.get('LC_ALL')
+
+preferred='en'
+if language and language[0:2] != preferred:
+    preferred=language[0:2]
+elif lang and lang[0:2] != preferred:
+    preferred=lang[0:2]
+elif lc_all and lc_all[0:2] != preferred:
+    preferred=lc_all[0:2]
+if len(sys.argv)>1:
+    preferred=sys.argv[1]
+
+pdfTail='eyesj-progman.pdf'
+filename=os.path.join('/usr/share/expeyes/doc', preferred+'-'+pdfTail)
+if not os.path.exists(filename):
+    filename=os.path.join('/usr/share/expeyes/doc', 'en-'+pdfTail)
+call('evince '+filename, shell=True)
diff --git a/bin/expeyes-progman-jr-doc.1 b/bin/expeyes-progman-jr-doc.1
new file mode 100644
index 0000000..a6237ef
--- /dev/null
+++ b/bin/expeyes-progman-jr-doc.1
@@ -0,0 +1,82 @@
+'\" t
+.\"     Title: EXPEYES-PROGMAN-JR-DOC
+.\"    Author: Georges Khaznadar <georgesk at ofset.org>
+.\" Generator: DocBook XSL Stylesheets v1.78.1 <http://docbook.sf.net/>
+.\"      Date: 01/03/2014
+.\"    Manual: expEYES Jr Programmer's Manual
+.\"    Source: expeyes-progman-jr-doc
+.\"  Language: English
+.\"
+.TH "EXPEYES\-PROGMAN\-JR" "1" "01/03/2014" "expeyes-progman-jr-doc" "expEYES Jr Programmer's Manual"
+.\" -----------------------------------------------------------------
+.\" * Define some portability stuff
+.\" -----------------------------------------------------------------
+.\" ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
+.\" http://bugs.debian.org/507673
+.\" http://lists.gnu.org/archive/html/groff/2009-02/msg00013.html
+.\" ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
+.ie \n(.g .ds Aq \(aq
+.el       .ds Aq '
+.\" -----------------------------------------------------------------
+.\" * set default formatting
+.\" -----------------------------------------------------------------
+.\" disable hyphenation
+.nh
+.\" disable justification (adjust text to left margin only)
+.ad l
+.\" -----------------------------------------------------------------
+.\" * MAIN CONTENT STARTS HERE *
+.\" -----------------------------------------------------------------
+.SH "NAME"
+expeyes-progman-jr-doc \- program to display the user manual of expeyes and expeyes\-junior\&.
+.SH "SYNOPSIS"
+.HP \w'\fBexpeyes\-progman\-jr\-doc\fR\ 'u
+\fBexpeyes\-progman\-jr\-doc\fR [\fIlang\fR]
+.HP \w'\fBexpeyes\-junior\-doc\fR\ 'u
+\fBexpeyes\-junior\-doc\fR [\fIlang\fR]
+.SH "DESCRIPTION"
+.PP
+\fBexpeyes\-progman\-jr\-doc\fR
+launches a viewer for the programmer\*(Aqs manual of expEYES Jr\&.
+.PP
+The preferred language is detected automatically\&.
+.SH "OPTIONS"
+.PP
+\fB\fIlang\fR\fR
+.RS 4
+Enforces the language
+\fIlang\fR
+as preferred\&. For example,
+\fIlang\fR
+may be \*(Aqen\*(Aq or \*(Aqfr\*(Aq\&.
+.RE
+.SH "ENVIRONMENT"
+.PP
+\fBLANGUAGE\fR, \fBLANG\fR, \fBLC_ALL\fR
+.RS 4
+If defined, these variables are taken in account to find automatically the preferred language\&. These variables are taken in account only when the optional argument
+\fIlang\fR
+is not given\&.
+.RE
+.SH "SEE ALSO"
+.PP
+\fBexpeyes\fR(1),
+\fBexpeyes-junior\fR(1)
+.SH "AUTHOR"
+.PP
+\fBGeorges Khaznadar\fR <\&georgesk at ofset\&.org\&>
+.RS 4
+Wrote this manpage for the Debian system\&.
+.RE
+.SH "COPYRIGHT"
+.br
+Copyright \(co 2013 Georges Khaznadar
+.br
+.PP
+This manual page was written for the Debian system (and may be used by others)\&.
+.PP
+Permission is granted to copy, distribute and/or modify this document under the terms of the GNU General Public License, Version 2 or (at your option) any later version published by the Free Software Foundation\&.
+.PP
+On Debian systems, the complete text of the GNU General Public License can be found in
+/usr/share/common\-licenses/GPL\&.
+.sp
diff --git a/bin/expeyes-progman-jr-doc.xml b/bin/expeyes-progman-jr-doc.xml
new file mode 100644
index 0000000..dfb4c59
--- /dev/null
+++ b/bin/expeyes-progman-jr-doc.xml
@@ -0,0 +1,165 @@
+<?xml version='1.0' encoding='UTF-8'?>
+<!DOCTYPE refentry PUBLIC "-//OASIS//DTD DocBook XML V4.5//EN"
+"http://www.oasis-open.org/docbook/xml/4.5/docbookx.dtd" [
+
+<!--
+
+`xsltproc -''-nonet \
+          -''-param man.charmap.use.subset "0" \
+          -''-param make.year.ranges "1" \
+          -''-param make.single.year.ranges "1" \
+          /usr/share/xml/docbook/stylesheet/docbook-xsl/manpages/docbook.xsl \
+          manpage.xml'
+
+A manual page <package>.<section> will be generated. You may view the
+manual page with: nroff -man <package>.<section> | less'. A typical entry
+in a Makefile or Makefile.am is:
+
+DB2MAN = /usr/share/sgml/docbook/stylesheet/xsl/docbook-xsl/manpages/docbook.xsl
+XP     = xsltproc -''-nonet -''-param man.charmap.use.subset "0"
+
+manpage.1: manpage.xml
+        $(XP) $(DB2MAN) $<
+
+The xsltproc binary is found in the xsltproc package. The XSL files are in
+docbook-xsl. A description of the parameters you can use can be found in the
+docbook-xsl-doc-* packages. Please remember that if you create the nroff
+version in one of the debian/rules file targets (such as build), you will need
+to include xsltproc and docbook-xsl in your Build-Depends control field.
+Alternatively use the xmlto command/package. That will also automatically
+pull in xsltproc and docbook-xsl.
+
+Notes for using docbook2x: docbook2x-man does not automatically create the
+AUTHOR(S) and COPYRIGHT sections. In this case, please add them manually as
+<refsect1> ... </refsect1>.
+
+To disable the automatic creation of the AUTHOR(S) and COPYRIGHT sections
+read /usr/share/doc/docbook-xsl/doc/manpages/authors.html. This file can be
+found in the docbook-xsl-doc-html package.
+
+Validation can be done using: `xmllint -''-noout -''-valid manpage.xml`
+
+General documentation about man-pages and man-page-formatting:
+man(1), man(7), http://www.tldp.org/HOWTO/Man-Page/
+
+-->
+
+  <!-- Fill in your name for FIRSTNAME and SURNAME. -->
+  <!ENTITY dhfirstname "Georges">
+  <!ENTITY dhsurname   "Khaznadar">
+  <!-- dhusername could also be set to "&dhfirstname; &dhsurname;". -->
+  <!ENTITY dhusername  "Georges Khaznadar">
+  <!ENTITY dhemail     "georgesk at ofset.org">
+  <!-- SECTION should be 1-8, maybe w/ subsection other parameters are
+       allowed: see man(7), man(1) and
+       http://www.tldp.org/HOWTO/Man-Page/q2.html. -->
+  <!ENTITY dhsection   "1">
+  <!-- TITLE should be something like "User commands" or similar (see
+       http://www.tldp.org/HOWTO/Man-Page/q2.html). -->
+  <!ENTITY dhtitle     "expEYES Jr Programmer's Manual">
+  <!ENTITY dhucpackage "EXPEYES-PROGMAN-JR-DOC">
+  <!ENTITY dhpackage   "expeyes-progman-jr-doc">
+]>
+
+<refentry>
+  <refentryinfo>
+    <title>&dhtitle;</title>
+    <productname>&dhpackage;</productname>
+    <authorgroup>
+      <author>
+       <firstname>&dhfirstname;</firstname>
+        <surname>&dhsurname;</surname>
+        <contrib>Wrote this manpage for the Debian system.</contrib>
+        <address>
+          <email>&dhemail;</email>
+        </address>
+      </author>
+    </authorgroup>
+    <copyright>
+      <year>2013</year>
+      <holder>&dhusername;</holder>
+    </copyright>
+    <legalnotice>
+      <para>This manual page was written for the Debian system
+        (and may be used by others).</para>
+      <para>Permission is granted to copy, distribute and/or modify this
+        document under the terms of the GNU General Public License,
+        Version 2 or (at your option) any later version published by
+        the Free Software Foundation.</para>
+      <para>On Debian systems, the complete text of the GNU General Public
+        License can be found in
+        <filename>/usr/share/common-licenses/GPL</filename>.</para>
+    </legalnotice>
+  </refentryinfo>
+  <refmeta>
+    <refentrytitle>&dhucpackage;</refentrytitle>
+    <manvolnum>&dhsection;</manvolnum>
+  </refmeta>
+  <refnamediv>
+    <refname>&dhpackage;</refname>
+    <refpurpose>program to display the user manual of expeyes and
+    expeyes-junior.</refpurpose>
+  </refnamediv>
+  <refsynopsisdiv>
+    <cmdsynopsis>
+      <command>&dhpackage;</command>
+      <arg choice="opt">
+        <replaceable class="option">lang</replaceable>
+      </arg>
+    </cmdsynopsis>
+    <cmdsynopsis>
+      <command>expeyes-junior-doc</command>
+      <arg choice="opt">
+        <replaceable class="option">lang</replaceable>
+      </arg>
+    </cmdsynopsis>
+  </refsynopsisdiv>
+  <refsect1 id="description">
+    <title>DESCRIPTION</title>
+    <para><command>&dhpackage;</command> launches a viewer for the
+    programmer's manual of expEYES Jr.</para>
+    <para> The preferred language is detected automatically.</para>
+  </refsect1>
+  <refsect1 id="options">
+    <title>OPTIONS</title>
+    <variablelist>
+      <varlistentry>
+        <term><option><replaceable>lang</replaceable></option></term>
+        <listitem>
+          <para>Enforces the language <replaceable>lang</replaceable> as
+	  preferred. For example, <replaceable>lang</replaceable> may be
+	  'en' or 'fr'.</para>
+        </listitem>
+      </varlistentry>
+    </variablelist>
+  </refsect1>
+  <refsect1 id="environment">
+    <title>ENVIRONMENT</title>
+    <variablelist>
+      <varlistentry>
+        <term><envar>LANGUAGE</envar></term>
+        <term><envar>LANG</envar></term>
+        <term><envar>LC_ALL</envar></term>
+        <listitem>
+          <para>If defined, these variables are taken in account to
+	  find automatically the preferred language. These variables are
+	  taken in account only when the optional argument
+	  <replaceable>lang</replaceable> is not given.</para>
+        </listitem>
+      </varlistentry>
+    </variablelist>
+  </refsect1>
+  <refsect1 id="see_also">
+    <title>SEE ALSO</title>
+    <!-- In alpabetical order. -->
+    <para><citerefentry>
+        <refentrytitle>expeyes</refentrytitle>
+        <manvolnum>1</manvolnum>
+      </citerefentry>, <citerefentry>
+        <refentrytitle>expeyes-junior</refentrytitle>
+        <manvolnum>1</manvolnum>
+      </citerefentry>
+    </para>
+  </refsect1>
+</refentry>
+
diff --git a/bin/expeyes.1 b/bin/expeyes.1
new file mode 100644
index 0000000..a969623
--- /dev/null
+++ b/bin/expeyes.1
@@ -0,0 +1,64 @@
+'\" t
+.\"     Title: EXPEYES
+.\"    Author: Georges Khaznadar <georgesk at ofset.org>
+.\" Generator: DocBook XSL Stylesheets v1.76.1 <http://docbook.sf.net/>
+.\"      Date: 08/07/2012
+.\"    Manual: expeyes User Manual
+.\"    Source: expeyes
+.\"  Language: English
+.\"
+.TH "EXPEYES" "1" "08/07/2012" "expeyes" "expeyes User Manual"
+.\" -----------------------------------------------------------------
+.\" * Define some portability stuff
+.\" -----------------------------------------------------------------
+.\" ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
+.\" http://bugs.debian.org/507673
+.\" http://lists.gnu.org/archive/html/groff/2009-02/msg00013.html
+.\" ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
+.ie \n(.g .ds Aq \(aq
+.el       .ds Aq '
+.\" -----------------------------------------------------------------
+.\" * set default formatting
+.\" -----------------------------------------------------------------
+.\" disable hyphenation
+.nh
+.\" disable justification (adjust text to left margin only)
+.ad l
+.\" -----------------------------------------------------------------
+.\" * MAIN CONTENT STARTS HERE *
+.\" -----------------------------------------------------------------
+.SH "NAME"
+expeyes \- an interactive board to control the expeyes box
+.SH "SYNOPSIS"
+.HP \w'\fBexpeyes\fR\ 'u
+\fBexpeyes\fR
+.SH "DESCRIPTION"
+.PP
+\fBexpeyes\fR
+is a program to control interactively most features of the expeyes box\&.
+.PP
+Expeyes is from the PHOENIX project of Inter\-University Accelerator Centre, New Delhi\&. It is a hardware & software framework for developing science experiments, demonstrations and projects and learn science and engineering by exploration\&. Capable of doing real time measurements and analysing the data in different ways\&. Analog voltages are measured with 0\&.025% resolution and time intervals with one microsecond\&. This project is based on Free and Open Source software, mostly writ [...]
+.SH "SEE ALSO"
+.PP
+You can find a user manual in the directory
+\fB/usr/share/expeyes/doc/\fR
+as a PDF file called
+\fBxx\-eyes\&.pdf\fR, where xx refers to a language (for example, xx=en)\&.
+.SH "AUTHOR"
+.PP
+\fBGeorges Khaznadar\fR <\&georgesk at ofset\&.org\&>
+.RS 4
+Wrote this manpage for the Debian system\&.
+.RE
+.SH "COPYRIGHT"
+.br
+Copyright \(co 2011 Georges Khaznadar
+.br
+.PP
+This manual page was written for the Debian system (and may be used by others)\&.
+.PP
+Permission is granted to copy, distribute and/or modify this document under the terms of the GNU General Public License, Version 2 or (at your option) any later version published by the Free Software Foundation\&.
+.PP
+On Debian systems, the complete text of the GNU General Public License can be found in
+/usr/share/common\-licenses/GPL\&.
+.sp
diff --git a/clib/expeyes-clib/AUTHORS b/clib/expeyes-clib/AUTHORS
new file mode 100644
index 0000000..cf911c3
--- /dev/null
+++ b/clib/expeyes-clib/AUTHORS
@@ -0,0 +1,2 @@
+Ajith Kumar : library source
+Georges Khasnadar : Modifications for packaging  library module
diff --git a/clib/expeyes-clib/COPYING b/clib/expeyes-clib/COPYING
new file mode 100644
index 0000000..94a9ed0
--- /dev/null
+++ b/clib/expeyes-clib/COPYING
@@ -0,0 +1,674 @@
+                    GNU GENERAL PUBLIC LICENSE
+                       Version 3, 29 June 2007
+
+ Copyright (C) 2007 Free Software Foundation, Inc. <http://fsf.org/>
+ Everyone is permitted to copy and distribute verbatim copies
+ of this license document, but changing it is not allowed.
+
+                            Preamble
+
+  The GNU General Public License is a free, copyleft license for
+software and other kinds of works.
+
+  The licenses for most software and other practical works are designed
+to take away your freedom to share and change the works.  By contrast,
+the GNU General Public License is intended to guarantee your freedom to
+share and change all versions of a program--to make sure it remains free
+software for all its users.  We, the Free Software Foundation, use the
+GNU General Public License for most of our software; it applies also to
+any other work released this way by its authors.  You can apply it to
+your programs, too.
+
+  When we speak of free software, we are referring to freedom, not
+price.  Our General Public Licenses are designed to make sure that you
+have the freedom to distribute copies of free software (and charge for
+them if you wish), that you receive source code or can get it if you
+want it, that you can change the software or use pieces of it in new
+free programs, and that you know you can do these things.
+
+  To protect your rights, we need to prevent others from denying you
+these rights or asking you to surrender the rights.  Therefore, you have
+certain responsibilities if you distribute copies of the software, or if
+you modify it: responsibilities to respect the freedom of others.
+
+  For example, if you distribute copies of such a program, whether
+gratis or for a fee, you must pass on to the recipients the same
+freedoms that you received.  You must make sure that they, too, receive
+or can get the source code.  And you must show them these terms so they
+know their rights.
+
+  Developers that use the GNU GPL protect your rights with two steps:
+(1) assert copyright on the software, and (2) offer you this License
+giving you legal permission to copy, distribute and/or modify it.
+
+  For the developers' and authors' protection, the GPL clearly explains
+that there is no warranty for this free software.  For both users' and
+authors' sake, the GPL requires that modified versions be marked as
+changed, so that their problems will not be attributed erroneously to
+authors of previous versions.
+
+  Some devices are designed to deny users access to install or run
+modified versions of the software inside them, although the manufacturer
+can do so.  This is fundamentally incompatible with the aim of
+protecting users' freedom to change the software.  The systematic
+pattern of such abuse occurs in the area of products for individuals to
+use, which is precisely where it is most unacceptable.  Therefore, we
+have designed this version of the GPL to prohibit the practice for those
+products.  If such problems arise substantially in other domains, we
+stand ready to extend this provision to those domains in future versions
+of the GPL, as needed to protect the freedom of users.
+
+  Finally, every program is threatened constantly by software patents.
+States should not allow patents to restrict development and use of
+software on general-purpose computers, but in those that do, we wish to
+avoid the special danger that patents applied to a free program could
+make it effectively proprietary.  To prevent this, the GPL assures that
+patents cannot be used to render the program non-free.
+
+  The precise terms and conditions for copying, distribution and
+modification follow.
+
+                       TERMS AND CONDITIONS
+
+  0. Definitions.
+
+  "This License" refers to version 3 of the GNU General Public License.
+
+  "Copyright" also means copyright-like laws that apply to other kinds of
+works, such as semiconductor masks.
+
+  "The Program" refers to any copyrightable work licensed under this
+License.  Each licensee is addressed as "you".  "Licensees" and
+"recipients" may be individuals or organizations.
+
+  To "modify" a work means to copy from or adapt all or part of the work
+in a fashion requiring copyright permission, other than the making of an
+exact copy.  The resulting work is called a "modified version" of the
+earlier work or a work "based on" the earlier work.
+
+  A "covered work" means either the unmodified Program or a work based
+on the Program.
+
+  To "propagate" a work means to do anything with it that, without
+permission, would make you directly or secondarily liable for
+infringement under applicable copyright law, except executing it on a
+computer or modifying a private copy.  Propagation includes copying,
+distribution (with or without modification), making available to the
+public, and in some countries other activities as well.
+
+  To "convey" a work means any kind of propagation that enables other
+parties to make or receive copies.  Mere interaction with a user through
+a computer network, with no transfer of a copy, is not conveying.
+
+  An interactive user interface displays "Appropriate Legal Notices"
+to the extent that it includes a convenient and prominently visible
+feature that (1) displays an appropriate copyright notice, and (2)
+tells the user that there is no warranty for the work (except to the
+extent that warranties are provided), that licensees may convey the
+work under this License, and how to view a copy of this License.  If
+the interface presents a list of user commands or options, such as a
+menu, a prominent item in the list meets this criterion.
+
+  1. Source Code.
+
+  The "source code" for a work means the preferred form of the work
+for making modifications to it.  "Object code" means any non-source
+form of a work.
+
+  A "Standard Interface" means an interface that either is an official
+standard defined by a recognized standards body, or, in the case of
+interfaces specified for a particular programming language, one that
+is widely used among developers working in that language.
+
+  The "System Libraries" of an executable work include anything, other
+than the work as a whole, that (a) is included in the normal form of
+packaging a Major Component, but which is not part of that Major
+Component, and (b) serves only to enable use of the work with that
+Major Component, or to implement a Standard Interface for which an
+implementation is available to the public in source code form.  A
+"Major Component", in this context, means a major essential component
+(kernel, window system, and so on) of the specific operating system
+(if any) on which the executable work runs, or a compiler used to
+produce the work, or an object code interpreter used to run it.
+
+  The "Corresponding Source" for a work in object code form means all
+the source code needed to generate, install, and (for an executable
+work) run the object code and to modify the work, including scripts to
+control those activities.  However, it does not include the work's
+System Libraries, or general-purpose tools or generally available free
+programs which are used unmodified in performing those activities but
+which are not part of the work.  For example, Corresponding Source
+includes interface definition files associated with source files for
+the work, and the source code for shared libraries and dynamically
+linked subprograms that the work is specifically designed to require,
+such as by intimate data communication or control flow between those
+subprograms and other parts of the work.
+
+  The Corresponding Source need not include anything that users
+can regenerate automatically from other parts of the Corresponding
+Source.
+
+  The Corresponding Source for a work in source code form is that
+same work.
+
+  2. Basic Permissions.
+
+  All rights granted under this License are granted for the term of
+copyright on the Program, and are irrevocable provided the stated
+conditions are met.  This License explicitly affirms your unlimited
+permission to run the unmodified Program.  The output from running a
+covered work is covered by this License only if the output, given its
+content, constitutes a covered work.  This License acknowledges your
+rights of fair use or other equivalent, as provided by copyright law.
+
+  You may make, run and propagate covered works that you do not
+convey, without conditions so long as your license otherwise remains
+in force.  You may convey covered works to others for the sole purpose
+of having them make modifications exclusively for you, or provide you
+with facilities for running those works, provided that you comply with
+the terms of this License in conveying all material for which you do
+not control copyright.  Those thus making or running the covered works
+for you must do so exclusively on your behalf, under your direction
+and control, on terms that prohibit them from making any copies of
+your copyrighted material outside their relationship with you.
+
+  Conveying under any other circumstances is permitted solely under
+the conditions stated below.  Sublicensing is not allowed; section 10
+makes it unnecessary.
+
+  3. Protecting Users' Legal Rights From Anti-Circumvention Law.
+
+  No covered work shall be deemed part of an effective technological
+measure under any applicable law fulfilling obligations under article
+11 of the WIPO copyright treaty adopted on 20 December 1996, or
+similar laws prohibiting or restricting circumvention of such
+measures.
+
+  When you convey a covered work, you waive any legal power to forbid
+circumvention of technological measures to the extent such circumvention
+is effected by exercising rights under this License with respect to
+the covered work, and you disclaim any intention to limit operation or
+modification of the work as a means of enforcing, against the work's
+users, your or third parties' legal rights to forbid circumvention of
+technological measures.
+
+  4. Conveying Verbatim Copies.
+
+  You may convey verbatim copies of the Program's source code as you
+receive it, in any medium, provided that you conspicuously and
+appropriately publish on each copy an appropriate copyright notice;
+keep intact all notices stating that this License and any
+non-permissive terms added in accord with section 7 apply to the code;
+keep intact all notices of the absence of any warranty; and give all
+recipients a copy of this License along with the Program.
+
+  You may charge any price or no price for each copy that you convey,
+and you may offer support or warranty protection for a fee.
+
+  5. Conveying Modified Source Versions.
+
+  You may convey a work based on the Program, or the modifications to
+produce it from the Program, in the form of source code under the
+terms of section 4, provided that you also meet all of these conditions:
+
+    a) The work must carry prominent notices stating that you modified
+    it, and giving a relevant date.
+
+    b) The work must carry prominent notices stating that it is
+    released under this License and any conditions added under section
+    7.  This requirement modifies the requirement in section 4 to
+    "keep intact all notices".
+
+    c) You must license the entire work, as a whole, under this
+    License to anyone who comes into possession of a copy.  This
+    License will therefore apply, along with any applicable section 7
+    additional terms, to the whole of the work, and all its parts,
+    regardless of how they are packaged.  This License gives no
+    permission to license the work in any other way, but it does not
+    invalidate such permission if you have separately received it.
+
+    d) If the work has interactive user interfaces, each must display
+    Appropriate Legal Notices; however, if the Program has interactive
+    interfaces that do not display Appropriate Legal Notices, your
+    work need not make them do so.
+
+  A compilation of a covered work with other separate and independent
+works, which are not by their nature extensions of the covered work,
+and which are not combined with it such as to form a larger program,
+in or on a volume of a storage or distribution medium, is called an
+"aggregate" if the compilation and its resulting copyright are not
+used to limit the access or legal rights of the compilation's users
+beyond what the individual works permit.  Inclusion of a covered work
+in an aggregate does not cause this License to apply to the other
+parts of the aggregate.
+
+  6. Conveying Non-Source Forms.
+
+  You may convey a covered work in object code form under the terms
+of sections 4 and 5, provided that you also convey the
+machine-readable Corresponding Source under the terms of this License,
+in one of these ways:
+
+    a) Convey the object code in, or embodied in, a physical product
+    (including a physical distribution medium), accompanied by the
+    Corresponding Source fixed on a durable physical medium
+    customarily used for software interchange.
+
+    b) Convey the object code in, or embodied in, a physical product
+    (including a physical distribution medium), accompanied by a
+    written offer, valid for at least three years and valid for as
+    long as you offer spare parts or customer support for that product
+    model, to give anyone who possesses the object code either (1) a
+    copy of the Corresponding Source for all the software in the
+    product that is covered by this License, on a durable physical
+    medium customarily used for software interchange, for a price no
+    more than your reasonable cost of physically performing this
+    conveying of source, or (2) access to copy the
+    Corresponding Source from a network server at no charge.
+
+    c) Convey individual copies of the object code with a copy of the
+    written offer to provide the Corresponding Source.  This
+    alternative is allowed only occasionally and noncommercially, and
+    only if you received the object code with such an offer, in accord
+    with subsection 6b.
+
+    d) Convey the object code by offering access from a designated
+    place (gratis or for a charge), and offer equivalent access to the
+    Corresponding Source in the same way through the same place at no
+    further charge.  You need not require recipients to copy the
+    Corresponding Source along with the object code.  If the place to
+    copy the object code is a network server, the Corresponding Source
+    may be on a different server (operated by you or a third party)
+    that supports equivalent copying facilities, provided you maintain
+    clear directions next to the object code saying where to find the
+    Corresponding Source.  Regardless of what server hosts the
+    Corresponding Source, you remain obligated to ensure that it is
+    available for as long as needed to satisfy these requirements.
+
+    e) Convey the object code using peer-to-peer transmission, provided
+    you inform other peers where the object code and Corresponding
+    Source of the work are being offered to the general public at no
+    charge under subsection 6d.
+
+  A separable portion of the object code, whose source code is excluded
+from the Corresponding Source as a System Library, need not be
+included in conveying the object code work.
+
+  A "User Product" is either (1) a "consumer product", which means any
+tangible personal property which is normally used for personal, family,
+or household purposes, or (2) anything designed or sold for incorporation
+into a dwelling.  In determining whether a product is a consumer product,
+doubtful cases shall be resolved in favor of coverage.  For a particular
+product received by a particular user, "normally used" refers to a
+typical or common use of that class of product, regardless of the status
+of the particular user or of the way in which the particular user
+actually uses, or expects or is expected to use, the product.  A product
+is a consumer product regardless of whether the product has substantial
+commercial, industrial or non-consumer uses, unless such uses represent
+the only significant mode of use of the product.
+
+  "Installation Information" for a User Product means any methods,
+procedures, authorization keys, or other information required to install
+and execute modified versions of a covered work in that User Product from
+a modified version of its Corresponding Source.  The information must
+suffice to ensure that the continued functioning of the modified object
+code is in no case prevented or interfered with solely because
+modification has been made.
+
+  If you convey an object code work under this section in, or with, or
+specifically for use in, a User Product, and the conveying occurs as
+part of a transaction in which the right of possession and use of the
+User Product is transferred to the recipient in perpetuity or for a
+fixed term (regardless of how the transaction is characterized), the
+Corresponding Source conveyed under this section must be accompanied
+by the Installation Information.  But this requirement does not apply
+if neither you nor any third party retains the ability to install
+modified object code on the User Product (for example, the work has
+been installed in ROM).
+
+  The requirement to provide Installation Information does not include a
+requirement to continue to provide support service, warranty, or updates
+for a work that has been modified or installed by the recipient, or for
+the User Product in which it has been modified or installed.  Access to a
+network may be denied when the modification itself materially and
+adversely affects the operation of the network or violates the rules and
+protocols for communication across the network.
+
+  Corresponding Source conveyed, and Installation Information provided,
+in accord with this section must be in a format that is publicly
+documented (and with an implementation available to the public in
+source code form), and must require no special password or key for
+unpacking, reading or copying.
+
+  7. Additional Terms.
+
+  "Additional permissions" are terms that supplement the terms of this
+License by making exceptions from one or more of its conditions.
+Additional permissions that are applicable to the entire Program shall
+be treated as though they were included in this License, to the extent
+that they are valid under applicable law.  If additional permissions
+apply only to part of the Program, that part may be used separately
+under those permissions, but the entire Program remains governed by
+this License without regard to the additional permissions.
+
+  When you convey a copy of a covered work, you may at your option
+remove any additional permissions from that copy, or from any part of
+it.  (Additional permissions may be written to require their own
+removal in certain cases when you modify the work.)  You may place
+additional permissions on material, added by you to a covered work,
+for which you have or can give appropriate copyright permission.
+
+  Notwithstanding any other provision of this License, for material you
+add to a covered work, you may (if authorized by the copyright holders of
+that material) supplement the terms of this License with terms:
+
+    a) Disclaiming warranty or limiting liability differently from the
+    terms of sections 15 and 16 of this License; or
+
+    b) Requiring preservation of specified reasonable legal notices or
+    author attributions in that material or in the Appropriate Legal
+    Notices displayed by works containing it; or
+
+    c) Prohibiting misrepresentation of the origin of that material, or
+    requiring that modified versions of such material be marked in
+    reasonable ways as different from the original version; or
+
+    d) Limiting the use for publicity purposes of names of licensors or
+    authors of the material; or
+
+    e) Declining to grant rights under trademark law for use of some
+    trade names, trademarks, or service marks; or
+
+    f) Requiring indemnification of licensors and authors of that
+    material by anyone who conveys the material (or modified versions of
+    it) with contractual assumptions of liability to the recipient, for
+    any liability that these contractual assumptions directly impose on
+    those licensors and authors.
+
+  All other non-permissive additional terms are considered "further
+restrictions" within the meaning of section 10.  If the Program as you
+received it, or any part of it, contains a notice stating that it is
+governed by this License along with a term that is a further
+restriction, you may remove that term.  If a license document contains
+a further restriction but permits relicensing or conveying under this
+License, you may add to a covered work material governed by the terms
+of that license document, provided that the further restriction does
+not survive such relicensing or conveying.
+
+  If you add terms to a covered work in accord with this section, you
+must place, in the relevant source files, a statement of the
+additional terms that apply to those files, or a notice indicating
+where to find the applicable terms.
+
+  Additional terms, permissive or non-permissive, may be stated in the
+form of a separately written license, or stated as exceptions;
+the above requirements apply either way.
+
+  8. Termination.
+
+  You may not propagate or modify a covered work except as expressly
+provided under this License.  Any attempt otherwise to propagate or
+modify it is void, and will automatically terminate your rights under
+this License (including any patent licenses granted under the third
+paragraph of section 11).
+
+  However, if you cease all violation of this License, then your
+license from a particular copyright holder is reinstated (a)
+provisionally, unless and until the copyright holder explicitly and
+finally terminates your license, and (b) permanently, if the copyright
+holder fails to notify you of the violation by some reasonable means
+prior to 60 days after the cessation.
+
+  Moreover, your license from a particular copyright holder is
+reinstated permanently if the copyright holder notifies you of the
+violation by some reasonable means, this is the first time you have
+received notice of violation of this License (for any work) from that
+copyright holder, and you cure the violation prior to 30 days after
+your receipt of the notice.
+
+  Termination of your rights under this section does not terminate the
+licenses of parties who have received copies or rights from you under
+this License.  If your rights have been terminated and not permanently
+reinstated, you do not qualify to receive new licenses for the same
+material under section 10.
+
+  9. Acceptance Not Required for Having Copies.
+
+  You are not required to accept this License in order to receive or
+run a copy of the Program.  Ancillary propagation of a covered work
+occurring solely as a consequence of using peer-to-peer transmission
+to receive a copy likewise does not require acceptance.  However,
+nothing other than this License grants you permission to propagate or
+modify any covered work.  These actions infringe copyright if you do
+not accept this License.  Therefore, by modifying or propagating a
+covered work, you indicate your acceptance of this License to do so.
+
+  10. Automatic Licensing of Downstream Recipients.
+
+  Each time you convey a covered work, the recipient automatically
+receives a license from the original licensors, to run, modify and
+propagate that work, subject to this License.  You are not responsible
+for enforcing compliance by third parties with this License.
+
+  An "entity transaction" is a transaction transferring control of an
+organization, or substantially all assets of one, or subdividing an
+organization, or merging organizations.  If propagation of a covered
+work results from an entity transaction, each party to that
+transaction who receives a copy of the work also receives whatever
+licenses to the work the party's predecessor in interest had or could
+give under the previous paragraph, plus a right to possession of the
+Corresponding Source of the work from the predecessor in interest, if
+the predecessor has it or can get it with reasonable efforts.
+
+  You may not impose any further restrictions on the exercise of the
+rights granted or affirmed under this License.  For example, you may
+not impose a license fee, royalty, or other charge for exercise of
+rights granted under this License, and you may not initiate litigation
+(including a cross-claim or counterclaim in a lawsuit) alleging that
+any patent claim is infringed by making, using, selling, offering for
+sale, or importing the Program or any portion of it.
+
+  11. Patents.
+
+  A "contributor" is a copyright holder who authorizes use under this
+License of the Program or a work on which the Program is based.  The
+work thus licensed is called the contributor's "contributor version".
+
+  A contributor's "essential patent claims" are all patent claims
+owned or controlled by the contributor, whether already acquired or
+hereafter acquired, that would be infringed by some manner, permitted
+by this License, of making, using, or selling its contributor version,
+but do not include claims that would be infringed only as a
+consequence of further modification of the contributor version.  For
+purposes of this definition, "control" includes the right to grant
+patent sublicenses in a manner consistent with the requirements of
+this License.
+
+  Each contributor grants you a non-exclusive, worldwide, royalty-free
+patent license under the contributor's essential patent claims, to
+make, use, sell, offer for sale, import and otherwise run, modify and
+propagate the contents of its contributor version.
+
+  In the following three paragraphs, a "patent license" is any express
+agreement or commitment, however denominated, not to enforce a patent
+(such as an express permission to practice a patent or covenant not to
+sue for patent infringement).  To "grant" such a patent license to a
+party means to make such an agreement or commitment not to enforce a
+patent against the party.
+
+  If you convey a covered work, knowingly relying on a patent license,
+and the Corresponding Source of the work is not available for anyone
+to copy, free of charge and under the terms of this License, through a
+publicly available network server or other readily accessible means,
+then you must either (1) cause the Corresponding Source to be so
+available, or (2) arrange to deprive yourself of the benefit of the
+patent license for this particular work, or (3) arrange, in a manner
+consistent with the requirements of this License, to extend the patent
+license to downstream recipients.  "Knowingly relying" means you have
+actual knowledge that, but for the patent license, your conveying the
+covered work in a country, or your recipient's use of the covered work
+in a country, would infringe one or more identifiable patents in that
+country that you have reason to believe are valid.
+
+  If, pursuant to or in connection with a single transaction or
+arrangement, you convey, or propagate by procuring conveyance of, a
+covered work, and grant a patent license to some of the parties
+receiving the covered work authorizing them to use, propagate, modify
+or convey a specific copy of the covered work, then the patent license
+you grant is automatically extended to all recipients of the covered
+work and works based on it.
+
+  A patent license is "discriminatory" if it does not include within
+the scope of its coverage, prohibits the exercise of, or is
+conditioned on the non-exercise of one or more of the rights that are
+specifically granted under this License.  You may not convey a covered
+work if you are a party to an arrangement with a third party that is
+in the business of distributing software, under which you make payment
+to the third party based on the extent of your activity of conveying
+the work, and under which the third party grants, to any of the
+parties who would receive the covered work from you, a discriminatory
+patent license (a) in connection with copies of the covered work
+conveyed by you (or copies made from those copies), or (b) primarily
+for and in connection with specific products or compilations that
+contain the covered work, unless you entered into that arrangement,
+or that patent license was granted, prior to 28 March 2007.
+
+  Nothing in this License shall be construed as excluding or limiting
+any implied license or other defenses to infringement that may
+otherwise be available to you under applicable patent law.
+
+  12. No Surrender of Others' Freedom.
+
+  If conditions are imposed on you (whether by court order, agreement or
+otherwise) that contradict the conditions of this License, they do not
+excuse you from the conditions of this License.  If you cannot convey a
+covered work so as to satisfy simultaneously your obligations under this
+License and any other pertinent obligations, then as a consequence you may
+not convey it at all.  For example, if you agree to terms that obligate you
+to collect a royalty for further conveying from those to whom you convey
+the Program, the only way you could satisfy both those terms and this
+License would be to refrain entirely from conveying the Program.
+
+  13. Use with the GNU Affero General Public License.
+
+  Notwithstanding any other provision of this License, you have
+permission to link or combine any covered work with a work licensed
+under version 3 of the GNU Affero General Public License into a single
+combined work, and to convey the resulting work.  The terms of this
+License will continue to apply to the part which is the covered work,
+but the special requirements of the GNU Affero General Public License,
+section 13, concerning interaction through a network will apply to the
+combination as such.
+
+  14. Revised Versions of this License.
+
+  The Free Software Foundation may publish revised and/or new versions of
+the GNU General Public License from time to time.  Such new versions will
+be similar in spirit to the present version, but may differ in detail to
+address new problems or concerns.
+
+  Each version is given a distinguishing version number.  If the
+Program specifies that a certain numbered version of the GNU General
+Public License "or any later version" applies to it, you have the
+option of following the terms and conditions either of that numbered
+version or of any later version published by the Free Software
+Foundation.  If the Program does not specify a version number of the
+GNU General Public License, you may choose any version ever published
+by the Free Software Foundation.
+
+  If the Program specifies that a proxy can decide which future
+versions of the GNU General Public License can be used, that proxy's
+public statement of acceptance of a version permanently authorizes you
+to choose that version for the Program.
+
+  Later license versions may give you additional or different
+permissions.  However, no additional obligations are imposed on any
+author or copyright holder as a result of your choosing to follow a
+later version.
+
+  15. Disclaimer of Warranty.
+
+  THERE IS NO WARRANTY FOR THE PROGRAM, TO THE EXTENT PERMITTED BY
+APPLICABLE LAW.  EXCEPT WHEN OTHERWISE STATED IN WRITING THE COPYRIGHT
+HOLDERS AND/OR OTHER PARTIES PROVIDE THE PROGRAM "AS IS" WITHOUT WARRANTY
+OF ANY KIND, EITHER EXPRESSED OR IMPLIED, INCLUDING, BUT NOT LIMITED TO,
+THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
+PURPOSE.  THE ENTIRE RISK AS TO THE QUALITY AND PERFORMANCE OF THE PROGRAM
+IS WITH YOU.  SHOULD THE PROGRAM PROVE DEFECTIVE, YOU ASSUME THE COST OF
+ALL NECESSARY SERVICING, REPAIR OR CORRECTION.
+
+  16. Limitation of Liability.
+
+  IN NO EVENT UNLESS REQUIRED BY APPLICABLE LAW OR AGREED TO IN WRITING
+WILL ANY COPYRIGHT HOLDER, OR ANY OTHER PARTY WHO MODIFIES AND/OR CONVEYS
+THE PROGRAM AS PERMITTED ABOVE, BE LIABLE TO YOU FOR DAMAGES, INCLUDING ANY
+GENERAL, SPECIAL, INCIDENTAL OR CONSEQUENTIAL DAMAGES ARISING OUT OF THE
+USE OR INABILITY TO USE THE PROGRAM (INCLUDING BUT NOT LIMITED TO LOSS OF
+DATA OR DATA BEING RENDERED INACCURATE OR LOSSES SUSTAINED BY YOU OR THIRD
+PARTIES OR A FAILURE OF THE PROGRAM TO OPERATE WITH ANY OTHER PROGRAMS),
+EVEN IF SUCH HOLDER OR OTHER PARTY HAS BEEN ADVISED OF THE POSSIBILITY OF
+SUCH DAMAGES.
+
+  17. Interpretation of Sections 15 and 16.
+
+  If the disclaimer of warranty and limitation of liability provided
+above cannot be given local legal effect according to their terms,
+reviewing courts shall apply local law that most closely approximates
+an absolute waiver of all civil liability in connection with the
+Program, unless a warranty or assumption of liability accompanies a
+copy of the Program in return for a fee.
+
+                     END OF TERMS AND CONDITIONS
+
+            How to Apply These Terms to Your New Programs
+
+  If you develop a new program, and you want it to be of the greatest
+possible use to the public, the best way to achieve this is to make it
+free software which everyone can redistribute and change under these terms.
+
+  To do so, attach the following notices to the program.  It is safest
+to attach them to the start of each source file to most effectively
+state the exclusion of warranty; and each file should have at least
+the "copyright" line and a pointer to where the full notice is found.
+
+    <one line to give the program's name and a brief idea of what it does.>
+    Copyright (C) <year>  <name of author>
+
+    This program is free software: you can redistribute it and/or modify
+    it under the terms of the GNU General Public License as published by
+    the Free Software Foundation, either version 3 of the License, or
+    (at your option) any later version.
+
+    This program is distributed in the hope that it will be useful,
+    but WITHOUT ANY WARRANTY; without even the implied warranty of
+    MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+    GNU General Public License for more details.
+
+    You should have received a copy of the GNU General Public License
+    along with this program.  If not, see <http://www.gnu.org/licenses/>.
+
+Also add information on how to contact you by electronic and paper mail.
+
+  If the program does terminal interaction, make it output a short
+notice like this when it starts in an interactive mode:
+
+    <program>  Copyright (C) <year>  <name of author>
+    This program comes with ABSOLUTELY NO WARRANTY; for details type `show w'.
+    This is free software, and you are welcome to redistribute it
+    under certain conditions; type `show c' for details.
+
+The hypothetical commands `show w' and `show c' should show the appropriate
+parts of the General Public License.  Of course, your program's commands
+might be different; for a GUI interface, you would use an "about box".
+
+  You should also get your employer (if you work as a programmer) or school,
+if any, to sign a "copyright disclaimer" for the program, if necessary.
+For more information on this, and how to apply and follow the GNU GPL, see
+<http://www.gnu.org/licenses/>.
+
+  The GNU General Public License does not permit incorporating your program
+into proprietary programs.  If your program is a subroutine library, you
+may consider it more useful to permit linking proprietary applications with
+the library.  If this is what you want to do, use the GNU Lesser General
+Public License instead of this License.  But first, please read
+<http://www.gnu.org/philosophy/why-not-lgpl.html>.
diff --git a/clib/expeyes-clib/ChangeLog b/clib/expeyes-clib/ChangeLog
new file mode 100644
index 0000000..e69de29
diff --git a/clib/expeyes-clib/INSTALL b/clib/expeyes-clib/INSTALL
new file mode 100644
index 0000000..a1e89e1
--- /dev/null
+++ b/clib/expeyes-clib/INSTALL
@@ -0,0 +1,370 @@
+Installation Instructions
+*************************
+
+Copyright (C) 1994-1996, 1999-2002, 2004-2011 Free Software Foundation,
+Inc.
+
+   Copying and distribution of this file, with or without modification,
+are permitted in any medium without royalty provided the copyright
+notice and this notice are preserved.  This file is offered as-is,
+without warranty of any kind.
+
+Basic Installation
+==================
+
+   Briefly, the shell commands `./configure; make; make install' should
+configure, build, and install this package.  The following
+more-detailed instructions are generic; see the `README' file for
+instructions specific to this package.  Some packages provide this
+`INSTALL' file but do not implement all of the features documented
+below.  The lack of an optional feature in a given package is not
+necessarily a bug.  More recommendations for GNU packages can be found
+in *note Makefile Conventions: (standards)Makefile Conventions.
+
+   The `configure' shell script attempts to guess correct values for
+various system-dependent variables used during compilation.  It uses
+those values to create a `Makefile' in each directory of the package.
+It may also create one or more `.h' files containing system-dependent
+definitions.  Finally, it creates a shell script `config.status' that
+you can run in the future to recreate the current configuration, and a
+file `config.log' containing compiler output (useful mainly for
+debugging `configure').
+
+   It can also use an optional file (typically called `config.cache'
+and enabled with `--cache-file=config.cache' or simply `-C') that saves
+the results of its tests to speed up reconfiguring.  Caching is
+disabled by default to prevent problems with accidental use of stale
+cache files.
+
+   If you need to do unusual things to compile the package, please try
+to figure out how `configure' could check whether to do them, and mail
+diffs or instructions to the address given in the `README' so they can
+be considered for the next release.  If you are using the cache, and at
+some point `config.cache' contains results you don't want to keep, you
+may remove or edit it.
+
+   The file `configure.ac' (or `configure.in') is used to create
+`configure' by a program called `autoconf'.  You need `configure.ac' if
+you want to change it or regenerate `configure' using a newer version
+of `autoconf'.
+
+   The simplest way to compile this package is:
+
+  1. `cd' to the directory containing the package's source code and type
+     `./configure' to configure the package for your system.
+
+     Running `configure' might take a while.  While running, it prints
+     some messages telling which features it is checking for.
+
+  2. Type `make' to compile the package.
+
+  3. Optionally, type `make check' to run any self-tests that come with
+     the package, generally using the just-built uninstalled binaries.
+
+  4. Type `make install' to install the programs and any data files and
+     documentation.  When installing into a prefix owned by root, it is
+     recommended that the package be configured and built as a regular
+     user, and only the `make install' phase executed with root
+     privileges.
+
+  5. Optionally, type `make installcheck' to repeat any self-tests, but
+     this time using the binaries in their final installed location.
+     This target does not install anything.  Running this target as a
+     regular user, particularly if the prior `make install' required
+     root privileges, verifies that the installation completed
+     correctly.
+
+  6. You can remove the program binaries and object files from the
+     source code directory by typing `make clean'.  To also remove the
+     files that `configure' created (so you can compile the package for
+     a different kind of computer), type `make distclean'.  There is
+     also a `make maintainer-clean' target, but that is intended mainly
+     for the package's developers.  If you use it, you may have to get
+     all sorts of other programs in order to regenerate files that came
+     with the distribution.
+
+  7. Often, you can also type `make uninstall' to remove the installed
+     files again.  In practice, not all packages have tested that
+     uninstallation works correctly, even though it is required by the
+     GNU Coding Standards.
+
+  8. Some packages, particularly those that use Automake, provide `make
+     distcheck', which can by used by developers to test that all other
+     targets like `make install' and `make uninstall' work correctly.
+     This target is generally not run by end users.
+
+Compilers and Options
+=====================
+
+   Some systems require unusual options for compilation or linking that
+the `configure' script does not know about.  Run `./configure --help'
+for details on some of the pertinent environment variables.
+
+   You can give `configure' initial values for configuration parameters
+by setting variables in the command line or in the environment.  Here
+is an example:
+
+     ./configure CC=c99 CFLAGS=-g LIBS=-lposix
+
+   *Note Defining Variables::, for more details.
+
+Compiling For Multiple Architectures
+====================================
+
+   You can compile the package for more than one kind of computer at the
+same time, by placing the object files for each architecture in their
+own directory.  To do this, you can use GNU `make'.  `cd' to the
+directory where you want the object files and executables to go and run
+the `configure' script.  `configure' automatically checks for the
+source code in the directory that `configure' is in and in `..'.  This
+is known as a "VPATH" build.
+
+   With a non-GNU `make', it is safer to compile the package for one
+architecture at a time in the source code directory.  After you have
+installed the package for one architecture, use `make distclean' before
+reconfiguring for another architecture.
+
+   On MacOS X 10.5 and later systems, you can create libraries and
+executables that work on multiple system types--known as "fat" or
+"universal" binaries--by specifying multiple `-arch' options to the
+compiler but only a single `-arch' option to the preprocessor.  Like
+this:
+
+     ./configure CC="gcc -arch i386 -arch x86_64 -arch ppc -arch ppc64" \
+                 CXX="g++ -arch i386 -arch x86_64 -arch ppc -arch ppc64" \
+                 CPP="gcc -E" CXXCPP="g++ -E"
+
+   This is not guaranteed to produce working output in all cases, you
+may have to build one architecture at a time and combine the results
+using the `lipo' tool if you have problems.
+
+Installation Names
+==================
+
+   By default, `make install' installs the package's commands under
+`/usr/local/bin', include files under `/usr/local/include', etc.  You
+can specify an installation prefix other than `/usr/local' by giving
+`configure' the option `--prefix=PREFIX', where PREFIX must be an
+absolute file name.
+
+   You can specify separate installation prefixes for
+architecture-specific files and architecture-independent files.  If you
+pass the option `--exec-prefix=PREFIX' to `configure', the package uses
+PREFIX as the prefix for installing programs and libraries.
+Documentation and other data files still use the regular prefix.
+
+   In addition, if you use an unusual directory layout you can give
+options like `--bindir=DIR' to specify different values for particular
+kinds of files.  Run `configure --help' for a list of the directories
+you can set and what kinds of files go in them.  In general, the
+default for these options is expressed in terms of `${prefix}', so that
+specifying just `--prefix' will affect all of the other directory
+specifications that were not explicitly provided.
+
+   The most portable way to affect installation locations is to pass the
+correct locations to `configure'; however, many packages provide one or
+both of the following shortcuts of passing variable assignments to the
+`make install' command line to change installation locations without
+having to reconfigure or recompile.
+
+   The first method involves providing an override variable for each
+affected directory.  For example, `make install
+prefix=/alternate/directory' will choose an alternate location for all
+directory configuration variables that were expressed in terms of
+`${prefix}'.  Any directories that were specified during `configure',
+but not in terms of `${prefix}', must each be overridden at install
+time for the entire installation to be relocated.  The approach of
+makefile variable overrides for each directory variable is required by
+the GNU Coding Standards, and ideally causes no recompilation.
+However, some platforms have known limitations with the semantics of
+shared libraries that end up requiring recompilation when using this
+method, particularly noticeable in packages that use GNU Libtool.
+
+   The second method involves providing the `DESTDIR' variable.  For
+example, `make install DESTDIR=/alternate/directory' will prepend
+`/alternate/directory' before all installation names.  The approach of
+`DESTDIR' overrides is not required by the GNU Coding Standards, and
+does not work on platforms that have drive letters.  On the other hand,
+it does better at avoiding recompilation issues, and works well even
+when some directory options were not specified in terms of `${prefix}'
+at `configure' time.
+
+Optional Features
+=================
+
+   If the package supports it, you can cause programs to be installed
+with an extra prefix or suffix on their names by giving `configure' the
+option `--program-prefix=PREFIX' or `--program-suffix=SUFFIX'.
+
+   Some packages pay attention to `--enable-FEATURE' options to
+`configure', where FEATURE indicates an optional part of the package.
+They may also pay attention to `--with-PACKAGE' options, where PACKAGE
+is something like `gnu-as' or `x' (for the X Window System).  The
+`README' should mention any `--enable-' and `--with-' options that the
+package recognizes.
+
+   For packages that use the X Window System, `configure' can usually
+find the X include and library files automatically, but if it doesn't,
+you can use the `configure' options `--x-includes=DIR' and
+`--x-libraries=DIR' to specify their locations.
+
+   Some packages offer the ability to configure how verbose the
+execution of `make' will be.  For these packages, running `./configure
+--enable-silent-rules' sets the default to minimal output, which can be
+overridden with `make V=1'; while running `./configure
+--disable-silent-rules' sets the default to verbose, which can be
+overridden with `make V=0'.
+
+Particular systems
+==================
+
+   On HP-UX, the default C compiler is not ANSI C compatible.  If GNU
+CC is not installed, it is recommended to use the following options in
+order to use an ANSI C compiler:
+
+     ./configure CC="cc -Ae -D_XOPEN_SOURCE=500"
+
+and if that doesn't work, install pre-built binaries of GCC for HP-UX.
+
+   HP-UX `make' updates targets which have the same time stamps as
+their prerequisites, which makes it generally unusable when shipped
+generated files such as `configure' are involved.  Use GNU `make'
+instead.
+
+   On OSF/1 a.k.a. Tru64, some versions of the default C compiler cannot
+parse its `<wchar.h>' header file.  The option `-nodtk' can be used as
+a workaround.  If GNU CC is not installed, it is therefore recommended
+to try
+
+     ./configure CC="cc"
+
+and if that doesn't work, try
+
+     ./configure CC="cc -nodtk"
+
+   On Solaris, don't put `/usr/ucb' early in your `PATH'.  This
+directory contains several dysfunctional programs; working variants of
+these programs are available in `/usr/bin'.  So, if you need `/usr/ucb'
+in your `PATH', put it _after_ `/usr/bin'.
+
+   On Haiku, software installed for all users goes in `/boot/common',
+not `/usr/local'.  It is recommended to use the following options:
+
+     ./configure --prefix=/boot/common
+
+Specifying the System Type
+==========================
+
+   There may be some features `configure' cannot figure out
+automatically, but needs to determine by the type of machine the package
+will run on.  Usually, assuming the package is built to be run on the
+_same_ architectures, `configure' can figure that out, but if it prints
+a message saying it cannot guess the machine type, give it the
+`--build=TYPE' option.  TYPE can either be a short name for the system
+type, such as `sun4', or a canonical name which has the form:
+
+     CPU-COMPANY-SYSTEM
+
+where SYSTEM can have one of these forms:
+
+     OS
+     KERNEL-OS
+
+   See the file `config.sub' for the possible values of each field.  If
+`config.sub' isn't included in this package, then this package doesn't
+need to know the machine type.
+
+   If you are _building_ compiler tools for cross-compiling, you should
+use the option `--target=TYPE' to select the type of system they will
+produce code for.
+
+   If you want to _use_ a cross compiler, that generates code for a
+platform different from the build platform, you should specify the
+"host" platform (i.e., that on which the generated programs will
+eventually be run) with `--host=TYPE'.
+
+Sharing Defaults
+================
+
+   If you want to set default values for `configure' scripts to share,
+you can create a site shell script called `config.site' that gives
+default values for variables like `CC', `cache_file', and `prefix'.
+`configure' looks for `PREFIX/share/config.site' if it exists, then
+`PREFIX/etc/config.site' if it exists.  Or, you can set the
+`CONFIG_SITE' environment variable to the location of the site script.
+A warning: not all `configure' scripts look for a site script.
+
+Defining Variables
+==================
+
+   Variables not defined in a site shell script can be set in the
+environment passed to `configure'.  However, some packages may run
+configure again during the build, and the customized values of these
+variables may be lost.  In order to avoid this problem, you should set
+them in the `configure' command line, using `VAR=value'.  For example:
+
+     ./configure CC=/usr/local2/bin/gcc
+
+causes the specified `gcc' to be used as the C compiler (unless it is
+overridden in the site shell script).
+
+Unfortunately, this technique does not work for `CONFIG_SHELL' due to
+an Autoconf bug.  Until the bug is fixed you can use this workaround:
+
+     CONFIG_SHELL=/bin/bash /bin/bash ./configure CONFIG_SHELL=/bin/bash
+
+`configure' Invocation
+======================
+
+   `configure' recognizes the following options to control how it
+operates.
+
+`--help'
+`-h'
+     Print a summary of all of the options to `configure', and exit.
+
+`--help=short'
+`--help=recursive'
+     Print a summary of the options unique to this package's
+     `configure', and exit.  The `short' variant lists options used
+     only in the top level, while the `recursive' variant lists options
+     also present in any nested packages.
+
+`--version'
+`-V'
+     Print the version of Autoconf used to generate the `configure'
+     script, and exit.
+
+`--cache-file=FILE'
+     Enable the cache: use and save the results of the tests in FILE,
+     traditionally `config.cache'.  FILE defaults to `/dev/null' to
+     disable caching.
+
+`--config-cache'
+`-C'
+     Alias for `--cache-file=config.cache'.
+
+`--quiet'
+`--silent'
+`-q'
+     Do not print messages saying which checks are being made.  To
+     suppress all normal output, redirect it to `/dev/null' (any error
+     messages will still be shown).
+
+`--srcdir=DIR'
+     Look for the package's source code in directory DIR.  Usually
+     `configure' can determine that directory automatically.
+
+`--prefix=DIR'
+     Use DIR as the installation prefix.  *note Installation Names::
+     for more details, including other options available for fine-tuning
+     the installation locations.
+
+`--no-create'
+`-n'
+     Run the configure checks, but stop before creating any output
+     files.
+
+`configure' also accepts some other, not widely useful, options.  Run
+`configure --help' for more details.
+
diff --git a/clib/expeyes-clib/Makefile.am b/clib/expeyes-clib/Makefile.am
new file mode 100644
index 0000000..509ef08
--- /dev/null
+++ b/clib/expeyes-clib/Makefile.am
@@ -0,0 +1,5 @@
+
+SUBDIRS=src
+
+# suggested by autoreconf --install (after activating libtool)
+ACLOCAL_AMFLAGS = -I m4
diff --git a/clib/expeyes-clib/Makefile.in b/clib/expeyes-clib/Makefile.in
new file mode 100644
index 0000000..32b9177
--- /dev/null
+++ b/clib/expeyes-clib/Makefile.in
@@ -0,0 +1,780 @@
+# Makefile.in generated by automake 1.14 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994-2013 Free Software Foundation, Inc.
+
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+ at SET_MAKE@
+VPATH = @srcdir@
+am__is_gnu_make = test -n '$(MAKEFILE_LIST)' && test -n '$(MAKELEVEL)'
+am__make_running_with_option = \
+  case $${target_option-} in \
+      ?) ;; \
+      *) echo "am__make_running_with_option: internal error: invalid" \
+              "target option '$${target_option-}' specified" >&2; \
+         exit 1;; \
+  esac; \
+  has_opt=no; \
+  sane_makeflags=$$MAKEFLAGS; \
+  if $(am__is_gnu_make); then \
+    sane_makeflags=$$MFLAGS; \
+  else \
+    case $$MAKEFLAGS in \
+      *\\[\ \	]*) \
+        bs=\\; \
+        sane_makeflags=`printf '%s\n' "$$MAKEFLAGS" \
+          | sed "s/$$bs$$bs[$$bs $$bs	]*//g"`;; \
+    esac; \
+  fi; \
+  skip_next=no; \
+  strip_trailopt () \
+  { \
+    flg=`printf '%s\n' "$$flg" | sed "s/$$1.*$$//"`; \
+  }; \
+  for flg in $$sane_makeflags; do \
+    test $$skip_next = yes && { skip_next=no; continue; }; \
+    case $$flg in \
+      *=*|--*) continue;; \
+        -*I) strip_trailopt 'I'; skip_next=yes;; \
+      -*I?*) strip_trailopt 'I';; \
+        -*O) strip_trailopt 'O'; skip_next=yes;; \
+      -*O?*) strip_trailopt 'O';; \
+        -*l) strip_trailopt 'l'; skip_next=yes;; \
+      -*l?*) strip_trailopt 'l';; \
+      -[dEDm]) skip_next=yes;; \
+      -[JT]) skip_next=yes;; \
+    esac; \
+    case $$flg in \
+      *$$target_option*) has_opt=yes; break;; \
+    esac; \
+  done; \
+  test $$has_opt = yes
+am__make_dryrun = (target_option=n; $(am__make_running_with_option))
+am__make_keepgoing = (target_option=k; $(am__make_running_with_option))
+pkgdatadir = $(datadir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkglibexecdir = $(libexecdir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+subdir = .
+DIST_COMMON = INSTALL NEWS README AUTHORS ChangeLog \
+	$(srcdir)/Makefile.in $(srcdir)/Makefile.am \
+	$(top_srcdir)/configure $(am__configure_deps) COPYING compile \
+	config.guess config.sub depcomp install-sh missing ltmain.sh
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/m4/libtool.m4 \
+	$(top_srcdir)/m4/ltoptions.m4 $(top_srcdir)/m4/ltsugar.m4 \
+	$(top_srcdir)/m4/ltversion.m4 $(top_srcdir)/m4/lt~obsolete.m4 \
+	$(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+	$(ACLOCAL_M4)
+am__CONFIG_DISTCLEAN_FILES = config.status config.cache config.log \
+ configure.lineno config.status.lineno
+mkinstalldirs = $(install_sh) -d
+CONFIG_CLEAN_FILES =
+CONFIG_CLEAN_VPATH_FILES =
+AM_V_P = $(am__v_P_ at AM_V@)
+am__v_P_ = $(am__v_P_ at AM_DEFAULT_V@)
+am__v_P_0 = false
+am__v_P_1 = :
+AM_V_GEN = $(am__v_GEN_ at AM_V@)
+am__v_GEN_ = $(am__v_GEN_ at AM_DEFAULT_V@)
+am__v_GEN_0 = @echo "  GEN     " $@;
+am__v_GEN_1 = 
+AM_V_at = $(am__v_at_ at AM_V@)
+am__v_at_ = $(am__v_at_ at AM_DEFAULT_V@)
+am__v_at_0 = @
+am__v_at_1 = 
+SOURCES =
+DIST_SOURCES =
+RECURSIVE_TARGETS = all-recursive check-recursive cscopelist-recursive \
+	ctags-recursive dvi-recursive html-recursive info-recursive \
+	install-data-recursive install-dvi-recursive \
+	install-exec-recursive install-html-recursive \
+	install-info-recursive install-pdf-recursive \
+	install-ps-recursive install-recursive installcheck-recursive \
+	installdirs-recursive pdf-recursive ps-recursive \
+	tags-recursive uninstall-recursive
+am__can_run_installinfo = \
+  case $$AM_UPDATE_INFO_DIR in \
+    n|no|NO) false;; \
+    *) (install-info --version) >/dev/null 2>&1;; \
+  esac
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive	\
+  distclean-recursive maintainer-clean-recursive
+am__recursive_targets = \
+  $(RECURSIVE_TARGETS) \
+  $(RECURSIVE_CLEAN_TARGETS) \
+  $(am__extra_recursive_targets)
+AM_RECURSIVE_TARGETS = $(am__recursive_targets:-recursive=) TAGS CTAGS \
+	cscope distdir dist dist-all distcheck
+am__tagged_files = $(HEADERS) $(SOURCES) $(TAGS_FILES) $(LISP)
+# Read a list of newline-separated strings from the standard input,
+# and print each of them once, without duplicates.  Input order is
+# *not* preserved.
+am__uniquify_input = $(AWK) '\
+  BEGIN { nonempty = 0; } \
+  { items[$$0] = 1; nonempty = 1; } \
+  END { if (nonempty) { for (i in items) print i; }; } \
+'
+# Make sure the list of sources is unique.  This is necessary because,
+# e.g., the same source file might be shared among _SOURCES variables
+# for different programs/libraries.
+am__define_uniq_tagged_files = \
+  list='$(am__tagged_files)'; \
+  unique=`for i in $$list; do \
+    if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+  done | $(am__uniquify_input)`
+ETAGS = etags
+CTAGS = ctags
+CSCOPE = cscope
+DIST_SUBDIRS = $(SUBDIRS)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+distdir = $(PACKAGE)-$(VERSION)
+top_distdir = $(distdir)
+am__remove_distdir = \
+  if test -d "$(distdir)"; then \
+    find "$(distdir)" -type d ! -perm -200 -exec chmod u+w {} ';' \
+      && rm -rf "$(distdir)" \
+      || { sleep 5 && rm -rf "$(distdir)"; }; \
+  else :; fi
+am__post_remove_distdir = $(am__remove_distdir)
+am__relativize = \
+  dir0=`pwd`; \
+  sed_first='s,^\([^/]*\)/.*$$,\1,'; \
+  sed_rest='s,^[^/]*/*,,'; \
+  sed_last='s,^.*/\([^/]*\)$$,\1,'; \
+  sed_butlast='s,/*[^/]*$$,,'; \
+  while test -n "$$dir1"; do \
+    first=`echo "$$dir1" | sed -e "$$sed_first"`; \
+    if test "$$first" != "."; then \
+      if test "$$first" = ".."; then \
+        dir2=`echo "$$dir0" | sed -e "$$sed_last"`/"$$dir2"; \
+        dir0=`echo "$$dir0" | sed -e "$$sed_butlast"`; \
+      else \
+        first2=`echo "$$dir2" | sed -e "$$sed_first"`; \
+        if test "$$first2" = "$$first"; then \
+          dir2=`echo "$$dir2" | sed -e "$$sed_rest"`; \
+        else \
+          dir2="../$$dir2"; \
+        fi; \
+        dir0="$$dir0"/"$$first"; \
+      fi; \
+    fi; \
+    dir1=`echo "$$dir1" | sed -e "$$sed_rest"`; \
+  done; \
+  reldir="$$dir2"
+DIST_ARCHIVES = $(distdir).tar.gz
+GZIP_ENV = --best
+DIST_TARGETS = dist-gzip
+distuninstallcheck_listfiles = find . -type f -print
+am__distuninstallcheck_listfiles = $(distuninstallcheck_listfiles) \
+  | sed 's|^\./|$(prefix)/|' | grep -v '$(infodir)/dir$$'
+distcleancheck_listfiles = find . -type f -print
+ACLOCAL = @ACLOCAL@
+AMTAR = @AMTAR@
+AM_DEFAULT_VERBOSITY = @AM_DEFAULT_VERBOSITY@
+AR = @AR@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+CC = @CC@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CYGPATH_W = @CYGPATH_W@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+FGREP = @FGREP@
+GREP = @GREP@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIBTOOL_DEPS = @LIBTOOL_DEPS@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MANIFEST_TOOL = @MANIFEST_TOOL@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_URL = @PACKAGE_URL@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+RANLIB = @RANLIB@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+STRIP = @STRIP@
+VERSION = @VERSION@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_AR = @ac_ct_AR@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+dvidir = @dvidir@
+exec_prefix = @exec_prefix@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+mandir = @mandir@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+pdfdir = @pdfdir@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+srcdir = @srcdir@
+sysconfdir = @sysconfdir@
+target_alias = @target_alias@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+SUBDIRS = src
+
+# suggested by autoreconf --install (after activating libtool)
+ACLOCAL_AMFLAGS = -I m4
+all: all-recursive
+
+.SUFFIXES:
+am--refresh: Makefile
+	@:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am  $(am__configure_deps)
+	@for dep in $?; do \
+	  case '$(am__configure_deps)' in \
+	    *$$dep*) \
+	      echo ' cd $(srcdir) && $(AUTOMAKE) --gnu'; \
+	      $(am__cd) $(srcdir) && $(AUTOMAKE) --gnu \
+		&& exit 0; \
+	      exit 1;; \
+	  esac; \
+	done; \
+	echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu Makefile'; \
+	$(am__cd) $(top_srcdir) && \
+	  $(AUTOMAKE) --gnu Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+	@case '$?' in \
+	  *config.status*) \
+	    echo ' $(SHELL) ./config.status'; \
+	    $(SHELL) ./config.status;; \
+	  *) \
+	    echo ' cd $(top_builddir) && $(SHELL) ./config.status $@ $(am__depfiles_maybe)'; \
+	    cd $(top_builddir) && $(SHELL) ./config.status $@ $(am__depfiles_maybe);; \
+	esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+	$(SHELL) ./config.status --recheck
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+	$(am__cd) $(srcdir) && $(AUTOCONF)
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+	$(am__cd) $(srcdir) && $(ACLOCAL) $(ACLOCAL_AMFLAGS)
+$(am__aclocal_m4_deps):
+
+mostlyclean-libtool:
+	-rm -f *.lo
+
+clean-libtool:
+	-rm -rf .libs _libs
+
+distclean-libtool:
+	-rm -f libtool config.lt
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run 'make' without going through this Makefile.
+# To change the values of 'make' variables: instead of editing Makefiles,
+# (1) if the variable is set in 'config.status', edit 'config.status'
+#     (which will cause the Makefiles to be regenerated when you run 'make');
+# (2) otherwise, pass the desired values on the 'make' command line.
+$(am__recursive_targets):
+	@fail=; \
+	if $(am__make_keepgoing); then \
+	  failcom='fail=yes'; \
+	else \
+	  failcom='exit 1'; \
+	fi; \
+	dot_seen=no; \
+	target=`echo $@ | sed s/-recursive//`; \
+	case "$@" in \
+	  distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+	  *) list='$(SUBDIRS)' ;; \
+	esac; \
+	for subdir in $$list; do \
+	  echo "Making $$target in $$subdir"; \
+	  if test "$$subdir" = "."; then \
+	    dot_seen=yes; \
+	    local_target="$$target-am"; \
+	  else \
+	    local_target="$$target"; \
+	  fi; \
+	  ($(am__cd) $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+	  || eval $$failcom; \
+	done; \
+	if test "$$dot_seen" = "no"; then \
+	  $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+	fi; test -z "$$fail"
+
+ID: $(am__tagged_files)
+	$(am__define_uniq_tagged_files); mkid -fID $$unique
+tags: tags-recursive
+TAGS: tags
+
+tags-am: $(TAGS_DEPENDENCIES) $(am__tagged_files)
+	set x; \
+	here=`pwd`; \
+	if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+	  include_option=--etags-include; \
+	  empty_fix=.; \
+	else \
+	  include_option=--include; \
+	  empty_fix=; \
+	fi; \
+	list='$(SUBDIRS)'; for subdir in $$list; do \
+	  if test "$$subdir" = .; then :; else \
+	    test ! -f $$subdir/TAGS || \
+	      set "$$@" "$$include_option=$$here/$$subdir/TAGS"; \
+	  fi; \
+	done; \
+	$(am__define_uniq_tagged_files); \
+	shift; \
+	if test -z "$(ETAGS_ARGS)$$*$$unique"; then :; else \
+	  test -n "$$unique" || unique=$$empty_fix; \
+	  if test $$# -gt 0; then \
+	    $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+	      "$$@" $$unique; \
+	  else \
+	    $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+	      $$unique; \
+	  fi; \
+	fi
+ctags: ctags-recursive
+
+CTAGS: ctags
+ctags-am: $(TAGS_DEPENDENCIES) $(am__tagged_files)
+	$(am__define_uniq_tagged_files); \
+	test -z "$(CTAGS_ARGS)$$unique" \
+	  || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+	     $$unique
+
+GTAGS:
+	here=`$(am__cd) $(top_builddir) && pwd` \
+	  && $(am__cd) $(top_srcdir) \
+	  && gtags -i $(GTAGS_ARGS) "$$here"
+cscope: cscope.files
+	test ! -s cscope.files \
+	  || $(CSCOPE) -b -q $(AM_CSCOPEFLAGS) $(CSCOPEFLAGS) -i cscope.files $(CSCOPE_ARGS)
+clean-cscope:
+	-rm -f cscope.files
+cscope.files: clean-cscope cscopelist
+cscopelist: cscopelist-recursive
+
+cscopelist-am: $(am__tagged_files)
+	list='$(am__tagged_files)'; \
+	case "$(srcdir)" in \
+	  [\\/]* | ?:[\\/]*) sdir="$(srcdir)" ;; \
+	  *) sdir=$(subdir)/$(srcdir) ;; \
+	esac; \
+	for i in $$list; do \
+	  if test -f "$$i"; then \
+	    echo "$(subdir)/$$i"; \
+	  else \
+	    echo "$$sdir/$$i"; \
+	  fi; \
+	done >> $(top_builddir)/cscope.files
+
+distclean-tags:
+	-rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+	-rm -f cscope.out cscope.in.out cscope.po.out cscope.files
+
+distdir: $(DISTFILES)
+	$(am__remove_distdir)
+	test -d "$(distdir)" || mkdir "$(distdir)"
+	@srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+	topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+	list='$(DISTFILES)'; \
+	  dist_files=`for file in $$list; do echo $$file; done | \
+	  sed -e "s|^$$srcdirstrip/||;t" \
+	      -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+	case $$dist_files in \
+	  */*) $(MKDIR_P) `echo "$$dist_files" | \
+			   sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+			   sort -u` ;; \
+	esac; \
+	for file in $$dist_files; do \
+	  if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+	  if test -d $$d/$$file; then \
+	    dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+	    if test -d "$(distdir)/$$file"; then \
+	      find "$(distdir)/$$file" -type d ! -perm -700 -exec chmod u+rwx {} \;; \
+	    fi; \
+	    if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+	      cp -fpR $(srcdir)/$$file "$(distdir)$$dir" || exit 1; \
+	      find "$(distdir)/$$file" -type d ! -perm -700 -exec chmod u+rwx {} \;; \
+	    fi; \
+	    cp -fpR $$d/$$file "$(distdir)$$dir" || exit 1; \
+	  else \
+	    test -f "$(distdir)/$$file" \
+	    || cp -p $$d/$$file "$(distdir)/$$file" \
+	    || exit 1; \
+	  fi; \
+	done
+	@list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+	  if test "$$subdir" = .; then :; else \
+	    $(am__make_dryrun) \
+	      || test -d "$(distdir)/$$subdir" \
+	      || $(MKDIR_P) "$(distdir)/$$subdir" \
+	      || exit 1; \
+	    dir1=$$subdir; dir2="$(distdir)/$$subdir"; \
+	    $(am__relativize); \
+	    new_distdir=$$reldir; \
+	    dir1=$$subdir; dir2="$(top_distdir)"; \
+	    $(am__relativize); \
+	    new_top_distdir=$$reldir; \
+	    echo " (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) top_distdir="$$new_top_distdir" distdir="$$new_distdir" \\"; \
+	    echo "     am__remove_distdir=: am__skip_length_check=: am__skip_mode_fix=: distdir)"; \
+	    ($(am__cd) $$subdir && \
+	      $(MAKE) $(AM_MAKEFLAGS) \
+	        top_distdir="$$new_top_distdir" \
+	        distdir="$$new_distdir" \
+		am__remove_distdir=: \
+		am__skip_length_check=: \
+		am__skip_mode_fix=: \
+	        distdir) \
+	      || exit 1; \
+	  fi; \
+	done
+	-test -n "$(am__skip_mode_fix)" \
+	|| find "$(distdir)" -type d ! -perm -755 \
+		-exec chmod u+rwx,go+rx {} \; -o \
+	  ! -type d ! -perm -444 -links 1 -exec chmod a+r {} \; -o \
+	  ! -type d ! -perm -400 -exec chmod a+r {} \; -o \
+	  ! -type d ! -perm -444 -exec $(install_sh) -c -m a+r {} {} \; \
+	|| chmod -R a+r "$(distdir)"
+dist-gzip: distdir
+	tardir=$(distdir) && $(am__tar) | GZIP=$(GZIP_ENV) gzip -c >$(distdir).tar.gz
+	$(am__post_remove_distdir)
+
+dist-bzip2: distdir
+	tardir=$(distdir) && $(am__tar) | BZIP2=$${BZIP2--9} bzip2 -c >$(distdir).tar.bz2
+	$(am__post_remove_distdir)
+
+dist-lzip: distdir
+	tardir=$(distdir) && $(am__tar) | lzip -c $${LZIP_OPT--9} >$(distdir).tar.lz
+	$(am__post_remove_distdir)
+
+dist-xz: distdir
+	tardir=$(distdir) && $(am__tar) | XZ_OPT=$${XZ_OPT--e} xz -c >$(distdir).tar.xz
+	$(am__post_remove_distdir)
+
+dist-tarZ: distdir
+	@echo WARNING: "Support for shar distribution archives is" \
+	               "deprecated." >&2
+	@echo WARNING: "It will be removed altogether in Automake 2.0" >&2
+	tardir=$(distdir) && $(am__tar) | compress -c >$(distdir).tar.Z
+	$(am__post_remove_distdir)
+
+dist-shar: distdir
+	@echo WARNING: "Support for distribution archives compressed with" \
+		       "legacy program 'compress' is deprecated." >&2
+	@echo WARNING: "It will be removed altogether in Automake 2.0" >&2
+	shar $(distdir) | GZIP=$(GZIP_ENV) gzip -c >$(distdir).shar.gz
+	$(am__post_remove_distdir)
+
+dist-zip: distdir
+	-rm -f $(distdir).zip
+	zip -rq $(distdir).zip $(distdir)
+	$(am__post_remove_distdir)
+
+dist dist-all:
+	$(MAKE) $(AM_MAKEFLAGS) $(DIST_TARGETS) am__post_remove_distdir='@:'
+	$(am__post_remove_distdir)
+
+# This target untars the dist file and tries a VPATH configuration.  Then
+# it guarantees that the distribution is self-contained by making another
+# tarfile.
+distcheck: dist
+	case '$(DIST_ARCHIVES)' in \
+	*.tar.gz*) \
+	  GZIP=$(GZIP_ENV) gzip -dc $(distdir).tar.gz | $(am__untar) ;;\
+	*.tar.bz2*) \
+	  bzip2 -dc $(distdir).tar.bz2 | $(am__untar) ;;\
+	*.tar.lz*) \
+	  lzip -dc $(distdir).tar.lz | $(am__untar) ;;\
+	*.tar.xz*) \
+	  xz -dc $(distdir).tar.xz | $(am__untar) ;;\
+	*.tar.Z*) \
+	  uncompress -c $(distdir).tar.Z | $(am__untar) ;;\
+	*.shar.gz*) \
+	  GZIP=$(GZIP_ENV) gzip -dc $(distdir).shar.gz | unshar ;;\
+	*.zip*) \
+	  unzip $(distdir).zip ;;\
+	esac
+	chmod -R a-w $(distdir)
+	chmod u+w $(distdir)
+	mkdir $(distdir)/_build $(distdir)/_inst
+	chmod a-w $(distdir)
+	test -d $(distdir)/_build || exit 0; \
+	dc_install_base=`$(am__cd) $(distdir)/_inst && pwd | sed -e 's,^[^:\\/]:[\\/],/,'` \
+	  && dc_destdir="$${TMPDIR-/tmp}/am-dc-$$$$/" \
+	  && am__cwd=`pwd` \
+	  && $(am__cd) $(distdir)/_build \
+	  && ../configure --srcdir=.. --prefix="$$dc_install_base" \
+	    $(AM_DISTCHECK_CONFIGURE_FLAGS) \
+	    $(DISTCHECK_CONFIGURE_FLAGS) \
+	  && $(MAKE) $(AM_MAKEFLAGS) \
+	  && $(MAKE) $(AM_MAKEFLAGS) dvi \
+	  && $(MAKE) $(AM_MAKEFLAGS) check \
+	  && $(MAKE) $(AM_MAKEFLAGS) install \
+	  && $(MAKE) $(AM_MAKEFLAGS) installcheck \
+	  && $(MAKE) $(AM_MAKEFLAGS) uninstall \
+	  && $(MAKE) $(AM_MAKEFLAGS) distuninstallcheck_dir="$$dc_install_base" \
+	        distuninstallcheck \
+	  && chmod -R a-w "$$dc_install_base" \
+	  && ({ \
+	       (cd ../.. && umask 077 && mkdir "$$dc_destdir") \
+	       && $(MAKE) $(AM_MAKEFLAGS) DESTDIR="$$dc_destdir" install \
+	       && $(MAKE) $(AM_MAKEFLAGS) DESTDIR="$$dc_destdir" uninstall \
+	       && $(MAKE) $(AM_MAKEFLAGS) DESTDIR="$$dc_destdir" \
+	            distuninstallcheck_dir="$$dc_destdir" distuninstallcheck; \
+	      } || { rm -rf "$$dc_destdir"; exit 1; }) \
+	  && rm -rf "$$dc_destdir" \
+	  && $(MAKE) $(AM_MAKEFLAGS) dist \
+	  && rm -rf $(DIST_ARCHIVES) \
+	  && $(MAKE) $(AM_MAKEFLAGS) distcleancheck \
+	  && cd "$$am__cwd" \
+	  || exit 1
+	$(am__post_remove_distdir)
+	@(echo "$(distdir) archives ready for distribution: "; \
+	  list='$(DIST_ARCHIVES)'; for i in $$list; do echo $$i; done) | \
+	  sed -e 1h -e 1s/./=/g -e 1p -e 1x -e '$$p' -e '$$x'
+distuninstallcheck:
+	@test -n '$(distuninstallcheck_dir)' || { \
+	  echo 'ERROR: trying to run $@ with an empty' \
+	       '$$(distuninstallcheck_dir)' >&2; \
+	  exit 1; \
+	}; \
+	$(am__cd) '$(distuninstallcheck_dir)' || { \
+	  echo 'ERROR: cannot chdir into $(distuninstallcheck_dir)' >&2; \
+	  exit 1; \
+	}; \
+	test `$(am__distuninstallcheck_listfiles) | wc -l` -eq 0 \
+	   || { echo "ERROR: files left after uninstall:" ; \
+	        if test -n "$(DESTDIR)"; then \
+	          echo "  (check DESTDIR support)"; \
+	        fi ; \
+	        $(distuninstallcheck_listfiles) ; \
+	        exit 1; } >&2
+distcleancheck: distclean
+	@if test '$(srcdir)' = . ; then \
+	  echo "ERROR: distcleancheck can only run from a VPATH build" ; \
+	  exit 1 ; \
+	fi
+	@test `$(distcleancheck_listfiles) | wc -l` -eq 0 \
+	  || { echo "ERROR: files left in build directory after distclean:" ; \
+	       $(distcleancheck_listfiles) ; \
+	       exit 1; } >&2
+check-am: all-am
+check: check-recursive
+all-am: Makefile
+installdirs: installdirs-recursive
+installdirs-am:
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+	@$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+	if test -z '$(STRIP)'; then \
+	  $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+	    install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+	      install; \
+	else \
+	  $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+	    install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+	    "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'" install; \
+	fi
+mostlyclean-generic:
+
+clean-generic:
+
+distclean-generic:
+	-test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+	-test . = "$(srcdir)" || test -z "$(CONFIG_CLEAN_VPATH_FILES)" || rm -f $(CONFIG_CLEAN_VPATH_FILES)
+
+maintainer-clean-generic:
+	@echo "This command is intended for maintainers to use"
+	@echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-recursive
+	-rm -f $(am__CONFIG_DISTCLEAN_FILES)
+	-rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-libtool \
+	distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+html-am:
+
+info: info-recursive
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-recursive
+
+install-dvi-am:
+
+install-exec-am:
+
+install-html: install-html-recursive
+
+install-html-am:
+
+install-info: install-info-recursive
+
+install-info-am:
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-pdf-am:
+
+install-ps: install-ps-recursive
+
+install-ps-am:
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+	-rm -f $(am__CONFIG_DISTCLEAN_FILES)
+	-rm -rf $(top_srcdir)/autom4te.cache
+	-rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: $(am__recursive_targets) install-am install-strip
+
+.PHONY: $(am__recursive_targets) CTAGS GTAGS TAGS all all-am \
+	am--refresh check check-am clean clean-cscope clean-generic \
+	clean-libtool cscope cscopelist-am ctags ctags-am dist \
+	dist-all dist-bzip2 dist-gzip dist-lzip dist-shar dist-tarZ \
+	dist-xz dist-zip distcheck distclean distclean-generic \
+	distclean-libtool distclean-tags distcleancheck distdir \
+	distuninstallcheck dvi dvi-am html html-am info info-am \
+	install install-am install-data install-data-am install-dvi \
+	install-dvi-am install-exec install-exec-am install-html \
+	install-html-am install-info install-info-am install-man \
+	install-pdf install-pdf-am install-ps install-ps-am \
+	install-strip installcheck installcheck-am installdirs \
+	installdirs-am maintainer-clean maintainer-clean-generic \
+	mostlyclean mostlyclean-generic mostlyclean-libtool pdf pdf-am \
+	ps ps-am tags tags-am uninstall uninstall-am
+
+
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/clib/expeyes-clib/NEWS b/clib/expeyes-clib/NEWS
new file mode 100644
index 0000000..e69de29
diff --git a/clib/expeyes-clib/README b/clib/expeyes-clib/README
new file mode 100644
index 0000000..61485fd
--- /dev/null
+++ b/clib/expeyes-clib/README
@@ -0,0 +1,7 @@
+The package of sources is controlled by GNU autotools.
+To clean it before a distribution, invoke the script clean-all.sh
+
+COMPILING:
+=========
+First use autotools: invoke "libtoolize; autoreconf --install".
+Then run "./configure", then "make".
\ No newline at end of file
diff --git a/clib/expeyes-clib/aclocal.m4 b/clib/expeyes-clib/aclocal.m4
new file mode 100644
index 0000000..cd7a0f4
--- /dev/null
+++ b/clib/expeyes-clib/aclocal.m4
@@ -0,0 +1,1154 @@
+# generated automatically by aclocal 1.14 -*- Autoconf -*-
+
+# Copyright (C) 1996-2013 Free Software Foundation, Inc.
+
+# This file is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+m4_ifndef([AC_CONFIG_MACRO_DIRS], [m4_defun([_AM_CONFIG_MACRO_DIRS], [])m4_defun([AC_CONFIG_MACRO_DIRS], [_AM_CONFIG_MACRO_DIRS($@)])])
+m4_ifndef([AC_AUTOCONF_VERSION],
+  [m4_copy([m4_PACKAGE_VERSION], [AC_AUTOCONF_VERSION])])dnl
+m4_if(m4_defn([AC_AUTOCONF_VERSION]), [2.69],,
+[m4_warning([this file was generated for autoconf 2.69.
+You have another version of autoconf.  It may work, but is not guaranteed to.
+If you have problems, you may need to regenerate the build system entirely.
+To do so, use the procedure documented by the package, typically 'autoreconf'.])])
+
+# Copyright (C) 2002-2013 Free Software Foundation, Inc.
+#
+# This file is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# AM_AUTOMAKE_VERSION(VERSION)
+# ----------------------------
+# Automake X.Y traces this macro to ensure aclocal.m4 has been
+# generated from the m4 files accompanying Automake X.Y.
+# (This private macro should not be called outside this file.)
+AC_DEFUN([AM_AUTOMAKE_VERSION],
+[am__api_version='1.14'
+dnl Some users find AM_AUTOMAKE_VERSION and mistake it for a way to
+dnl require some minimum version.  Point them to the right macro.
+m4_if([$1], [1.14], [],
+      [AC_FATAL([Do not call $0, use AM_INIT_AUTOMAKE([$1]).])])dnl
+])
+
+# _AM_AUTOCONF_VERSION(VERSION)
+# -----------------------------
+# aclocal traces this macro to find the Autoconf version.
+# This is a private macro too.  Using m4_define simplifies
+# the logic in aclocal, which can simply ignore this definition.
+m4_define([_AM_AUTOCONF_VERSION], [])
+
+# AM_SET_CURRENT_AUTOMAKE_VERSION
+# -------------------------------
+# Call AM_AUTOMAKE_VERSION and AM_AUTOMAKE_VERSION so they can be traced.
+# This function is AC_REQUIREd by AM_INIT_AUTOMAKE.
+AC_DEFUN([AM_SET_CURRENT_AUTOMAKE_VERSION],
+[AM_AUTOMAKE_VERSION([1.14])dnl
+m4_ifndef([AC_AUTOCONF_VERSION],
+  [m4_copy([m4_PACKAGE_VERSION], [AC_AUTOCONF_VERSION])])dnl
+_AM_AUTOCONF_VERSION(m4_defn([AC_AUTOCONF_VERSION]))])
+
+# AM_AUX_DIR_EXPAND                                         -*- Autoconf -*-
+
+# Copyright (C) 2001-2013 Free Software Foundation, Inc.
+#
+# This file is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# For projects using AC_CONFIG_AUX_DIR([foo]), Autoconf sets
+# $ac_aux_dir to '$srcdir/foo'.  In other projects, it is set to
+# '$srcdir', '$srcdir/..', or '$srcdir/../..'.
+#
+# Of course, Automake must honor this variable whenever it calls a
+# tool from the auxiliary directory.  The problem is that $srcdir (and
+# therefore $ac_aux_dir as well) can be either absolute or relative,
+# depending on how configure is run.  This is pretty annoying, since
+# it makes $ac_aux_dir quite unusable in subdirectories: in the top
+# source directory, any form will work fine, but in subdirectories a
+# relative path needs to be adjusted first.
+#
+# $ac_aux_dir/missing
+#    fails when called from a subdirectory if $ac_aux_dir is relative
+# $top_srcdir/$ac_aux_dir/missing
+#    fails if $ac_aux_dir is absolute,
+#    fails when called from a subdirectory in a VPATH build with
+#          a relative $ac_aux_dir
+#
+# The reason of the latter failure is that $top_srcdir and $ac_aux_dir
+# are both prefixed by $srcdir.  In an in-source build this is usually
+# harmless because $srcdir is '.', but things will broke when you
+# start a VPATH build or use an absolute $srcdir.
+#
+# So we could use something similar to $top_srcdir/$ac_aux_dir/missing,
+# iff we strip the leading $srcdir from $ac_aux_dir.  That would be:
+#   am_aux_dir='\$(top_srcdir)/'`expr "$ac_aux_dir" : "$srcdir//*\(.*\)"`
+# and then we would define $MISSING as
+#   MISSING="\${SHELL} $am_aux_dir/missing"
+# This will work as long as MISSING is not called from configure, because
+# unfortunately $(top_srcdir) has no meaning in configure.
+# However there are other variables, like CC, which are often used in
+# configure, and could therefore not use this "fixed" $ac_aux_dir.
+#
+# Another solution, used here, is to always expand $ac_aux_dir to an
+# absolute PATH.  The drawback is that using absolute paths prevent a
+# configured tree to be moved without reconfiguration.
+
+AC_DEFUN([AM_AUX_DIR_EXPAND],
+[dnl Rely on autoconf to set up CDPATH properly.
+AC_PREREQ([2.50])dnl
+# expand $ac_aux_dir to an absolute path
+am_aux_dir=`cd $ac_aux_dir && pwd`
+])
+
+# AM_CONDITIONAL                                            -*- Autoconf -*-
+
+# Copyright (C) 1997-2013 Free Software Foundation, Inc.
+#
+# This file is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# AM_CONDITIONAL(NAME, SHELL-CONDITION)
+# -------------------------------------
+# Define a conditional.
+AC_DEFUN([AM_CONDITIONAL],
+[AC_PREREQ([2.52])dnl
+ m4_if([$1], [TRUE],  [AC_FATAL([$0: invalid condition: $1])],
+       [$1], [FALSE], [AC_FATAL([$0: invalid condition: $1])])dnl
+AC_SUBST([$1_TRUE])dnl
+AC_SUBST([$1_FALSE])dnl
+_AM_SUBST_NOTMAKE([$1_TRUE])dnl
+_AM_SUBST_NOTMAKE([$1_FALSE])dnl
+m4_define([_AM_COND_VALUE_$1], [$2])dnl
+if $2; then
+  $1_TRUE=
+  $1_FALSE='#'
+else
+  $1_TRUE='#'
+  $1_FALSE=
+fi
+AC_CONFIG_COMMANDS_PRE(
+[if test -z "${$1_TRUE}" && test -z "${$1_FALSE}"; then
+  AC_MSG_ERROR([[conditional "$1" was never defined.
+Usually this means the macro was only invoked conditionally.]])
+fi])])
+
+# Copyright (C) 1999-2013 Free Software Foundation, Inc.
+#
+# This file is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+
+# There are a few dirty hacks below to avoid letting 'AC_PROG_CC' be
+# written in clear, in which case automake, when reading aclocal.m4,
+# will think it sees a *use*, and therefore will trigger all it's
+# C support machinery.  Also note that it means that autoscan, seeing
+# CC etc. in the Makefile, will ask for an AC_PROG_CC use...
+
+
+# _AM_DEPENDENCIES(NAME)
+# ----------------------
+# See how the compiler implements dependency checking.
+# NAME is "CC", "CXX", "OBJC", "OBJCXX", "UPC", or "GJC".
+# We try a few techniques and use that to set a single cache variable.
+#
+# We don't AC_REQUIRE the corresponding AC_PROG_CC since the latter was
+# modified to invoke _AM_DEPENDENCIES(CC); we would have a circular
+# dependency, and given that the user is not expected to run this macro,
+# just rely on AC_PROG_CC.
+AC_DEFUN([_AM_DEPENDENCIES],
+[AC_REQUIRE([AM_SET_DEPDIR])dnl
+AC_REQUIRE([AM_OUTPUT_DEPENDENCY_COMMANDS])dnl
+AC_REQUIRE([AM_MAKE_INCLUDE])dnl
+AC_REQUIRE([AM_DEP_TRACK])dnl
+
+m4_if([$1], [CC],   [depcc="$CC"   am_compiler_list=],
+      [$1], [CXX],  [depcc="$CXX"  am_compiler_list=],
+      [$1], [OBJC], [depcc="$OBJC" am_compiler_list='gcc3 gcc'],
+      [$1], [OBJCXX], [depcc="$OBJCXX" am_compiler_list='gcc3 gcc'],
+      [$1], [UPC],  [depcc="$UPC"  am_compiler_list=],
+      [$1], [GCJ],  [depcc="$GCJ"  am_compiler_list='gcc3 gcc'],
+                    [depcc="$$1"   am_compiler_list=])
+
+AC_CACHE_CHECK([dependency style of $depcc],
+               [am_cv_$1_dependencies_compiler_type],
+[if test -z "$AMDEP_TRUE" && test -f "$am_depcomp"; then
+  # We make a subdir and do the tests there.  Otherwise we can end up
+  # making bogus files that we don't know about and never remove.  For
+  # instance it was reported that on HP-UX the gcc test will end up
+  # making a dummy file named 'D' -- because '-MD' means "put the output
+  # in D".
+  rm -rf conftest.dir
+  mkdir conftest.dir
+  # Copy depcomp to subdir because otherwise we won't find it if we're
+  # using a relative directory.
+  cp "$am_depcomp" conftest.dir
+  cd conftest.dir
+  # We will build objects and dependencies in a subdirectory because
+  # it helps to detect inapplicable dependency modes.  For instance
+  # both Tru64's cc and ICC support -MD to output dependencies as a
+  # side effect of compilation, but ICC will put the dependencies in
+  # the current directory while Tru64 will put them in the object
+  # directory.
+  mkdir sub
+
+  am_cv_$1_dependencies_compiler_type=none
+  if test "$am_compiler_list" = ""; then
+     am_compiler_list=`sed -n ['s/^#*\([a-zA-Z0-9]*\))$/\1/p'] < ./depcomp`
+  fi
+  am__universal=false
+  m4_case([$1], [CC],
+    [case " $depcc " in #(
+     *\ -arch\ *\ -arch\ *) am__universal=true ;;
+     esac],
+    [CXX],
+    [case " $depcc " in #(
+     *\ -arch\ *\ -arch\ *) am__universal=true ;;
+     esac])
+
+  for depmode in $am_compiler_list; do
+    # Setup a source with many dependencies, because some compilers
+    # like to wrap large dependency lists on column 80 (with \), and
+    # we should not choose a depcomp mode which is confused by this.
+    #
+    # We need to recreate these files for each test, as the compiler may
+    # overwrite some of them when testing with obscure command lines.
+    # This happens at least with the AIX C compiler.
+    : > sub/conftest.c
+    for i in 1 2 3 4 5 6; do
+      echo '#include "conftst'$i'.h"' >> sub/conftest.c
+      # Using ": > sub/conftst$i.h" creates only sub/conftst1.h with
+      # Solaris 10 /bin/sh.
+      echo '/* dummy */' > sub/conftst$i.h
+    done
+    echo "${am__include} ${am__quote}sub/conftest.Po${am__quote}" > confmf
+
+    # We check with '-c' and '-o' for the sake of the "dashmstdout"
+    # mode.  It turns out that the SunPro C++ compiler does not properly
+    # handle '-M -o', and we need to detect this.  Also, some Intel
+    # versions had trouble with output in subdirs.
+    am__obj=sub/conftest.${OBJEXT-o}
+    am__minus_obj="-o $am__obj"
+    case $depmode in
+    gcc)
+      # This depmode causes a compiler race in universal mode.
+      test "$am__universal" = false || continue
+      ;;
+    nosideeffect)
+      # After this tag, mechanisms are not by side-effect, so they'll
+      # only be used when explicitly requested.
+      if test "x$enable_dependency_tracking" = xyes; then
+	continue
+      else
+	break
+      fi
+      ;;
+    msvc7 | msvc7msys | msvisualcpp | msvcmsys)
+      # This compiler won't grok '-c -o', but also, the minuso test has
+      # not run yet.  These depmodes are late enough in the game, and
+      # so weak that their functioning should not be impacted.
+      am__obj=conftest.${OBJEXT-o}
+      am__minus_obj=
+      ;;
+    none) break ;;
+    esac
+    if depmode=$depmode \
+       source=sub/conftest.c object=$am__obj \
+       depfile=sub/conftest.Po tmpdepfile=sub/conftest.TPo \
+       $SHELL ./depcomp $depcc -c $am__minus_obj sub/conftest.c \
+         >/dev/null 2>conftest.err &&
+       grep sub/conftst1.h sub/conftest.Po > /dev/null 2>&1 &&
+       grep sub/conftst6.h sub/conftest.Po > /dev/null 2>&1 &&
+       grep $am__obj sub/conftest.Po > /dev/null 2>&1 &&
+       ${MAKE-make} -s -f confmf > /dev/null 2>&1; then
+      # icc doesn't choke on unknown options, it will just issue warnings
+      # or remarks (even with -Werror).  So we grep stderr for any message
+      # that says an option was ignored or not supported.
+      # When given -MP, icc 7.0 and 7.1 complain thusly:
+      #   icc: Command line warning: ignoring option '-M'; no argument required
+      # The diagnosis changed in icc 8.0:
+      #   icc: Command line remark: option '-MP' not supported
+      if (grep 'ignoring option' conftest.err ||
+          grep 'not supported' conftest.err) >/dev/null 2>&1; then :; else
+        am_cv_$1_dependencies_compiler_type=$depmode
+        break
+      fi
+    fi
+  done
+
+  cd ..
+  rm -rf conftest.dir
+else
+  am_cv_$1_dependencies_compiler_type=none
+fi
+])
+AC_SUBST([$1DEPMODE], [depmode=$am_cv_$1_dependencies_compiler_type])
+AM_CONDITIONAL([am__fastdep$1], [
+  test "x$enable_dependency_tracking" != xno \
+  && test "$am_cv_$1_dependencies_compiler_type" = gcc3])
+])
+
+
+# AM_SET_DEPDIR
+# -------------
+# Choose a directory name for dependency files.
+# This macro is AC_REQUIREd in _AM_DEPENDENCIES.
+AC_DEFUN([AM_SET_DEPDIR],
+[AC_REQUIRE([AM_SET_LEADING_DOT])dnl
+AC_SUBST([DEPDIR], ["${am__leading_dot}deps"])dnl
+])
+
+
+# AM_DEP_TRACK
+# ------------
+AC_DEFUN([AM_DEP_TRACK],
+[AC_ARG_ENABLE([dependency-tracking], [dnl
+AS_HELP_STRING(
+  [--enable-dependency-tracking],
+  [do not reject slow dependency extractors])
+AS_HELP_STRING(
+  [--disable-dependency-tracking],
+  [speeds up one-time build])])
+if test "x$enable_dependency_tracking" != xno; then
+  am_depcomp="$ac_aux_dir/depcomp"
+  AMDEPBACKSLASH='\'
+  am__nodep='_no'
+fi
+AM_CONDITIONAL([AMDEP], [test "x$enable_dependency_tracking" != xno])
+AC_SUBST([AMDEPBACKSLASH])dnl
+_AM_SUBST_NOTMAKE([AMDEPBACKSLASH])dnl
+AC_SUBST([am__nodep])dnl
+_AM_SUBST_NOTMAKE([am__nodep])dnl
+])
+
+# Generate code to set up dependency tracking.              -*- Autoconf -*-
+
+# Copyright (C) 1999-2013 Free Software Foundation, Inc.
+#
+# This file is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+
+# _AM_OUTPUT_DEPENDENCY_COMMANDS
+# ------------------------------
+AC_DEFUN([_AM_OUTPUT_DEPENDENCY_COMMANDS],
+[{
+  # Older Autoconf quotes --file arguments for eval, but not when files
+  # are listed without --file.  Let's play safe and only enable the eval
+  # if we detect the quoting.
+  case $CONFIG_FILES in
+  *\'*) eval set x "$CONFIG_FILES" ;;
+  *)   set x $CONFIG_FILES ;;
+  esac
+  shift
+  for mf
+  do
+    # Strip MF so we end up with the name of the file.
+    mf=`echo "$mf" | sed -e 's/:.*$//'`
+    # Check whether this is an Automake generated Makefile or not.
+    # We used to match only the files named 'Makefile.in', but
+    # some people rename them; so instead we look at the file content.
+    # Grep'ing the first line is not enough: some people post-process
+    # each Makefile.in and add a new line on top of each file to say so.
+    # Grep'ing the whole file is not good either: AIX grep has a line
+    # limit of 2048, but all sed's we know have understand at least 4000.
+    if sed -n 's,^#.*generated by automake.*,X,p' "$mf" | grep X >/dev/null 2>&1; then
+      dirpart=`AS_DIRNAME("$mf")`
+    else
+      continue
+    fi
+    # Extract the definition of DEPDIR, am__include, and am__quote
+    # from the Makefile without running 'make'.
+    DEPDIR=`sed -n 's/^DEPDIR = //p' < "$mf"`
+    test -z "$DEPDIR" && continue
+    am__include=`sed -n 's/^am__include = //p' < "$mf"`
+    test -z "$am__include" && continue
+    am__quote=`sed -n 's/^am__quote = //p' < "$mf"`
+    # Find all dependency output files, they are included files with
+    # $(DEPDIR) in their names.  We invoke sed twice because it is the
+    # simplest approach to changing $(DEPDIR) to its actual value in the
+    # expansion.
+    for file in `sed -n "
+      s/^$am__include $am__quote\(.*(DEPDIR).*\)$am__quote"'$/\1/p' <"$mf" | \
+	 sed -e 's/\$(DEPDIR)/'"$DEPDIR"'/g'`; do
+      # Make sure the directory exists.
+      test -f "$dirpart/$file" && continue
+      fdir=`AS_DIRNAME(["$file"])`
+      AS_MKDIR_P([$dirpart/$fdir])
+      # echo "creating $dirpart/$file"
+      echo '# dummy' > "$dirpart/$file"
+    done
+  done
+}
+])# _AM_OUTPUT_DEPENDENCY_COMMANDS
+
+
+# AM_OUTPUT_DEPENDENCY_COMMANDS
+# -----------------------------
+# This macro should only be invoked once -- use via AC_REQUIRE.
+#
+# This code is only required when automatic dependency tracking
+# is enabled.  FIXME.  This creates each '.P' file that we will
+# need in order to bootstrap the dependency handling code.
+AC_DEFUN([AM_OUTPUT_DEPENDENCY_COMMANDS],
+[AC_CONFIG_COMMANDS([depfiles],
+     [test x"$AMDEP_TRUE" != x"" || _AM_OUTPUT_DEPENDENCY_COMMANDS],
+     [AMDEP_TRUE="$AMDEP_TRUE" ac_aux_dir="$ac_aux_dir"])
+])
+
+# Do all the work for Automake.                             -*- Autoconf -*-
+
+# Copyright (C) 1996-2013 Free Software Foundation, Inc.
+#
+# This file is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This macro actually does too much.  Some checks are only needed if
+# your package does certain things.  But this isn't really a big deal.
+
+dnl Redefine AC_PROG_CC to automatically invoke _AM_PROG_CC_C_O.
+m4_define([AC_PROG_CC],
+m4_defn([AC_PROG_CC])
+[_AM_PROG_CC_C_O
+])
+
+# AM_INIT_AUTOMAKE(PACKAGE, VERSION, [NO-DEFINE])
+# AM_INIT_AUTOMAKE([OPTIONS])
+# -----------------------------------------------
+# The call with PACKAGE and VERSION arguments is the old style
+# call (pre autoconf-2.50), which is being phased out.  PACKAGE
+# and VERSION should now be passed to AC_INIT and removed from
+# the call to AM_INIT_AUTOMAKE.
+# We support both call styles for the transition.  After
+# the next Automake release, Autoconf can make the AC_INIT
+# arguments mandatory, and then we can depend on a new Autoconf
+# release and drop the old call support.
+AC_DEFUN([AM_INIT_AUTOMAKE],
+[AC_PREREQ([2.65])dnl
+dnl Autoconf wants to disallow AM_ names.  We explicitly allow
+dnl the ones we care about.
+m4_pattern_allow([^AM_[A-Z]+FLAGS$])dnl
+AC_REQUIRE([AM_SET_CURRENT_AUTOMAKE_VERSION])dnl
+AC_REQUIRE([AC_PROG_INSTALL])dnl
+if test "`cd $srcdir && pwd`" != "`pwd`"; then
+  # Use -I$(srcdir) only when $(srcdir) != ., so that make's output
+  # is not polluted with repeated "-I."
+  AC_SUBST([am__isrc], [' -I$(srcdir)'])_AM_SUBST_NOTMAKE([am__isrc])dnl
+  # test to see if srcdir already configured
+  if test -f $srcdir/config.status; then
+    AC_MSG_ERROR([source directory already configured; run "make distclean" there first])
+  fi
+fi
+
+# test whether we have cygpath
+if test -z "$CYGPATH_W"; then
+  if (cygpath --version) >/dev/null 2>/dev/null; then
+    CYGPATH_W='cygpath -w'
+  else
+    CYGPATH_W=echo
+  fi
+fi
+AC_SUBST([CYGPATH_W])
+
+# Define the identity of the package.
+dnl Distinguish between old-style and new-style calls.
+m4_ifval([$2],
+[AC_DIAGNOSE([obsolete],
+             [$0: two- and three-arguments forms are deprecated.])
+m4_ifval([$3], [_AM_SET_OPTION([no-define])])dnl
+ AC_SUBST([PACKAGE], [$1])dnl
+ AC_SUBST([VERSION], [$2])],
+[_AM_SET_OPTIONS([$1])dnl
+dnl Diagnose old-style AC_INIT with new-style AM_AUTOMAKE_INIT.
+m4_if(
+  m4_ifdef([AC_PACKAGE_NAME], [ok]):m4_ifdef([AC_PACKAGE_VERSION], [ok]),
+  [ok:ok],,
+  [m4_fatal([AC_INIT should be called with package and version arguments])])dnl
+ AC_SUBST([PACKAGE], ['AC_PACKAGE_TARNAME'])dnl
+ AC_SUBST([VERSION], ['AC_PACKAGE_VERSION'])])dnl
+
+_AM_IF_OPTION([no-define],,
+[AC_DEFINE_UNQUOTED([PACKAGE], ["$PACKAGE"], [Name of package])
+ AC_DEFINE_UNQUOTED([VERSION], ["$VERSION"], [Version number of package])])dnl
+
+# Some tools Automake needs.
+AC_REQUIRE([AM_SANITY_CHECK])dnl
+AC_REQUIRE([AC_ARG_PROGRAM])dnl
+AM_MISSING_PROG([ACLOCAL], [aclocal-${am__api_version}])
+AM_MISSING_PROG([AUTOCONF], [autoconf])
+AM_MISSING_PROG([AUTOMAKE], [automake-${am__api_version}])
+AM_MISSING_PROG([AUTOHEADER], [autoheader])
+AM_MISSING_PROG([MAKEINFO], [makeinfo])
+AC_REQUIRE([AM_PROG_INSTALL_SH])dnl
+AC_REQUIRE([AM_PROG_INSTALL_STRIP])dnl
+AC_REQUIRE([AC_PROG_MKDIR_P])dnl
+# For better backward compatibility.  To be removed once Automake 1.9.x
+# dies out for good.  For more background, see:
+# <http://lists.gnu.org/archive/html/automake/2012-07/msg00001.html>
+# <http://lists.gnu.org/archive/html/automake/2012-07/msg00014.html>
+AC_SUBST([mkdir_p], ['$(MKDIR_P)'])
+# We need awk for the "check" target.  The system "awk" is bad on
+# some platforms.
+AC_REQUIRE([AC_PROG_AWK])dnl
+AC_REQUIRE([AC_PROG_MAKE_SET])dnl
+AC_REQUIRE([AM_SET_LEADING_DOT])dnl
+_AM_IF_OPTION([tar-ustar], [_AM_PROG_TAR([ustar])],
+	      [_AM_IF_OPTION([tar-pax], [_AM_PROG_TAR([pax])],
+			     [_AM_PROG_TAR([v7])])])
+_AM_IF_OPTION([no-dependencies],,
+[AC_PROVIDE_IFELSE([AC_PROG_CC],
+		  [_AM_DEPENDENCIES([CC])],
+		  [m4_define([AC_PROG_CC],
+			     m4_defn([AC_PROG_CC])[_AM_DEPENDENCIES([CC])])])dnl
+AC_PROVIDE_IFELSE([AC_PROG_CXX],
+		  [_AM_DEPENDENCIES([CXX])],
+		  [m4_define([AC_PROG_CXX],
+			     m4_defn([AC_PROG_CXX])[_AM_DEPENDENCIES([CXX])])])dnl
+AC_PROVIDE_IFELSE([AC_PROG_OBJC],
+		  [_AM_DEPENDENCIES([OBJC])],
+		  [m4_define([AC_PROG_OBJC],
+			     m4_defn([AC_PROG_OBJC])[_AM_DEPENDENCIES([OBJC])])])dnl
+AC_PROVIDE_IFELSE([AC_PROG_OBJCXX],
+		  [_AM_DEPENDENCIES([OBJCXX])],
+		  [m4_define([AC_PROG_OBJCXX],
+			     m4_defn([AC_PROG_OBJCXX])[_AM_DEPENDENCIES([OBJCXX])])])dnl
+])
+AC_REQUIRE([AM_SILENT_RULES])dnl
+dnl The testsuite driver may need to know about EXEEXT, so add the
+dnl 'am__EXEEXT' conditional if _AM_COMPILER_EXEEXT was seen.  This
+dnl macro is hooked onto _AC_COMPILER_EXEEXT early, see below.
+AC_CONFIG_COMMANDS_PRE(dnl
+[m4_provide_if([_AM_COMPILER_EXEEXT],
+  [AM_CONDITIONAL([am__EXEEXT], [test -n "$EXEEXT"])])])dnl
+
+# POSIX will say in a future version that running "rm -f" with no argument
+# is OK; and we want to be able to make that assumption in our Makefile
+# recipes.  So use an aggressive probe to check that the usage we want is
+# actually supported "in the wild" to an acceptable degree.
+# See automake bug#10828.
+# To make any issue more visible, cause the running configure to be aborted
+# by default if the 'rm' program in use doesn't match our expectations; the
+# user can still override this though.
+if rm -f && rm -fr && rm -rf; then : OK; else
+  cat >&2 <<'END'
+Oops!
+
+Your 'rm' program seems unable to run without file operands specified
+on the command line, even when the '-f' option is present.  This is contrary
+to the behaviour of most rm programs out there, and not conforming with
+the upcoming POSIX standard: <http://austingroupbugs.net/view.php?id=542>
+
+Please tell bug-automake at gnu.org about your system, including the value
+of your $PATH and any error possibly output before this message.  This
+can help us improve future automake versions.
+
+END
+  if test x"$ACCEPT_INFERIOR_RM_PROGRAM" = x"yes"; then
+    echo 'Configuration will proceed anyway, since you have set the' >&2
+    echo 'ACCEPT_INFERIOR_RM_PROGRAM variable to "yes"' >&2
+    echo >&2
+  else
+    cat >&2 <<'END'
+Aborting the configuration process, to ensure you take notice of the issue.
+
+You can download and install GNU coreutils to get an 'rm' implementation
+that behaves properly: <http://www.gnu.org/software/coreutils/>.
+
+If you want to complete the configuration process using your problematic
+'rm' anyway, export the environment variable ACCEPT_INFERIOR_RM_PROGRAM
+to "yes", and re-run configure.
+
+END
+    AC_MSG_ERROR([Your 'rm' program is bad, sorry.])
+  fi
+fi])
+
+dnl Hook into '_AC_COMPILER_EXEEXT' early to learn its expansion.  Do not
+dnl add the conditional right here, as _AC_COMPILER_EXEEXT may be further
+dnl mangled by Autoconf and run in a shell conditional statement.
+m4_define([_AC_COMPILER_EXEEXT],
+m4_defn([_AC_COMPILER_EXEEXT])[m4_provide([_AM_COMPILER_EXEEXT])])
+
+# When config.status generates a header, we must update the stamp-h file.
+# This file resides in the same directory as the config header
+# that is generated.  The stamp files are numbered to have different names.
+
+# Autoconf calls _AC_AM_CONFIG_HEADER_HOOK (when defined) in the
+# loop where config.status creates the headers, so we can generate
+# our stamp files there.
+AC_DEFUN([_AC_AM_CONFIG_HEADER_HOOK],
+[# Compute $1's index in $config_headers.
+_am_arg=$1
+_am_stamp_count=1
+for _am_header in $config_headers :; do
+  case $_am_header in
+    $_am_arg | $_am_arg:* )
+      break ;;
+    * )
+      _am_stamp_count=`expr $_am_stamp_count + 1` ;;
+  esac
+done
+echo "timestamp for $_am_arg" >`AS_DIRNAME(["$_am_arg"])`/stamp-h[]$_am_stamp_count])
+
+# Copyright (C) 2001-2013 Free Software Foundation, Inc.
+#
+# This file is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# AM_PROG_INSTALL_SH
+# ------------------
+# Define $install_sh.
+AC_DEFUN([AM_PROG_INSTALL_SH],
+[AC_REQUIRE([AM_AUX_DIR_EXPAND])dnl
+if test x"${install_sh}" != xset; then
+  case $am_aux_dir in
+  *\ * | *\	*)
+    install_sh="\${SHELL} '$am_aux_dir/install-sh'" ;;
+  *)
+    install_sh="\${SHELL} $am_aux_dir/install-sh"
+  esac
+fi
+AC_SUBST([install_sh])])
+
+# Copyright (C) 2003-2013 Free Software Foundation, Inc.
+#
+# This file is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# Check whether the underlying file-system supports filenames
+# with a leading dot.  For instance MS-DOS doesn't.
+AC_DEFUN([AM_SET_LEADING_DOT],
+[rm -rf .tst 2>/dev/null
+mkdir .tst 2>/dev/null
+if test -d .tst; then
+  am__leading_dot=.
+else
+  am__leading_dot=_
+fi
+rmdir .tst 2>/dev/null
+AC_SUBST([am__leading_dot])])
+
+# Check to see how 'make' treats includes.	            -*- Autoconf -*-
+
+# Copyright (C) 2001-2013 Free Software Foundation, Inc.
+#
+# This file is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# AM_MAKE_INCLUDE()
+# -----------------
+# Check to see how make treats includes.
+AC_DEFUN([AM_MAKE_INCLUDE],
+[am_make=${MAKE-make}
+cat > confinc << 'END'
+am__doit:
+	@echo this is the am__doit target
+.PHONY: am__doit
+END
+# If we don't find an include directive, just comment out the code.
+AC_MSG_CHECKING([for style of include used by $am_make])
+am__include="#"
+am__quote=
+_am_result=none
+# First try GNU make style include.
+echo "include confinc" > confmf
+# Ignore all kinds of additional output from 'make'.
+case `$am_make -s -f confmf 2> /dev/null` in #(
+*the\ am__doit\ target*)
+  am__include=include
+  am__quote=
+  _am_result=GNU
+  ;;
+esac
+# Now try BSD make style include.
+if test "$am__include" = "#"; then
+   echo '.include "confinc"' > confmf
+   case `$am_make -s -f confmf 2> /dev/null` in #(
+   *the\ am__doit\ target*)
+     am__include=.include
+     am__quote="\""
+     _am_result=BSD
+     ;;
+   esac
+fi
+AC_SUBST([am__include])
+AC_SUBST([am__quote])
+AC_MSG_RESULT([$_am_result])
+rm -f confinc confmf
+])
+
+# Fake the existence of programs that GNU maintainers use.  -*- Autoconf -*-
+
+# Copyright (C) 1997-2013 Free Software Foundation, Inc.
+#
+# This file is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# AM_MISSING_PROG(NAME, PROGRAM)
+# ------------------------------
+AC_DEFUN([AM_MISSING_PROG],
+[AC_REQUIRE([AM_MISSING_HAS_RUN])
+$1=${$1-"${am_missing_run}$2"}
+AC_SUBST($1)])
+
+# AM_MISSING_HAS_RUN
+# ------------------
+# Define MISSING if not defined so far and test if it is modern enough.
+# If it is, set am_missing_run to use it, otherwise, to nothing.
+AC_DEFUN([AM_MISSING_HAS_RUN],
+[AC_REQUIRE([AM_AUX_DIR_EXPAND])dnl
+AC_REQUIRE_AUX_FILE([missing])dnl
+if test x"${MISSING+set}" != xset; then
+  case $am_aux_dir in
+  *\ * | *\	*)
+    MISSING="\${SHELL} \"$am_aux_dir/missing\"" ;;
+  *)
+    MISSING="\${SHELL} $am_aux_dir/missing" ;;
+  esac
+fi
+# Use eval to expand $SHELL
+if eval "$MISSING --is-lightweight"; then
+  am_missing_run="$MISSING "
+else
+  am_missing_run=
+  AC_MSG_WARN(['missing' script is too old or missing])
+fi
+])
+
+# Helper functions for option handling.                     -*- Autoconf -*-
+
+# Copyright (C) 2001-2013 Free Software Foundation, Inc.
+#
+# This file is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# _AM_MANGLE_OPTION(NAME)
+# -----------------------
+AC_DEFUN([_AM_MANGLE_OPTION],
+[[_AM_OPTION_]m4_bpatsubst($1, [[^a-zA-Z0-9_]], [_])])
+
+# _AM_SET_OPTION(NAME)
+# --------------------
+# Set option NAME.  Presently that only means defining a flag for this option.
+AC_DEFUN([_AM_SET_OPTION],
+[m4_define(_AM_MANGLE_OPTION([$1]), [1])])
+
+# _AM_SET_OPTIONS(OPTIONS)
+# ------------------------
+# OPTIONS is a space-separated list of Automake options.
+AC_DEFUN([_AM_SET_OPTIONS],
+[m4_foreach_w([_AM_Option], [$1], [_AM_SET_OPTION(_AM_Option)])])
+
+# _AM_IF_OPTION(OPTION, IF-SET, [IF-NOT-SET])
+# -------------------------------------------
+# Execute IF-SET if OPTION is set, IF-NOT-SET otherwise.
+AC_DEFUN([_AM_IF_OPTION],
+[m4_ifset(_AM_MANGLE_OPTION([$1]), [$2], [$3])])
+
+# Copyright (C) 1999-2013 Free Software Foundation, Inc.
+#
+# This file is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# _AM_PROG_CC_C_O
+# ---------------
+# Like AC_PROG_CC_C_O, but changed for automake.  We rewrite AC_PROG_CC
+# to automatically call this.
+AC_DEFUN([_AM_PROG_CC_C_O],
+[AC_REQUIRE([AM_AUX_DIR_EXPAND])dnl
+AC_REQUIRE_AUX_FILE([compile])dnl
+AC_LANG_PUSH([C])dnl
+AC_CACHE_CHECK(
+  [whether $CC understands -c and -o together],
+  [am_cv_prog_cc_c_o],
+  [AC_LANG_CONFTEST([AC_LANG_PROGRAM([])])
+  # Make sure it works both with $CC and with simple cc.
+  # Following AC_PROG_CC_C_O, we do the test twice because some
+  # compilers refuse to overwrite an existing .o file with -o,
+  # though they will create one.
+  am_cv_prog_cc_c_o=yes
+  for am_i in 1 2; do
+    if AM_RUN_LOG([$CC -c conftest.$ac_ext -o conftest2.$ac_objext]) \
+         && test -f conftest2.$ac_objext; then
+      : OK
+    else
+      am_cv_prog_cc_c_o=no
+      break
+    fi
+  done
+  rm -f core conftest*
+  unset am_i])
+if test "$am_cv_prog_cc_c_o" != yes; then
+   # Losing compiler, so override with the script.
+   # FIXME: It is wrong to rewrite CC.
+   # But if we don't then we get into trouble of one sort or another.
+   # A longer-term fix would be to have automake use am__CC in this case,
+   # and then we could set am__CC="\$(top_srcdir)/compile \$(CC)"
+   CC="$am_aux_dir/compile $CC"
+fi
+AC_LANG_POP([C])])
+
+# For backward compatibility.
+AC_DEFUN_ONCE([AM_PROG_CC_C_O], [AC_REQUIRE([AC_PROG_CC])])
+
+# Copyright (C) 2001-2013 Free Software Foundation, Inc.
+#
+# This file is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# AM_RUN_LOG(COMMAND)
+# -------------------
+# Run COMMAND, save the exit status in ac_status, and log it.
+# (This has been adapted from Autoconf's _AC_RUN_LOG macro.)
+AC_DEFUN([AM_RUN_LOG],
+[{ echo "$as_me:$LINENO: $1" >&AS_MESSAGE_LOG_FD
+   ($1) >&AS_MESSAGE_LOG_FD 2>&AS_MESSAGE_LOG_FD
+   ac_status=$?
+   echo "$as_me:$LINENO: \$? = $ac_status" >&AS_MESSAGE_LOG_FD
+   (exit $ac_status); }])
+
+# Check to make sure that the build environment is sane.    -*- Autoconf -*-
+
+# Copyright (C) 1996-2013 Free Software Foundation, Inc.
+#
+# This file is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# AM_SANITY_CHECK
+# ---------------
+AC_DEFUN([AM_SANITY_CHECK],
+[AC_MSG_CHECKING([whether build environment is sane])
+# Reject unsafe characters in $srcdir or the absolute working directory
+# name.  Accept space and tab only in the latter.
+am_lf='
+'
+case `pwd` in
+  *[[\\\"\#\$\&\'\`$am_lf]]*)
+    AC_MSG_ERROR([unsafe absolute working directory name]);;
+esac
+case $srcdir in
+  *[[\\\"\#\$\&\'\`$am_lf\ \	]]*)
+    AC_MSG_ERROR([unsafe srcdir value: '$srcdir']);;
+esac
+
+# Do 'set' in a subshell so we don't clobber the current shell's
+# arguments.  Must try -L first in case configure is actually a
+# symlink; some systems play weird games with the mod time of symlinks
+# (eg FreeBSD returns the mod time of the symlink's containing
+# directory).
+if (
+   am_has_slept=no
+   for am_try in 1 2; do
+     echo "timestamp, slept: $am_has_slept" > conftest.file
+     set X `ls -Lt "$srcdir/configure" conftest.file 2> /dev/null`
+     if test "$[*]" = "X"; then
+	# -L didn't work.
+	set X `ls -t "$srcdir/configure" conftest.file`
+     fi
+     if test "$[*]" != "X $srcdir/configure conftest.file" \
+	&& test "$[*]" != "X conftest.file $srcdir/configure"; then
+
+	# If neither matched, then we have a broken ls.  This can happen
+	# if, for instance, CONFIG_SHELL is bash and it inherits a
+	# broken ls alias from the environment.  This has actually
+	# happened.  Such a system could not be considered "sane".
+	AC_MSG_ERROR([ls -t appears to fail.  Make sure there is not a broken
+  alias in your environment])
+     fi
+     if test "$[2]" = conftest.file || test $am_try -eq 2; then
+       break
+     fi
+     # Just in case.
+     sleep 1
+     am_has_slept=yes
+   done
+   test "$[2]" = conftest.file
+   )
+then
+   # Ok.
+   :
+else
+   AC_MSG_ERROR([newly created file is older than distributed files!
+Check your system clock])
+fi
+AC_MSG_RESULT([yes])
+# If we didn't sleep, we still need to ensure time stamps of config.status and
+# generated files are strictly newer.
+am_sleep_pid=
+if grep 'slept: no' conftest.file >/dev/null 2>&1; then
+  ( sleep 1 ) &
+  am_sleep_pid=$!
+fi
+AC_CONFIG_COMMANDS_PRE(
+  [AC_MSG_CHECKING([that generated files are newer than configure])
+   if test -n "$am_sleep_pid"; then
+     # Hide warnings about reused PIDs.
+     wait $am_sleep_pid 2>/dev/null
+   fi
+   AC_MSG_RESULT([done])])
+rm -f conftest.file
+])
+
+# Copyright (C) 2009-2013 Free Software Foundation, Inc.
+#
+# This file is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# AM_SILENT_RULES([DEFAULT])
+# --------------------------
+# Enable less verbose build rules; with the default set to DEFAULT
+# ("yes" being less verbose, "no" or empty being verbose).
+AC_DEFUN([AM_SILENT_RULES],
+[AC_ARG_ENABLE([silent-rules], [dnl
+AS_HELP_STRING(
+  [--enable-silent-rules],
+  [less verbose build output (undo: "make V=1")])
+AS_HELP_STRING(
+  [--disable-silent-rules],
+  [verbose build output (undo: "make V=0")])dnl
+])
+case $enable_silent_rules in @%:@ (((
+  yes) AM_DEFAULT_VERBOSITY=0;;
+   no) AM_DEFAULT_VERBOSITY=1;;
+    *) AM_DEFAULT_VERBOSITY=m4_if([$1], [yes], [0], [1]);;
+esac
+dnl
+dnl A few 'make' implementations (e.g., NonStop OS and NextStep)
+dnl do not support nested variable expansions.
+dnl See automake bug#9928 and bug#10237.
+am_make=${MAKE-make}
+AC_CACHE_CHECK([whether $am_make supports nested variables],
+   [am_cv_make_support_nested_variables],
+   [if AS_ECHO([['TRUE=$(BAR$(V))
+BAR0=false
+BAR1=true
+V=1
+am__doit:
+	@$(TRUE)
+.PHONY: am__doit']]) | $am_make -f - >/dev/null 2>&1; then
+  am_cv_make_support_nested_variables=yes
+else
+  am_cv_make_support_nested_variables=no
+fi])
+if test $am_cv_make_support_nested_variables = yes; then
+  dnl Using '$V' instead of '$(V)' breaks IRIX make.
+  AM_V='$(V)'
+  AM_DEFAULT_V='$(AM_DEFAULT_VERBOSITY)'
+else
+  AM_V=$AM_DEFAULT_VERBOSITY
+  AM_DEFAULT_V=$AM_DEFAULT_VERBOSITY
+fi
+AC_SUBST([AM_V])dnl
+AM_SUBST_NOTMAKE([AM_V])dnl
+AC_SUBST([AM_DEFAULT_V])dnl
+AM_SUBST_NOTMAKE([AM_DEFAULT_V])dnl
+AC_SUBST([AM_DEFAULT_VERBOSITY])dnl
+AM_BACKSLASH='\'
+AC_SUBST([AM_BACKSLASH])dnl
+_AM_SUBST_NOTMAKE([AM_BACKSLASH])dnl
+])
+
+# Copyright (C) 2001-2013 Free Software Foundation, Inc.
+#
+# This file is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# AM_PROG_INSTALL_STRIP
+# ---------------------
+# One issue with vendor 'install' (even GNU) is that you can't
+# specify the program used to strip binaries.  This is especially
+# annoying in cross-compiling environments, where the build's strip
+# is unlikely to handle the host's binaries.
+# Fortunately install-sh will honor a STRIPPROG variable, so we
+# always use install-sh in "make install-strip", and initialize
+# STRIPPROG with the value of the STRIP variable (set by the user).
+AC_DEFUN([AM_PROG_INSTALL_STRIP],
+[AC_REQUIRE([AM_PROG_INSTALL_SH])dnl
+# Installed binaries are usually stripped using 'strip' when the user
+# run "make install-strip".  However 'strip' might not be the right
+# tool to use in cross-compilation environments, therefore Automake
+# will honor the 'STRIP' environment variable to overrule this program.
+dnl Don't test for $cross_compiling = yes, because it might be 'maybe'.
+if test "$cross_compiling" != no; then
+  AC_CHECK_TOOL([STRIP], [strip], :)
+fi
+INSTALL_STRIP_PROGRAM="\$(install_sh) -c -s"
+AC_SUBST([INSTALL_STRIP_PROGRAM])])
+
+# Copyright (C) 2006-2013 Free Software Foundation, Inc.
+#
+# This file is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# _AM_SUBST_NOTMAKE(VARIABLE)
+# ---------------------------
+# Prevent Automake from outputting VARIABLE = @VARIABLE@ in Makefile.in.
+# This macro is traced by Automake.
+AC_DEFUN([_AM_SUBST_NOTMAKE])
+
+# AM_SUBST_NOTMAKE(VARIABLE)
+# --------------------------
+# Public sister of _AM_SUBST_NOTMAKE.
+AC_DEFUN([AM_SUBST_NOTMAKE], [_AM_SUBST_NOTMAKE($@)])
+
+# Check how to create a tarball.                            -*- Autoconf -*-
+
+# Copyright (C) 2004-2013 Free Software Foundation, Inc.
+#
+# This file is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# _AM_PROG_TAR(FORMAT)
+# --------------------
+# Check how to create a tarball in format FORMAT.
+# FORMAT should be one of 'v7', 'ustar', or 'pax'.
+#
+# Substitute a variable $(am__tar) that is a command
+# writing to stdout a FORMAT-tarball containing the directory
+# $tardir.
+#     tardir=directory && $(am__tar) > result.tar
+#
+# Substitute a variable $(am__untar) that extract such
+# a tarball read from stdin.
+#     $(am__untar) < result.tar
+#
+AC_DEFUN([_AM_PROG_TAR],
+[# Always define AMTAR for backward compatibility.  Yes, it's still used
+# in the wild :-(  We should find a proper way to deprecate it ...
+AC_SUBST([AMTAR], ['$${TAR-tar}'])
+
+# We'll loop over all known methods to create a tar archive until one works.
+_am_tools='gnutar m4_if([$1], [ustar], [plaintar]) pax cpio none'
+
+m4_if([$1], [v7],
+  [am__tar='$${TAR-tar} chof - "$$tardir"' am__untar='$${TAR-tar} xf -'],
+
+  [m4_case([$1],
+    [ustar],
+     [# The POSIX 1988 'ustar' format is defined with fixed-size fields.
+      # There is notably a 21 bits limit for the UID and the GID.  In fact,
+      # the 'pax' utility can hang on bigger UID/GID (see automake bug#8343
+      # and bug#13588).
+      am_max_uid=2097151 # 2^21 - 1
+      am_max_gid=$am_max_uid
+      # The $UID and $GID variables are not portable, so we need to resort
+      # to the POSIX-mandated id(1) utility.  Errors in the 'id' calls
+      # below are definitely unexpected, so allow the users to see them
+      # (that is, avoid stderr redirection).
+      am_uid=`id -u || echo unknown`
+      am_gid=`id -g || echo unknown`
+      AC_MSG_CHECKING([whether UID '$am_uid' is supported by ustar format])
+      if test $am_uid -le $am_max_uid; then
+         AC_MSG_RESULT([yes])
+      else
+         AC_MSG_RESULT([no])
+         _am_tools=none
+      fi
+      AC_MSG_CHECKING([whether GID '$am_gid' is supported by ustar format])
+      if test $am_gid -le $am_max_gid; then
+         AC_MSG_RESULT([yes])
+      else
+        AC_MSG_RESULT([no])
+        _am_tools=none
+      fi],
+
+  [pax],
+    [],
+
+  [m4_fatal([Unknown tar format])])
+
+  AC_MSG_CHECKING([how to create a $1 tar archive])
+
+  # Go ahead even if we have the value already cached.  We do so because we
+  # need to set the values for the 'am__tar' and 'am__untar' variables.
+  _am_tools=${am_cv_prog_tar_$1-$_am_tools}
+
+  for _am_tool in $_am_tools; do
+    case $_am_tool in
+    gnutar)
+      for _am_tar in tar gnutar gtar; do
+        AM_RUN_LOG([$_am_tar --version]) && break
+      done
+      am__tar="$_am_tar --format=m4_if([$1], [pax], [posix], [$1]) -chf - "'"$$tardir"'
+      am__tar_="$_am_tar --format=m4_if([$1], [pax], [posix], [$1]) -chf - "'"$tardir"'
+      am__untar="$_am_tar -xf -"
+      ;;
+    plaintar)
+      # Must skip GNU tar: if it does not support --format= it doesn't create
+      # ustar tarball either.
+      (tar --version) >/dev/null 2>&1 && continue
+      am__tar='tar chf - "$$tardir"'
+      am__tar_='tar chf - "$tardir"'
+      am__untar='tar xf -'
+      ;;
+    pax)
+      am__tar='pax -L -x $1 -w "$$tardir"'
+      am__tar_='pax -L -x $1 -w "$tardir"'
+      am__untar='pax -r'
+      ;;
+    cpio)
+      am__tar='find "$$tardir" -print | cpio -o -H $1 -L'
+      am__tar_='find "$tardir" -print | cpio -o -H $1 -L'
+      am__untar='cpio -i -H $1 -d'
+      ;;
+    none)
+      am__tar=false
+      am__tar_=false
+      am__untar=false
+      ;;
+    esac
+
+    # If the value was cached, stop now.  We just wanted to have am__tar
+    # and am__untar set.
+    test -n "${am_cv_prog_tar_$1}" && break
+
+    # tar/untar a dummy directory, and stop if the command works.
+    rm -rf conftest.dir
+    mkdir conftest.dir
+    echo GrepMe > conftest.dir/file
+    AM_RUN_LOG([tardir=conftest.dir && eval $am__tar_ >conftest.tar])
+    rm -rf conftest.dir
+    if test -s conftest.tar; then
+      AM_RUN_LOG([$am__untar <conftest.tar])
+      AM_RUN_LOG([cat conftest.dir/file])
+      grep GrepMe conftest.dir/file >/dev/null 2>&1 && break
+    fi
+  done
+  rm -rf conftest.dir
+
+  AC_CACHE_VAL([am_cv_prog_tar_$1], [am_cv_prog_tar_$1=$_am_tool])
+  AC_MSG_RESULT([$am_cv_prog_tar_$1])])
+
+AC_SUBST([am__tar])
+AC_SUBST([am__untar])
+]) # _AM_PROG_TAR
+
+m4_include([m4/libtool.m4])
+m4_include([m4/ltoptions.m4])
+m4_include([m4/ltsugar.m4])
+m4_include([m4/ltversion.m4])
+m4_include([m4/lt~obsolete.m4])
diff --git a/clib/expeyes-clib/autogen.sh b/clib/expeyes-clib/autogen.sh
new file mode 100755
index 0000000..e5339e3
--- /dev/null
+++ b/clib/expeyes-clib/autogen.sh
@@ -0,0 +1,4 @@
+#!/bin/sh
+
+libtoolize
+autoreconf --install --force
diff --git a/clib/expeyes-clib/clean-all.sh b/clib/expeyes-clib/clean-all.sh
new file mode 100755
index 0000000..69eda35
--- /dev/null
+++ b/clib/expeyes-clib/clean-all.sh
@@ -0,0 +1,11 @@
+#!/bin/sh
+
+echo -n "Cleaning every autotool-generated stuff ..."
+[ -f Makefile ] && make distclean > /dev/null 2>&1
+rm -rf *~ Makefile.in aclocal.m4 configure install-sh m4 ltmain.sh \
+       missing autom4te.cache depcomp config.sub config.guess \
+       src/*~ src/Makefile.in
+echo " Done."
+echo ""
+echo "For autotool generation:"
+echo "invoke \"libtoolize; autoreconf --install\"."
diff --git a/clib/expeyes-clib/compile b/clib/expeyes-clib/compile
new file mode 100644
index 0000000..531136b
--- /dev/null
+++ b/clib/expeyes-clib/compile
@@ -0,0 +1,347 @@
+#! /bin/sh
+# Wrapper for compilers which do not understand '-c -o'.
+
+scriptversion=2012-10-14.11; # UTC
+
+# Copyright (C) 1999-2013 Free Software Foundation, Inc.
+# Written by Tom Tromey <tromey at cygnus.com>.
+#
+# This program is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 2, or (at your option)
+# any later version.
+#
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+#
+# You should have received a copy of the GNU General Public License
+# along with this program.  If not, see <http://www.gnu.org/licenses/>.
+
+# As a special exception to the GNU General Public License, if you
+# distribute this file as part of a program that contains a
+# configuration script generated by Autoconf, you may include it under
+# the same distribution terms that you use for the rest of that program.
+
+# This file is maintained in Automake, please report
+# bugs to <bug-automake at gnu.org> or send patches to
+# <automake-patches at gnu.org>.
+
+nl='
+'
+
+# We need space, tab and new line, in precisely that order.  Quoting is
+# there to prevent tools from complaining about whitespace usage.
+IFS=" ""	$nl"
+
+file_conv=
+
+# func_file_conv build_file lazy
+# Convert a $build file to $host form and store it in $file
+# Currently only supports Windows hosts. If the determined conversion
+# type is listed in (the comma separated) LAZY, no conversion will
+# take place.
+func_file_conv ()
+{
+  file=$1
+  case $file in
+    / | /[!/]*) # absolute file, and not a UNC file
+      if test -z "$file_conv"; then
+	# lazily determine how to convert abs files
+	case `uname -s` in
+	  MINGW*)
+	    file_conv=mingw
+	    ;;
+	  CYGWIN*)
+	    file_conv=cygwin
+	    ;;
+	  *)
+	    file_conv=wine
+	    ;;
+	esac
+      fi
+      case $file_conv/,$2, in
+	*,$file_conv,*)
+	  ;;
+	mingw/*)
+	  file=`cmd //C echo "$file " | sed -e 's/"\(.*\) " *$/\1/'`
+	  ;;
+	cygwin/*)
+	  file=`cygpath -m "$file" || echo "$file"`
+	  ;;
+	wine/*)
+	  file=`winepath -w "$file" || echo "$file"`
+	  ;;
+      esac
+      ;;
+  esac
+}
+
+# func_cl_dashL linkdir
+# Make cl look for libraries in LINKDIR
+func_cl_dashL ()
+{
+  func_file_conv "$1"
+  if test -z "$lib_path"; then
+    lib_path=$file
+  else
+    lib_path="$lib_path;$file"
+  fi
+  linker_opts="$linker_opts -LIBPATH:$file"
+}
+
+# func_cl_dashl library
+# Do a library search-path lookup for cl
+func_cl_dashl ()
+{
+  lib=$1
+  found=no
+  save_IFS=$IFS
+  IFS=';'
+  for dir in $lib_path $LIB
+  do
+    IFS=$save_IFS
+    if $shared && test -f "$dir/$lib.dll.lib"; then
+      found=yes
+      lib=$dir/$lib.dll.lib
+      break
+    fi
+    if test -f "$dir/$lib.lib"; then
+      found=yes
+      lib=$dir/$lib.lib
+      break
+    fi
+    if test -f "$dir/lib$lib.a"; then
+      found=yes
+      lib=$dir/lib$lib.a
+      break
+    fi
+  done
+  IFS=$save_IFS
+
+  if test "$found" != yes; then
+    lib=$lib.lib
+  fi
+}
+
+# func_cl_wrapper cl arg...
+# Adjust compile command to suit cl
+func_cl_wrapper ()
+{
+  # Assume a capable shell
+  lib_path=
+  shared=:
+  linker_opts=
+  for arg
+  do
+    if test -n "$eat"; then
+      eat=
+    else
+      case $1 in
+	-o)
+	  # configure might choose to run compile as 'compile cc -o foo foo.c'.
+	  eat=1
+	  case $2 in
+	    *.o | *.[oO][bB][jJ])
+	      func_file_conv "$2"
+	      set x "$@" -Fo"$file"
+	      shift
+	      ;;
+	    *)
+	      func_file_conv "$2"
+	      set x "$@" -Fe"$file"
+	      shift
+	      ;;
+	  esac
+	  ;;
+	-I)
+	  eat=1
+	  func_file_conv "$2" mingw
+	  set x "$@" -I"$file"
+	  shift
+	  ;;
+	-I*)
+	  func_file_conv "${1#-I}" mingw
+	  set x "$@" -I"$file"
+	  shift
+	  ;;
+	-l)
+	  eat=1
+	  func_cl_dashl "$2"
+	  set x "$@" "$lib"
+	  shift
+	  ;;
+	-l*)
+	  func_cl_dashl "${1#-l}"
+	  set x "$@" "$lib"
+	  shift
+	  ;;
+	-L)
+	  eat=1
+	  func_cl_dashL "$2"
+	  ;;
+	-L*)
+	  func_cl_dashL "${1#-L}"
+	  ;;
+	-static)
+	  shared=false
+	  ;;
+	-Wl,*)
+	  arg=${1#-Wl,}
+	  save_ifs="$IFS"; IFS=','
+	  for flag in $arg; do
+	    IFS="$save_ifs"
+	    linker_opts="$linker_opts $flag"
+	  done
+	  IFS="$save_ifs"
+	  ;;
+	-Xlinker)
+	  eat=1
+	  linker_opts="$linker_opts $2"
+	  ;;
+	-*)
+	  set x "$@" "$1"
+	  shift
+	  ;;
+	*.cc | *.CC | *.cxx | *.CXX | *.[cC]++)
+	  func_file_conv "$1"
+	  set x "$@" -Tp"$file"
+	  shift
+	  ;;
+	*.c | *.cpp | *.CPP | *.lib | *.LIB | *.Lib | *.OBJ | *.obj | *.[oO])
+	  func_file_conv "$1" mingw
+	  set x "$@" "$file"
+	  shift
+	  ;;
+	*)
+	  set x "$@" "$1"
+	  shift
+	  ;;
+      esac
+    fi
+    shift
+  done
+  if test -n "$linker_opts"; then
+    linker_opts="-link$linker_opts"
+  fi
+  exec "$@" $linker_opts
+  exit 1
+}
+
+eat=
+
+case $1 in
+  '')
+     echo "$0: No command.  Try '$0 --help' for more information." 1>&2
+     exit 1;
+     ;;
+  -h | --h*)
+    cat <<\EOF
+Usage: compile [--help] [--version] PROGRAM [ARGS]
+
+Wrapper for compilers which do not understand '-c -o'.
+Remove '-o dest.o' from ARGS, run PROGRAM with the remaining
+arguments, and rename the output as expected.
+
+If you are trying to build a whole package this is not the
+right script to run: please start by reading the file 'INSTALL'.
+
+Report bugs to <bug-automake at gnu.org>.
+EOF
+    exit $?
+    ;;
+  -v | --v*)
+    echo "compile $scriptversion"
+    exit $?
+    ;;
+  cl | *[/\\]cl | cl.exe | *[/\\]cl.exe )
+    func_cl_wrapper "$@"      # Doesn't return...
+    ;;
+esac
+
+ofile=
+cfile=
+
+for arg
+do
+  if test -n "$eat"; then
+    eat=
+  else
+    case $1 in
+      -o)
+	# configure might choose to run compile as 'compile cc -o foo foo.c'.
+	# So we strip '-o arg' only if arg is an object.
+	eat=1
+	case $2 in
+	  *.o | *.obj)
+	    ofile=$2
+	    ;;
+	  *)
+	    set x "$@" -o "$2"
+	    shift
+	    ;;
+	esac
+	;;
+      *.c)
+	cfile=$1
+	set x "$@" "$1"
+	shift
+	;;
+      *)
+	set x "$@" "$1"
+	shift
+	;;
+    esac
+  fi
+  shift
+done
+
+if test -z "$ofile" || test -z "$cfile"; then
+  # If no '-o' option was seen then we might have been invoked from a
+  # pattern rule where we don't need one.  That is ok -- this is a
+  # normal compilation that the losing compiler can handle.  If no
+  # '.c' file was seen then we are probably linking.  That is also
+  # ok.
+  exec "$@"
+fi
+
+# Name of file we expect compiler to create.
+cofile=`echo "$cfile" | sed 's|^.*[\\/]||; s|^[a-zA-Z]:||; s/\.c$/.o/'`
+
+# Create the lock directory.
+# Note: use '[/\\:.-]' here to ensure that we don't use the same name
+# that we are using for the .o file.  Also, base the name on the expected
+# object file name, since that is what matters with a parallel build.
+lockdir=`echo "$cofile" | sed -e 's|[/\\:.-]|_|g'`.d
+while true; do
+  if mkdir "$lockdir" >/dev/null 2>&1; then
+    break
+  fi
+  sleep 1
+done
+# FIXME: race condition here if user kills between mkdir and trap.
+trap "rmdir '$lockdir'; exit 1" 1 2 15
+
+# Run the compile.
+"$@"
+ret=$?
+
+if test -f "$cofile"; then
+  test "$cofile" = "$ofile" || mv "$cofile" "$ofile"
+elif test -f "${cofile}bj"; then
+  test "${cofile}bj" = "$ofile" || mv "${cofile}bj" "$ofile"
+fi
+
+rmdir "$lockdir"
+exit $ret
+
+# Local Variables:
+# mode: shell-script
+# sh-indentation: 2
+# eval: (add-hook 'write-file-hooks 'time-stamp)
+# time-stamp-start: "scriptversion="
+# time-stamp-format: "%:y-%02m-%02d.%02H"
+# time-stamp-time-zone: "UTC"
+# time-stamp-end: "; # UTC"
+# End:
diff --git a/clib/expeyes-clib/config.guess b/clib/expeyes-clib/config.guess
new file mode 100755
index 0000000..b79252d
--- /dev/null
+++ b/clib/expeyes-clib/config.guess
@@ -0,0 +1,1558 @@
+#! /bin/sh
+# Attempt to guess a canonical system name.
+#   Copyright 1992-2013 Free Software Foundation, Inc.
+
+timestamp='2013-06-10'
+
+# This file is free software; you can redistribute it and/or modify it
+# under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3 of the License, or
+# (at your option) any later version.
+#
+# This program is distributed in the hope that it will be useful, but
+# WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
+# General Public License for more details.
+#
+# You should have received a copy of the GNU General Public License
+# along with this program; if not, see <http://www.gnu.org/licenses/>.
+#
+# As a special exception to the GNU General Public License, if you
+# distribute this file as part of a program that contains a
+# configuration script generated by Autoconf, you may include it under
+# the same distribution terms that you use for the rest of that
+# program.  This Exception is an additional permission under section 7
+# of the GNU General Public License, version 3 ("GPLv3").
+#
+# Originally written by Per Bothner.
+#
+# You can get the latest version of this script from:
+# http://git.savannah.gnu.org/gitweb/?p=config.git;a=blob_plain;f=config.guess;hb=HEAD
+#
+# Please send patches with a ChangeLog entry to config-patches at gnu.org.
+
+
+me=`echo "$0" | sed -e 's,.*/,,'`
+
+usage="\
+Usage: $0 [OPTION]
+
+Output the configuration name of the system \`$me' is run on.
+
+Operation modes:
+  -h, --help         print this help, then exit
+  -t, --time-stamp   print date of last modification, then exit
+  -v, --version      print version number, then exit
+
+Report bugs and patches to <config-patches at gnu.org>."
+
+version="\
+GNU config.guess ($timestamp)
+
+Originally written by Per Bothner.
+Copyright 1992-2013 Free Software Foundation, Inc.
+
+This is free software; see the source for copying conditions.  There is NO
+warranty; not even for MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE."
+
+help="
+Try \`$me --help' for more information."
+
+# Parse command line
+while test $# -gt 0 ; do
+  case $1 in
+    --time-stamp | --time* | -t )
+       echo "$timestamp" ; exit ;;
+    --version | -v )
+       echo "$version" ; exit ;;
+    --help | --h* | -h )
+       echo "$usage"; exit ;;
+    -- )     # Stop option processing
+       shift; break ;;
+    - )	# Use stdin as input.
+       break ;;
+    -* )
+       echo "$me: invalid option $1$help" >&2
+       exit 1 ;;
+    * )
+       break ;;
+  esac
+done
+
+if test $# != 0; then
+  echo "$me: too many arguments$help" >&2
+  exit 1
+fi
+
+trap 'exit 1' 1 2 15
+
+# CC_FOR_BUILD -- compiler used by this script. Note that the use of a
+# compiler to aid in system detection is discouraged as it requires
+# temporary files to be created and, as you can see below, it is a
+# headache to deal with in a portable fashion.
+
+# Historically, `CC_FOR_BUILD' used to be named `HOST_CC'. We still
+# use `HOST_CC' if defined, but it is deprecated.
+
+# Portable tmp directory creation inspired by the Autoconf team.
+
+set_cc_for_build='
+trap "exitcode=\$?; (rm -f \$tmpfiles 2>/dev/null; rmdir \$tmp 2>/dev/null) && exit \$exitcode" 0 ;
+trap "rm -f \$tmpfiles 2>/dev/null; rmdir \$tmp 2>/dev/null; exit 1" 1 2 13 15 ;
+: ${TMPDIR=/tmp} ;
+ { tmp=`(umask 077 && mktemp -d "$TMPDIR/cgXXXXXX") 2>/dev/null` && test -n "$tmp" && test -d "$tmp" ; } ||
+ { test -n "$RANDOM" && tmp=$TMPDIR/cg$$-$RANDOM && (umask 077 && mkdir $tmp) ; } ||
+ { tmp=$TMPDIR/cg-$$ && (umask 077 && mkdir $tmp) && echo "Warning: creating insecure temp directory" >&2 ; } ||
+ { echo "$me: cannot create a temporary directory in $TMPDIR" >&2 ; exit 1 ; } ;
+dummy=$tmp/dummy ;
+tmpfiles="$dummy.c $dummy.o $dummy.rel $dummy" ;
+case $CC_FOR_BUILD,$HOST_CC,$CC in
+ ,,)    echo "int x;" > $dummy.c ;
+	for c in cc gcc c89 c99 ; do
+	  if ($c -c -o $dummy.o $dummy.c) >/dev/null 2>&1 ; then
+	     CC_FOR_BUILD="$c"; break ;
+	  fi ;
+	done ;
+	if test x"$CC_FOR_BUILD" = x ; then
+	  CC_FOR_BUILD=no_compiler_found ;
+	fi
+	;;
+ ,,*)   CC_FOR_BUILD=$CC ;;
+ ,*,*)  CC_FOR_BUILD=$HOST_CC ;;
+esac ; set_cc_for_build= ;'
+
+# This is needed to find uname on a Pyramid OSx when run in the BSD universe.
+# (ghazi at noc.rutgers.edu 1994-08-24)
+if (test -f /.attbin/uname) >/dev/null 2>&1 ; then
+	PATH=$PATH:/.attbin ; export PATH
+fi
+
+UNAME_MACHINE=`(uname -m) 2>/dev/null` || UNAME_MACHINE=unknown
+UNAME_RELEASE=`(uname -r) 2>/dev/null` || UNAME_RELEASE=unknown
+UNAME_SYSTEM=`(uname -s) 2>/dev/null`  || UNAME_SYSTEM=unknown
+UNAME_VERSION=`(uname -v) 2>/dev/null` || UNAME_VERSION=unknown
+
+case "${UNAME_SYSTEM}" in
+Linux|GNU|GNU/*)
+	# If the system lacks a compiler, then just pick glibc.
+	# We could probably try harder.
+	LIBC=gnu
+
+	eval $set_cc_for_build
+	cat <<-EOF > $dummy.c
+	#include <features.h>
+	#if defined(__UCLIBC__)
+	LIBC=uclibc
+	#elif defined(__dietlibc__)
+	LIBC=dietlibc
+	#else
+	LIBC=gnu
+	#endif
+	EOF
+	eval `$CC_FOR_BUILD -E $dummy.c 2>/dev/null | grep '^LIBC'`
+	;;
+esac
+
+# Note: order is significant - the case branches are not exclusive.
+
+case "${UNAME_MACHINE}:${UNAME_SYSTEM}:${UNAME_RELEASE}:${UNAME_VERSION}" in
+    *:NetBSD:*:*)
+	# NetBSD (nbsd) targets should (where applicable) match one or
+	# more of the tuples: *-*-netbsdelf*, *-*-netbsdaout*,
+	# *-*-netbsdecoff* and *-*-netbsd*.  For targets that recently
+	# switched to ELF, *-*-netbsd* would select the old
+	# object file format.  This provides both forward
+	# compatibility and a consistent mechanism for selecting the
+	# object file format.
+	#
+	# Note: NetBSD doesn't particularly care about the vendor
+	# portion of the name.  We always set it to "unknown".
+	sysctl="sysctl -n hw.machine_arch"
+	UNAME_MACHINE_ARCH=`(/sbin/$sysctl 2>/dev/null || \
+	    /usr/sbin/$sysctl 2>/dev/null || echo unknown)`
+	case "${UNAME_MACHINE_ARCH}" in
+	    armeb) machine=armeb-unknown ;;
+	    arm*) machine=arm-unknown ;;
+	    sh3el) machine=shl-unknown ;;
+	    sh3eb) machine=sh-unknown ;;
+	    sh5el) machine=sh5le-unknown ;;
+	    *) machine=${UNAME_MACHINE_ARCH}-unknown ;;
+	esac
+	# The Operating System including object format, if it has switched
+	# to ELF recently, or will in the future.
+	case "${UNAME_MACHINE_ARCH}" in
+	    arm*|i386|m68k|ns32k|sh3*|sparc|vax)
+		eval $set_cc_for_build
+		if echo __ELF__ | $CC_FOR_BUILD -E - 2>/dev/null \
+			| grep -q __ELF__
+		then
+		    # Once all utilities can be ECOFF (netbsdecoff) or a.out (netbsdaout).
+		    # Return netbsd for either.  FIX?
+		    os=netbsd
+		else
+		    os=netbsdelf
+		fi
+		;;
+	    *)
+		os=netbsd
+		;;
+	esac
+	# The OS release
+	# Debian GNU/NetBSD machines have a different userland, and
+	# thus, need a distinct triplet. However, they do not need
+	# kernel version information, so it can be replaced with a
+	# suitable tag, in the style of linux-gnu.
+	case "${UNAME_VERSION}" in
+	    Debian*)
+		release='-gnu'
+		;;
+	    *)
+		release=`echo ${UNAME_RELEASE}|sed -e 's/[-_].*/\./'`
+		;;
+	esac
+	# Since CPU_TYPE-MANUFACTURER-KERNEL-OPERATING_SYSTEM:
+	# contains redundant information, the shorter form:
+	# CPU_TYPE-MANUFACTURER-OPERATING_SYSTEM is used.
+	echo "${machine}-${os}${release}"
+	exit ;;
+    *:Bitrig:*:*)
+	UNAME_MACHINE_ARCH=`arch | sed 's/Bitrig.//'`
+	echo ${UNAME_MACHINE_ARCH}-unknown-bitrig${UNAME_RELEASE}
+	exit ;;
+    *:OpenBSD:*:*)
+	UNAME_MACHINE_ARCH=`arch | sed 's/OpenBSD.//'`
+	echo ${UNAME_MACHINE_ARCH}-unknown-openbsd${UNAME_RELEASE}
+	exit ;;
+    *:ekkoBSD:*:*)
+	echo ${UNAME_MACHINE}-unknown-ekkobsd${UNAME_RELEASE}
+	exit ;;
+    *:SolidBSD:*:*)
+	echo ${UNAME_MACHINE}-unknown-solidbsd${UNAME_RELEASE}
+	exit ;;
+    macppc:MirBSD:*:*)
+	echo powerpc-unknown-mirbsd${UNAME_RELEASE}
+	exit ;;
+    *:MirBSD:*:*)
+	echo ${UNAME_MACHINE}-unknown-mirbsd${UNAME_RELEASE}
+	exit ;;
+    alpha:OSF1:*:*)
+	case $UNAME_RELEASE in
+	*4.0)
+		UNAME_RELEASE=`/usr/sbin/sizer -v | awk '{print $3}'`
+		;;
+	*5.*)
+		UNAME_RELEASE=`/usr/sbin/sizer -v | awk '{print $4}'`
+		;;
+	esac
+	# According to Compaq, /usr/sbin/psrinfo has been available on
+	# OSF/1 and Tru64 systems produced since 1995.  I hope that
+	# covers most systems running today.  This code pipes the CPU
+	# types through head -n 1, so we only detect the type of CPU 0.
+	ALPHA_CPU_TYPE=`/usr/sbin/psrinfo -v | sed -n -e 's/^  The alpha \(.*\) processor.*$/\1/p' | head -n 1`
+	case "$ALPHA_CPU_TYPE" in
+	    "EV4 (21064)")
+		UNAME_MACHINE="alpha" ;;
+	    "EV4.5 (21064)")
+		UNAME_MACHINE="alpha" ;;
+	    "LCA4 (21066/21068)")
+		UNAME_MACHINE="alpha" ;;
+	    "EV5 (21164)")
+		UNAME_MACHINE="alphaev5" ;;
+	    "EV5.6 (21164A)")
+		UNAME_MACHINE="alphaev56" ;;
+	    "EV5.6 (21164PC)")
+		UNAME_MACHINE="alphapca56" ;;
+	    "EV5.7 (21164PC)")
+		UNAME_MACHINE="alphapca57" ;;
+	    "EV6 (21264)")
+		UNAME_MACHINE="alphaev6" ;;
+	    "EV6.7 (21264A)")
+		UNAME_MACHINE="alphaev67" ;;
+	    "EV6.8CB (21264C)")
+		UNAME_MACHINE="alphaev68" ;;
+	    "EV6.8AL (21264B)")
+		UNAME_MACHINE="alphaev68" ;;
+	    "EV6.8CX (21264D)")
+		UNAME_MACHINE="alphaev68" ;;
+	    "EV6.9A (21264/EV69A)")
+		UNAME_MACHINE="alphaev69" ;;
+	    "EV7 (21364)")
+		UNAME_MACHINE="alphaev7" ;;
+	    "EV7.9 (21364A)")
+		UNAME_MACHINE="alphaev79" ;;
+	esac
+	# A Pn.n version is a patched version.
+	# A Vn.n version is a released version.
+	# A Tn.n version is a released field test version.
+	# A Xn.n version is an unreleased experimental baselevel.
+	# 1.2 uses "1.2" for uname -r.
+	echo ${UNAME_MACHINE}-dec-osf`echo ${UNAME_RELEASE} | sed -e 's/^[PVTX]//' | tr 'ABCDEFGHIJKLMNOPQRSTUVWXYZ' 'abcdefghijklmnopqrstuvwxyz'`
+	# Reset EXIT trap before exiting to avoid spurious non-zero exit code.
+	exitcode=$?
+	trap '' 0
+	exit $exitcode ;;
+    Alpha\ *:Windows_NT*:*)
+	# How do we know it's Interix rather than the generic POSIX subsystem?
+	# Should we change UNAME_MACHINE based on the output of uname instead
+	# of the specific Alpha model?
+	echo alpha-pc-interix
+	exit ;;
+    21064:Windows_NT:50:3)
+	echo alpha-dec-winnt3.5
+	exit ;;
+    Amiga*:UNIX_System_V:4.0:*)
+	echo m68k-unknown-sysv4
+	exit ;;
+    *:[Aa]miga[Oo][Ss]:*:*)
+	echo ${UNAME_MACHINE}-unknown-amigaos
+	exit ;;
+    *:[Mm]orph[Oo][Ss]:*:*)
+	echo ${UNAME_MACHINE}-unknown-morphos
+	exit ;;
+    *:OS/390:*:*)
+	echo i370-ibm-openedition
+	exit ;;
+    *:z/VM:*:*)
+	echo s390-ibm-zvmoe
+	exit ;;
+    *:OS400:*:*)
+	echo powerpc-ibm-os400
+	exit ;;
+    arm:RISC*:1.[012]*:*|arm:riscix:1.[012]*:*)
+	echo arm-acorn-riscix${UNAME_RELEASE}
+	exit ;;
+    arm*:riscos:*:*|arm*:RISCOS:*:*)
+	echo arm-unknown-riscos
+	exit ;;
+    SR2?01:HI-UX/MPP:*:* | SR8000:HI-UX/MPP:*:*)
+	echo hppa1.1-hitachi-hiuxmpp
+	exit ;;
+    Pyramid*:OSx*:*:* | MIS*:OSx*:*:* | MIS*:SMP_DC-OSx*:*:*)
+	# akee at wpdis03.wpafb.af.mil (Earle F. Ake) contributed MIS and NILE.
+	if test "`(/bin/universe) 2>/dev/null`" = att ; then
+		echo pyramid-pyramid-sysv3
+	else
+		echo pyramid-pyramid-bsd
+	fi
+	exit ;;
+    NILE*:*:*:dcosx)
+	echo pyramid-pyramid-svr4
+	exit ;;
+    DRS?6000:unix:4.0:6*)
+	echo sparc-icl-nx6
+	exit ;;
+    DRS?6000:UNIX_SV:4.2*:7* | DRS?6000:isis:4.2*:7*)
+	case `/usr/bin/uname -p` in
+	    sparc) echo sparc-icl-nx7; exit ;;
+	esac ;;
+    s390x:SunOS:*:*)
+	echo ${UNAME_MACHINE}-ibm-solaris2`echo ${UNAME_RELEASE}|sed -e 's/[^.]*//'`
+	exit ;;
+    sun4H:SunOS:5.*:*)
+	echo sparc-hal-solaris2`echo ${UNAME_RELEASE}|sed -e 's/[^.]*//'`
+	exit ;;
+    sun4*:SunOS:5.*:* | tadpole*:SunOS:5.*:*)
+	echo sparc-sun-solaris2`echo ${UNAME_RELEASE}|sed -e 's/[^.]*//'`
+	exit ;;
+    i86pc:AuroraUX:5.*:* | i86xen:AuroraUX:5.*:*)
+	echo i386-pc-auroraux${UNAME_RELEASE}
+	exit ;;
+    i86pc:SunOS:5.*:* | i86xen:SunOS:5.*:*)
+	eval $set_cc_for_build
+	SUN_ARCH="i386"
+	# If there is a compiler, see if it is configured for 64-bit objects.
+	# Note that the Sun cc does not turn __LP64__ into 1 like gcc does.
+	# This test works for both compilers.
+	if [ "$CC_FOR_BUILD" != 'no_compiler_found' ]; then
+	    if (echo '#ifdef __amd64'; echo IS_64BIT_ARCH; echo '#endif') | \
+		(CCOPTS= $CC_FOR_BUILD -E - 2>/dev/null) | \
+		grep IS_64BIT_ARCH >/dev/null
+	    then
+		SUN_ARCH="x86_64"
+	    fi
+	fi
+	echo ${SUN_ARCH}-pc-solaris2`echo ${UNAME_RELEASE}|sed -e 's/[^.]*//'`
+	exit ;;
+    sun4*:SunOS:6*:*)
+	# According to config.sub, this is the proper way to canonicalize
+	# SunOS6.  Hard to guess exactly what SunOS6 will be like, but
+	# it's likely to be more like Solaris than SunOS4.
+	echo sparc-sun-solaris3`echo ${UNAME_RELEASE}|sed -e 's/[^.]*//'`
+	exit ;;
+    sun4*:SunOS:*:*)
+	case "`/usr/bin/arch -k`" in
+	    Series*|S4*)
+		UNAME_RELEASE=`uname -v`
+		;;
+	esac
+	# Japanese Language versions have a version number like `4.1.3-JL'.
+	echo sparc-sun-sunos`echo ${UNAME_RELEASE}|sed -e 's/-/_/'`
+	exit ;;
+    sun3*:SunOS:*:*)
+	echo m68k-sun-sunos${UNAME_RELEASE}
+	exit ;;
+    sun*:*:4.2BSD:*)
+	UNAME_RELEASE=`(sed 1q /etc/motd | awk '{print substr($5,1,3)}') 2>/dev/null`
+	test "x${UNAME_RELEASE}" = "x" && UNAME_RELEASE=3
+	case "`/bin/arch`" in
+	    sun3)
+		echo m68k-sun-sunos${UNAME_RELEASE}
+		;;
+	    sun4)
+		echo sparc-sun-sunos${UNAME_RELEASE}
+		;;
+	esac
+	exit ;;
+    aushp:SunOS:*:*)
+	echo sparc-auspex-sunos${UNAME_RELEASE}
+	exit ;;
+    # The situation for MiNT is a little confusing.  The machine name
+    # can be virtually everything (everything which is not
+    # "atarist" or "atariste" at least should have a processor
+    # > m68000).  The system name ranges from "MiNT" over "FreeMiNT"
+    # to the lowercase version "mint" (or "freemint").  Finally
+    # the system name "TOS" denotes a system which is actually not
+    # MiNT.  But MiNT is downward compatible to TOS, so this should
+    # be no problem.
+    atarist[e]:*MiNT:*:* | atarist[e]:*mint:*:* | atarist[e]:*TOS:*:*)
+	echo m68k-atari-mint${UNAME_RELEASE}
+	exit ;;
+    atari*:*MiNT:*:* | atari*:*mint:*:* | atarist[e]:*TOS:*:*)
+	echo m68k-atari-mint${UNAME_RELEASE}
+	exit ;;
+    *falcon*:*MiNT:*:* | *falcon*:*mint:*:* | *falcon*:*TOS:*:*)
+	echo m68k-atari-mint${UNAME_RELEASE}
+	exit ;;
+    milan*:*MiNT:*:* | milan*:*mint:*:* | *milan*:*TOS:*:*)
+	echo m68k-milan-mint${UNAME_RELEASE}
+	exit ;;
+    hades*:*MiNT:*:* | hades*:*mint:*:* | *hades*:*TOS:*:*)
+	echo m68k-hades-mint${UNAME_RELEASE}
+	exit ;;
+    *:*MiNT:*:* | *:*mint:*:* | *:*TOS:*:*)
+	echo m68k-unknown-mint${UNAME_RELEASE}
+	exit ;;
+    m68k:machten:*:*)
+	echo m68k-apple-machten${UNAME_RELEASE}
+	exit ;;
+    powerpc:machten:*:*)
+	echo powerpc-apple-machten${UNAME_RELEASE}
+	exit ;;
+    RISC*:Mach:*:*)
+	echo mips-dec-mach_bsd4.3
+	exit ;;
+    RISC*:ULTRIX:*:*)
+	echo mips-dec-ultrix${UNAME_RELEASE}
+	exit ;;
+    VAX*:ULTRIX*:*:*)
+	echo vax-dec-ultrix${UNAME_RELEASE}
+	exit ;;
+    2020:CLIX:*:* | 2430:CLIX:*:*)
+	echo clipper-intergraph-clix${UNAME_RELEASE}
+	exit ;;
+    mips:*:*:UMIPS | mips:*:*:RISCos)
+	eval $set_cc_for_build
+	sed 's/^	//' << EOF >$dummy.c
+#ifdef __cplusplus
+#include <stdio.h>  /* for printf() prototype */
+	int main (int argc, char *argv[]) {
+#else
+	int main (argc, argv) int argc; char *argv[]; {
+#endif
+	#if defined (host_mips) && defined (MIPSEB)
+	#if defined (SYSTYPE_SYSV)
+	  printf ("mips-mips-riscos%ssysv\n", argv[1]); exit (0);
+	#endif
+	#if defined (SYSTYPE_SVR4)
+	  printf ("mips-mips-riscos%ssvr4\n", argv[1]); exit (0);
+	#endif
+	#if defined (SYSTYPE_BSD43) || defined(SYSTYPE_BSD)
+	  printf ("mips-mips-riscos%sbsd\n", argv[1]); exit (0);
+	#endif
+	#endif
+	  exit (-1);
+	}
+EOF
+	$CC_FOR_BUILD -o $dummy $dummy.c &&
+	  dummyarg=`echo "${UNAME_RELEASE}" | sed -n 's/\([0-9]*\).*/\1/p'` &&
+	  SYSTEM_NAME=`$dummy $dummyarg` &&
+	    { echo "$SYSTEM_NAME"; exit; }
+	echo mips-mips-riscos${UNAME_RELEASE}
+	exit ;;
+    Motorola:PowerMAX_OS:*:*)
+	echo powerpc-motorola-powermax
+	exit ;;
+    Motorola:*:4.3:PL8-*)
+	echo powerpc-harris-powermax
+	exit ;;
+    Night_Hawk:*:*:PowerMAX_OS | Synergy:PowerMAX_OS:*:*)
+	echo powerpc-harris-powermax
+	exit ;;
+    Night_Hawk:Power_UNIX:*:*)
+	echo powerpc-harris-powerunix
+	exit ;;
+    m88k:CX/UX:7*:*)
+	echo m88k-harris-cxux7
+	exit ;;
+    m88k:*:4*:R4*)
+	echo m88k-motorola-sysv4
+	exit ;;
+    m88k:*:3*:R3*)
+	echo m88k-motorola-sysv3
+	exit ;;
+    AViiON:dgux:*:*)
+	# DG/UX returns AViiON for all architectures
+	UNAME_PROCESSOR=`/usr/bin/uname -p`
+	if [ $UNAME_PROCESSOR = mc88100 ] || [ $UNAME_PROCESSOR = mc88110 ]
+	then
+	    if [ ${TARGET_BINARY_INTERFACE}x = m88kdguxelfx ] || \
+	       [ ${TARGET_BINARY_INTERFACE}x = x ]
+	    then
+		echo m88k-dg-dgux${UNAME_RELEASE}
+	    else
+		echo m88k-dg-dguxbcs${UNAME_RELEASE}
+	    fi
+	else
+	    echo i586-dg-dgux${UNAME_RELEASE}
+	fi
+	exit ;;
+    M88*:DolphinOS:*:*)	# DolphinOS (SVR3)
+	echo m88k-dolphin-sysv3
+	exit ;;
+    M88*:*:R3*:*)
+	# Delta 88k system running SVR3
+	echo m88k-motorola-sysv3
+	exit ;;
+    XD88*:*:*:*) # Tektronix XD88 system running UTekV (SVR3)
+	echo m88k-tektronix-sysv3
+	exit ;;
+    Tek43[0-9][0-9]:UTek:*:*) # Tektronix 4300 system running UTek (BSD)
+	echo m68k-tektronix-bsd
+	exit ;;
+    *:IRIX*:*:*)
+	echo mips-sgi-irix`echo ${UNAME_RELEASE}|sed -e 's/-/_/g'`
+	exit ;;
+    ????????:AIX?:[12].1:2)   # AIX 2.2.1 or AIX 2.1.1 is RT/PC AIX.
+	echo romp-ibm-aix     # uname -m gives an 8 hex-code CPU id
+	exit ;;               # Note that: echo "'`uname -s`'" gives 'AIX '
+    i*86:AIX:*:*)
+	echo i386-ibm-aix
+	exit ;;
+    ia64:AIX:*:*)
+	if [ -x /usr/bin/oslevel ] ; then
+		IBM_REV=`/usr/bin/oslevel`
+	else
+		IBM_REV=${UNAME_VERSION}.${UNAME_RELEASE}
+	fi
+	echo ${UNAME_MACHINE}-ibm-aix${IBM_REV}
+	exit ;;
+    *:AIX:2:3)
+	if grep bos325 /usr/include/stdio.h >/dev/null 2>&1; then
+		eval $set_cc_for_build
+		sed 's/^		//' << EOF >$dummy.c
+		#include <sys/systemcfg.h>
+
+		main()
+			{
+			if (!__power_pc())
+				exit(1);
+			puts("powerpc-ibm-aix3.2.5");
+			exit(0);
+			}
+EOF
+		if $CC_FOR_BUILD -o $dummy $dummy.c && SYSTEM_NAME=`$dummy`
+		then
+			echo "$SYSTEM_NAME"
+		else
+			echo rs6000-ibm-aix3.2.5
+		fi
+	elif grep bos324 /usr/include/stdio.h >/dev/null 2>&1; then
+		echo rs6000-ibm-aix3.2.4
+	else
+		echo rs6000-ibm-aix3.2
+	fi
+	exit ;;
+    *:AIX:*:[4567])
+	IBM_CPU_ID=`/usr/sbin/lsdev -C -c processor -S available | sed 1q | awk '{ print $1 }'`
+	if /usr/sbin/lsattr -El ${IBM_CPU_ID} | grep ' POWER' >/dev/null 2>&1; then
+		IBM_ARCH=rs6000
+	else
+		IBM_ARCH=powerpc
+	fi
+	if [ -x /usr/bin/oslevel ] ; then
+		IBM_REV=`/usr/bin/oslevel`
+	else
+		IBM_REV=${UNAME_VERSION}.${UNAME_RELEASE}
+	fi
+	echo ${IBM_ARCH}-ibm-aix${IBM_REV}
+	exit ;;
+    *:AIX:*:*)
+	echo rs6000-ibm-aix
+	exit ;;
+    ibmrt:4.4BSD:*|romp-ibm:BSD:*)
+	echo romp-ibm-bsd4.4
+	exit ;;
+    ibmrt:*BSD:*|romp-ibm:BSD:*)            # covers RT/PC BSD and
+	echo romp-ibm-bsd${UNAME_RELEASE}   # 4.3 with uname added to
+	exit ;;                             # report: romp-ibm BSD 4.3
+    *:BOSX:*:*)
+	echo rs6000-bull-bosx
+	exit ;;
+    DPX/2?00:B.O.S.:*:*)
+	echo m68k-bull-sysv3
+	exit ;;
+    9000/[34]??:4.3bsd:1.*:*)
+	echo m68k-hp-bsd
+	exit ;;
+    hp300:4.4BSD:*:* | 9000/[34]??:4.3bsd:2.*:*)
+	echo m68k-hp-bsd4.4
+	exit ;;
+    9000/[34678]??:HP-UX:*:*)
+	HPUX_REV=`echo ${UNAME_RELEASE}|sed -e 's/[^.]*.[0B]*//'`
+	case "${UNAME_MACHINE}" in
+	    9000/31? )            HP_ARCH=m68000 ;;
+	    9000/[34]?? )         HP_ARCH=m68k ;;
+	    9000/[678][0-9][0-9])
+		if [ -x /usr/bin/getconf ]; then
+		    sc_cpu_version=`/usr/bin/getconf SC_CPU_VERSION 2>/dev/null`
+		    sc_kernel_bits=`/usr/bin/getconf SC_KERNEL_BITS 2>/dev/null`
+		    case "${sc_cpu_version}" in
+		      523) HP_ARCH="hppa1.0" ;; # CPU_PA_RISC1_0
+		      528) HP_ARCH="hppa1.1" ;; # CPU_PA_RISC1_1
+		      532)                      # CPU_PA_RISC2_0
+			case "${sc_kernel_bits}" in
+			  32) HP_ARCH="hppa2.0n" ;;
+			  64) HP_ARCH="hppa2.0w" ;;
+			  '') HP_ARCH="hppa2.0" ;;   # HP-UX 10.20
+			esac ;;
+		    esac
+		fi
+		if [ "${HP_ARCH}" = "" ]; then
+		    eval $set_cc_for_build
+		    sed 's/^		//' << EOF >$dummy.c
+
+		#define _HPUX_SOURCE
+		#include <stdlib.h>
+		#include <unistd.h>
+
+		int main ()
+		{
+		#if defined(_SC_KERNEL_BITS)
+		    long bits = sysconf(_SC_KERNEL_BITS);
+		#endif
+		    long cpu  = sysconf (_SC_CPU_VERSION);
+
+		    switch (cpu)
+			{
+			case CPU_PA_RISC1_0: puts ("hppa1.0"); break;
+			case CPU_PA_RISC1_1: puts ("hppa1.1"); break;
+			case CPU_PA_RISC2_0:
+		#if defined(_SC_KERNEL_BITS)
+			    switch (bits)
+				{
+				case 64: puts ("hppa2.0w"); break;
+				case 32: puts ("hppa2.0n"); break;
+				default: puts ("hppa2.0"); break;
+				} break;
+		#else  /* !defined(_SC_KERNEL_BITS) */
+			    puts ("hppa2.0"); break;
+		#endif
+			default: puts ("hppa1.0"); break;
+			}
+		    exit (0);
+		}
+EOF
+		    (CCOPTS= $CC_FOR_BUILD -o $dummy $dummy.c 2>/dev/null) && HP_ARCH=`$dummy`
+		    test -z "$HP_ARCH" && HP_ARCH=hppa
+		fi ;;
+	esac
+	if [ ${HP_ARCH} = "hppa2.0w" ]
+	then
+	    eval $set_cc_for_build
+
+	    # hppa2.0w-hp-hpux* has a 64-bit kernel and a compiler generating
+	    # 32-bit code.  hppa64-hp-hpux* has the same kernel and a compiler
+	    # generating 64-bit code.  GNU and HP use different nomenclature:
+	    #
+	    # $ CC_FOR_BUILD=cc ./config.guess
+	    # => hppa2.0w-hp-hpux11.23
+	    # $ CC_FOR_BUILD="cc +DA2.0w" ./config.guess
+	    # => hppa64-hp-hpux11.23
+
+	    if echo __LP64__ | (CCOPTS= $CC_FOR_BUILD -E - 2>/dev/null) |
+		grep -q __LP64__
+	    then
+		HP_ARCH="hppa2.0w"
+	    else
+		HP_ARCH="hppa64"
+	    fi
+	fi
+	echo ${HP_ARCH}-hp-hpux${HPUX_REV}
+	exit ;;
+    ia64:HP-UX:*:*)
+	HPUX_REV=`echo ${UNAME_RELEASE}|sed -e 's/[^.]*.[0B]*//'`
+	echo ia64-hp-hpux${HPUX_REV}
+	exit ;;
+    3050*:HI-UX:*:*)
+	eval $set_cc_for_build
+	sed 's/^	//' << EOF >$dummy.c
+	#include <unistd.h>
+	int
+	main ()
+	{
+	  long cpu = sysconf (_SC_CPU_VERSION);
+	  /* The order matters, because CPU_IS_HP_MC68K erroneously returns
+	     true for CPU_PA_RISC1_0.  CPU_IS_PA_RISC returns correct
+	     results, however.  */
+	  if (CPU_IS_PA_RISC (cpu))
+	    {
+	      switch (cpu)
+		{
+		  case CPU_PA_RISC1_0: puts ("hppa1.0-hitachi-hiuxwe2"); break;
+		  case CPU_PA_RISC1_1: puts ("hppa1.1-hitachi-hiuxwe2"); break;
+		  case CPU_PA_RISC2_0: puts ("hppa2.0-hitachi-hiuxwe2"); break;
+		  default: puts ("hppa-hitachi-hiuxwe2"); break;
+		}
+	    }
+	  else if (CPU_IS_HP_MC68K (cpu))
+	    puts ("m68k-hitachi-hiuxwe2");
+	  else puts ("unknown-hitachi-hiuxwe2");
+	  exit (0);
+	}
+EOF
+	$CC_FOR_BUILD -o $dummy $dummy.c && SYSTEM_NAME=`$dummy` &&
+		{ echo "$SYSTEM_NAME"; exit; }
+	echo unknown-hitachi-hiuxwe2
+	exit ;;
+    9000/7??:4.3bsd:*:* | 9000/8?[79]:4.3bsd:*:* )
+	echo hppa1.1-hp-bsd
+	exit ;;
+    9000/8??:4.3bsd:*:*)
+	echo hppa1.0-hp-bsd
+	exit ;;
+    *9??*:MPE/iX:*:* | *3000*:MPE/iX:*:*)
+	echo hppa1.0-hp-mpeix
+	exit ;;
+    hp7??:OSF1:*:* | hp8?[79]:OSF1:*:* )
+	echo hppa1.1-hp-osf
+	exit ;;
+    hp8??:OSF1:*:*)
+	echo hppa1.0-hp-osf
+	exit ;;
+    i*86:OSF1:*:*)
+	if [ -x /usr/sbin/sysversion ] ; then
+	    echo ${UNAME_MACHINE}-unknown-osf1mk
+	else
+	    echo ${UNAME_MACHINE}-unknown-osf1
+	fi
+	exit ;;
+    parisc*:Lites*:*:*)
+	echo hppa1.1-hp-lites
+	exit ;;
+    C1*:ConvexOS:*:* | convex:ConvexOS:C1*:*)
+	echo c1-convex-bsd
+	exit ;;
+    C2*:ConvexOS:*:* | convex:ConvexOS:C2*:*)
+	if getsysinfo -f scalar_acc
+	then echo c32-convex-bsd
+	else echo c2-convex-bsd
+	fi
+	exit ;;
+    C34*:ConvexOS:*:* | convex:ConvexOS:C34*:*)
+	echo c34-convex-bsd
+	exit ;;
+    C38*:ConvexOS:*:* | convex:ConvexOS:C38*:*)
+	echo c38-convex-bsd
+	exit ;;
+    C4*:ConvexOS:*:* | convex:ConvexOS:C4*:*)
+	echo c4-convex-bsd
+	exit ;;
+    CRAY*Y-MP:*:*:*)
+	echo ymp-cray-unicos${UNAME_RELEASE} | sed -e 's/\.[^.]*$/.X/'
+	exit ;;
+    CRAY*[A-Z]90:*:*:*)
+	echo ${UNAME_MACHINE}-cray-unicos${UNAME_RELEASE} \
+	| sed -e 's/CRAY.*\([A-Z]90\)/\1/' \
+	      -e y/ABCDEFGHIJKLMNOPQRSTUVWXYZ/abcdefghijklmnopqrstuvwxyz/ \
+	      -e 's/\.[^.]*$/.X/'
+	exit ;;
+    CRAY*TS:*:*:*)
+	echo t90-cray-unicos${UNAME_RELEASE} | sed -e 's/\.[^.]*$/.X/'
+	exit ;;
+    CRAY*T3E:*:*:*)
+	echo alphaev5-cray-unicosmk${UNAME_RELEASE} | sed -e 's/\.[^.]*$/.X/'
+	exit ;;
+    CRAY*SV1:*:*:*)
+	echo sv1-cray-unicos${UNAME_RELEASE} | sed -e 's/\.[^.]*$/.X/'
+	exit ;;
+    *:UNICOS/mp:*:*)
+	echo craynv-cray-unicosmp${UNAME_RELEASE} | sed -e 's/\.[^.]*$/.X/'
+	exit ;;
+    F30[01]:UNIX_System_V:*:* | F700:UNIX_System_V:*:*)
+	FUJITSU_PROC=`uname -m | tr 'ABCDEFGHIJKLMNOPQRSTUVWXYZ' 'abcdefghijklmnopqrstuvwxyz'`
+	FUJITSU_SYS=`uname -p | tr 'ABCDEFGHIJKLMNOPQRSTUVWXYZ' 'abcdefghijklmnopqrstuvwxyz' | sed -e 's/\///'`
+	FUJITSU_REL=`echo ${UNAME_RELEASE} | sed -e 's/ /_/'`
+	echo "${FUJITSU_PROC}-fujitsu-${FUJITSU_SYS}${FUJITSU_REL}"
+	exit ;;
+    5000:UNIX_System_V:4.*:*)
+	FUJITSU_SYS=`uname -p | tr 'ABCDEFGHIJKLMNOPQRSTUVWXYZ' 'abcdefghijklmnopqrstuvwxyz' | sed -e 's/\///'`
+	FUJITSU_REL=`echo ${UNAME_RELEASE} | tr 'ABCDEFGHIJKLMNOPQRSTUVWXYZ' 'abcdefghijklmnopqrstuvwxyz' | sed -e 's/ /_/'`
+	echo "sparc-fujitsu-${FUJITSU_SYS}${FUJITSU_REL}"
+	exit ;;
+    i*86:BSD/386:*:* | i*86:BSD/OS:*:* | *:Ascend\ Embedded/OS:*:*)
+	echo ${UNAME_MACHINE}-pc-bsdi${UNAME_RELEASE}
+	exit ;;
+    sparc*:BSD/OS:*:*)
+	echo sparc-unknown-bsdi${UNAME_RELEASE}
+	exit ;;
+    *:BSD/OS:*:*)
+	echo ${UNAME_MACHINE}-unknown-bsdi${UNAME_RELEASE}
+	exit ;;
+    *:FreeBSD:*:*)
+	UNAME_PROCESSOR=`/usr/bin/uname -p`
+	case ${UNAME_PROCESSOR} in
+	    amd64)
+		echo x86_64-unknown-freebsd`echo ${UNAME_RELEASE}|sed -e 's/[-(].*//'` ;;
+	    *)
+		echo ${UNAME_PROCESSOR}-unknown-freebsd`echo ${UNAME_RELEASE}|sed -e 's/[-(].*//'` ;;
+	esac
+	exit ;;
+    i*:CYGWIN*:*)
+	echo ${UNAME_MACHINE}-pc-cygwin
+	exit ;;
+    *:MINGW64*:*)
+	echo ${UNAME_MACHINE}-pc-mingw64
+	exit ;;
+    *:MINGW*:*)
+	echo ${UNAME_MACHINE}-pc-mingw32
+	exit ;;
+    i*:MSYS*:*)
+	echo ${UNAME_MACHINE}-pc-msys
+	exit ;;
+    i*:windows32*:*)
+	# uname -m includes "-pc" on this system.
+	echo ${UNAME_MACHINE}-mingw32
+	exit ;;
+    i*:PW*:*)
+	echo ${UNAME_MACHINE}-pc-pw32
+	exit ;;
+    *:Interix*:*)
+	case ${UNAME_MACHINE} in
+	    x86)
+		echo i586-pc-interix${UNAME_RELEASE}
+		exit ;;
+	    authenticamd | genuineintel | EM64T)
+		echo x86_64-unknown-interix${UNAME_RELEASE}
+		exit ;;
+	    IA64)
+		echo ia64-unknown-interix${UNAME_RELEASE}
+		exit ;;
+	esac ;;
+    [345]86:Windows_95:* | [345]86:Windows_98:* | [345]86:Windows_NT:*)
+	echo i${UNAME_MACHINE}-pc-mks
+	exit ;;
+    8664:Windows_NT:*)
+	echo x86_64-pc-mks
+	exit ;;
+    i*:Windows_NT*:* | Pentium*:Windows_NT*:*)
+	# How do we know it's Interix rather than the generic POSIX subsystem?
+	# It also conflicts with pre-2.0 versions of AT&T UWIN. Should we
+	# UNAME_MACHINE based on the output of uname instead of i386?
+	echo i586-pc-interix
+	exit ;;
+    i*:UWIN*:*)
+	echo ${UNAME_MACHINE}-pc-uwin
+	exit ;;
+    amd64:CYGWIN*:*:* | x86_64:CYGWIN*:*:*)
+	echo x86_64-unknown-cygwin
+	exit ;;
+    p*:CYGWIN*:*)
+	echo powerpcle-unknown-cygwin
+	exit ;;
+    prep*:SunOS:5.*:*)
+	echo powerpcle-unknown-solaris2`echo ${UNAME_RELEASE}|sed -e 's/[^.]*//'`
+	exit ;;
+    *:GNU:*:*)
+	# the GNU system
+	echo `echo ${UNAME_MACHINE}|sed -e 's,[-/].*$,,'`-unknown-${LIBC}`echo ${UNAME_RELEASE}|sed -e 's,/.*$,,'`
+	exit ;;
+    *:GNU/*:*:*)
+	# other systems with GNU libc and userland
+	echo ${UNAME_MACHINE}-unknown-`echo ${UNAME_SYSTEM} | sed 's,^[^/]*/,,' | tr '[A-Z]' '[a-z]'``echo ${UNAME_RELEASE}|sed -e 's/[-(].*//'`-${LIBC}
+	exit ;;
+    i*86:Minix:*:*)
+	echo ${UNAME_MACHINE}-pc-minix
+	exit ;;
+    aarch64:Linux:*:*)
+	echo ${UNAME_MACHINE}-unknown-linux-${LIBC}
+	exit ;;
+    aarch64_be:Linux:*:*)
+	UNAME_MACHINE=aarch64_be
+	echo ${UNAME_MACHINE}-unknown-linux-${LIBC}
+	exit ;;
+    alpha:Linux:*:*)
+	case `sed -n '/^cpu model/s/^.*: \(.*\)/\1/p' < /proc/cpuinfo` in
+	  EV5)   UNAME_MACHINE=alphaev5 ;;
+	  EV56)  UNAME_MACHINE=alphaev56 ;;
+	  PCA56) UNAME_MACHINE=alphapca56 ;;
+	  PCA57) UNAME_MACHINE=alphapca56 ;;
+	  EV6)   UNAME_MACHINE=alphaev6 ;;
+	  EV67)  UNAME_MACHINE=alphaev67 ;;
+	  EV68*) UNAME_MACHINE=alphaev68 ;;
+	esac
+	objdump --private-headers /bin/sh | grep -q ld.so.1
+	if test "$?" = 0 ; then LIBC="gnulibc1" ; fi
+	echo ${UNAME_MACHINE}-unknown-linux-${LIBC}
+	exit ;;
+    arc:Linux:*:* | arceb:Linux:*:*)
+	echo ${UNAME_MACHINE}-unknown-linux-${LIBC}
+	exit ;;
+    arm*:Linux:*:*)
+	eval $set_cc_for_build
+	if echo __ARM_EABI__ | $CC_FOR_BUILD -E - 2>/dev/null \
+	    | grep -q __ARM_EABI__
+	then
+	    echo ${UNAME_MACHINE}-unknown-linux-${LIBC}
+	else
+	    if echo __ARM_PCS_VFP | $CC_FOR_BUILD -E - 2>/dev/null \
+		| grep -q __ARM_PCS_VFP
+	    then
+		echo ${UNAME_MACHINE}-unknown-linux-${LIBC}eabi
+	    else
+		echo ${UNAME_MACHINE}-unknown-linux-${LIBC}eabihf
+	    fi
+	fi
+	exit ;;
+    avr32*:Linux:*:*)
+	echo ${UNAME_MACHINE}-unknown-linux-${LIBC}
+	exit ;;
+    cris:Linux:*:*)
+	echo ${UNAME_MACHINE}-axis-linux-${LIBC}
+	exit ;;
+    crisv32:Linux:*:*)
+	echo ${UNAME_MACHINE}-axis-linux-${LIBC}
+	exit ;;
+    frv:Linux:*:*)
+	echo ${UNAME_MACHINE}-unknown-linux-${LIBC}
+	exit ;;
+    hexagon:Linux:*:*)
+	echo ${UNAME_MACHINE}-unknown-linux-${LIBC}
+	exit ;;
+    i*86:Linux:*:*)
+	echo ${UNAME_MACHINE}-pc-linux-${LIBC}
+	exit ;;
+    ia64:Linux:*:*)
+	echo ${UNAME_MACHINE}-unknown-linux-${LIBC}
+	exit ;;
+    m32r*:Linux:*:*)
+	echo ${UNAME_MACHINE}-unknown-linux-${LIBC}
+	exit ;;
+    m68*:Linux:*:*)
+	echo ${UNAME_MACHINE}-unknown-linux-${LIBC}
+	exit ;;
+    mips:Linux:*:* | mips64:Linux:*:*)
+	eval $set_cc_for_build
+	sed 's/^	//' << EOF >$dummy.c
+	#undef CPU
+	#undef ${UNAME_MACHINE}
+	#undef ${UNAME_MACHINE}el
+	#if defined(__MIPSEL__) || defined(__MIPSEL) || defined(_MIPSEL) || defined(MIPSEL)
+	CPU=${UNAME_MACHINE}el
+	#else
+	#if defined(__MIPSEB__) || defined(__MIPSEB) || defined(_MIPSEB) || defined(MIPSEB)
+	CPU=${UNAME_MACHINE}
+	#else
+	CPU=
+	#endif
+	#endif
+EOF
+	eval `$CC_FOR_BUILD -E $dummy.c 2>/dev/null | grep '^CPU'`
+	test x"${CPU}" != x && { echo "${CPU}-unknown-linux-${LIBC}"; exit; }
+	;;
+    or1k:Linux:*:*)
+	echo ${UNAME_MACHINE}-unknown-linux-${LIBC}
+	exit ;;
+    or32:Linux:*:*)
+	echo ${UNAME_MACHINE}-unknown-linux-${LIBC}
+	exit ;;
+    padre:Linux:*:*)
+	echo sparc-unknown-linux-${LIBC}
+	exit ;;
+    parisc64:Linux:*:* | hppa64:Linux:*:*)
+	echo hppa64-unknown-linux-${LIBC}
+	exit ;;
+    parisc:Linux:*:* | hppa:Linux:*:*)
+	# Look for CPU level
+	case `grep '^cpu[^a-z]*:' /proc/cpuinfo 2>/dev/null | cut -d' ' -f2` in
+	  PA7*) echo hppa1.1-unknown-linux-${LIBC} ;;
+	  PA8*) echo hppa2.0-unknown-linux-${LIBC} ;;
+	  *)    echo hppa-unknown-linux-${LIBC} ;;
+	esac
+	exit ;;
+    ppc64:Linux:*:*)
+	echo powerpc64-unknown-linux-${LIBC}
+	exit ;;
+    ppc:Linux:*:*)
+	echo powerpc-unknown-linux-${LIBC}
+	exit ;;
+    ppc64le:Linux:*:*)
+	echo powerpc64le-unknown-linux-${LIBC}
+	exit ;;
+    ppcle:Linux:*:*)
+	echo powerpcle-unknown-linux-${LIBC}
+	exit ;;
+    s390:Linux:*:* | s390x:Linux:*:*)
+	echo ${UNAME_MACHINE}-ibm-linux-${LIBC}
+	exit ;;
+    sh64*:Linux:*:*)
+	echo ${UNAME_MACHINE}-unknown-linux-${LIBC}
+	exit ;;
+    sh*:Linux:*:*)
+	echo ${UNAME_MACHINE}-unknown-linux-${LIBC}
+	exit ;;
+    sparc:Linux:*:* | sparc64:Linux:*:*)
+	echo ${UNAME_MACHINE}-unknown-linux-${LIBC}
+	exit ;;
+    tile*:Linux:*:*)
+	echo ${UNAME_MACHINE}-unknown-linux-${LIBC}
+	exit ;;
+    vax:Linux:*:*)
+	echo ${UNAME_MACHINE}-dec-linux-${LIBC}
+	exit ;;
+    x86_64:Linux:*:*)
+	echo ${UNAME_MACHINE}-unknown-linux-${LIBC}
+	exit ;;
+    xtensa*:Linux:*:*)
+	echo ${UNAME_MACHINE}-unknown-linux-${LIBC}
+	exit ;;
+    i*86:DYNIX/ptx:4*:*)
+	# ptx 4.0 does uname -s correctly, with DYNIX/ptx in there.
+	# earlier versions are messed up and put the nodename in both
+	# sysname and nodename.
+	echo i386-sequent-sysv4
+	exit ;;
+    i*86:UNIX_SV:4.2MP:2.*)
+	# Unixware is an offshoot of SVR4, but it has its own version
+	# number series starting with 2...
+	# I am not positive that other SVR4 systems won't match this,
+	# I just have to hope.  -- rms.
+	# Use sysv4.2uw... so that sysv4* matches it.
+	echo ${UNAME_MACHINE}-pc-sysv4.2uw${UNAME_VERSION}
+	exit ;;
+    i*86:OS/2:*:*)
+	# If we were able to find `uname', then EMX Unix compatibility
+	# is probably installed.
+	echo ${UNAME_MACHINE}-pc-os2-emx
+	exit ;;
+    i*86:XTS-300:*:STOP)
+	echo ${UNAME_MACHINE}-unknown-stop
+	exit ;;
+    i*86:atheos:*:*)
+	echo ${UNAME_MACHINE}-unknown-atheos
+	exit ;;
+    i*86:syllable:*:*)
+	echo ${UNAME_MACHINE}-pc-syllable
+	exit ;;
+    i*86:LynxOS:2.*:* | i*86:LynxOS:3.[01]*:* | i*86:LynxOS:4.[02]*:*)
+	echo i386-unknown-lynxos${UNAME_RELEASE}
+	exit ;;
+    i*86:*DOS:*:*)
+	echo ${UNAME_MACHINE}-pc-msdosdjgpp
+	exit ;;
+    i*86:*:4.*:* | i*86:SYSTEM_V:4.*:*)
+	UNAME_REL=`echo ${UNAME_RELEASE} | sed 's/\/MP$//'`
+	if grep Novell /usr/include/link.h >/dev/null 2>/dev/null; then
+		echo ${UNAME_MACHINE}-univel-sysv${UNAME_REL}
+	else
+		echo ${UNAME_MACHINE}-pc-sysv${UNAME_REL}
+	fi
+	exit ;;
+    i*86:*:5:[678]*)
+	# UnixWare 7.x, OpenUNIX and OpenServer 6.
+	case `/bin/uname -X | grep "^Machine"` in
+	    *486*)	     UNAME_MACHINE=i486 ;;
+	    *Pentium)	     UNAME_MACHINE=i586 ;;
+	    *Pent*|*Celeron) UNAME_MACHINE=i686 ;;
+	esac
+	echo ${UNAME_MACHINE}-unknown-sysv${UNAME_RELEASE}${UNAME_SYSTEM}${UNAME_VERSION}
+	exit ;;
+    i*86:*:3.2:*)
+	if test -f /usr/options/cb.name; then
+		UNAME_REL=`sed -n 's/.*Version //p' </usr/options/cb.name`
+		echo ${UNAME_MACHINE}-pc-isc$UNAME_REL
+	elif /bin/uname -X 2>/dev/null >/dev/null ; then
+		UNAME_REL=`(/bin/uname -X|grep Release|sed -e 's/.*= //')`
+		(/bin/uname -X|grep i80486 >/dev/null) && UNAME_MACHINE=i486
+		(/bin/uname -X|grep '^Machine.*Pentium' >/dev/null) \
+			&& UNAME_MACHINE=i586
+		(/bin/uname -X|grep '^Machine.*Pent *II' >/dev/null) \
+			&& UNAME_MACHINE=i686
+		(/bin/uname -X|grep '^Machine.*Pentium Pro' >/dev/null) \
+			&& UNAME_MACHINE=i686
+		echo ${UNAME_MACHINE}-pc-sco$UNAME_REL
+	else
+		echo ${UNAME_MACHINE}-pc-sysv32
+	fi
+	exit ;;
+    pc:*:*:*)
+	# Left here for compatibility:
+	# uname -m prints for DJGPP always 'pc', but it prints nothing about
+	# the processor, so we play safe by assuming i586.
+	# Note: whatever this is, it MUST be the same as what config.sub
+	# prints for the "djgpp" host, or else GDB configury will decide that
+	# this is a cross-build.
+	echo i586-pc-msdosdjgpp
+	exit ;;
+    Intel:Mach:3*:*)
+	echo i386-pc-mach3
+	exit ;;
+    paragon:*:*:*)
+	echo i860-intel-osf1
+	exit ;;
+    i860:*:4.*:*) # i860-SVR4
+	if grep Stardent /usr/include/sys/uadmin.h >/dev/null 2>&1 ; then
+	  echo i860-stardent-sysv${UNAME_RELEASE} # Stardent Vistra i860-SVR4
+	else # Add other i860-SVR4 vendors below as they are discovered.
+	  echo i860-unknown-sysv${UNAME_RELEASE}  # Unknown i860-SVR4
+	fi
+	exit ;;
+    mini*:CTIX:SYS*5:*)
+	# "miniframe"
+	echo m68010-convergent-sysv
+	exit ;;
+    mc68k:UNIX:SYSTEM5:3.51m)
+	echo m68k-convergent-sysv
+	exit ;;
+    M680?0:D-NIX:5.3:*)
+	echo m68k-diab-dnix
+	exit ;;
+    M68*:*:R3V[5678]*:*)
+	test -r /sysV68 && { echo 'm68k-motorola-sysv'; exit; } ;;
+    3[345]??:*:4.0:3.0 | 3[34]??A:*:4.0:3.0 | 3[34]??,*:*:4.0:3.0 | 3[34]??/*:*:4.0:3.0 | 4400:*:4.0:3.0 | 4850:*:4.0:3.0 | SKA40:*:4.0:3.0 | SDS2:*:4.0:3.0 | SHG2:*:4.0:3.0 | S7501*:*:4.0:3.0)
+	OS_REL=''
+	test -r /etc/.relid \
+	&& OS_REL=.`sed -n 's/[^ ]* [^ ]* \([0-9][0-9]\).*/\1/p' < /etc/.relid`
+	/bin/uname -p 2>/dev/null | grep 86 >/dev/null \
+	  && { echo i486-ncr-sysv4.3${OS_REL}; exit; }
+	/bin/uname -p 2>/dev/null | /bin/grep entium >/dev/null \
+	  && { echo i586-ncr-sysv4.3${OS_REL}; exit; } ;;
+    3[34]??:*:4.0:* | 3[34]??,*:*:4.0:*)
+	/bin/uname -p 2>/dev/null | grep 86 >/dev/null \
+	  && { echo i486-ncr-sysv4; exit; } ;;
+    NCR*:*:4.2:* | MPRAS*:*:4.2:*)
+	OS_REL='.3'
+	test -r /etc/.relid \
+	    && OS_REL=.`sed -n 's/[^ ]* [^ ]* \([0-9][0-9]\).*/\1/p' < /etc/.relid`
+	/bin/uname -p 2>/dev/null | grep 86 >/dev/null \
+	    && { echo i486-ncr-sysv4.3${OS_REL}; exit; }
+	/bin/uname -p 2>/dev/null | /bin/grep entium >/dev/null \
+	    && { echo i586-ncr-sysv4.3${OS_REL}; exit; }
+	/bin/uname -p 2>/dev/null | /bin/grep pteron >/dev/null \
+	    && { echo i586-ncr-sysv4.3${OS_REL}; exit; } ;;
+    m68*:LynxOS:2.*:* | m68*:LynxOS:3.0*:*)
+	echo m68k-unknown-lynxos${UNAME_RELEASE}
+	exit ;;
+    mc68030:UNIX_System_V:4.*:*)
+	echo m68k-atari-sysv4
+	exit ;;
+    TSUNAMI:LynxOS:2.*:*)
+	echo sparc-unknown-lynxos${UNAME_RELEASE}
+	exit ;;
+    rs6000:LynxOS:2.*:*)
+	echo rs6000-unknown-lynxos${UNAME_RELEASE}
+	exit ;;
+    PowerPC:LynxOS:2.*:* | PowerPC:LynxOS:3.[01]*:* | PowerPC:LynxOS:4.[02]*:*)
+	echo powerpc-unknown-lynxos${UNAME_RELEASE}
+	exit ;;
+    SM[BE]S:UNIX_SV:*:*)
+	echo mips-dde-sysv${UNAME_RELEASE}
+	exit ;;
+    RM*:ReliantUNIX-*:*:*)
+	echo mips-sni-sysv4
+	exit ;;
+    RM*:SINIX-*:*:*)
+	echo mips-sni-sysv4
+	exit ;;
+    *:SINIX-*:*:*)
+	if uname -p 2>/dev/null >/dev/null ; then
+		UNAME_MACHINE=`(uname -p) 2>/dev/null`
+		echo ${UNAME_MACHINE}-sni-sysv4
+	else
+		echo ns32k-sni-sysv
+	fi
+	exit ;;
+    PENTIUM:*:4.0*:*)	# Unisys `ClearPath HMP IX 4000' SVR4/MP effort
+			# says <Richard.M.Bartel at ccMail.Census.GOV>
+	echo i586-unisys-sysv4
+	exit ;;
+    *:UNIX_System_V:4*:FTX*)
+	# From Gerald Hewes <hewes at openmarket.com>.
+	# How about differentiating between stratus architectures? -djm
+	echo hppa1.1-stratus-sysv4
+	exit ;;
+    *:*:*:FTX*)
+	# From seanf at swdc.stratus.com.
+	echo i860-stratus-sysv4
+	exit ;;
+    i*86:VOS:*:*)
+	# From Paul.Green at stratus.com.
+	echo ${UNAME_MACHINE}-stratus-vos
+	exit ;;
+    *:VOS:*:*)
+	# From Paul.Green at stratus.com.
+	echo hppa1.1-stratus-vos
+	exit ;;
+    mc68*:A/UX:*:*)
+	echo m68k-apple-aux${UNAME_RELEASE}
+	exit ;;
+    news*:NEWS-OS:6*:*)
+	echo mips-sony-newsos6
+	exit ;;
+    R[34]000:*System_V*:*:* | R4000:UNIX_SYSV:*:* | R*000:UNIX_SV:*:*)
+	if [ -d /usr/nec ]; then
+		echo mips-nec-sysv${UNAME_RELEASE}
+	else
+		echo mips-unknown-sysv${UNAME_RELEASE}
+	fi
+	exit ;;
+    BeBox:BeOS:*:*)	# BeOS running on hardware made by Be, PPC only.
+	echo powerpc-be-beos
+	exit ;;
+    BeMac:BeOS:*:*)	# BeOS running on Mac or Mac clone, PPC only.
+	echo powerpc-apple-beos
+	exit ;;
+    BePC:BeOS:*:*)	# BeOS running on Intel PC compatible.
+	echo i586-pc-beos
+	exit ;;
+    BePC:Haiku:*:*)	# Haiku running on Intel PC compatible.
+	echo i586-pc-haiku
+	exit ;;
+    x86_64:Haiku:*:*)
+	echo x86_64-unknown-haiku
+	exit ;;
+    SX-4:SUPER-UX:*:*)
+	echo sx4-nec-superux${UNAME_RELEASE}
+	exit ;;
+    SX-5:SUPER-UX:*:*)
+	echo sx5-nec-superux${UNAME_RELEASE}
+	exit ;;
+    SX-6:SUPER-UX:*:*)
+	echo sx6-nec-superux${UNAME_RELEASE}
+	exit ;;
+    SX-7:SUPER-UX:*:*)
+	echo sx7-nec-superux${UNAME_RELEASE}
+	exit ;;
+    SX-8:SUPER-UX:*:*)
+	echo sx8-nec-superux${UNAME_RELEASE}
+	exit ;;
+    SX-8R:SUPER-UX:*:*)
+	echo sx8r-nec-superux${UNAME_RELEASE}
+	exit ;;
+    Power*:Rhapsody:*:*)
+	echo powerpc-apple-rhapsody${UNAME_RELEASE}
+	exit ;;
+    *:Rhapsody:*:*)
+	echo ${UNAME_MACHINE}-apple-rhapsody${UNAME_RELEASE}
+	exit ;;
+    *:Darwin:*:*)
+	UNAME_PROCESSOR=`uname -p` || UNAME_PROCESSOR=unknown
+	eval $set_cc_for_build
+	if test "$UNAME_PROCESSOR" = unknown ; then
+	    UNAME_PROCESSOR=powerpc
+	fi
+	if [ "$CC_FOR_BUILD" != 'no_compiler_found' ]; then
+	    if (echo '#ifdef __LP64__'; echo IS_64BIT_ARCH; echo '#endif') | \
+		(CCOPTS= $CC_FOR_BUILD -E - 2>/dev/null) | \
+		grep IS_64BIT_ARCH >/dev/null
+	    then
+		case $UNAME_PROCESSOR in
+		    i386) UNAME_PROCESSOR=x86_64 ;;
+		    powerpc) UNAME_PROCESSOR=powerpc64 ;;
+		esac
+	    fi
+	fi
+	echo ${UNAME_PROCESSOR}-apple-darwin${UNAME_RELEASE}
+	exit ;;
+    *:procnto*:*:* | *:QNX:[0123456789]*:*)
+	UNAME_PROCESSOR=`uname -p`
+	if test "$UNAME_PROCESSOR" = "x86"; then
+		UNAME_PROCESSOR=i386
+		UNAME_MACHINE=pc
+	fi
+	echo ${UNAME_PROCESSOR}-${UNAME_MACHINE}-nto-qnx${UNAME_RELEASE}
+	exit ;;
+    *:QNX:*:4*)
+	echo i386-pc-qnx
+	exit ;;
+    NEO-?:NONSTOP_KERNEL:*:*)
+	echo neo-tandem-nsk${UNAME_RELEASE}
+	exit ;;
+    NSE-*:NONSTOP_KERNEL:*:*)
+	echo nse-tandem-nsk${UNAME_RELEASE}
+	exit ;;
+    NSR-?:NONSTOP_KERNEL:*:*)
+	echo nsr-tandem-nsk${UNAME_RELEASE}
+	exit ;;
+    *:NonStop-UX:*:*)
+	echo mips-compaq-nonstopux
+	exit ;;
+    BS2000:POSIX*:*:*)
+	echo bs2000-siemens-sysv
+	exit ;;
+    DS/*:UNIX_System_V:*:*)
+	echo ${UNAME_MACHINE}-${UNAME_SYSTEM}-${UNAME_RELEASE}
+	exit ;;
+    *:Plan9:*:*)
+	# "uname -m" is not consistent, so use $cputype instead. 386
+	# is converted to i386 for consistency with other x86
+	# operating systems.
+	if test "$cputype" = "386"; then
+	    UNAME_MACHINE=i386
+	else
+	    UNAME_MACHINE="$cputype"
+	fi
+	echo ${UNAME_MACHINE}-unknown-plan9
+	exit ;;
+    *:TOPS-10:*:*)
+	echo pdp10-unknown-tops10
+	exit ;;
+    *:TENEX:*:*)
+	echo pdp10-unknown-tenex
+	exit ;;
+    KS10:TOPS-20:*:* | KL10:TOPS-20:*:* | TYPE4:TOPS-20:*:*)
+	echo pdp10-dec-tops20
+	exit ;;
+    XKL-1:TOPS-20:*:* | TYPE5:TOPS-20:*:*)
+	echo pdp10-xkl-tops20
+	exit ;;
+    *:TOPS-20:*:*)
+	echo pdp10-unknown-tops20
+	exit ;;
+    *:ITS:*:*)
+	echo pdp10-unknown-its
+	exit ;;
+    SEI:*:*:SEIUX)
+	echo mips-sei-seiux${UNAME_RELEASE}
+	exit ;;
+    *:DragonFly:*:*)
+	echo ${UNAME_MACHINE}-unknown-dragonfly`echo ${UNAME_RELEASE}|sed -e 's/[-(].*//'`
+	exit ;;
+    *:*VMS:*:*)
+	UNAME_MACHINE=`(uname -p) 2>/dev/null`
+	case "${UNAME_MACHINE}" in
+	    A*) echo alpha-dec-vms ; exit ;;
+	    I*) echo ia64-dec-vms ; exit ;;
+	    V*) echo vax-dec-vms ; exit ;;
+	esac ;;
+    *:XENIX:*:SysV)
+	echo i386-pc-xenix
+	exit ;;
+    i*86:skyos:*:*)
+	echo ${UNAME_MACHINE}-pc-skyos`echo ${UNAME_RELEASE}` | sed -e 's/ .*$//'
+	exit ;;
+    i*86:rdos:*:*)
+	echo ${UNAME_MACHINE}-pc-rdos
+	exit ;;
+    i*86:AROS:*:*)
+	echo ${UNAME_MACHINE}-pc-aros
+	exit ;;
+    x86_64:VMkernel:*:*)
+	echo ${UNAME_MACHINE}-unknown-esx
+	exit ;;
+esac
+
+eval $set_cc_for_build
+cat >$dummy.c <<EOF
+#ifdef _SEQUENT_
+# include <sys/types.h>
+# include <sys/utsname.h>
+#endif
+main ()
+{
+#if defined (sony)
+#if defined (MIPSEB)
+  /* BFD wants "bsd" instead of "newsos".  Perhaps BFD should be changed,
+     I don't know....  */
+  printf ("mips-sony-bsd\n"); exit (0);
+#else
+#include <sys/param.h>
+  printf ("m68k-sony-newsos%s\n",
+#ifdef NEWSOS4
+	"4"
+#else
+	""
+#endif
+	); exit (0);
+#endif
+#endif
+
+#if defined (__arm) && defined (__acorn) && defined (__unix)
+  printf ("arm-acorn-riscix\n"); exit (0);
+#endif
+
+#if defined (hp300) && !defined (hpux)
+  printf ("m68k-hp-bsd\n"); exit (0);
+#endif
+
+#if defined (NeXT)
+#if !defined (__ARCHITECTURE__)
+#define __ARCHITECTURE__ "m68k"
+#endif
+  int version;
+  version=`(hostinfo | sed -n 's/.*NeXT Mach \([0-9]*\).*/\1/p') 2>/dev/null`;
+  if (version < 4)
+    printf ("%s-next-nextstep%d\n", __ARCHITECTURE__, version);
+  else
+    printf ("%s-next-openstep%d\n", __ARCHITECTURE__, version);
+  exit (0);
+#endif
+
+#if defined (MULTIMAX) || defined (n16)
+#if defined (UMAXV)
+  printf ("ns32k-encore-sysv\n"); exit (0);
+#else
+#if defined (CMU)
+  printf ("ns32k-encore-mach\n"); exit (0);
+#else
+  printf ("ns32k-encore-bsd\n"); exit (0);
+#endif
+#endif
+#endif
+
+#if defined (__386BSD__)
+  printf ("i386-pc-bsd\n"); exit (0);
+#endif
+
+#if defined (sequent)
+#if defined (i386)
+  printf ("i386-sequent-dynix\n"); exit (0);
+#endif
+#if defined (ns32000)
+  printf ("ns32k-sequent-dynix\n"); exit (0);
+#endif
+#endif
+
+#if defined (_SEQUENT_)
+    struct utsname un;
+
+    uname(&un);
+
+    if (strncmp(un.version, "V2", 2) == 0) {
+	printf ("i386-sequent-ptx2\n"); exit (0);
+    }
+    if (strncmp(un.version, "V1", 2) == 0) { /* XXX is V1 correct? */
+	printf ("i386-sequent-ptx1\n"); exit (0);
+    }
+    printf ("i386-sequent-ptx\n"); exit (0);
+
+#endif
+
+#if defined (vax)
+# if !defined (ultrix)
+#  include <sys/param.h>
+#  if defined (BSD)
+#   if BSD == 43
+      printf ("vax-dec-bsd4.3\n"); exit (0);
+#   else
+#    if BSD == 199006
+      printf ("vax-dec-bsd4.3reno\n"); exit (0);
+#    else
+      printf ("vax-dec-bsd\n"); exit (0);
+#    endif
+#   endif
+#  else
+    printf ("vax-dec-bsd\n"); exit (0);
+#  endif
+# else
+    printf ("vax-dec-ultrix\n"); exit (0);
+# endif
+#endif
+
+#if defined (alliant) && defined (i860)
+  printf ("i860-alliant-bsd\n"); exit (0);
+#endif
+
+  exit (1);
+}
+EOF
+
+$CC_FOR_BUILD -o $dummy $dummy.c 2>/dev/null && SYSTEM_NAME=`$dummy` &&
+	{ echo "$SYSTEM_NAME"; exit; }
+
+# Apollos put the system type in the environment.
+
+test -d /usr/apollo && { echo ${ISP}-apollo-${SYSTYPE}; exit; }
+
+# Convex versions that predate uname can use getsysinfo(1)
+
+if [ -x /usr/convex/getsysinfo ]
+then
+    case `getsysinfo -f cpu_type` in
+    c1*)
+	echo c1-convex-bsd
+	exit ;;
+    c2*)
+	if getsysinfo -f scalar_acc
+	then echo c32-convex-bsd
+	else echo c2-convex-bsd
+	fi
+	exit ;;
+    c34*)
+	echo c34-convex-bsd
+	exit ;;
+    c38*)
+	echo c38-convex-bsd
+	exit ;;
+    c4*)
+	echo c4-convex-bsd
+	exit ;;
+    esac
+fi
+
+cat >&2 <<EOF
+$0: unable to guess system type
+
+This script, last modified $timestamp, has failed to recognize
+the operating system you are using. It is advised that you
+download the most up to date version of the config scripts from
+
+  http://git.savannah.gnu.org/gitweb/?p=config.git;a=blob_plain;f=config.guess;hb=HEAD
+and
+  http://git.savannah.gnu.org/gitweb/?p=config.git;a=blob_plain;f=config.sub;hb=HEAD
+
+If the version you run ($0) is already up to date, please
+send the following data and any information you think might be
+pertinent to <config-patches at gnu.org> in order to provide the needed
+information to handle your system.
+
+config.guess timestamp = $timestamp
+
+uname -m = `(uname -m) 2>/dev/null || echo unknown`
+uname -r = `(uname -r) 2>/dev/null || echo unknown`
+uname -s = `(uname -s) 2>/dev/null || echo unknown`
+uname -v = `(uname -v) 2>/dev/null || echo unknown`
+
+/usr/bin/uname -p = `(/usr/bin/uname -p) 2>/dev/null`
+/bin/uname -X     = `(/bin/uname -X) 2>/dev/null`
+
+hostinfo               = `(hostinfo) 2>/dev/null`
+/bin/universe          = `(/bin/universe) 2>/dev/null`
+/usr/bin/arch -k       = `(/usr/bin/arch -k) 2>/dev/null`
+/bin/arch              = `(/bin/arch) 2>/dev/null`
+/usr/bin/oslevel       = `(/usr/bin/oslevel) 2>/dev/null`
+/usr/convex/getsysinfo = `(/usr/convex/getsysinfo) 2>/dev/null`
+
+UNAME_MACHINE = ${UNAME_MACHINE}
+UNAME_RELEASE = ${UNAME_RELEASE}
+UNAME_SYSTEM  = ${UNAME_SYSTEM}
+UNAME_VERSION = ${UNAME_VERSION}
+EOF
+
+exit 1
+
+# Local variables:
+# eval: (add-hook 'write-file-hooks 'time-stamp)
+# time-stamp-start: "timestamp='"
+# time-stamp-format: "%:y-%02m-%02d"
+# time-stamp-end: "'"
+# End:
diff --git a/clib/expeyes-clib/config.sub b/clib/expeyes-clib/config.sub
new file mode 100755
index 0000000..6205f84
--- /dev/null
+++ b/clib/expeyes-clib/config.sub
@@ -0,0 +1,1782 @@
+#! /bin/sh
+# Configuration validation subroutine script.
+#   Copyright (C) 1992, 1993, 1994, 1995, 1996, 1997, 1998, 1999,
+#   2000, 2001, 2002, 2003, 2004, 2005, 2006, 2007, 2008, 2009, 2010,
+#   2011, 2012 Free Software Foundation, Inc.
+
+timestamp='2012-04-18'
+
+# This file is (in principle) common to ALL GNU software.
+# The presence of a machine in this file suggests that SOME GNU software
+# can handle that machine.  It does not imply ALL GNU software can.
+#
+# This file is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 2 of the License, or
+# (at your option) any later version.
+#
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+#
+# You should have received a copy of the GNU General Public License
+# along with this program; if not, see <http://www.gnu.org/licenses/>.
+#
+# As a special exception to the GNU General Public License, if you
+# distribute this file as part of a program that contains a
+# configuration script generated by Autoconf, you may include it under
+# the same distribution terms that you use for the rest of that program.
+
+
+# Please send patches to <config-patches at gnu.org>.  Submit a context
+# diff and a properly formatted GNU ChangeLog entry.
+#
+# Configuration subroutine to validate and canonicalize a configuration type.
+# Supply the specified configuration type as an argument.
+# If it is invalid, we print an error message on stderr and exit with code 1.
+# Otherwise, we print the canonical config type on stdout and succeed.
+
+# You can get the latest version of this script from:
+# http://git.savannah.gnu.org/gitweb/?p=config.git;a=blob_plain;f=config.sub;hb=HEAD
+
+# This file is supposed to be the same for all GNU packages
+# and recognize all the CPU types, system types and aliases
+# that are meaningful with *any* GNU software.
+# Each package is responsible for reporting which valid configurations
+# it does not support.  The user should be able to distinguish
+# a failure to support a valid configuration from a meaningless
+# configuration.
+
+# The goal of this file is to map all the various variations of a given
+# machine specification into a single specification in the form:
+#	CPU_TYPE-MANUFACTURER-OPERATING_SYSTEM
+# or in some cases, the newer four-part form:
+#	CPU_TYPE-MANUFACTURER-KERNEL-OPERATING_SYSTEM
+# It is wrong to echo any other type of specification.
+
+me=`echo "$0" | sed -e 's,.*/,,'`
+
+usage="\
+Usage: $0 [OPTION] CPU-MFR-OPSYS
+       $0 [OPTION] ALIAS
+
+Canonicalize a configuration name.
+
+Operation modes:
+  -h, --help         print this help, then exit
+  -t, --time-stamp   print date of last modification, then exit
+  -v, --version      print version number, then exit
+
+Report bugs and patches to <config-patches at gnu.org>."
+
+version="\
+GNU config.sub ($timestamp)
+
+Copyright (C) 1992, 1993, 1994, 1995, 1996, 1997, 1998, 1999, 2000,
+2001, 2002, 2003, 2004, 2005, 2006, 2007, 2008, 2009, 2010, 2011, 2012
+Free Software Foundation, Inc.
+
+This is free software; see the source for copying conditions.  There is NO
+warranty; not even for MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE."
+
+help="
+Try \`$me --help' for more information."
+
+# Parse command line
+while test $# -gt 0 ; do
+  case $1 in
+    --time-stamp | --time* | -t )
+       echo "$timestamp" ; exit ;;
+    --version | -v )
+       echo "$version" ; exit ;;
+    --help | --h* | -h )
+       echo "$usage"; exit ;;
+    -- )     # Stop option processing
+       shift; break ;;
+    - )	# Use stdin as input.
+       break ;;
+    -* )
+       echo "$me: invalid option $1$help"
+       exit 1 ;;
+
+    *local*)
+       # First pass through any local machine types.
+       echo $1
+       exit ;;
+
+    * )
+       break ;;
+  esac
+done
+
+case $# in
+ 0) echo "$me: missing argument$help" >&2
+    exit 1;;
+ 1) ;;
+ *) echo "$me: too many arguments$help" >&2
+    exit 1;;
+esac
+
+# Separate what the user gave into CPU-COMPANY and OS or KERNEL-OS (if any).
+# Here we must recognize all the valid KERNEL-OS combinations.
+maybe_os=`echo $1 | sed 's/^\(.*\)-\([^-]*-[^-]*\)$/\2/'`
+case $maybe_os in
+  nto-qnx* | linux-gnu* | linux-android* | linux-dietlibc | linux-newlib* | \
+  linux-uclibc* | uclinux-uclibc* | uclinux-gnu* | kfreebsd*-gnu* | \
+  knetbsd*-gnu* | netbsd*-gnu* | \
+  kopensolaris*-gnu* | \
+  storm-chaos* | os2-emx* | rtmk-nova*)
+    os=-$maybe_os
+    basic_machine=`echo $1 | sed 's/^\(.*\)-\([^-]*-[^-]*\)$/\1/'`
+    ;;
+  android-linux)
+    os=-linux-android
+    basic_machine=`echo $1 | sed 's/^\(.*\)-\([^-]*-[^-]*\)$/\1/'`-unknown
+    ;;
+  *)
+    basic_machine=`echo $1 | sed 's/-[^-]*$//'`
+    if [ $basic_machine != $1 ]
+    then os=`echo $1 | sed 's/.*-/-/'`
+    else os=; fi
+    ;;
+esac
+
+### Let's recognize common machines as not being operating systems so
+### that things like config.sub decstation-3100 work.  We also
+### recognize some manufacturers as not being operating systems, so we
+### can provide default operating systems below.
+case $os in
+	-sun*os*)
+		# Prevent following clause from handling this invalid input.
+		;;
+	-dec* | -mips* | -sequent* | -encore* | -pc532* | -sgi* | -sony* | \
+	-att* | -7300* | -3300* | -delta* | -motorola* | -sun[234]* | \
+	-unicom* | -ibm* | -next | -hp | -isi* | -apollo | -altos* | \
+	-convergent* | -ncr* | -news | -32* | -3600* | -3100* | -hitachi* |\
+	-c[123]* | -convex* | -sun | -crds | -omron* | -dg | -ultra | -tti* | \
+	-harris | -dolphin | -highlevel | -gould | -cbm | -ns | -masscomp | \
+	-apple | -axis | -knuth | -cray | -microblaze)
+		os=
+		basic_machine=$1
+		;;
+	-bluegene*)
+		os=-cnk
+		;;
+	-sim | -cisco | -oki | -wec | -winbond)
+		os=
+		basic_machine=$1
+		;;
+	-scout)
+		;;
+	-wrs)
+		os=-vxworks
+		basic_machine=$1
+		;;
+	-chorusos*)
+		os=-chorusos
+		basic_machine=$1
+		;;
+	-chorusrdb)
+		os=-chorusrdb
+		basic_machine=$1
+		;;
+	-hiux*)
+		os=-hiuxwe2
+		;;
+	-sco6)
+		os=-sco5v6
+		basic_machine=`echo $1 | sed -e 's/86-.*/86-pc/'`
+		;;
+	-sco5)
+		os=-sco3.2v5
+		basic_machine=`echo $1 | sed -e 's/86-.*/86-pc/'`
+		;;
+	-sco4)
+		os=-sco3.2v4
+		basic_machine=`echo $1 | sed -e 's/86-.*/86-pc/'`
+		;;
+	-sco3.2.[4-9]*)
+		os=`echo $os | sed -e 's/sco3.2./sco3.2v/'`
+		basic_machine=`echo $1 | sed -e 's/86-.*/86-pc/'`
+		;;
+	-sco3.2v[4-9]*)
+		# Don't forget version if it is 3.2v4 or newer.
+		basic_machine=`echo $1 | sed -e 's/86-.*/86-pc/'`
+		;;
+	-sco5v6*)
+		# Don't forget version if it is 3.2v4 or newer.
+		basic_machine=`echo $1 | sed -e 's/86-.*/86-pc/'`
+		;;
+	-sco*)
+		os=-sco3.2v2
+		basic_machine=`echo $1 | sed -e 's/86-.*/86-pc/'`
+		;;
+	-udk*)
+		basic_machine=`echo $1 | sed -e 's/86-.*/86-pc/'`
+		;;
+	-isc)
+		os=-isc2.2
+		basic_machine=`echo $1 | sed -e 's/86-.*/86-pc/'`
+		;;
+	-clix*)
+		basic_machine=clipper-intergraph
+		;;
+	-isc*)
+		basic_machine=`echo $1 | sed -e 's/86-.*/86-pc/'`
+		;;
+	-lynx*178)
+		os=-lynxos178
+		;;
+	-lynx*5)
+		os=-lynxos5
+		;;
+	-lynx*)
+		os=-lynxos
+		;;
+	-ptx*)
+		basic_machine=`echo $1 | sed -e 's/86-.*/86-sequent/'`
+		;;
+	-windowsnt*)
+		os=`echo $os | sed -e 's/windowsnt/winnt/'`
+		;;
+	-psos*)
+		os=-psos
+		;;
+	-mint | -mint[0-9]*)
+		basic_machine=m68k-atari
+		os=-mint
+		;;
+esac
+
+# Decode aliases for certain CPU-COMPANY combinations.
+case $basic_machine in
+	# Recognize the basic CPU types without company name.
+	# Some are omitted here because they have special meanings below.
+	1750a | 580 \
+	| a29k \
+	| aarch64 | aarch64_be \
+	| alpha | alphaev[4-8] | alphaev56 | alphaev6[78] | alphapca5[67] \
+	| alpha64 | alpha64ev[4-8] | alpha64ev56 | alpha64ev6[78] | alpha64pca5[67] \
+	| am33_2.0 \
+	| arc | arm | arm[bl]e | arme[lb] | armv[2345] | armv[345][lb] | avr | avr32 \
+        | be32 | be64 \
+	| bfin \
+	| c4x | clipper \
+	| d10v | d30v | dlx | dsp16xx \
+	| epiphany \
+	| fido | fr30 | frv \
+	| h8300 | h8500 | hppa | hppa1.[01] | hppa2.0 | hppa2.0[nw] | hppa64 \
+	| hexagon \
+	| i370 | i860 | i960 | ia64 \
+	| ip2k | iq2000 \
+	| le32 | le64 \
+	| lm32 \
+	| m32c | m32r | m32rle | m68000 | m68k | m88k \
+	| maxq | mb | microblaze | mcore | mep | metag \
+	| mips | mipsbe | mipseb | mipsel | mipsle \
+	| mips16 \
+	| mips64 | mips64el \
+	| mips64octeon | mips64octeonel \
+	| mips64orion | mips64orionel \
+	| mips64r5900 | mips64r5900el \
+	| mips64vr | mips64vrel \
+	| mips64vr4100 | mips64vr4100el \
+	| mips64vr4300 | mips64vr4300el \
+	| mips64vr5000 | mips64vr5000el \
+	| mips64vr5900 | mips64vr5900el \
+	| mipsisa32 | mipsisa32el \
+	| mipsisa32r2 | mipsisa32r2el \
+	| mipsisa64 | mipsisa64el \
+	| mipsisa64r2 | mipsisa64r2el \
+	| mipsisa64sb1 | mipsisa64sb1el \
+	| mipsisa64sr71k | mipsisa64sr71kel \
+	| mipstx39 | mipstx39el \
+	| mn10200 | mn10300 \
+	| moxie \
+	| mt \
+	| msp430 \
+	| nds32 | nds32le | nds32be \
+	| nios | nios2 \
+	| ns16k | ns32k \
+	| open8 \
+	| or32 \
+	| pdp10 | pdp11 | pj | pjl \
+	| powerpc | powerpc64 | powerpc64le | powerpcle \
+	| pyramid \
+	| rl78 | rx \
+	| score \
+	| sh | sh[1234] | sh[24]a | sh[24]aeb | sh[23]e | sh[34]eb | sheb | shbe | shle | sh[1234]le | sh3ele \
+	| sh64 | sh64le \
+	| sparc | sparc64 | sparc64b | sparc64v | sparc86x | sparclet | sparclite \
+	| sparcv8 | sparcv9 | sparcv9b | sparcv9v \
+	| spu \
+	| tahoe | tic4x | tic54x | tic55x | tic6x | tic80 | tron \
+	| ubicom32 \
+	| v850 | v850e | v850e1 | v850e2 | v850es | v850e2v3 \
+	| we32k \
+	| x86 | xc16x | xstormy16 | xtensa \
+	| z8k | z80)
+		basic_machine=$basic_machine-unknown
+		;;
+	c54x)
+		basic_machine=tic54x-unknown
+		;;
+	c55x)
+		basic_machine=tic55x-unknown
+		;;
+	c6x)
+		basic_machine=tic6x-unknown
+		;;
+	m6811 | m68hc11 | m6812 | m68hc12 | m68hcs12x | picochip)
+		basic_machine=$basic_machine-unknown
+		os=-none
+		;;
+	m88110 | m680[12346]0 | m683?2 | m68360 | m5200 | v70 | w65 | z8k)
+		;;
+	ms1)
+		basic_machine=mt-unknown
+		;;
+
+	strongarm | thumb | xscale)
+		basic_machine=arm-unknown
+		;;
+	xgate)
+		basic_machine=$basic_machine-unknown
+		os=-none
+		;;
+	xscaleeb)
+		basic_machine=armeb-unknown
+		;;
+
+	xscaleel)
+		basic_machine=armel-unknown
+		;;
+
+	# We use `pc' rather than `unknown'
+	# because (1) that's what they normally are, and
+	# (2) the word "unknown" tends to confuse beginning users.
+	i*86 | x86_64)
+	  basic_machine=$basic_machine-pc
+	  ;;
+	# Object if more than one company name word.
+	*-*-*)
+		echo Invalid configuration \`$1\': machine \`$basic_machine\' not recognized 1>&2
+		exit 1
+		;;
+	# Recognize the basic CPU types with company name.
+	580-* \
+	| a29k-* \
+	| aarch64-* | aarch64_be-* \
+	| alpha-* | alphaev[4-8]-* | alphaev56-* | alphaev6[78]-* \
+	| alpha64-* | alpha64ev[4-8]-* | alpha64ev56-* | alpha64ev6[78]-* \
+	| alphapca5[67]-* | alpha64pca5[67]-* | arc-* \
+	| arm-*  | armbe-* | armle-* | armeb-* | armv*-* \
+	| avr-* | avr32-* \
+	| be32-* | be64-* \
+	| bfin-* | bs2000-* \
+	| c[123]* | c30-* | [cjt]90-* | c4x-* \
+	| clipper-* | craynv-* | cydra-* \
+	| d10v-* | d30v-* | dlx-* \
+	| elxsi-* \
+	| f30[01]-* | f700-* | fido-* | fr30-* | frv-* | fx80-* \
+	| h8300-* | h8500-* \
+	| hppa-* | hppa1.[01]-* | hppa2.0-* | hppa2.0[nw]-* | hppa64-* \
+	| hexagon-* \
+	| i*86-* | i860-* | i960-* | ia64-* \
+	| ip2k-* | iq2000-* \
+	| le32-* | le64-* \
+	| lm32-* \
+	| m32c-* | m32r-* | m32rle-* \
+	| m68000-* | m680[012346]0-* | m68360-* | m683?2-* | m68k-* \
+	| m88110-* | m88k-* | maxq-* | mcore-* | metag-* | microblaze-* \
+	| mips-* | mipsbe-* | mipseb-* | mipsel-* | mipsle-* \
+	| mips16-* \
+	| mips64-* | mips64el-* \
+	| mips64octeon-* | mips64octeonel-* \
+	| mips64orion-* | mips64orionel-* \
+	| mips64r5900-* | mips64r5900el-* \
+	| mips64vr-* | mips64vrel-* \
+	| mips64vr4100-* | mips64vr4100el-* \
+	| mips64vr4300-* | mips64vr4300el-* \
+	| mips64vr5000-* | mips64vr5000el-* \
+	| mips64vr5900-* | mips64vr5900el-* \
+	| mipsisa32-* | mipsisa32el-* \
+	| mipsisa32r2-* | mipsisa32r2el-* \
+	| mipsisa64-* | mipsisa64el-* \
+	| mipsisa64r2-* | mipsisa64r2el-* \
+	| mipsisa64sb1-* | mipsisa64sb1el-* \
+	| mipsisa64sr71k-* | mipsisa64sr71kel-* \
+	| mipstx39-* | mipstx39el-* \
+	| mmix-* \
+	| mt-* \
+	| msp430-* \
+	| nds32-* | nds32le-* | nds32be-* \
+	| nios-* | nios2-* \
+	| none-* | np1-* | ns16k-* | ns32k-* \
+	| open8-* \
+	| orion-* \
+	| pdp10-* | pdp11-* | pj-* | pjl-* | pn-* | power-* \
+	| powerpc-* | powerpc64-* | powerpc64le-* | powerpcle-* \
+	| pyramid-* \
+	| rl78-* | romp-* | rs6000-* | rx-* \
+	| sh-* | sh[1234]-* | sh[24]a-* | sh[24]aeb-* | sh[23]e-* | sh[34]eb-* | sheb-* | shbe-* \
+	| shle-* | sh[1234]le-* | sh3ele-* | sh64-* | sh64le-* \
+	| sparc-* | sparc64-* | sparc64b-* | sparc64v-* | sparc86x-* | sparclet-* \
+	| sparclite-* \
+	| sparcv8-* | sparcv9-* | sparcv9b-* | sparcv9v-* | sv1-* | sx?-* \
+	| tahoe-* \
+	| tic30-* | tic4x-* | tic54x-* | tic55x-* | tic6x-* | tic80-* \
+	| tile*-* \
+	| tron-* \
+	| ubicom32-* \
+	| v850-* | v850e-* | v850e1-* | v850es-* | v850e2-* | v850e2v3-* \
+	| vax-* \
+	| we32k-* \
+	| x86-* | x86_64-* | xc16x-* | xps100-* \
+	| xstormy16-* | xtensa*-* \
+	| ymp-* \
+	| z8k-* | z80-*)
+		;;
+	# Recognize the basic CPU types without company name, with glob match.
+	xtensa*)
+		basic_machine=$basic_machine-unknown
+		;;
+	# Recognize the various machine names and aliases which stand
+	# for a CPU type and a company and sometimes even an OS.
+	386bsd)
+		basic_machine=i386-unknown
+		os=-bsd
+		;;
+	3b1 | 7300 | 7300-att | att-7300 | pc7300 | safari | unixpc)
+		basic_machine=m68000-att
+		;;
+	3b*)
+		basic_machine=we32k-att
+		;;
+	a29khif)
+		basic_machine=a29k-amd
+		os=-udi
+		;;
+	abacus)
+		basic_machine=abacus-unknown
+		;;
+	adobe68k)
+		basic_machine=m68010-adobe
+		os=-scout
+		;;
+	alliant | fx80)
+		basic_machine=fx80-alliant
+		;;
+	altos | altos3068)
+		basic_machine=m68k-altos
+		;;
+	am29k)
+		basic_machine=a29k-none
+		os=-bsd
+		;;
+	amd64)
+		basic_machine=x86_64-pc
+		;;
+	amd64-*)
+		basic_machine=x86_64-`echo $basic_machine | sed 's/^[^-]*-//'`
+		;;
+	amdahl)
+		basic_machine=580-amdahl
+		os=-sysv
+		;;
+	amiga | amiga-*)
+		basic_machine=m68k-unknown
+		;;
+	amigaos | amigados)
+		basic_machine=m68k-unknown
+		os=-amigaos
+		;;
+	amigaunix | amix)
+		basic_machine=m68k-unknown
+		os=-sysv4
+		;;
+	apollo68)
+		basic_machine=m68k-apollo
+		os=-sysv
+		;;
+	apollo68bsd)
+		basic_machine=m68k-apollo
+		os=-bsd
+		;;
+	aros)
+		basic_machine=i386-pc
+		os=-aros
+		;;
+	aux)
+		basic_machine=m68k-apple
+		os=-aux
+		;;
+	balance)
+		basic_machine=ns32k-sequent
+		os=-dynix
+		;;
+	blackfin)
+		basic_machine=bfin-unknown
+		os=-linux
+		;;
+	blackfin-*)
+		basic_machine=bfin-`echo $basic_machine | sed 's/^[^-]*-//'`
+		os=-linux
+		;;
+	bluegene*)
+		basic_machine=powerpc-ibm
+		os=-cnk
+		;;
+	c54x-*)
+		basic_machine=tic54x-`echo $basic_machine | sed 's/^[^-]*-//'`
+		;;
+	c55x-*)
+		basic_machine=tic55x-`echo $basic_machine | sed 's/^[^-]*-//'`
+		;;
+	c6x-*)
+		basic_machine=tic6x-`echo $basic_machine | sed 's/^[^-]*-//'`
+		;;
+	c90)
+		basic_machine=c90-cray
+		os=-unicos
+		;;
+	cegcc)
+		basic_machine=arm-unknown
+		os=-cegcc
+		;;
+	convex-c1)
+		basic_machine=c1-convex
+		os=-bsd
+		;;
+	convex-c2)
+		basic_machine=c2-convex
+		os=-bsd
+		;;
+	convex-c32)
+		basic_machine=c32-convex
+		os=-bsd
+		;;
+	convex-c34)
+		basic_machine=c34-convex
+		os=-bsd
+		;;
+	convex-c38)
+		basic_machine=c38-convex
+		os=-bsd
+		;;
+	cray | j90)
+		basic_machine=j90-cray
+		os=-unicos
+		;;
+	craynv)
+		basic_machine=craynv-cray
+		os=-unicosmp
+		;;
+	cr16 | cr16-*)
+		basic_machine=cr16-unknown
+		os=-elf
+		;;
+	crds | unos)
+		basic_machine=m68k-crds
+		;;
+	crisv32 | crisv32-* | etraxfs*)
+		basic_machine=crisv32-axis
+		;;
+	cris | cris-* | etrax*)
+		basic_machine=cris-axis
+		;;
+	crx)
+		basic_machine=crx-unknown
+		os=-elf
+		;;
+	da30 | da30-*)
+		basic_machine=m68k-da30
+		;;
+	decstation | decstation-3100 | pmax | pmax-* | pmin | dec3100 | decstatn)
+		basic_machine=mips-dec
+		;;
+	decsystem10* | dec10*)
+		basic_machine=pdp10-dec
+		os=-tops10
+		;;
+	decsystem20* | dec20*)
+		basic_machine=pdp10-dec
+		os=-tops20
+		;;
+	delta | 3300 | motorola-3300 | motorola-delta \
+	      | 3300-motorola | delta-motorola)
+		basic_machine=m68k-motorola
+		;;
+	delta88)
+		basic_machine=m88k-motorola
+		os=-sysv3
+		;;
+	dicos)
+		basic_machine=i686-pc
+		os=-dicos
+		;;
+	djgpp)
+		basic_machine=i586-pc
+		os=-msdosdjgpp
+		;;
+	dpx20 | dpx20-*)
+		basic_machine=rs6000-bull
+		os=-bosx
+		;;
+	dpx2* | dpx2*-bull)
+		basic_machine=m68k-bull
+		os=-sysv3
+		;;
+	ebmon29k)
+		basic_machine=a29k-amd
+		os=-ebmon
+		;;
+	elxsi)
+		basic_machine=elxsi-elxsi
+		os=-bsd
+		;;
+	encore | umax | mmax)
+		basic_machine=ns32k-encore
+		;;
+	es1800 | OSE68k | ose68k | ose | OSE)
+		basic_machine=m68k-ericsson
+		os=-ose
+		;;
+	fx2800)
+		basic_machine=i860-alliant
+		;;
+	genix)
+		basic_machine=ns32k-ns
+		;;
+	gmicro)
+		basic_machine=tron-gmicro
+		os=-sysv
+		;;
+	go32)
+		basic_machine=i386-pc
+		os=-go32
+		;;
+	h3050r* | hiux*)
+		basic_machine=hppa1.1-hitachi
+		os=-hiuxwe2
+		;;
+	h8300hms)
+		basic_machine=h8300-hitachi
+		os=-hms
+		;;
+	h8300xray)
+		basic_machine=h8300-hitachi
+		os=-xray
+		;;
+	h8500hms)
+		basic_machine=h8500-hitachi
+		os=-hms
+		;;
+	harris)
+		basic_machine=m88k-harris
+		os=-sysv3
+		;;
+	hp300-*)
+		basic_machine=m68k-hp
+		;;
+	hp300bsd)
+		basic_machine=m68k-hp
+		os=-bsd
+		;;
+	hp300hpux)
+		basic_machine=m68k-hp
+		os=-hpux
+		;;
+	hp3k9[0-9][0-9] | hp9[0-9][0-9])
+		basic_machine=hppa1.0-hp
+		;;
+	hp9k2[0-9][0-9] | hp9k31[0-9])
+		basic_machine=m68000-hp
+		;;
+	hp9k3[2-9][0-9])
+		basic_machine=m68k-hp
+		;;
+	hp9k6[0-9][0-9] | hp6[0-9][0-9])
+		basic_machine=hppa1.0-hp
+		;;
+	hp9k7[0-79][0-9] | hp7[0-79][0-9])
+		basic_machine=hppa1.1-hp
+		;;
+	hp9k78[0-9] | hp78[0-9])
+		# FIXME: really hppa2.0-hp
+		basic_machine=hppa1.1-hp
+		;;
+	hp9k8[67]1 | hp8[67]1 | hp9k80[24] | hp80[24] | hp9k8[78]9 | hp8[78]9 | hp9k893 | hp893)
+		# FIXME: really hppa2.0-hp
+		basic_machine=hppa1.1-hp
+		;;
+	hp9k8[0-9][13679] | hp8[0-9][13679])
+		basic_machine=hppa1.1-hp
+		;;
+	hp9k8[0-9][0-9] | hp8[0-9][0-9])
+		basic_machine=hppa1.0-hp
+		;;
+	hppa-next)
+		os=-nextstep3
+		;;
+	hppaosf)
+		basic_machine=hppa1.1-hp
+		os=-osf
+		;;
+	hppro)
+		basic_machine=hppa1.1-hp
+		os=-proelf
+		;;
+	i370-ibm* | ibm*)
+		basic_machine=i370-ibm
+		;;
+	i*86v32)
+		basic_machine=`echo $1 | sed -e 's/86.*/86-pc/'`
+		os=-sysv32
+		;;
+	i*86v4*)
+		basic_machine=`echo $1 | sed -e 's/86.*/86-pc/'`
+		os=-sysv4
+		;;
+	i*86v)
+		basic_machine=`echo $1 | sed -e 's/86.*/86-pc/'`
+		os=-sysv
+		;;
+	i*86sol2)
+		basic_machine=`echo $1 | sed -e 's/86.*/86-pc/'`
+		os=-solaris2
+		;;
+	i386mach)
+		basic_machine=i386-mach
+		os=-mach
+		;;
+	i386-vsta | vsta)
+		basic_machine=i386-unknown
+		os=-vsta
+		;;
+	iris | iris4d)
+		basic_machine=mips-sgi
+		case $os in
+		    -irix*)
+			;;
+		    *)
+			os=-irix4
+			;;
+		esac
+		;;
+	isi68 | isi)
+		basic_machine=m68k-isi
+		os=-sysv
+		;;
+	m68knommu)
+		basic_machine=m68k-unknown
+		os=-linux
+		;;
+	m68knommu-*)
+		basic_machine=m68k-`echo $basic_machine | sed 's/^[^-]*-//'`
+		os=-linux
+		;;
+	m88k-omron*)
+		basic_machine=m88k-omron
+		;;
+	magnum | m3230)
+		basic_machine=mips-mips
+		os=-sysv
+		;;
+	merlin)
+		basic_machine=ns32k-utek
+		os=-sysv
+		;;
+	microblaze)
+		basic_machine=microblaze-xilinx
+		;;
+	mingw32)
+		basic_machine=i386-pc
+		os=-mingw32
+		;;
+	mingw32ce)
+		basic_machine=arm-unknown
+		os=-mingw32ce
+		;;
+	miniframe)
+		basic_machine=m68000-convergent
+		;;
+	*mint | -mint[0-9]* | *MiNT | *MiNT[0-9]*)
+		basic_machine=m68k-atari
+		os=-mint
+		;;
+	mips3*-*)
+		basic_machine=`echo $basic_machine | sed -e 's/mips3/mips64/'`
+		;;
+	mips3*)
+		basic_machine=`echo $basic_machine | sed -e 's/mips3/mips64/'`-unknown
+		;;
+	monitor)
+		basic_machine=m68k-rom68k
+		os=-coff
+		;;
+	morphos)
+		basic_machine=powerpc-unknown
+		os=-morphos
+		;;
+	msdos)
+		basic_machine=i386-pc
+		os=-msdos
+		;;
+	ms1-*)
+		basic_machine=`echo $basic_machine | sed -e 's/ms1-/mt-/'`
+		;;
+	msys)
+		basic_machine=i386-pc
+		os=-msys
+		;;
+	mvs)
+		basic_machine=i370-ibm
+		os=-mvs
+		;;
+	nacl)
+		basic_machine=le32-unknown
+		os=-nacl
+		;;
+	ncr3000)
+		basic_machine=i486-ncr
+		os=-sysv4
+		;;
+	netbsd386)
+		basic_machine=i386-unknown
+		os=-netbsd
+		;;
+	netwinder)
+		basic_machine=armv4l-rebel
+		os=-linux
+		;;
+	news | news700 | news800 | news900)
+		basic_machine=m68k-sony
+		os=-newsos
+		;;
+	news1000)
+		basic_machine=m68030-sony
+		os=-newsos
+		;;
+	news-3600 | risc-news)
+		basic_machine=mips-sony
+		os=-newsos
+		;;
+	necv70)
+		basic_machine=v70-nec
+		os=-sysv
+		;;
+	next | m*-next )
+		basic_machine=m68k-next
+		case $os in
+		    -nextstep* )
+			;;
+		    -ns2*)
+		      os=-nextstep2
+			;;
+		    *)
+		      os=-nextstep3
+			;;
+		esac
+		;;
+	nh3000)
+		basic_machine=m68k-harris
+		os=-cxux
+		;;
+	nh[45]000)
+		basic_machine=m88k-harris
+		os=-cxux
+		;;
+	nindy960)
+		basic_machine=i960-intel
+		os=-nindy
+		;;
+	mon960)
+		basic_machine=i960-intel
+		os=-mon960
+		;;
+	nonstopux)
+		basic_machine=mips-compaq
+		os=-nonstopux
+		;;
+	np1)
+		basic_machine=np1-gould
+		;;
+	neo-tandem)
+		basic_machine=neo-tandem
+		;;
+	nse-tandem)
+		basic_machine=nse-tandem
+		;;
+	nsr-tandem)
+		basic_machine=nsr-tandem
+		;;
+	op50n-* | op60c-*)
+		basic_machine=hppa1.1-oki
+		os=-proelf
+		;;
+	openrisc | openrisc-*)
+		basic_machine=or32-unknown
+		;;
+	os400)
+		basic_machine=powerpc-ibm
+		os=-os400
+		;;
+	OSE68000 | ose68000)
+		basic_machine=m68000-ericsson
+		os=-ose
+		;;
+	os68k)
+		basic_machine=m68k-none
+		os=-os68k
+		;;
+	pa-hitachi)
+		basic_machine=hppa1.1-hitachi
+		os=-hiuxwe2
+		;;
+	paragon)
+		basic_machine=i860-intel
+		os=-osf
+		;;
+	parisc)
+		basic_machine=hppa-unknown
+		os=-linux
+		;;
+	parisc-*)
+		basic_machine=hppa-`echo $basic_machine | sed 's/^[^-]*-//'`
+		os=-linux
+		;;
+	pbd)
+		basic_machine=sparc-tti
+		;;
+	pbb)
+		basic_machine=m68k-tti
+		;;
+	pc532 | pc532-*)
+		basic_machine=ns32k-pc532
+		;;
+	pc98)
+		basic_machine=i386-pc
+		;;
+	pc98-*)
+		basic_machine=i386-`echo $basic_machine | sed 's/^[^-]*-//'`
+		;;
+	pentium | p5 | k5 | k6 | nexgen | viac3)
+		basic_machine=i586-pc
+		;;
+	pentiumpro | p6 | 6x86 | athlon | athlon_*)
+		basic_machine=i686-pc
+		;;
+	pentiumii | pentium2 | pentiumiii | pentium3)
+		basic_machine=i686-pc
+		;;
+	pentium4)
+		basic_machine=i786-pc
+		;;
+	pentium-* | p5-* | k5-* | k6-* | nexgen-* | viac3-*)
+		basic_machine=i586-`echo $basic_machine | sed 's/^[^-]*-//'`
+		;;
+	pentiumpro-* | p6-* | 6x86-* | athlon-*)
+		basic_machine=i686-`echo $basic_machine | sed 's/^[^-]*-//'`
+		;;
+	pentiumii-* | pentium2-* | pentiumiii-* | pentium3-*)
+		basic_machine=i686-`echo $basic_machine | sed 's/^[^-]*-//'`
+		;;
+	pentium4-*)
+		basic_machine=i786-`echo $basic_machine | sed 's/^[^-]*-//'`
+		;;
+	pn)
+		basic_machine=pn-gould
+		;;
+	power)	basic_machine=power-ibm
+		;;
+	ppc | ppcbe)	basic_machine=powerpc-unknown
+		;;
+	ppc-* | ppcbe-*)
+		basic_machine=powerpc-`echo $basic_machine | sed 's/^[^-]*-//'`
+		;;
+	ppcle | powerpclittle | ppc-le | powerpc-little)
+		basic_machine=powerpcle-unknown
+		;;
+	ppcle-* | powerpclittle-*)
+		basic_machine=powerpcle-`echo $basic_machine | sed 's/^[^-]*-//'`
+		;;
+	ppc64)	basic_machine=powerpc64-unknown
+		;;
+	ppc64-*) basic_machine=powerpc64-`echo $basic_machine | sed 's/^[^-]*-//'`
+		;;
+	ppc64le | powerpc64little | ppc64-le | powerpc64-little)
+		basic_machine=powerpc64le-unknown
+		;;
+	ppc64le-* | powerpc64little-*)
+		basic_machine=powerpc64le-`echo $basic_machine | sed 's/^[^-]*-//'`
+		;;
+	ps2)
+		basic_machine=i386-ibm
+		;;
+	pw32)
+		basic_machine=i586-unknown
+		os=-pw32
+		;;
+	rdos)
+		basic_machine=i386-pc
+		os=-rdos
+		;;
+	rom68k)
+		basic_machine=m68k-rom68k
+		os=-coff
+		;;
+	rm[46]00)
+		basic_machine=mips-siemens
+		;;
+	rtpc | rtpc-*)
+		basic_machine=romp-ibm
+		;;
+	s390 | s390-*)
+		basic_machine=s390-ibm
+		;;
+	s390x | s390x-*)
+		basic_machine=s390x-ibm
+		;;
+	sa29200)
+		basic_machine=a29k-amd
+		os=-udi
+		;;
+	sb1)
+		basic_machine=mipsisa64sb1-unknown
+		;;
+	sb1el)
+		basic_machine=mipsisa64sb1el-unknown
+		;;
+	sde)
+		basic_machine=mipsisa32-sde
+		os=-elf
+		;;
+	sei)
+		basic_machine=mips-sei
+		os=-seiux
+		;;
+	sequent)
+		basic_machine=i386-sequent
+		;;
+	sh)
+		basic_machine=sh-hitachi
+		os=-hms
+		;;
+	sh5el)
+		basic_machine=sh5le-unknown
+		;;
+	sh64)
+		basic_machine=sh64-unknown
+		;;
+	sparclite-wrs | simso-wrs)
+		basic_machine=sparclite-wrs
+		os=-vxworks
+		;;
+	sps7)
+		basic_machine=m68k-bull
+		os=-sysv2
+		;;
+	spur)
+		basic_machine=spur-unknown
+		;;
+	st2000)
+		basic_machine=m68k-tandem
+		;;
+	stratus)
+		basic_machine=i860-stratus
+		os=-sysv4
+		;;
+	strongarm-* | thumb-*)
+		basic_machine=arm-`echo $basic_machine | sed 's/^[^-]*-//'`
+		;;
+	sun2)
+		basic_machine=m68000-sun
+		;;
+	sun2os3)
+		basic_machine=m68000-sun
+		os=-sunos3
+		;;
+	sun2os4)
+		basic_machine=m68000-sun
+		os=-sunos4
+		;;
+	sun3os3)
+		basic_machine=m68k-sun
+		os=-sunos3
+		;;
+	sun3os4)
+		basic_machine=m68k-sun
+		os=-sunos4
+		;;
+	sun4os3)
+		basic_machine=sparc-sun
+		os=-sunos3
+		;;
+	sun4os4)
+		basic_machine=sparc-sun
+		os=-sunos4
+		;;
+	sun4sol2)
+		basic_machine=sparc-sun
+		os=-solaris2
+		;;
+	sun3 | sun3-*)
+		basic_machine=m68k-sun
+		;;
+	sun4)
+		basic_machine=sparc-sun
+		;;
+	sun386 | sun386i | roadrunner)
+		basic_machine=i386-sun
+		;;
+	sv1)
+		basic_machine=sv1-cray
+		os=-unicos
+		;;
+	symmetry)
+		basic_machine=i386-sequent
+		os=-dynix
+		;;
+	t3e)
+		basic_machine=alphaev5-cray
+		os=-unicos
+		;;
+	t90)
+		basic_machine=t90-cray
+		os=-unicos
+		;;
+	tile*)
+		basic_machine=$basic_machine-unknown
+		os=-linux-gnu
+		;;
+	tx39)
+		basic_machine=mipstx39-unknown
+		;;
+	tx39el)
+		basic_machine=mipstx39el-unknown
+		;;
+	toad1)
+		basic_machine=pdp10-xkl
+		os=-tops20
+		;;
+	tower | tower-32)
+		basic_machine=m68k-ncr
+		;;
+	tpf)
+		basic_machine=s390x-ibm
+		os=-tpf
+		;;
+	udi29k)
+		basic_machine=a29k-amd
+		os=-udi
+		;;
+	ultra3)
+		basic_machine=a29k-nyu
+		os=-sym1
+		;;
+	v810 | necv810)
+		basic_machine=v810-nec
+		os=-none
+		;;
+	vaxv)
+		basic_machine=vax-dec
+		os=-sysv
+		;;
+	vms)
+		basic_machine=vax-dec
+		os=-vms
+		;;
+	vpp*|vx|vx-*)
+		basic_machine=f301-fujitsu
+		;;
+	vxworks960)
+		basic_machine=i960-wrs
+		os=-vxworks
+		;;
+	vxworks68)
+		basic_machine=m68k-wrs
+		os=-vxworks
+		;;
+	vxworks29k)
+		basic_machine=a29k-wrs
+		os=-vxworks
+		;;
+	w65*)
+		basic_machine=w65-wdc
+		os=-none
+		;;
+	w89k-*)
+		basic_machine=hppa1.1-winbond
+		os=-proelf
+		;;
+	xbox)
+		basic_machine=i686-pc
+		os=-mingw32
+		;;
+	xps | xps100)
+		basic_machine=xps100-honeywell
+		;;
+	xscale-* | xscalee[bl]-*)
+		basic_machine=`echo $basic_machine | sed 's/^xscale/arm/'`
+		;;
+	ymp)
+		basic_machine=ymp-cray
+		os=-unicos
+		;;
+	z8k-*-coff)
+		basic_machine=z8k-unknown
+		os=-sim
+		;;
+	z80-*-coff)
+		basic_machine=z80-unknown
+		os=-sim
+		;;
+	none)
+		basic_machine=none-none
+		os=-none
+		;;
+
+# Here we handle the default manufacturer of certain CPU types.  It is in
+# some cases the only manufacturer, in others, it is the most popular.
+	w89k)
+		basic_machine=hppa1.1-winbond
+		;;
+	op50n)
+		basic_machine=hppa1.1-oki
+		;;
+	op60c)
+		basic_machine=hppa1.1-oki
+		;;
+	romp)
+		basic_machine=romp-ibm
+		;;
+	mmix)
+		basic_machine=mmix-knuth
+		;;
+	rs6000)
+		basic_machine=rs6000-ibm
+		;;
+	vax)
+		basic_machine=vax-dec
+		;;
+	pdp10)
+		# there are many clones, so DEC is not a safe bet
+		basic_machine=pdp10-unknown
+		;;
+	pdp11)
+		basic_machine=pdp11-dec
+		;;
+	we32k)
+		basic_machine=we32k-att
+		;;
+	sh[1234] | sh[24]a | sh[24]aeb | sh[34]eb | sh[1234]le | sh[23]ele)
+		basic_machine=sh-unknown
+		;;
+	sparc | sparcv8 | sparcv9 | sparcv9b | sparcv9v)
+		basic_machine=sparc-sun
+		;;
+	cydra)
+		basic_machine=cydra-cydrome
+		;;
+	orion)
+		basic_machine=orion-highlevel
+		;;
+	orion105)
+		basic_machine=clipper-highlevel
+		;;
+	mac | mpw | mac-mpw)
+		basic_machine=m68k-apple
+		;;
+	pmac | pmac-mpw)
+		basic_machine=powerpc-apple
+		;;
+	*-unknown)
+		# Make sure to match an already-canonicalized machine name.
+		;;
+	*)
+		echo Invalid configuration \`$1\': machine \`$basic_machine\' not recognized 1>&2
+		exit 1
+		;;
+esac
+
+# Here we canonicalize certain aliases for manufacturers.
+case $basic_machine in
+	*-digital*)
+		basic_machine=`echo $basic_machine | sed 's/digital.*/dec/'`
+		;;
+	*-commodore*)
+		basic_machine=`echo $basic_machine | sed 's/commodore.*/cbm/'`
+		;;
+	*)
+		;;
+esac
+
+# Decode manufacturer-specific aliases for certain operating systems.
+
+if [ x"$os" != x"" ]
+then
+case $os in
+	# First match some system type aliases
+	# that might get confused with valid system types.
+	# -solaris* is a basic system type, with this one exception.
+	-auroraux)
+		os=-auroraux
+		;;
+	-solaris1 | -solaris1.*)
+		os=`echo $os | sed -e 's|solaris1|sunos4|'`
+		;;
+	-solaris)
+		os=-solaris2
+		;;
+	-svr4*)
+		os=-sysv4
+		;;
+	-unixware*)
+		os=-sysv4.2uw
+		;;
+	-gnu/linux*)
+		os=`echo $os | sed -e 's|gnu/linux|linux-gnu|'`
+		;;
+	# First accept the basic system types.
+	# The portable systems comes first.
+	# Each alternative MUST END IN A *, to match a version number.
+	# -sysv* is not here because it comes later, after sysvr4.
+	-gnu* | -bsd* | -mach* | -minix* | -genix* | -ultrix* | -irix* \
+	      | -*vms* | -sco* | -esix* | -isc* | -aix* | -cnk* | -sunos | -sunos[34]*\
+	      | -hpux* | -unos* | -osf* | -luna* | -dgux* | -auroraux* | -solaris* \
+	      | -sym* | -kopensolaris* \
+	      | -amigaos* | -amigados* | -msdos* | -newsos* | -unicos* | -aof* \
+	      | -aos* | -aros* \
+	      | -nindy* | -vxsim* | -vxworks* | -ebmon* | -hms* | -mvs* \
+	      | -clix* | -riscos* | -uniplus* | -iris* | -rtu* | -xenix* \
+	      | -hiux* | -386bsd* | -knetbsd* | -mirbsd* | -netbsd* \
+	      | -openbsd* | -solidbsd* \
+	      | -ekkobsd* | -kfreebsd* | -freebsd* | -riscix* | -lynxos* \
+	      | -bosx* | -nextstep* | -cxux* | -aout* | -elf* | -oabi* \
+	      | -ptx* | -coff* | -ecoff* | -winnt* | -domain* | -vsta* \
+	      | -udi* | -eabi* | -lites* | -ieee* | -go32* | -aux* \
+	      | -chorusos* | -chorusrdb* | -cegcc* \
+	      | -cygwin* | -msys* | -pe* | -psos* | -moss* | -proelf* | -rtems* \
+	      | -mingw32* | -linux-gnu* | -linux-android* \
+	      | -linux-newlib* | -linux-uclibc* \
+	      | -uxpv* | -beos* | -mpeix* | -udk* \
+	      | -interix* | -uwin* | -mks* | -rhapsody* | -darwin* | -opened* \
+	      | -openstep* | -oskit* | -conix* | -pw32* | -nonstopux* \
+	      | -storm-chaos* | -tops10* | -tenex* | -tops20* | -its* \
+	      | -os2* | -vos* | -palmos* | -uclinux* | -nucleus* \
+	      | -morphos* | -superux* | -rtmk* | -rtmk-nova* | -windiss* \
+	      | -powermax* | -dnix* | -nx6 | -nx7 | -sei* | -dragonfly* \
+	      | -skyos* | -haiku* | -rdos* | -toppers* | -drops* | -es*)
+	# Remember, each alternative MUST END IN *, to match a version number.
+		;;
+	-qnx*)
+		case $basic_machine in
+		    x86-* | i*86-*)
+			;;
+		    *)
+			os=-nto$os
+			;;
+		esac
+		;;
+	-nto-qnx*)
+		;;
+	-nto*)
+		os=`echo $os | sed -e 's|nto|nto-qnx|'`
+		;;
+	-sim | -es1800* | -hms* | -xray | -os68k* | -none* | -v88r* \
+	      | -windows* | -osx | -abug | -netware* | -os9* | -beos* | -haiku* \
+	      | -macos* | -mpw* | -magic* | -mmixware* | -mon960* | -lnews*)
+		;;
+	-mac*)
+		os=`echo $os | sed -e 's|mac|macos|'`
+		;;
+	-linux-dietlibc)
+		os=-linux-dietlibc
+		;;
+	-linux*)
+		os=`echo $os | sed -e 's|linux|linux-gnu|'`
+		;;
+	-sunos5*)
+		os=`echo $os | sed -e 's|sunos5|solaris2|'`
+		;;
+	-sunos6*)
+		os=`echo $os | sed -e 's|sunos6|solaris3|'`
+		;;
+	-opened*)
+		os=-openedition
+		;;
+	-os400*)
+		os=-os400
+		;;
+	-wince*)
+		os=-wince
+		;;
+	-osfrose*)
+		os=-osfrose
+		;;
+	-osf*)
+		os=-osf
+		;;
+	-utek*)
+		os=-bsd
+		;;
+	-dynix*)
+		os=-bsd
+		;;
+	-acis*)
+		os=-aos
+		;;
+	-atheos*)
+		os=-atheos
+		;;
+	-syllable*)
+		os=-syllable
+		;;
+	-386bsd)
+		os=-bsd
+		;;
+	-ctix* | -uts*)
+		os=-sysv
+		;;
+	-nova*)
+		os=-rtmk-nova
+		;;
+	-ns2 )
+		os=-nextstep2
+		;;
+	-nsk*)
+		os=-nsk
+		;;
+	# Preserve the version number of sinix5.
+	-sinix5.*)
+		os=`echo $os | sed -e 's|sinix|sysv|'`
+		;;
+	-sinix*)
+		os=-sysv4
+		;;
+	-tpf*)
+		os=-tpf
+		;;
+	-triton*)
+		os=-sysv3
+		;;
+	-oss*)
+		os=-sysv3
+		;;
+	-svr4)
+		os=-sysv4
+		;;
+	-svr3)
+		os=-sysv3
+		;;
+	-sysvr4)
+		os=-sysv4
+		;;
+	# This must come after -sysvr4.
+	-sysv*)
+		;;
+	-ose*)
+		os=-ose
+		;;
+	-es1800*)
+		os=-ose
+		;;
+	-xenix)
+		os=-xenix
+		;;
+	-*mint | -mint[0-9]* | -*MiNT | -MiNT[0-9]*)
+		os=-mint
+		;;
+	-aros*)
+		os=-aros
+		;;
+	-kaos*)
+		os=-kaos
+		;;
+	-zvmoe)
+		os=-zvmoe
+		;;
+	-dicos*)
+		os=-dicos
+		;;
+	-nacl*)
+		;;
+	-none)
+		;;
+	*)
+		# Get rid of the `-' at the beginning of $os.
+		os=`echo $os | sed 's/[^-]*-//'`
+		echo Invalid configuration \`$1\': system \`$os\' not recognized 1>&2
+		exit 1
+		;;
+esac
+else
+
+# Here we handle the default operating systems that come with various machines.
+# The value should be what the vendor currently ships out the door with their
+# machine or put another way, the most popular os provided with the machine.
+
+# Note that if you're going to try to match "-MANUFACTURER" here (say,
+# "-sun"), then you have to tell the case statement up towards the top
+# that MANUFACTURER isn't an operating system.  Otherwise, code above
+# will signal an error saying that MANUFACTURER isn't an operating
+# system, and we'll never get to this point.
+
+case $basic_machine in
+	score-*)
+		os=-elf
+		;;
+	spu-*)
+		os=-elf
+		;;
+	*-acorn)
+		os=-riscix1.2
+		;;
+	arm*-rebel)
+		os=-linux
+		;;
+	arm*-semi)
+		os=-aout
+		;;
+	c4x-* | tic4x-*)
+		os=-coff
+		;;
+	hexagon-*)
+		os=-elf
+		;;
+	tic54x-*)
+		os=-coff
+		;;
+	tic55x-*)
+		os=-coff
+		;;
+	tic6x-*)
+		os=-coff
+		;;
+	# This must come before the *-dec entry.
+	pdp10-*)
+		os=-tops20
+		;;
+	pdp11-*)
+		os=-none
+		;;
+	*-dec | vax-*)
+		os=-ultrix4.2
+		;;
+	m68*-apollo)
+		os=-domain
+		;;
+	i386-sun)
+		os=-sunos4.0.2
+		;;
+	m68000-sun)
+		os=-sunos3
+		;;
+	m68*-cisco)
+		os=-aout
+		;;
+	mep-*)
+		os=-elf
+		;;
+	mips*-cisco)
+		os=-elf
+		;;
+	mips*-*)
+		os=-elf
+		;;
+	or32-*)
+		os=-coff
+		;;
+	*-tti)	# must be before sparc entry or we get the wrong os.
+		os=-sysv3
+		;;
+	sparc-* | *-sun)
+		os=-sunos4.1.1
+		;;
+	*-be)
+		os=-beos
+		;;
+	*-haiku)
+		os=-haiku
+		;;
+	*-ibm)
+		os=-aix
+		;;
+	*-knuth)
+		os=-mmixware
+		;;
+	*-wec)
+		os=-proelf
+		;;
+	*-winbond)
+		os=-proelf
+		;;
+	*-oki)
+		os=-proelf
+		;;
+	*-hp)
+		os=-hpux
+		;;
+	*-hitachi)
+		os=-hiux
+		;;
+	i860-* | *-att | *-ncr | *-altos | *-motorola | *-convergent)
+		os=-sysv
+		;;
+	*-cbm)
+		os=-amigaos
+		;;
+	*-dg)
+		os=-dgux
+		;;
+	*-dolphin)
+		os=-sysv3
+		;;
+	m68k-ccur)
+		os=-rtu
+		;;
+	m88k-omron*)
+		os=-luna
+		;;
+	*-next )
+		os=-nextstep
+		;;
+	*-sequent)
+		os=-ptx
+		;;
+	*-crds)
+		os=-unos
+		;;
+	*-ns)
+		os=-genix
+		;;
+	i370-*)
+		os=-mvs
+		;;
+	*-next)
+		os=-nextstep3
+		;;
+	*-gould)
+		os=-sysv
+		;;
+	*-highlevel)
+		os=-bsd
+		;;
+	*-encore)
+		os=-bsd
+		;;
+	*-sgi)
+		os=-irix
+		;;
+	*-siemens)
+		os=-sysv4
+		;;
+	*-masscomp)
+		os=-rtu
+		;;
+	f30[01]-fujitsu | f700-fujitsu)
+		os=-uxpv
+		;;
+	*-rom68k)
+		os=-coff
+		;;
+	*-*bug)
+		os=-coff
+		;;
+	*-apple)
+		os=-macos
+		;;
+	*-atari*)
+		os=-mint
+		;;
+	*)
+		os=-none
+		;;
+esac
+fi
+
+# Here we handle the case where we know the os, and the CPU type, but not the
+# manufacturer.  We pick the logical manufacturer.
+vendor=unknown
+case $basic_machine in
+	*-unknown)
+		case $os in
+			-riscix*)
+				vendor=acorn
+				;;
+			-sunos*)
+				vendor=sun
+				;;
+			-cnk*|-aix*)
+				vendor=ibm
+				;;
+			-beos*)
+				vendor=be
+				;;
+			-hpux*)
+				vendor=hp
+				;;
+			-mpeix*)
+				vendor=hp
+				;;
+			-hiux*)
+				vendor=hitachi
+				;;
+			-unos*)
+				vendor=crds
+				;;
+			-dgux*)
+				vendor=dg
+				;;
+			-luna*)
+				vendor=omron
+				;;
+			-genix*)
+				vendor=ns
+				;;
+			-mvs* | -opened*)
+				vendor=ibm
+				;;
+			-os400*)
+				vendor=ibm
+				;;
+			-ptx*)
+				vendor=sequent
+				;;
+			-tpf*)
+				vendor=ibm
+				;;
+			-vxsim* | -vxworks* | -windiss*)
+				vendor=wrs
+				;;
+			-aux*)
+				vendor=apple
+				;;
+			-hms*)
+				vendor=hitachi
+				;;
+			-mpw* | -macos*)
+				vendor=apple
+				;;
+			-*mint | -mint[0-9]* | -*MiNT | -MiNT[0-9]*)
+				vendor=atari
+				;;
+			-vos*)
+				vendor=stratus
+				;;
+		esac
+		basic_machine=`echo $basic_machine | sed "s/unknown/$vendor/"`
+		;;
+esac
+
+echo $basic_machine$os
+exit
+
+# Local variables:
+# eval: (add-hook 'write-file-hooks 'time-stamp)
+# time-stamp-start: "timestamp='"
+# time-stamp-format: "%:y-%02m-%02d"
+# time-stamp-end: "'"
+# End:
diff --git a/clib/expeyes-clib/configure b/clib/expeyes-clib/configure
new file mode 100755
index 0000000..2d920ed
--- /dev/null
+++ b/clib/expeyes-clib/configure
@@ -0,0 +1,13575 @@
+#! /bin/sh
+# Guess values for system-dependent variables and create Makefiles.
+# Generated by GNU Autoconf 2.69 for EXPEYES_JR 3.0.5.
+#
+#
+# Copyright (C) 1992-1996, 1998-2012 Free Software Foundation, Inc.
+#
+#
+# This configure script is free software; the Free Software Foundation
+# gives unlimited permission to copy, distribute and modify it.
+## -------------------- ##
+## M4sh Initialization. ##
+## -------------------- ##
+
+# Be more Bourne compatible
+DUALCASE=1; export DUALCASE # for MKS sh
+if test -n "${ZSH_VERSION+set}" && (emulate sh) >/dev/null 2>&1; then :
+  emulate sh
+  NULLCMD=:
+  # Pre-4.2 versions of Zsh do word splitting on ${1+"$@"}, which
+  # is contrary to our usage.  Disable this feature.
+  alias -g '${1+"$@"}'='"$@"'
+  setopt NO_GLOB_SUBST
+else
+  case `(set -o) 2>/dev/null` in #(
+  *posix*) :
+    set -o posix ;; #(
+  *) :
+     ;;
+esac
+fi
+
+
+as_nl='
+'
+export as_nl
+# Printing a long string crashes Solaris 7 /usr/bin/printf.
+as_echo='\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\'
+as_echo=$as_echo$as_echo$as_echo$as_echo$as_echo
+as_echo=$as_echo$as_echo$as_echo$as_echo$as_echo$as_echo
+# Prefer a ksh shell builtin over an external printf program on Solaris,
+# but without wasting forks for bash or zsh.
+if test -z "$BASH_VERSION$ZSH_VERSION" \
+    && (test "X`print -r -- $as_echo`" = "X$as_echo") 2>/dev/null; then
+  as_echo='print -r --'
+  as_echo_n='print -rn --'
+elif (test "X`printf %s $as_echo`" = "X$as_echo") 2>/dev/null; then
+  as_echo='printf %s\n'
+  as_echo_n='printf %s'
+else
+  if test "X`(/usr/ucb/echo -n -n $as_echo) 2>/dev/null`" = "X-n $as_echo"; then
+    as_echo_body='eval /usr/ucb/echo -n "$1$as_nl"'
+    as_echo_n='/usr/ucb/echo -n'
+  else
+    as_echo_body='eval expr "X$1" : "X\\(.*\\)"'
+    as_echo_n_body='eval
+      arg=$1;
+      case $arg in #(
+      *"$as_nl"*)
+	expr "X$arg" : "X\\(.*\\)$as_nl";
+	arg=`expr "X$arg" : ".*$as_nl\\(.*\\)"`;;
+      esac;
+      expr "X$arg" : "X\\(.*\\)" | tr -d "$as_nl"
+    '
+    export as_echo_n_body
+    as_echo_n='sh -c $as_echo_n_body as_echo'
+  fi
+  export as_echo_body
+  as_echo='sh -c $as_echo_body as_echo'
+fi
+
+# The user is always right.
+if test "${PATH_SEPARATOR+set}" != set; then
+  PATH_SEPARATOR=:
+  (PATH='/bin;/bin'; FPATH=$PATH; sh -c :) >/dev/null 2>&1 && {
+    (PATH='/bin:/bin'; FPATH=$PATH; sh -c :) >/dev/null 2>&1 ||
+      PATH_SEPARATOR=';'
+  }
+fi
+
+
+# IFS
+# We need space, tab and new line, in precisely that order.  Quoting is
+# there to prevent editors from complaining about space-tab.
+# (If _AS_PATH_WALK were called with IFS unset, it would disable word
+# splitting by setting IFS to empty value.)
+IFS=" ""	$as_nl"
+
+# Find who we are.  Look in the path if we contain no directory separator.
+as_myself=
+case $0 in #((
+  *[\\/]* ) as_myself=$0 ;;
+  *) as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    test -r "$as_dir/$0" && as_myself=$as_dir/$0 && break
+  done
+IFS=$as_save_IFS
+
+     ;;
+esac
+# We did not find ourselves, most probably we were run as `sh COMMAND'
+# in which case we are not to be found in the path.
+if test "x$as_myself" = x; then
+  as_myself=$0
+fi
+if test ! -f "$as_myself"; then
+  $as_echo "$as_myself: error: cannot find myself; rerun with an absolute file name" >&2
+  exit 1
+fi
+
+# Unset variables that we do not need and which cause bugs (e.g. in
+# pre-3.0 UWIN ksh).  But do not cause bugs in bash 2.01; the "|| exit 1"
+# suppresses any "Segmentation fault" message there.  '((' could
+# trigger a bug in pdksh 5.2.14.
+for as_var in BASH_ENV ENV MAIL MAILPATH
+do eval test x\${$as_var+set} = xset \
+  && ( (unset $as_var) || exit 1) >/dev/null 2>&1 && unset $as_var || :
+done
+PS1='$ '
+PS2='> '
+PS4='+ '
+
+# NLS nuisances.
+LC_ALL=C
+export LC_ALL
+LANGUAGE=C
+export LANGUAGE
+
+# CDPATH.
+(unset CDPATH) >/dev/null 2>&1 && unset CDPATH
+
+# Use a proper internal environment variable to ensure we don't fall
+  # into an infinite loop, continuously re-executing ourselves.
+  if test x"${_as_can_reexec}" != xno && test "x$CONFIG_SHELL" != x; then
+    _as_can_reexec=no; export _as_can_reexec;
+    # We cannot yet assume a decent shell, so we have to provide a
+# neutralization value for shells without unset; and this also
+# works around shells that cannot unset nonexistent variables.
+# Preserve -v and -x to the replacement shell.
+BASH_ENV=/dev/null
+ENV=/dev/null
+(unset BASH_ENV) >/dev/null 2>&1 && unset BASH_ENV ENV
+case $- in # ((((
+  *v*x* | *x*v* ) as_opts=-vx ;;
+  *v* ) as_opts=-v ;;
+  *x* ) as_opts=-x ;;
+  * ) as_opts= ;;
+esac
+exec $CONFIG_SHELL $as_opts "$as_myself" ${1+"$@"}
+# Admittedly, this is quite paranoid, since all the known shells bail
+# out after a failed `exec'.
+$as_echo "$0: could not re-execute with $CONFIG_SHELL" >&2
+as_fn_exit 255
+  fi
+  # We don't want this to propagate to other subprocesses.
+          { _as_can_reexec=; unset _as_can_reexec;}
+if test "x$CONFIG_SHELL" = x; then
+  as_bourne_compatible="if test -n \"\${ZSH_VERSION+set}\" && (emulate sh) >/dev/null 2>&1; then :
+  emulate sh
+  NULLCMD=:
+  # Pre-4.2 versions of Zsh do word splitting on \${1+\"\$@\"}, which
+  # is contrary to our usage.  Disable this feature.
+  alias -g '\${1+\"\$@\"}'='\"\$@\"'
+  setopt NO_GLOB_SUBST
+else
+  case \`(set -o) 2>/dev/null\` in #(
+  *posix*) :
+    set -o posix ;; #(
+  *) :
+     ;;
+esac
+fi
+"
+  as_required="as_fn_return () { (exit \$1); }
+as_fn_success () { as_fn_return 0; }
+as_fn_failure () { as_fn_return 1; }
+as_fn_ret_success () { return 0; }
+as_fn_ret_failure () { return 1; }
+
+exitcode=0
+as_fn_success || { exitcode=1; echo as_fn_success failed.; }
+as_fn_failure && { exitcode=1; echo as_fn_failure succeeded.; }
+as_fn_ret_success || { exitcode=1; echo as_fn_ret_success failed.; }
+as_fn_ret_failure && { exitcode=1; echo as_fn_ret_failure succeeded.; }
+if ( set x; as_fn_ret_success y && test x = \"\$1\" ); then :
+
+else
+  exitcode=1; echo positional parameters were not saved.
+fi
+test x\$exitcode = x0 || exit 1
+test -x / || exit 1"
+  as_suggested="  as_lineno_1=";as_suggested=$as_suggested$LINENO;as_suggested=$as_suggested" as_lineno_1a=\$LINENO
+  as_lineno_2=";as_suggested=$as_suggested$LINENO;as_suggested=$as_suggested" as_lineno_2a=\$LINENO
+  eval 'test \"x\$as_lineno_1'\$as_run'\" != \"x\$as_lineno_2'\$as_run'\" &&
+  test \"x\`expr \$as_lineno_1'\$as_run' + 1\`\" = \"x\$as_lineno_2'\$as_run'\"' || exit 1
+
+  test -n \"\${ZSH_VERSION+set}\${BASH_VERSION+set}\" || (
+    ECHO='\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\'
+    ECHO=\$ECHO\$ECHO\$ECHO\$ECHO\$ECHO
+    ECHO=\$ECHO\$ECHO\$ECHO\$ECHO\$ECHO\$ECHO
+    PATH=/empty FPATH=/empty; export PATH FPATH
+    test \"X\`printf %s \$ECHO\`\" = \"X\$ECHO\" \\
+      || test \"X\`print -r -- \$ECHO\`\" = \"X\$ECHO\" ) || exit 1
+test \$(( 1 + 1 )) = 2 || exit 1"
+  if (eval "$as_required") 2>/dev/null; then :
+  as_have_required=yes
+else
+  as_have_required=no
+fi
+  if test x$as_have_required = xyes && (eval "$as_suggested") 2>/dev/null; then :
+
+else
+  as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+as_found=false
+for as_dir in /bin$PATH_SEPARATOR/usr/bin$PATH_SEPARATOR$PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  as_found=:
+  case $as_dir in #(
+	 /*)
+	   for as_base in sh bash ksh sh5; do
+	     # Try only shells that exist, to save several forks.
+	     as_shell=$as_dir/$as_base
+	     if { test -f "$as_shell" || test -f "$as_shell.exe"; } &&
+		    { $as_echo "$as_bourne_compatible""$as_required" | as_run=a "$as_shell"; } 2>/dev/null; then :
+  CONFIG_SHELL=$as_shell as_have_required=yes
+		   if { $as_echo "$as_bourne_compatible""$as_suggested" | as_run=a "$as_shell"; } 2>/dev/null; then :
+  break 2
+fi
+fi
+	   done;;
+       esac
+  as_found=false
+done
+$as_found || { if { test -f "$SHELL" || test -f "$SHELL.exe"; } &&
+	      { $as_echo "$as_bourne_compatible""$as_required" | as_run=a "$SHELL"; } 2>/dev/null; then :
+  CONFIG_SHELL=$SHELL as_have_required=yes
+fi; }
+IFS=$as_save_IFS
+
+
+      if test "x$CONFIG_SHELL" != x; then :
+  export CONFIG_SHELL
+             # We cannot yet assume a decent shell, so we have to provide a
+# neutralization value for shells without unset; and this also
+# works around shells that cannot unset nonexistent variables.
+# Preserve -v and -x to the replacement shell.
+BASH_ENV=/dev/null
+ENV=/dev/null
+(unset BASH_ENV) >/dev/null 2>&1 && unset BASH_ENV ENV
+case $- in # ((((
+  *v*x* | *x*v* ) as_opts=-vx ;;
+  *v* ) as_opts=-v ;;
+  *x* ) as_opts=-x ;;
+  * ) as_opts= ;;
+esac
+exec $CONFIG_SHELL $as_opts "$as_myself" ${1+"$@"}
+# Admittedly, this is quite paranoid, since all the known shells bail
+# out after a failed `exec'.
+$as_echo "$0: could not re-execute with $CONFIG_SHELL" >&2
+exit 255
+fi
+
+    if test x$as_have_required = xno; then :
+  $as_echo "$0: This script requires a shell more modern than all"
+  $as_echo "$0: the shells that I found on your system."
+  if test x${ZSH_VERSION+set} = xset ; then
+    $as_echo "$0: In particular, zsh $ZSH_VERSION has bugs and should"
+    $as_echo "$0: be upgraded to zsh 4.3.4 or later."
+  else
+    $as_echo "$0: Please tell bug-autoconf at gnu.org about your system,
+$0: including any error possibly output before this
+$0: message. Then install a modern shell, or manually run
+$0: the script under such a shell if you do have one."
+  fi
+  exit 1
+fi
+fi
+fi
+SHELL=${CONFIG_SHELL-/bin/sh}
+export SHELL
+# Unset more variables known to interfere with behavior of common tools.
+CLICOLOR_FORCE= GREP_OPTIONS=
+unset CLICOLOR_FORCE GREP_OPTIONS
+
+## --------------------- ##
+## M4sh Shell Functions. ##
+## --------------------- ##
+# as_fn_unset VAR
+# ---------------
+# Portably unset VAR.
+as_fn_unset ()
+{
+  { eval $1=; unset $1;}
+}
+as_unset=as_fn_unset
+
+# as_fn_set_status STATUS
+# -----------------------
+# Set $? to STATUS, without forking.
+as_fn_set_status ()
+{
+  return $1
+} # as_fn_set_status
+
+# as_fn_exit STATUS
+# -----------------
+# Exit the shell with STATUS, even in a "trap 0" or "set -e" context.
+as_fn_exit ()
+{
+  set +e
+  as_fn_set_status $1
+  exit $1
+} # as_fn_exit
+
+# as_fn_mkdir_p
+# -------------
+# Create "$as_dir" as a directory, including parents if necessary.
+as_fn_mkdir_p ()
+{
+
+  case $as_dir in #(
+  -*) as_dir=./$as_dir;;
+  esac
+  test -d "$as_dir" || eval $as_mkdir_p || {
+    as_dirs=
+    while :; do
+      case $as_dir in #(
+      *\'*) as_qdir=`$as_echo "$as_dir" | sed "s/'/'\\\\\\\\''/g"`;; #'(
+      *) as_qdir=$as_dir;;
+      esac
+      as_dirs="'$as_qdir' $as_dirs"
+      as_dir=`$as_dirname -- "$as_dir" ||
+$as_expr X"$as_dir" : 'X\(.*[^/]\)//*[^/][^/]*/*$' \| \
+	 X"$as_dir" : 'X\(//\)[^/]' \| \
+	 X"$as_dir" : 'X\(//\)$' \| \
+	 X"$as_dir" : 'X\(/\)' \| . 2>/dev/null ||
+$as_echo X"$as_dir" |
+    sed '/^X\(.*[^/]\)\/\/*[^/][^/]*\/*$/{
+	    s//\1/
+	    q
+	  }
+	  /^X\(\/\/\)[^/].*/{
+	    s//\1/
+	    q
+	  }
+	  /^X\(\/\/\)$/{
+	    s//\1/
+	    q
+	  }
+	  /^X\(\/\).*/{
+	    s//\1/
+	    q
+	  }
+	  s/.*/./; q'`
+      test -d "$as_dir" && break
+    done
+    test -z "$as_dirs" || eval "mkdir $as_dirs"
+  } || test -d "$as_dir" || as_fn_error $? "cannot create directory $as_dir"
+
+
+} # as_fn_mkdir_p
+
+# as_fn_executable_p FILE
+# -----------------------
+# Test if FILE is an executable regular file.
+as_fn_executable_p ()
+{
+  test -f "$1" && test -x "$1"
+} # as_fn_executable_p
+# as_fn_append VAR VALUE
+# ----------------------
+# Append the text in VALUE to the end of the definition contained in VAR. Take
+# advantage of any shell optimizations that allow amortized linear growth over
+# repeated appends, instead of the typical quadratic growth present in naive
+# implementations.
+if (eval "as_var=1; as_var+=2; test x\$as_var = x12") 2>/dev/null; then :
+  eval 'as_fn_append ()
+  {
+    eval $1+=\$2
+  }'
+else
+  as_fn_append ()
+  {
+    eval $1=\$$1\$2
+  }
+fi # as_fn_append
+
+# as_fn_arith ARG...
+# ------------------
+# Perform arithmetic evaluation on the ARGs, and store the result in the
+# global $as_val. Take advantage of shells that can avoid forks. The arguments
+# must be portable across $(()) and expr.
+if (eval "test \$(( 1 + 1 )) = 2") 2>/dev/null; then :
+  eval 'as_fn_arith ()
+  {
+    as_val=$(( $* ))
+  }'
+else
+  as_fn_arith ()
+  {
+    as_val=`expr "$@" || test $? -eq 1`
+  }
+fi # as_fn_arith
+
+
+# as_fn_error STATUS ERROR [LINENO LOG_FD]
+# ----------------------------------------
+# Output "`basename $0`: error: ERROR" to stderr. If LINENO and LOG_FD are
+# provided, also output the error to LOG_FD, referencing LINENO. Then exit the
+# script with STATUS, using 1 if that was 0.
+as_fn_error ()
+{
+  as_status=$1; test $as_status -eq 0 && as_status=1
+  if test "$4"; then
+    as_lineno=${as_lineno-"$3"} as_lineno_stack=as_lineno_stack=$as_lineno_stack
+    $as_echo "$as_me:${as_lineno-$LINENO}: error: $2" >&$4
+  fi
+  $as_echo "$as_me: error: $2" >&2
+  as_fn_exit $as_status
+} # as_fn_error
+
+if expr a : '\(a\)' >/dev/null 2>&1 &&
+   test "X`expr 00001 : '.*\(...\)'`" = X001; then
+  as_expr=expr
+else
+  as_expr=false
+fi
+
+if (basename -- /) >/dev/null 2>&1 && test "X`basename -- / 2>&1`" = "X/"; then
+  as_basename=basename
+else
+  as_basename=false
+fi
+
+if (as_dir=`dirname -- /` && test "X$as_dir" = X/) >/dev/null 2>&1; then
+  as_dirname=dirname
+else
+  as_dirname=false
+fi
+
+as_me=`$as_basename -- "$0" ||
+$as_expr X/"$0" : '.*/\([^/][^/]*\)/*$' \| \
+	 X"$0" : 'X\(//\)$' \| \
+	 X"$0" : 'X\(/\)' \| . 2>/dev/null ||
+$as_echo X/"$0" |
+    sed '/^.*\/\([^/][^/]*\)\/*$/{
+	    s//\1/
+	    q
+	  }
+	  /^X\/\(\/\/\)$/{
+	    s//\1/
+	    q
+	  }
+	  /^X\/\(\/\).*/{
+	    s//\1/
+	    q
+	  }
+	  s/.*/./; q'`
+
+# Avoid depending upon Character Ranges.
+as_cr_letters='abcdefghijklmnopqrstuvwxyz'
+as_cr_LETTERS='ABCDEFGHIJKLMNOPQRSTUVWXYZ'
+as_cr_Letters=$as_cr_letters$as_cr_LETTERS
+as_cr_digits='0123456789'
+as_cr_alnum=$as_cr_Letters$as_cr_digits
+
+
+  as_lineno_1=$LINENO as_lineno_1a=$LINENO
+  as_lineno_2=$LINENO as_lineno_2a=$LINENO
+  eval 'test "x$as_lineno_1'$as_run'" != "x$as_lineno_2'$as_run'" &&
+  test "x`expr $as_lineno_1'$as_run' + 1`" = "x$as_lineno_2'$as_run'"' || {
+  # Blame Lee E. McMahon (1931-1989) for sed's syntax.  :-)
+  sed -n '
+    p
+    /[$]LINENO/=
+  ' <$as_myself |
+    sed '
+      s/[$]LINENO.*/&-/
+      t lineno
+      b
+      :lineno
+      N
+      :loop
+      s/[$]LINENO\([^'$as_cr_alnum'_].*\n\)\(.*\)/\2\1\2/
+      t loop
+      s/-\n.*//
+    ' >$as_me.lineno &&
+  chmod +x "$as_me.lineno" ||
+    { $as_echo "$as_me: error: cannot create $as_me.lineno; rerun with a POSIX shell" >&2; as_fn_exit 1; }
+
+  # If we had to re-execute with $CONFIG_SHELL, we're ensured to have
+  # already done that, so ensure we don't try to do so again and fall
+  # in an infinite loop.  This has already happened in practice.
+  _as_can_reexec=no; export _as_can_reexec
+  # Don't try to exec as it changes $[0], causing all sort of problems
+  # (the dirname of $[0] is not the place where we might find the
+  # original and so on.  Autoconf is especially sensitive to this).
+  . "./$as_me.lineno"
+  # Exit status is that of the last command.
+  exit
+}
+
+ECHO_C= ECHO_N= ECHO_T=
+case `echo -n x` in #(((((
+-n*)
+  case `echo 'xy\c'` in
+  *c*) ECHO_T='	';;	# ECHO_T is single tab character.
+  xy)  ECHO_C='\c';;
+  *)   echo `echo ksh88 bug on AIX 6.1` > /dev/null
+       ECHO_T='	';;
+  esac;;
+*)
+  ECHO_N='-n';;
+esac
+
+rm -f conf$$ conf$$.exe conf$$.file
+if test -d conf$$.dir; then
+  rm -f conf$$.dir/conf$$.file
+else
+  rm -f conf$$.dir
+  mkdir conf$$.dir 2>/dev/null
+fi
+if (echo >conf$$.file) 2>/dev/null; then
+  if ln -s conf$$.file conf$$ 2>/dev/null; then
+    as_ln_s='ln -s'
+    # ... but there are two gotchas:
+    # 1) On MSYS, both `ln -s file dir' and `ln file dir' fail.
+    # 2) DJGPP < 2.04 has no symlinks; `ln -s' creates a wrapper executable.
+    # In both cases, we have to default to `cp -pR'.
+    ln -s conf$$.file conf$$.dir 2>/dev/null && test ! -f conf$$.exe ||
+      as_ln_s='cp -pR'
+  elif ln conf$$.file conf$$ 2>/dev/null; then
+    as_ln_s=ln
+  else
+    as_ln_s='cp -pR'
+  fi
+else
+  as_ln_s='cp -pR'
+fi
+rm -f conf$$ conf$$.exe conf$$.dir/conf$$.file conf$$.file
+rmdir conf$$.dir 2>/dev/null
+
+if mkdir -p . 2>/dev/null; then
+  as_mkdir_p='mkdir -p "$as_dir"'
+else
+  test -d ./-p && rmdir ./-p
+  as_mkdir_p=false
+fi
+
+as_test_x='test -x'
+as_executable_p=as_fn_executable_p
+
+# Sed expression to map a string onto a valid CPP name.
+as_tr_cpp="eval sed 'y%*$as_cr_letters%P$as_cr_LETTERS%;s%[^_$as_cr_alnum]%_%g'"
+
+# Sed expression to map a string onto a valid variable name.
+as_tr_sh="eval sed 'y%*+%pp%;s%[^_$as_cr_alnum]%_%g'"
+
+SHELL=${CONFIG_SHELL-/bin/sh}
+
+
+test -n "$DJDIR" || exec 7<&0 </dev/null
+exec 6>&1
+
+# Name of the host.
+# hostname on some systems (SVR3.2, old GNU/Linux) returns a bogus exit status,
+# so uname gets run too.
+ac_hostname=`(hostname || uname -n) 2>/dev/null | sed 1q`
+
+#
+# Initializations.
+#
+ac_default_prefix=/usr/local
+ac_clean_files=
+ac_config_libobj_dir=.
+LIBOBJS=
+cross_compiling=no
+subdirs=
+MFLAGS=
+MAKEFLAGS=
+
+# Identity of this package.
+PACKAGE_NAME='EXPEYES_JR'
+PACKAGE_TARNAME='expeyes_jr'
+PACKAGE_VERSION='3.0.5'
+PACKAGE_STRING='EXPEYES_JR 3.0.5'
+PACKAGE_BUGREPORT=''
+PACKAGE_URL=''
+
+ac_unique_file="src/capdemo.c"
+# Factoring default headers for most tests.
+ac_includes_default="\
+#include <stdio.h>
+#ifdef HAVE_SYS_TYPES_H
+# include <sys/types.h>
+#endif
+#ifdef HAVE_SYS_STAT_H
+# include <sys/stat.h>
+#endif
+#ifdef STDC_HEADERS
+# include <stdlib.h>
+# include <stddef.h>
+#else
+# ifdef HAVE_STDLIB_H
+#  include <stdlib.h>
+# endif
+#endif
+#ifdef HAVE_STRING_H
+# if !defined STDC_HEADERS && defined HAVE_MEMORY_H
+#  include <memory.h>
+# endif
+# include <string.h>
+#endif
+#ifdef HAVE_STRINGS_H
+# include <strings.h>
+#endif
+#ifdef HAVE_INTTYPES_H
+# include <inttypes.h>
+#endif
+#ifdef HAVE_STDINT_H
+# include <stdint.h>
+#endif
+#ifdef HAVE_UNISTD_H
+# include <unistd.h>
+#endif"
+
+ac_subst_vars='am__EXEEXT_FALSE
+am__EXEEXT_TRUE
+LTLIBOBJS
+LIBOBJS
+LIBTOOL_DEPS
+CPP
+OTOOL64
+OTOOL
+LIPO
+NMEDIT
+DSYMUTIL
+MANIFEST_TOOL
+RANLIB
+ac_ct_AR
+AR
+DLLTOOL
+OBJDUMP
+LN_S
+NM
+ac_ct_DUMPBIN
+DUMPBIN
+LD
+FGREP
+EGREP
+GREP
+SED
+host_os
+host_vendor
+host_cpu
+host
+build_os
+build_vendor
+build_cpu
+build
+LIBTOOL
+am__fastdepCC_FALSE
+am__fastdepCC_TRUE
+CCDEPMODE
+am__nodep
+AMDEPBACKSLASH
+AMDEP_FALSE
+AMDEP_TRUE
+am__quote
+am__include
+DEPDIR
+OBJEXT
+EXEEXT
+ac_ct_CC
+CPPFLAGS
+LDFLAGS
+CFLAGS
+CC
+AM_BACKSLASH
+AM_DEFAULT_VERBOSITY
+AM_DEFAULT_V
+AM_V
+am__untar
+am__tar
+AMTAR
+am__leading_dot
+SET_MAKE
+AWK
+mkdir_p
+MKDIR_P
+INSTALL_STRIP_PROGRAM
+STRIP
+install_sh
+MAKEINFO
+AUTOHEADER
+AUTOMAKE
+AUTOCONF
+ACLOCAL
+VERSION
+PACKAGE
+CYGPATH_W
+am__isrc
+INSTALL_DATA
+INSTALL_SCRIPT
+INSTALL_PROGRAM
+target_alias
+host_alias
+build_alias
+LIBS
+ECHO_T
+ECHO_N
+ECHO_C
+DEFS
+mandir
+localedir
+libdir
+psdir
+pdfdir
+dvidir
+htmldir
+infodir
+docdir
+oldincludedir
+includedir
+localstatedir
+sharedstatedir
+sysconfdir
+datadir
+datarootdir
+libexecdir
+sbindir
+bindir
+program_transform_name
+prefix
+exec_prefix
+PACKAGE_URL
+PACKAGE_BUGREPORT
+PACKAGE_STRING
+PACKAGE_VERSION
+PACKAGE_TARNAME
+PACKAGE_NAME
+PATH_SEPARATOR
+SHELL'
+ac_subst_files=''
+ac_user_opts='
+enable_option_checking
+enable_silent_rules
+enable_dependency_tracking
+enable_shared
+enable_static
+with_pic
+enable_fast_install
+with_gnu_ld
+with_sysroot
+enable_libtool_lock
+'
+      ac_precious_vars='build_alias
+host_alias
+target_alias
+CC
+CFLAGS
+LDFLAGS
+LIBS
+CPPFLAGS
+CPP'
+
+
+# Initialize some variables set by options.
+ac_init_help=
+ac_init_version=false
+ac_unrecognized_opts=
+ac_unrecognized_sep=
+# The variables have the same names as the options, with
+# dashes changed to underlines.
+cache_file=/dev/null
+exec_prefix=NONE
+no_create=
+no_recursion=
+prefix=NONE
+program_prefix=NONE
+program_suffix=NONE
+program_transform_name=s,x,x,
+silent=
+site=
+srcdir=
+verbose=
+x_includes=NONE
+x_libraries=NONE
+
+# Installation directory options.
+# These are left unexpanded so users can "make install exec_prefix=/foo"
+# and all the variables that are supposed to be based on exec_prefix
+# by default will actually change.
+# Use braces instead of parens because sh, perl, etc. also accept them.
+# (The list follows the same order as the GNU Coding Standards.)
+bindir='${exec_prefix}/bin'
+sbindir='${exec_prefix}/sbin'
+libexecdir='${exec_prefix}/libexec'
+datarootdir='${prefix}/share'
+datadir='${datarootdir}'
+sysconfdir='${prefix}/etc'
+sharedstatedir='${prefix}/com'
+localstatedir='${prefix}/var'
+includedir='${prefix}/include'
+oldincludedir='/usr/include'
+docdir='${datarootdir}/doc/${PACKAGE_TARNAME}'
+infodir='${datarootdir}/info'
+htmldir='${docdir}'
+dvidir='${docdir}'
+pdfdir='${docdir}'
+psdir='${docdir}'
+libdir='${exec_prefix}/lib'
+localedir='${datarootdir}/locale'
+mandir='${datarootdir}/man'
+
+ac_prev=
+ac_dashdash=
+for ac_option
+do
+  # If the previous option needs an argument, assign it.
+  if test -n "$ac_prev"; then
+    eval $ac_prev=\$ac_option
+    ac_prev=
+    continue
+  fi
+
+  case $ac_option in
+  *=?*) ac_optarg=`expr "X$ac_option" : '[^=]*=\(.*\)'` ;;
+  *=)   ac_optarg= ;;
+  *)    ac_optarg=yes ;;
+  esac
+
+  # Accept the important Cygnus configure options, so we can diagnose typos.
+
+  case $ac_dashdash$ac_option in
+  --)
+    ac_dashdash=yes ;;
+
+  -bindir | --bindir | --bindi | --bind | --bin | --bi)
+    ac_prev=bindir ;;
+  -bindir=* | --bindir=* | --bindi=* | --bind=* | --bin=* | --bi=*)
+    bindir=$ac_optarg ;;
+
+  -build | --build | --buil | --bui | --bu)
+    ac_prev=build_alias ;;
+  -build=* | --build=* | --buil=* | --bui=* | --bu=*)
+    build_alias=$ac_optarg ;;
+
+  -cache-file | --cache-file | --cache-fil | --cache-fi \
+  | --cache-f | --cache- | --cache | --cach | --cac | --ca | --c)
+    ac_prev=cache_file ;;
+  -cache-file=* | --cache-file=* | --cache-fil=* | --cache-fi=* \
+  | --cache-f=* | --cache-=* | --cache=* | --cach=* | --cac=* | --ca=* | --c=*)
+    cache_file=$ac_optarg ;;
+
+  --config-cache | -C)
+    cache_file=config.cache ;;
+
+  -datadir | --datadir | --datadi | --datad)
+    ac_prev=datadir ;;
+  -datadir=* | --datadir=* | --datadi=* | --datad=*)
+    datadir=$ac_optarg ;;
+
+  -datarootdir | --datarootdir | --datarootdi | --datarootd | --dataroot \
+  | --dataroo | --dataro | --datar)
+    ac_prev=datarootdir ;;
+  -datarootdir=* | --datarootdir=* | --datarootdi=* | --datarootd=* \
+  | --dataroot=* | --dataroo=* | --dataro=* | --datar=*)
+    datarootdir=$ac_optarg ;;
+
+  -disable-* | --disable-*)
+    ac_useropt=`expr "x$ac_option" : 'x-*disable-\(.*\)'`
+    # Reject names that are not valid shell variable names.
+    expr "x$ac_useropt" : ".*[^-+._$as_cr_alnum]" >/dev/null &&
+      as_fn_error $? "invalid feature name: $ac_useropt"
+    ac_useropt_orig=$ac_useropt
+    ac_useropt=`$as_echo "$ac_useropt" | sed 's/[-+.]/_/g'`
+    case $ac_user_opts in
+      *"
+"enable_$ac_useropt"
+"*) ;;
+      *) ac_unrecognized_opts="$ac_unrecognized_opts$ac_unrecognized_sep--disable-$ac_useropt_orig"
+	 ac_unrecognized_sep=', ';;
+    esac
+    eval enable_$ac_useropt=no ;;
+
+  -docdir | --docdir | --docdi | --doc | --do)
+    ac_prev=docdir ;;
+  -docdir=* | --docdir=* | --docdi=* | --doc=* | --do=*)
+    docdir=$ac_optarg ;;
+
+  -dvidir | --dvidir | --dvidi | --dvid | --dvi | --dv)
+    ac_prev=dvidir ;;
+  -dvidir=* | --dvidir=* | --dvidi=* | --dvid=* | --dvi=* | --dv=*)
+    dvidir=$ac_optarg ;;
+
+  -enable-* | --enable-*)
+    ac_useropt=`expr "x$ac_option" : 'x-*enable-\([^=]*\)'`
+    # Reject names that are not valid shell variable names.
+    expr "x$ac_useropt" : ".*[^-+._$as_cr_alnum]" >/dev/null &&
+      as_fn_error $? "invalid feature name: $ac_useropt"
+    ac_useropt_orig=$ac_useropt
+    ac_useropt=`$as_echo "$ac_useropt" | sed 's/[-+.]/_/g'`
+    case $ac_user_opts in
+      *"
+"enable_$ac_useropt"
+"*) ;;
+      *) ac_unrecognized_opts="$ac_unrecognized_opts$ac_unrecognized_sep--enable-$ac_useropt_orig"
+	 ac_unrecognized_sep=', ';;
+    esac
+    eval enable_$ac_useropt=\$ac_optarg ;;
+
+  -exec-prefix | --exec_prefix | --exec-prefix | --exec-prefi \
+  | --exec-pref | --exec-pre | --exec-pr | --exec-p | --exec- \
+  | --exec | --exe | --ex)
+    ac_prev=exec_prefix ;;
+  -exec-prefix=* | --exec_prefix=* | --exec-prefix=* | --exec-prefi=* \
+  | --exec-pref=* | --exec-pre=* | --exec-pr=* | --exec-p=* | --exec-=* \
+  | --exec=* | --exe=* | --ex=*)
+    exec_prefix=$ac_optarg ;;
+
+  -gas | --gas | --ga | --g)
+    # Obsolete; use --with-gas.
+    with_gas=yes ;;
+
+  -help | --help | --hel | --he | -h)
+    ac_init_help=long ;;
+  -help=r* | --help=r* | --hel=r* | --he=r* | -hr*)
+    ac_init_help=recursive ;;
+  -help=s* | --help=s* | --hel=s* | --he=s* | -hs*)
+    ac_init_help=short ;;
+
+  -host | --host | --hos | --ho)
+    ac_prev=host_alias ;;
+  -host=* | --host=* | --hos=* | --ho=*)
+    host_alias=$ac_optarg ;;
+
+  -htmldir | --htmldir | --htmldi | --htmld | --html | --htm | --ht)
+    ac_prev=htmldir ;;
+  -htmldir=* | --htmldir=* | --htmldi=* | --htmld=* | --html=* | --htm=* \
+  | --ht=*)
+    htmldir=$ac_optarg ;;
+
+  -includedir | --includedir | --includedi | --included | --include \
+  | --includ | --inclu | --incl | --inc)
+    ac_prev=includedir ;;
+  -includedir=* | --includedir=* | --includedi=* | --included=* | --include=* \
+  | --includ=* | --inclu=* | --incl=* | --inc=*)
+    includedir=$ac_optarg ;;
+
+  -infodir | --infodir | --infodi | --infod | --info | --inf)
+    ac_prev=infodir ;;
+  -infodir=* | --infodir=* | --infodi=* | --infod=* | --info=* | --inf=*)
+    infodir=$ac_optarg ;;
+
+  -libdir | --libdir | --libdi | --libd)
+    ac_prev=libdir ;;
+  -libdir=* | --libdir=* | --libdi=* | --libd=*)
+    libdir=$ac_optarg ;;
+
+  -libexecdir | --libexecdir | --libexecdi | --libexecd | --libexec \
+  | --libexe | --libex | --libe)
+    ac_prev=libexecdir ;;
+  -libexecdir=* | --libexecdir=* | --libexecdi=* | --libexecd=* | --libexec=* \
+  | --libexe=* | --libex=* | --libe=*)
+    libexecdir=$ac_optarg ;;
+
+  -localedir | --localedir | --localedi | --localed | --locale)
+    ac_prev=localedir ;;
+  -localedir=* | --localedir=* | --localedi=* | --localed=* | --locale=*)
+    localedir=$ac_optarg ;;
+
+  -localstatedir | --localstatedir | --localstatedi | --localstated \
+  | --localstate | --localstat | --localsta | --localst | --locals)
+    ac_prev=localstatedir ;;
+  -localstatedir=* | --localstatedir=* | --localstatedi=* | --localstated=* \
+  | --localstate=* | --localstat=* | --localsta=* | --localst=* | --locals=*)
+    localstatedir=$ac_optarg ;;
+
+  -mandir | --mandir | --mandi | --mand | --man | --ma | --m)
+    ac_prev=mandir ;;
+  -mandir=* | --mandir=* | --mandi=* | --mand=* | --man=* | --ma=* | --m=*)
+    mandir=$ac_optarg ;;
+
+  -nfp | --nfp | --nf)
+    # Obsolete; use --without-fp.
+    with_fp=no ;;
+
+  -no-create | --no-create | --no-creat | --no-crea | --no-cre \
+  | --no-cr | --no-c | -n)
+    no_create=yes ;;
+
+  -no-recursion | --no-recursion | --no-recursio | --no-recursi \
+  | --no-recurs | --no-recur | --no-recu | --no-rec | --no-re | --no-r)
+    no_recursion=yes ;;
+
+  -oldincludedir | --oldincludedir | --oldincludedi | --oldincluded \
+  | --oldinclude | --oldinclud | --oldinclu | --oldincl | --oldinc \
+  | --oldin | --oldi | --old | --ol | --o)
+    ac_prev=oldincludedir ;;
+  -oldincludedir=* | --oldincludedir=* | --oldincludedi=* | --oldincluded=* \
+  | --oldinclude=* | --oldinclud=* | --oldinclu=* | --oldincl=* | --oldinc=* \
+  | --oldin=* | --oldi=* | --old=* | --ol=* | --o=*)
+    oldincludedir=$ac_optarg ;;
+
+  -prefix | --prefix | --prefi | --pref | --pre | --pr | --p)
+    ac_prev=prefix ;;
+  -prefix=* | --prefix=* | --prefi=* | --pref=* | --pre=* | --pr=* | --p=*)
+    prefix=$ac_optarg ;;
+
+  -program-prefix | --program-prefix | --program-prefi | --program-pref \
+  | --program-pre | --program-pr | --program-p)
+    ac_prev=program_prefix ;;
+  -program-prefix=* | --program-prefix=* | --program-prefi=* \
+  | --program-pref=* | --program-pre=* | --program-pr=* | --program-p=*)
+    program_prefix=$ac_optarg ;;
+
+  -program-suffix | --program-suffix | --program-suffi | --program-suff \
+  | --program-suf | --program-su | --program-s)
+    ac_prev=program_suffix ;;
+  -program-suffix=* | --program-suffix=* | --program-suffi=* \
+  | --program-suff=* | --program-suf=* | --program-su=* | --program-s=*)
+    program_suffix=$ac_optarg ;;
+
+  -program-transform-name | --program-transform-name \
+  | --program-transform-nam | --program-transform-na \
+  | --program-transform-n | --program-transform- \
+  | --program-transform | --program-transfor \
+  | --program-transfo | --program-transf \
+  | --program-trans | --program-tran \
+  | --progr-tra | --program-tr | --program-t)
+    ac_prev=program_transform_name ;;
+  -program-transform-name=* | --program-transform-name=* \
+  | --program-transform-nam=* | --program-transform-na=* \
+  | --program-transform-n=* | --program-transform-=* \
+  | --program-transform=* | --program-transfor=* \
+  | --program-transfo=* | --program-transf=* \
+  | --program-trans=* | --program-tran=* \
+  | --progr-tra=* | --program-tr=* | --program-t=*)
+    program_transform_name=$ac_optarg ;;
+
+  -pdfdir | --pdfdir | --pdfdi | --pdfd | --pdf | --pd)
+    ac_prev=pdfdir ;;
+  -pdfdir=* | --pdfdir=* | --pdfdi=* | --pdfd=* | --pdf=* | --pd=*)
+    pdfdir=$ac_optarg ;;
+
+  -psdir | --psdir | --psdi | --psd | --ps)
+    ac_prev=psdir ;;
+  -psdir=* | --psdir=* | --psdi=* | --psd=* | --ps=*)
+    psdir=$ac_optarg ;;
+
+  -q | -quiet | --quiet | --quie | --qui | --qu | --q \
+  | -silent | --silent | --silen | --sile | --sil)
+    silent=yes ;;
+
+  -sbindir | --sbindir | --sbindi | --sbind | --sbin | --sbi | --sb)
+    ac_prev=sbindir ;;
+  -sbindir=* | --sbindir=* | --sbindi=* | --sbind=* | --sbin=* \
+  | --sbi=* | --sb=*)
+    sbindir=$ac_optarg ;;
+
+  -sharedstatedir | --sharedstatedir | --sharedstatedi \
+  | --sharedstated | --sharedstate | --sharedstat | --sharedsta \
+  | --sharedst | --shareds | --shared | --share | --shar \
+  | --sha | --sh)
+    ac_prev=sharedstatedir ;;
+  -sharedstatedir=* | --sharedstatedir=* | --sharedstatedi=* \
+  | --sharedstated=* | --sharedstate=* | --sharedstat=* | --sharedsta=* \
+  | --sharedst=* | --shareds=* | --shared=* | --share=* | --shar=* \
+  | --sha=* | --sh=*)
+    sharedstatedir=$ac_optarg ;;
+
+  -site | --site | --sit)
+    ac_prev=site ;;
+  -site=* | --site=* | --sit=*)
+    site=$ac_optarg ;;
+
+  -srcdir | --srcdir | --srcdi | --srcd | --src | --sr)
+    ac_prev=srcdir ;;
+  -srcdir=* | --srcdir=* | --srcdi=* | --srcd=* | --src=* | --sr=*)
+    srcdir=$ac_optarg ;;
+
+  -sysconfdir | --sysconfdir | --sysconfdi | --sysconfd | --sysconf \
+  | --syscon | --sysco | --sysc | --sys | --sy)
+    ac_prev=sysconfdir ;;
+  -sysconfdir=* | --sysconfdir=* | --sysconfdi=* | --sysconfd=* | --sysconf=* \
+  | --syscon=* | --sysco=* | --sysc=* | --sys=* | --sy=*)
+    sysconfdir=$ac_optarg ;;
+
+  -target | --target | --targe | --targ | --tar | --ta | --t)
+    ac_prev=target_alias ;;
+  -target=* | --target=* | --targe=* | --targ=* | --tar=* | --ta=* | --t=*)
+    target_alias=$ac_optarg ;;
+
+  -v | -verbose | --verbose | --verbos | --verbo | --verb)
+    verbose=yes ;;
+
+  -version | --version | --versio | --versi | --vers | -V)
+    ac_init_version=: ;;
+
+  -with-* | --with-*)
+    ac_useropt=`expr "x$ac_option" : 'x-*with-\([^=]*\)'`
+    # Reject names that are not valid shell variable names.
+    expr "x$ac_useropt" : ".*[^-+._$as_cr_alnum]" >/dev/null &&
+      as_fn_error $? "invalid package name: $ac_useropt"
+    ac_useropt_orig=$ac_useropt
+    ac_useropt=`$as_echo "$ac_useropt" | sed 's/[-+.]/_/g'`
+    case $ac_user_opts in
+      *"
+"with_$ac_useropt"
+"*) ;;
+      *) ac_unrecognized_opts="$ac_unrecognized_opts$ac_unrecognized_sep--with-$ac_useropt_orig"
+	 ac_unrecognized_sep=', ';;
+    esac
+    eval with_$ac_useropt=\$ac_optarg ;;
+
+  -without-* | --without-*)
+    ac_useropt=`expr "x$ac_option" : 'x-*without-\(.*\)'`
+    # Reject names that are not valid shell variable names.
+    expr "x$ac_useropt" : ".*[^-+._$as_cr_alnum]" >/dev/null &&
+      as_fn_error $? "invalid package name: $ac_useropt"
+    ac_useropt_orig=$ac_useropt
+    ac_useropt=`$as_echo "$ac_useropt" | sed 's/[-+.]/_/g'`
+    case $ac_user_opts in
+      *"
+"with_$ac_useropt"
+"*) ;;
+      *) ac_unrecognized_opts="$ac_unrecognized_opts$ac_unrecognized_sep--without-$ac_useropt_orig"
+	 ac_unrecognized_sep=', ';;
+    esac
+    eval with_$ac_useropt=no ;;
+
+  --x)
+    # Obsolete; use --with-x.
+    with_x=yes ;;
+
+  -x-includes | --x-includes | --x-include | --x-includ | --x-inclu \
+  | --x-incl | --x-inc | --x-in | --x-i)
+    ac_prev=x_includes ;;
+  -x-includes=* | --x-includes=* | --x-include=* | --x-includ=* | --x-inclu=* \
+  | --x-incl=* | --x-inc=* | --x-in=* | --x-i=*)
+    x_includes=$ac_optarg ;;
+
+  -x-libraries | --x-libraries | --x-librarie | --x-librari \
+  | --x-librar | --x-libra | --x-libr | --x-lib | --x-li | --x-l)
+    ac_prev=x_libraries ;;
+  -x-libraries=* | --x-libraries=* | --x-librarie=* | --x-librari=* \
+  | --x-librar=* | --x-libra=* | --x-libr=* | --x-lib=* | --x-li=* | --x-l=*)
+    x_libraries=$ac_optarg ;;
+
+  -*) as_fn_error $? "unrecognized option: \`$ac_option'
+Try \`$0 --help' for more information"
+    ;;
+
+  *=*)
+    ac_envvar=`expr "x$ac_option" : 'x\([^=]*\)='`
+    # Reject names that are not valid shell variable names.
+    case $ac_envvar in #(
+      '' | [0-9]* | *[!_$as_cr_alnum]* )
+      as_fn_error $? "invalid variable name: \`$ac_envvar'" ;;
+    esac
+    eval $ac_envvar=\$ac_optarg
+    export $ac_envvar ;;
+
+  *)
+    # FIXME: should be removed in autoconf 3.0.
+    $as_echo "$as_me: WARNING: you should use --build, --host, --target" >&2
+    expr "x$ac_option" : ".*[^-._$as_cr_alnum]" >/dev/null &&
+      $as_echo "$as_me: WARNING: invalid host type: $ac_option" >&2
+    : "${build_alias=$ac_option} ${host_alias=$ac_option} ${target_alias=$ac_option}"
+    ;;
+
+  esac
+done
+
+if test -n "$ac_prev"; then
+  ac_option=--`echo $ac_prev | sed 's/_/-/g'`
+  as_fn_error $? "missing argument to $ac_option"
+fi
+
+if test -n "$ac_unrecognized_opts"; then
+  case $enable_option_checking in
+    no) ;;
+    fatal) as_fn_error $? "unrecognized options: $ac_unrecognized_opts" ;;
+    *)     $as_echo "$as_me: WARNING: unrecognized options: $ac_unrecognized_opts" >&2 ;;
+  esac
+fi
+
+# Check all directory arguments for consistency.
+for ac_var in	exec_prefix prefix bindir sbindir libexecdir datarootdir \
+		datadir sysconfdir sharedstatedir localstatedir includedir \
+		oldincludedir docdir infodir htmldir dvidir pdfdir psdir \
+		libdir localedir mandir
+do
+  eval ac_val=\$$ac_var
+  # Remove trailing slashes.
+  case $ac_val in
+    */ )
+      ac_val=`expr "X$ac_val" : 'X\(.*[^/]\)' \| "X$ac_val" : 'X\(.*\)'`
+      eval $ac_var=\$ac_val;;
+  esac
+  # Be sure to have absolute directory names.
+  case $ac_val in
+    [\\/$]* | ?:[\\/]* )  continue;;
+    NONE | '' ) case $ac_var in *prefix ) continue;; esac;;
+  esac
+  as_fn_error $? "expected an absolute directory name for --$ac_var: $ac_val"
+done
+
+# There might be people who depend on the old broken behavior: `$host'
+# used to hold the argument of --host etc.
+# FIXME: To remove some day.
+build=$build_alias
+host=$host_alias
+target=$target_alias
+
+# FIXME: To remove some day.
+if test "x$host_alias" != x; then
+  if test "x$build_alias" = x; then
+    cross_compiling=maybe
+  elif test "x$build_alias" != "x$host_alias"; then
+    cross_compiling=yes
+  fi
+fi
+
+ac_tool_prefix=
+test -n "$host_alias" && ac_tool_prefix=$host_alias-
+
+test "$silent" = yes && exec 6>/dev/null
+
+
+ac_pwd=`pwd` && test -n "$ac_pwd" &&
+ac_ls_di=`ls -di .` &&
+ac_pwd_ls_di=`cd "$ac_pwd" && ls -di .` ||
+  as_fn_error $? "working directory cannot be determined"
+test "X$ac_ls_di" = "X$ac_pwd_ls_di" ||
+  as_fn_error $? "pwd does not report name of working directory"
+
+
+# Find the source files, if location was not specified.
+if test -z "$srcdir"; then
+  ac_srcdir_defaulted=yes
+  # Try the directory containing this script, then the parent directory.
+  ac_confdir=`$as_dirname -- "$as_myself" ||
+$as_expr X"$as_myself" : 'X\(.*[^/]\)//*[^/][^/]*/*$' \| \
+	 X"$as_myself" : 'X\(//\)[^/]' \| \
+	 X"$as_myself" : 'X\(//\)$' \| \
+	 X"$as_myself" : 'X\(/\)' \| . 2>/dev/null ||
+$as_echo X"$as_myself" |
+    sed '/^X\(.*[^/]\)\/\/*[^/][^/]*\/*$/{
+	    s//\1/
+	    q
+	  }
+	  /^X\(\/\/\)[^/].*/{
+	    s//\1/
+	    q
+	  }
+	  /^X\(\/\/\)$/{
+	    s//\1/
+	    q
+	  }
+	  /^X\(\/\).*/{
+	    s//\1/
+	    q
+	  }
+	  s/.*/./; q'`
+  srcdir=$ac_confdir
+  if test ! -r "$srcdir/$ac_unique_file"; then
+    srcdir=..
+  fi
+else
+  ac_srcdir_defaulted=no
+fi
+if test ! -r "$srcdir/$ac_unique_file"; then
+  test "$ac_srcdir_defaulted" = yes && srcdir="$ac_confdir or .."
+  as_fn_error $? "cannot find sources ($ac_unique_file) in $srcdir"
+fi
+ac_msg="sources are in $srcdir, but \`cd $srcdir' does not work"
+ac_abs_confdir=`(
+	cd "$srcdir" && test -r "./$ac_unique_file" || as_fn_error $? "$ac_msg"
+	pwd)`
+# When building in place, set srcdir=.
+if test "$ac_abs_confdir" = "$ac_pwd"; then
+  srcdir=.
+fi
+# Remove unnecessary trailing slashes from srcdir.
+# Double slashes in file names in object file debugging info
+# mess up M-x gdb in Emacs.
+case $srcdir in
+*/) srcdir=`expr "X$srcdir" : 'X\(.*[^/]\)' \| "X$srcdir" : 'X\(.*\)'`;;
+esac
+for ac_var in $ac_precious_vars; do
+  eval ac_env_${ac_var}_set=\${${ac_var}+set}
+  eval ac_env_${ac_var}_value=\$${ac_var}
+  eval ac_cv_env_${ac_var}_set=\${${ac_var}+set}
+  eval ac_cv_env_${ac_var}_value=\$${ac_var}
+done
+
+#
+# Report the --help message.
+#
+if test "$ac_init_help" = "long"; then
+  # Omit some internal or obsolete options to make the list less imposing.
+  # This message is too long to be a string in the A/UX 3.1 sh.
+  cat <<_ACEOF
+\`configure' configures EXPEYES_JR 3.0.5 to adapt to many kinds of systems.
+
+Usage: $0 [OPTION]... [VAR=VALUE]...
+
+To assign environment variables (e.g., CC, CFLAGS...), specify them as
+VAR=VALUE.  See below for descriptions of some of the useful variables.
+
+Defaults for the options are specified in brackets.
+
+Configuration:
+  -h, --help              display this help and exit
+      --help=short        display options specific to this package
+      --help=recursive    display the short help of all the included packages
+  -V, --version           display version information and exit
+  -q, --quiet, --silent   do not print \`checking ...' messages
+      --cache-file=FILE   cache test results in FILE [disabled]
+  -C, --config-cache      alias for \`--cache-file=config.cache'
+  -n, --no-create         do not create output files
+      --srcdir=DIR        find the sources in DIR [configure dir or \`..']
+
+Installation directories:
+  --prefix=PREFIX         install architecture-independent files in PREFIX
+                          [$ac_default_prefix]
+  --exec-prefix=EPREFIX   install architecture-dependent files in EPREFIX
+                          [PREFIX]
+
+By default, \`make install' will install all the files in
+\`$ac_default_prefix/bin', \`$ac_default_prefix/lib' etc.  You can specify
+an installation prefix other than \`$ac_default_prefix' using \`--prefix',
+for instance \`--prefix=\$HOME'.
+
+For better control, use the options below.
+
+Fine tuning of the installation directories:
+  --bindir=DIR            user executables [EPREFIX/bin]
+  --sbindir=DIR           system admin executables [EPREFIX/sbin]
+  --libexecdir=DIR        program executables [EPREFIX/libexec]
+  --sysconfdir=DIR        read-only single-machine data [PREFIX/etc]
+  --sharedstatedir=DIR    modifiable architecture-independent data [PREFIX/com]
+  --localstatedir=DIR     modifiable single-machine data [PREFIX/var]
+  --libdir=DIR            object code libraries [EPREFIX/lib]
+  --includedir=DIR        C header files [PREFIX/include]
+  --oldincludedir=DIR     C header files for non-gcc [/usr/include]
+  --datarootdir=DIR       read-only arch.-independent data root [PREFIX/share]
+  --datadir=DIR           read-only architecture-independent data [DATAROOTDIR]
+  --infodir=DIR           info documentation [DATAROOTDIR/info]
+  --localedir=DIR         locale-dependent data [DATAROOTDIR/locale]
+  --mandir=DIR            man documentation [DATAROOTDIR/man]
+  --docdir=DIR            documentation root [DATAROOTDIR/doc/expeyes_jr]
+  --htmldir=DIR           html documentation [DOCDIR]
+  --dvidir=DIR            dvi documentation [DOCDIR]
+  --pdfdir=DIR            pdf documentation [DOCDIR]
+  --psdir=DIR             ps documentation [DOCDIR]
+_ACEOF
+
+  cat <<\_ACEOF
+
+Program names:
+  --program-prefix=PREFIX            prepend PREFIX to installed program names
+  --program-suffix=SUFFIX            append SUFFIX to installed program names
+  --program-transform-name=PROGRAM   run sed PROGRAM on installed program names
+
+System types:
+  --build=BUILD     configure for building on BUILD [guessed]
+  --host=HOST       cross-compile to build programs to run on HOST [BUILD]
+_ACEOF
+fi
+
+if test -n "$ac_init_help"; then
+  case $ac_init_help in
+     short | recursive ) echo "Configuration of EXPEYES_JR 3.0.5:";;
+   esac
+  cat <<\_ACEOF
+
+Optional Features:
+  --disable-option-checking  ignore unrecognized --enable/--with options
+  --disable-FEATURE       do not include FEATURE (same as --enable-FEATURE=no)
+  --enable-FEATURE[=ARG]  include FEATURE [ARG=yes]
+  --enable-silent-rules   less verbose build output (undo: "make V=1")
+  --disable-silent-rules  verbose build output (undo: "make V=0")
+  --enable-dependency-tracking
+                          do not reject slow dependency extractors
+  --disable-dependency-tracking
+                          speeds up one-time build
+  --enable-shared[=PKGS]  build shared libraries [default=yes]
+  --enable-static[=PKGS]  build static libraries [default=yes]
+  --enable-fast-install[=PKGS]
+                          optimize for fast installation [default=yes]
+  --disable-libtool-lock  avoid locking (might break parallel builds)
+
+Optional Packages:
+  --with-PACKAGE[=ARG]    use PACKAGE [ARG=yes]
+  --without-PACKAGE       do not use PACKAGE (same as --with-PACKAGE=no)
+  --with-pic[=PKGS]       try to use only PIC/non-PIC objects [default=use
+                          both]
+  --with-gnu-ld           assume the C compiler uses GNU ld [default=no]
+  --with-sysroot=DIR Search for dependent libraries within DIR
+                        (or the compiler's sysroot if not specified).
+
+Some influential environment variables:
+  CC          C compiler command
+  CFLAGS      C compiler flags
+  LDFLAGS     linker flags, e.g. -L<lib dir> if you have libraries in a
+              nonstandard directory <lib dir>
+  LIBS        libraries to pass to the linker, e.g. -l<library>
+  CPPFLAGS    (Objective) C/C++ preprocessor flags, e.g. -I<include dir> if
+              you have headers in a nonstandard directory <include dir>
+  CPP         C preprocessor
+
+Use these variables to override the choices made by `configure' or to help
+it to find libraries and programs with nonstandard names/locations.
+
+Report bugs to the package provider.
+_ACEOF
+ac_status=$?
+fi
+
+if test "$ac_init_help" = "recursive"; then
+  # If there are subdirs, report their specific --help.
+  for ac_dir in : $ac_subdirs_all; do test "x$ac_dir" = x: && continue
+    test -d "$ac_dir" ||
+      { cd "$srcdir" && ac_pwd=`pwd` && srcdir=. && test -d "$ac_dir"; } ||
+      continue
+    ac_builddir=.
+
+case "$ac_dir" in
+.) ac_dir_suffix= ac_top_builddir_sub=. ac_top_build_prefix= ;;
+*)
+  ac_dir_suffix=/`$as_echo "$ac_dir" | sed 's|^\.[\\/]||'`
+  # A ".." for each directory in $ac_dir_suffix.
+  ac_top_builddir_sub=`$as_echo "$ac_dir_suffix" | sed 's|/[^\\/]*|/..|g;s|/||'`
+  case $ac_top_builddir_sub in
+  "") ac_top_builddir_sub=. ac_top_build_prefix= ;;
+  *)  ac_top_build_prefix=$ac_top_builddir_sub/ ;;
+  esac ;;
+esac
+ac_abs_top_builddir=$ac_pwd
+ac_abs_builddir=$ac_pwd$ac_dir_suffix
+# for backward compatibility:
+ac_top_builddir=$ac_top_build_prefix
+
+case $srcdir in
+  .)  # We are building in place.
+    ac_srcdir=.
+    ac_top_srcdir=$ac_top_builddir_sub
+    ac_abs_top_srcdir=$ac_pwd ;;
+  [\\/]* | ?:[\\/]* )  # Absolute name.
+    ac_srcdir=$srcdir$ac_dir_suffix;
+    ac_top_srcdir=$srcdir
+    ac_abs_top_srcdir=$srcdir ;;
+  *) # Relative name.
+    ac_srcdir=$ac_top_build_prefix$srcdir$ac_dir_suffix
+    ac_top_srcdir=$ac_top_build_prefix$srcdir
+    ac_abs_top_srcdir=$ac_pwd/$srcdir ;;
+esac
+ac_abs_srcdir=$ac_abs_top_srcdir$ac_dir_suffix
+
+    cd "$ac_dir" || { ac_status=$?; continue; }
+    # Check for guested configure.
+    if test -f "$ac_srcdir/configure.gnu"; then
+      echo &&
+      $SHELL "$ac_srcdir/configure.gnu" --help=recursive
+    elif test -f "$ac_srcdir/configure"; then
+      echo &&
+      $SHELL "$ac_srcdir/configure" --help=recursive
+    else
+      $as_echo "$as_me: WARNING: no configuration information is in $ac_dir" >&2
+    fi || ac_status=$?
+    cd "$ac_pwd" || { ac_status=$?; break; }
+  done
+fi
+
+test -n "$ac_init_help" && exit $ac_status
+if $ac_init_version; then
+  cat <<\_ACEOF
+EXPEYES_JR configure 3.0.5
+generated by GNU Autoconf 2.69
+
+Copyright (C) 2012 Free Software Foundation, Inc.
+This configure script is free software; the Free Software Foundation
+gives unlimited permission to copy, distribute and modify it.
+_ACEOF
+  exit
+fi
+
+## ------------------------ ##
+## Autoconf initialization. ##
+## ------------------------ ##
+
+# ac_fn_c_try_compile LINENO
+# --------------------------
+# Try to compile conftest.$ac_ext, and return whether this succeeded.
+ac_fn_c_try_compile ()
+{
+  as_lineno=${as_lineno-"$1"} as_lineno_stack=as_lineno_stack=$as_lineno_stack
+  rm -f conftest.$ac_objext
+  if { { ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:${as_lineno-$LINENO}: $ac_try_echo\""
+$as_echo "$ac_try_echo"; } >&5
+  (eval "$ac_compile") 2>conftest.err
+  ac_status=$?
+  if test -s conftest.err; then
+    grep -v '^ *+' conftest.err >conftest.er1
+    cat conftest.er1 >&5
+    mv -f conftest.er1 conftest.err
+  fi
+  $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
+  test $ac_status = 0; } && {
+	 test -z "$ac_c_werror_flag" ||
+	 test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then :
+  ac_retval=0
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+	ac_retval=1
+fi
+  eval $as_lineno_stack; ${as_lineno_stack:+:} unset as_lineno
+  as_fn_set_status $ac_retval
+
+} # ac_fn_c_try_compile
+
+# ac_fn_c_try_link LINENO
+# -----------------------
+# Try to link conftest.$ac_ext, and return whether this succeeded.
+ac_fn_c_try_link ()
+{
+  as_lineno=${as_lineno-"$1"} as_lineno_stack=as_lineno_stack=$as_lineno_stack
+  rm -f conftest.$ac_objext conftest$ac_exeext
+  if { { ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:${as_lineno-$LINENO}: $ac_try_echo\""
+$as_echo "$ac_try_echo"; } >&5
+  (eval "$ac_link") 2>conftest.err
+  ac_status=$?
+  if test -s conftest.err; then
+    grep -v '^ *+' conftest.err >conftest.er1
+    cat conftest.er1 >&5
+    mv -f conftest.er1 conftest.err
+  fi
+  $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
+  test $ac_status = 0; } && {
+	 test -z "$ac_c_werror_flag" ||
+	 test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+	 test "$cross_compiling" = yes ||
+	 test -x conftest$ac_exeext
+       }; then :
+  ac_retval=0
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+	ac_retval=1
+fi
+  # Delete the IPA/IPO (Inter Procedural Analysis/Optimization) information
+  # created by the PGI compiler (conftest_ipa8_conftest.oo), as it would
+  # interfere with the next link command; also delete a directory that is
+  # left behind by Apple's compiler.  We do this before executing the actions.
+  rm -rf conftest.dSYM conftest_ipa8_conftest.oo
+  eval $as_lineno_stack; ${as_lineno_stack:+:} unset as_lineno
+  as_fn_set_status $ac_retval
+
+} # ac_fn_c_try_link
+
+# ac_fn_c_check_header_compile LINENO HEADER VAR INCLUDES
+# -------------------------------------------------------
+# Tests whether HEADER exists and can be compiled using the include files in
+# INCLUDES, setting the cache variable VAR accordingly.
+ac_fn_c_check_header_compile ()
+{
+  as_lineno=${as_lineno-"$1"} as_lineno_stack=as_lineno_stack=$as_lineno_stack
+  { $as_echo "$as_me:${as_lineno-$LINENO}: checking for $2" >&5
+$as_echo_n "checking for $2... " >&6; }
+if eval \${$3+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+$4
+#include <$2>
+_ACEOF
+if ac_fn_c_try_compile "$LINENO"; then :
+  eval "$3=yes"
+else
+  eval "$3=no"
+fi
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+fi
+eval ac_res=\$$3
+	       { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+  eval $as_lineno_stack; ${as_lineno_stack:+:} unset as_lineno
+
+} # ac_fn_c_check_header_compile
+
+# ac_fn_c_try_cpp LINENO
+# ----------------------
+# Try to preprocess conftest.$ac_ext, and return whether this succeeded.
+ac_fn_c_try_cpp ()
+{
+  as_lineno=${as_lineno-"$1"} as_lineno_stack=as_lineno_stack=$as_lineno_stack
+  if { { ac_try="$ac_cpp conftest.$ac_ext"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:${as_lineno-$LINENO}: $ac_try_echo\""
+$as_echo "$ac_try_echo"; } >&5
+  (eval "$ac_cpp conftest.$ac_ext") 2>conftest.err
+  ac_status=$?
+  if test -s conftest.err; then
+    grep -v '^ *+' conftest.err >conftest.er1
+    cat conftest.er1 >&5
+    mv -f conftest.er1 conftest.err
+  fi
+  $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
+  test $ac_status = 0; } > conftest.i && {
+	 test -z "$ac_c_preproc_warn_flag$ac_c_werror_flag" ||
+	 test ! -s conftest.err
+       }; then :
+  ac_retval=0
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+    ac_retval=1
+fi
+  eval $as_lineno_stack; ${as_lineno_stack:+:} unset as_lineno
+  as_fn_set_status $ac_retval
+
+} # ac_fn_c_try_cpp
+
+# ac_fn_c_try_run LINENO
+# ----------------------
+# Try to link conftest.$ac_ext, and return whether this succeeded. Assumes
+# that executables *can* be run.
+ac_fn_c_try_run ()
+{
+  as_lineno=${as_lineno-"$1"} as_lineno_stack=as_lineno_stack=$as_lineno_stack
+  if { { ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:${as_lineno-$LINENO}: $ac_try_echo\""
+$as_echo "$ac_try_echo"; } >&5
+  (eval "$ac_link") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
+  test $ac_status = 0; } && { ac_try='./conftest$ac_exeext'
+  { { case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:${as_lineno-$LINENO}: $ac_try_echo\""
+$as_echo "$ac_try_echo"; } >&5
+  (eval "$ac_try") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
+  test $ac_status = 0; }; }; then :
+  ac_retval=0
+else
+  $as_echo "$as_me: program exited with status $ac_status" >&5
+       $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_retval=$ac_status
+fi
+  rm -rf conftest.dSYM conftest_ipa8_conftest.oo
+  eval $as_lineno_stack; ${as_lineno_stack:+:} unset as_lineno
+  as_fn_set_status $ac_retval
+
+} # ac_fn_c_try_run
+
+# ac_fn_c_check_func LINENO FUNC VAR
+# ----------------------------------
+# Tests whether FUNC exists, setting the cache variable VAR accordingly
+ac_fn_c_check_func ()
+{
+  as_lineno=${as_lineno-"$1"} as_lineno_stack=as_lineno_stack=$as_lineno_stack
+  { $as_echo "$as_me:${as_lineno-$LINENO}: checking for $2" >&5
+$as_echo_n "checking for $2... " >&6; }
+if eval \${$3+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+/* Define $2 to an innocuous variant, in case <limits.h> declares $2.
+   For example, HP-UX 11i <limits.h> declares gettimeofday.  */
+#define $2 innocuous_$2
+
+/* System header to define __stub macros and hopefully few prototypes,
+    which can conflict with char $2 (); below.
+    Prefer <limits.h> to <assert.h> if __STDC__ is defined, since
+    <limits.h> exists even on freestanding compilers.  */
+
+#ifdef __STDC__
+# include <limits.h>
+#else
+# include <assert.h>
+#endif
+
+#undef $2
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char $2 ();
+/* The GNU C library defines this for functions which it implements
+    to always fail with ENOSYS.  Some functions are actually named
+    something starting with __ and the normal name is an alias.  */
+#if defined __stub_$2 || defined __stub___$2
+choke me
+#endif
+
+int
+main ()
+{
+return $2 ();
+  ;
+  return 0;
+}
+_ACEOF
+if ac_fn_c_try_link "$LINENO"; then :
+  eval "$3=yes"
+else
+  eval "$3=no"
+fi
+rm -f core conftest.err conftest.$ac_objext \
+    conftest$ac_exeext conftest.$ac_ext
+fi
+eval ac_res=\$$3
+	       { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+  eval $as_lineno_stack; ${as_lineno_stack:+:} unset as_lineno
+
+} # ac_fn_c_check_func
+cat >config.log <<_ACEOF
+This file contains any messages produced by compilers while
+running configure, to aid debugging if configure makes a mistake.
+
+It was created by EXPEYES_JR $as_me 3.0.5, which was
+generated by GNU Autoconf 2.69.  Invocation command line was
+
+  $ $0 $@
+
+_ACEOF
+exec 5>>config.log
+{
+cat <<_ASUNAME
+## --------- ##
+## Platform. ##
+## --------- ##
+
+hostname = `(hostname || uname -n) 2>/dev/null | sed 1q`
+uname -m = `(uname -m) 2>/dev/null || echo unknown`
+uname -r = `(uname -r) 2>/dev/null || echo unknown`
+uname -s = `(uname -s) 2>/dev/null || echo unknown`
+uname -v = `(uname -v) 2>/dev/null || echo unknown`
+
+/usr/bin/uname -p = `(/usr/bin/uname -p) 2>/dev/null || echo unknown`
+/bin/uname -X     = `(/bin/uname -X) 2>/dev/null     || echo unknown`
+
+/bin/arch              = `(/bin/arch) 2>/dev/null              || echo unknown`
+/usr/bin/arch -k       = `(/usr/bin/arch -k) 2>/dev/null       || echo unknown`
+/usr/convex/getsysinfo = `(/usr/convex/getsysinfo) 2>/dev/null || echo unknown`
+/usr/bin/hostinfo      = `(/usr/bin/hostinfo) 2>/dev/null      || echo unknown`
+/bin/machine           = `(/bin/machine) 2>/dev/null           || echo unknown`
+/usr/bin/oslevel       = `(/usr/bin/oslevel) 2>/dev/null       || echo unknown`
+/bin/universe          = `(/bin/universe) 2>/dev/null          || echo unknown`
+
+_ASUNAME
+
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    $as_echo "PATH: $as_dir"
+  done
+IFS=$as_save_IFS
+
+} >&5
+
+cat >&5 <<_ACEOF
+
+
+## ----------- ##
+## Core tests. ##
+## ----------- ##
+
+_ACEOF
+
+
+# Keep a trace of the command line.
+# Strip out --no-create and --no-recursion so they do not pile up.
+# Strip out --silent because we don't want to record it for future runs.
+# Also quote any args containing shell meta-characters.
+# Make two passes to allow for proper duplicate-argument suppression.
+ac_configure_args=
+ac_configure_args0=
+ac_configure_args1=
+ac_must_keep_next=false
+for ac_pass in 1 2
+do
+  for ac_arg
+  do
+    case $ac_arg in
+    -no-create | --no-c* | -n | -no-recursion | --no-r*) continue ;;
+    -q | -quiet | --quiet | --quie | --qui | --qu | --q \
+    | -silent | --silent | --silen | --sile | --sil)
+      continue ;;
+    *\'*)
+      ac_arg=`$as_echo "$ac_arg" | sed "s/'/'\\\\\\\\''/g"` ;;
+    esac
+    case $ac_pass in
+    1) as_fn_append ac_configure_args0 " '$ac_arg'" ;;
+    2)
+      as_fn_append ac_configure_args1 " '$ac_arg'"
+      if test $ac_must_keep_next = true; then
+	ac_must_keep_next=false # Got value, back to normal.
+      else
+	case $ac_arg in
+	  *=* | --config-cache | -C | -disable-* | --disable-* \
+	  | -enable-* | --enable-* | -gas | --g* | -nfp | --nf* \
+	  | -q | -quiet | --q* | -silent | --sil* | -v | -verb* \
+	  | -with-* | --with-* | -without-* | --without-* | --x)
+	    case "$ac_configure_args0 " in
+	      "$ac_configure_args1"*" '$ac_arg' "* ) continue ;;
+	    esac
+	    ;;
+	  -* ) ac_must_keep_next=true ;;
+	esac
+      fi
+      as_fn_append ac_configure_args " '$ac_arg'"
+      ;;
+    esac
+  done
+done
+{ ac_configure_args0=; unset ac_configure_args0;}
+{ ac_configure_args1=; unset ac_configure_args1;}
+
+# When interrupted or exit'd, cleanup temporary files, and complete
+# config.log.  We remove comments because anyway the quotes in there
+# would cause problems or look ugly.
+# WARNING: Use '\'' to represent an apostrophe within the trap.
+# WARNING: Do not start the trap code with a newline, due to a FreeBSD 4.0 bug.
+trap 'exit_status=$?
+  # Save into config.log some information that might help in debugging.
+  {
+    echo
+
+    $as_echo "## ---------------- ##
+## Cache variables. ##
+## ---------------- ##"
+    echo
+    # The following way of writing the cache mishandles newlines in values,
+(
+  for ac_var in `(set) 2>&1 | sed -n '\''s/^\([a-zA-Z_][a-zA-Z0-9_]*\)=.*/\1/p'\''`; do
+    eval ac_val=\$$ac_var
+    case $ac_val in #(
+    *${as_nl}*)
+      case $ac_var in #(
+      *_cv_*) { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: cache variable $ac_var contains a newline" >&5
+$as_echo "$as_me: WARNING: cache variable $ac_var contains a newline" >&2;} ;;
+      esac
+      case $ac_var in #(
+      _ | IFS | as_nl) ;; #(
+      BASH_ARGV | BASH_SOURCE) eval $ac_var= ;; #(
+      *) { eval $ac_var=; unset $ac_var;} ;;
+      esac ;;
+    esac
+  done
+  (set) 2>&1 |
+    case $as_nl`(ac_space='\'' '\''; set) 2>&1` in #(
+    *${as_nl}ac_space=\ *)
+      sed -n \
+	"s/'\''/'\''\\\\'\'''\''/g;
+	  s/^\\([_$as_cr_alnum]*_cv_[_$as_cr_alnum]*\\)=\\(.*\\)/\\1='\''\\2'\''/p"
+      ;; #(
+    *)
+      sed -n "/^[_$as_cr_alnum]*_cv_[_$as_cr_alnum]*=/p"
+      ;;
+    esac |
+    sort
+)
+    echo
+
+    $as_echo "## ----------------- ##
+## Output variables. ##
+## ----------------- ##"
+    echo
+    for ac_var in $ac_subst_vars
+    do
+      eval ac_val=\$$ac_var
+      case $ac_val in
+      *\'\''*) ac_val=`$as_echo "$ac_val" | sed "s/'\''/'\''\\\\\\\\'\'''\''/g"`;;
+      esac
+      $as_echo "$ac_var='\''$ac_val'\''"
+    done | sort
+    echo
+
+    if test -n "$ac_subst_files"; then
+      $as_echo "## ------------------- ##
+## File substitutions. ##
+## ------------------- ##"
+      echo
+      for ac_var in $ac_subst_files
+      do
+	eval ac_val=\$$ac_var
+	case $ac_val in
+	*\'\''*) ac_val=`$as_echo "$ac_val" | sed "s/'\''/'\''\\\\\\\\'\'''\''/g"`;;
+	esac
+	$as_echo "$ac_var='\''$ac_val'\''"
+      done | sort
+      echo
+    fi
+
+    if test -s confdefs.h; then
+      $as_echo "## ----------- ##
+## confdefs.h. ##
+## ----------- ##"
+      echo
+      cat confdefs.h
+      echo
+    fi
+    test "$ac_signal" != 0 &&
+      $as_echo "$as_me: caught signal $ac_signal"
+    $as_echo "$as_me: exit $exit_status"
+  } >&5
+  rm -f core *.core core.conftest.* &&
+    rm -f -r conftest* confdefs* conf$$* $ac_clean_files &&
+    exit $exit_status
+' 0
+for ac_signal in 1 2 13 15; do
+  trap 'ac_signal='$ac_signal'; as_fn_exit 1' $ac_signal
+done
+ac_signal=0
+
+# confdefs.h avoids OS command line length limits that DEFS can exceed.
+rm -f -r conftest* confdefs.h
+
+$as_echo "/* confdefs.h */" > confdefs.h
+
+# Predefined preprocessor variables.
+
+cat >>confdefs.h <<_ACEOF
+#define PACKAGE_NAME "$PACKAGE_NAME"
+_ACEOF
+
+cat >>confdefs.h <<_ACEOF
+#define PACKAGE_TARNAME "$PACKAGE_TARNAME"
+_ACEOF
+
+cat >>confdefs.h <<_ACEOF
+#define PACKAGE_VERSION "$PACKAGE_VERSION"
+_ACEOF
+
+cat >>confdefs.h <<_ACEOF
+#define PACKAGE_STRING "$PACKAGE_STRING"
+_ACEOF
+
+cat >>confdefs.h <<_ACEOF
+#define PACKAGE_BUGREPORT "$PACKAGE_BUGREPORT"
+_ACEOF
+
+cat >>confdefs.h <<_ACEOF
+#define PACKAGE_URL "$PACKAGE_URL"
+_ACEOF
+
+
+# Let the site file select an alternate cache file if it wants to.
+# Prefer an explicitly selected file to automatically selected ones.
+ac_site_file1=NONE
+ac_site_file2=NONE
+if test -n "$CONFIG_SITE"; then
+  # We do not want a PATH search for config.site.
+  case $CONFIG_SITE in #((
+    -*)  ac_site_file1=./$CONFIG_SITE;;
+    */*) ac_site_file1=$CONFIG_SITE;;
+    *)   ac_site_file1=./$CONFIG_SITE;;
+  esac
+elif test "x$prefix" != xNONE; then
+  ac_site_file1=$prefix/share/config.site
+  ac_site_file2=$prefix/etc/config.site
+else
+  ac_site_file1=$ac_default_prefix/share/config.site
+  ac_site_file2=$ac_default_prefix/etc/config.site
+fi
+for ac_site_file in "$ac_site_file1" "$ac_site_file2"
+do
+  test "x$ac_site_file" = xNONE && continue
+  if test /dev/null != "$ac_site_file" && test -r "$ac_site_file"; then
+    { $as_echo "$as_me:${as_lineno-$LINENO}: loading site script $ac_site_file" >&5
+$as_echo "$as_me: loading site script $ac_site_file" >&6;}
+    sed 's/^/| /' "$ac_site_file" >&5
+    . "$ac_site_file" \
+      || { { $as_echo "$as_me:${as_lineno-$LINENO}: error: in \`$ac_pwd':" >&5
+$as_echo "$as_me: error: in \`$ac_pwd':" >&2;}
+as_fn_error $? "failed to load site script $ac_site_file
+See \`config.log' for more details" "$LINENO" 5; }
+  fi
+done
+
+if test -r "$cache_file"; then
+  # Some versions of bash will fail to source /dev/null (special files
+  # actually), so we avoid doing that.  DJGPP emulates it as a regular file.
+  if test /dev/null != "$cache_file" && test -f "$cache_file"; then
+    { $as_echo "$as_me:${as_lineno-$LINENO}: loading cache $cache_file" >&5
+$as_echo "$as_me: loading cache $cache_file" >&6;}
+    case $cache_file in
+      [\\/]* | ?:[\\/]* ) . "$cache_file";;
+      *)                      . "./$cache_file";;
+    esac
+  fi
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: creating cache $cache_file" >&5
+$as_echo "$as_me: creating cache $cache_file" >&6;}
+  >$cache_file
+fi
+
+# Check that the precious variables saved in the cache have kept the same
+# value.
+ac_cache_corrupted=false
+for ac_var in $ac_precious_vars; do
+  eval ac_old_set=\$ac_cv_env_${ac_var}_set
+  eval ac_new_set=\$ac_env_${ac_var}_set
+  eval ac_old_val=\$ac_cv_env_${ac_var}_value
+  eval ac_new_val=\$ac_env_${ac_var}_value
+  case $ac_old_set,$ac_new_set in
+    set,)
+      { $as_echo "$as_me:${as_lineno-$LINENO}: error: \`$ac_var' was set to \`$ac_old_val' in the previous run" >&5
+$as_echo "$as_me: error: \`$ac_var' was set to \`$ac_old_val' in the previous run" >&2;}
+      ac_cache_corrupted=: ;;
+    ,set)
+      { $as_echo "$as_me:${as_lineno-$LINENO}: error: \`$ac_var' was not set in the previous run" >&5
+$as_echo "$as_me: error: \`$ac_var' was not set in the previous run" >&2;}
+      ac_cache_corrupted=: ;;
+    ,);;
+    *)
+      if test "x$ac_old_val" != "x$ac_new_val"; then
+	# differences in whitespace do not lead to failure.
+	ac_old_val_w=`echo x $ac_old_val`
+	ac_new_val_w=`echo x $ac_new_val`
+	if test "$ac_old_val_w" != "$ac_new_val_w"; then
+	  { $as_echo "$as_me:${as_lineno-$LINENO}: error: \`$ac_var' has changed since the previous run:" >&5
+$as_echo "$as_me: error: \`$ac_var' has changed since the previous run:" >&2;}
+	  ac_cache_corrupted=:
+	else
+	  { $as_echo "$as_me:${as_lineno-$LINENO}: warning: ignoring whitespace changes in \`$ac_var' since the previous run:" >&5
+$as_echo "$as_me: warning: ignoring whitespace changes in \`$ac_var' since the previous run:" >&2;}
+	  eval $ac_var=\$ac_old_val
+	fi
+	{ $as_echo "$as_me:${as_lineno-$LINENO}:   former value:  \`$ac_old_val'" >&5
+$as_echo "$as_me:   former value:  \`$ac_old_val'" >&2;}
+	{ $as_echo "$as_me:${as_lineno-$LINENO}:   current value: \`$ac_new_val'" >&5
+$as_echo "$as_me:   current value: \`$ac_new_val'" >&2;}
+      fi;;
+  esac
+  # Pass precious variables to config.status.
+  if test "$ac_new_set" = set; then
+    case $ac_new_val in
+    *\'*) ac_arg=$ac_var=`$as_echo "$ac_new_val" | sed "s/'/'\\\\\\\\''/g"` ;;
+    *) ac_arg=$ac_var=$ac_new_val ;;
+    esac
+    case " $ac_configure_args " in
+      *" '$ac_arg' "*) ;; # Avoid dups.  Use of quotes ensures accuracy.
+      *) as_fn_append ac_configure_args " '$ac_arg'" ;;
+    esac
+  fi
+done
+if $ac_cache_corrupted; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: error: in \`$ac_pwd':" >&5
+$as_echo "$as_me: error: in \`$ac_pwd':" >&2;}
+  { $as_echo "$as_me:${as_lineno-$LINENO}: error: changes in the environment can compromise the build" >&5
+$as_echo "$as_me: error: changes in the environment can compromise the build" >&2;}
+  as_fn_error $? "run \`make distclean' and/or \`rm $cache_file' and start over" "$LINENO" 5
+fi
+## -------------------- ##
+## Main body of script. ##
+## -------------------- ##
+
+ac_ext=c
+ac_cpp='$CPP $CPPFLAGS'
+ac_compile='$CC -c $CFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CC -o conftest$ac_exeext $CFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_c_compiler_gnu
+
+
+
+
+am__api_version='1.14'
+
+ac_aux_dir=
+for ac_dir in "$srcdir" "$srcdir/.." "$srcdir/../.."; do
+  if test -f "$ac_dir/install-sh"; then
+    ac_aux_dir=$ac_dir
+    ac_install_sh="$ac_aux_dir/install-sh -c"
+    break
+  elif test -f "$ac_dir/install.sh"; then
+    ac_aux_dir=$ac_dir
+    ac_install_sh="$ac_aux_dir/install.sh -c"
+    break
+  elif test -f "$ac_dir/shtool"; then
+    ac_aux_dir=$ac_dir
+    ac_install_sh="$ac_aux_dir/shtool install -c"
+    break
+  fi
+done
+if test -z "$ac_aux_dir"; then
+  as_fn_error $? "cannot find install-sh, install.sh, or shtool in \"$srcdir\" \"$srcdir/..\" \"$srcdir/../..\"" "$LINENO" 5
+fi
+
+# These three variables are undocumented and unsupported,
+# and are intended to be withdrawn in a future Autoconf release.
+# They can cause serious problems if a builder's source tree is in a directory
+# whose full name contains unusual characters.
+ac_config_guess="$SHELL $ac_aux_dir/config.guess"  # Please don't use this var.
+ac_config_sub="$SHELL $ac_aux_dir/config.sub"  # Please don't use this var.
+ac_configure="$SHELL $ac_aux_dir/configure"  # Please don't use this var.
+
+
+# Find a good install program.  We prefer a C program (faster),
+# so one script is as good as another.  But avoid the broken or
+# incompatible versions:
+# SysV /etc/install, /usr/sbin/install
+# SunOS /usr/etc/install
+# IRIX /sbin/install
+# AIX /bin/install
+# AmigaOS /C/install, which installs bootblocks on floppy discs
+# AIX 4 /usr/bin/installbsd, which doesn't work without a -g flag
+# AFS /usr/afsws/bin/install, which mishandles nonexistent args
+# SVR4 /usr/ucb/install, which tries to use the nonexistent group "staff"
+# OS/2's system install, which has a completely different semantic
+# ./install, which can be erroneously created by make from ./install.sh.
+# Reject install programs that cannot install multiple files.
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for a BSD-compatible install" >&5
+$as_echo_n "checking for a BSD-compatible install... " >&6; }
+if test -z "$INSTALL"; then
+if ${ac_cv_path_install+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    # Account for people who put trailing slashes in PATH elements.
+case $as_dir/ in #((
+  ./ | .// | /[cC]/* | \
+  /etc/* | /usr/sbin/* | /usr/etc/* | /sbin/* | /usr/afsws/bin/* | \
+  ?:[\\/]os2[\\/]install[\\/]* | ?:[\\/]OS2[\\/]INSTALL[\\/]* | \
+  /usr/ucb/* ) ;;
+  *)
+    # OSF1 and SCO ODT 3.0 have their own names for install.
+    # Don't use installbsd from OSF since it installs stuff as root
+    # by default.
+    for ac_prog in ginstall scoinst install; do
+      for ac_exec_ext in '' $ac_executable_extensions; do
+	if as_fn_executable_p "$as_dir/$ac_prog$ac_exec_ext"; then
+	  if test $ac_prog = install &&
+	    grep dspmsg "$as_dir/$ac_prog$ac_exec_ext" >/dev/null 2>&1; then
+	    # AIX install.  It has an incompatible calling convention.
+	    :
+	  elif test $ac_prog = install &&
+	    grep pwplus "$as_dir/$ac_prog$ac_exec_ext" >/dev/null 2>&1; then
+	    # program-specific install script used by HP pwplus--don't use.
+	    :
+	  else
+	    rm -rf conftest.one conftest.two conftest.dir
+	    echo one > conftest.one
+	    echo two > conftest.two
+	    mkdir conftest.dir
+	    if "$as_dir/$ac_prog$ac_exec_ext" -c conftest.one conftest.two "`pwd`/conftest.dir" &&
+	      test -s conftest.one && test -s conftest.two &&
+	      test -s conftest.dir/conftest.one &&
+	      test -s conftest.dir/conftest.two
+	    then
+	      ac_cv_path_install="$as_dir/$ac_prog$ac_exec_ext -c"
+	      break 3
+	    fi
+	  fi
+	fi
+      done
+    done
+    ;;
+esac
+
+  done
+IFS=$as_save_IFS
+
+rm -rf conftest.one conftest.two conftest.dir
+
+fi
+  if test "${ac_cv_path_install+set}" = set; then
+    INSTALL=$ac_cv_path_install
+  else
+    # As a last resort, use the slow shell script.  Don't cache a
+    # value for INSTALL within a source directory, because that will
+    # break other packages using the cache if that directory is
+    # removed, or if the value is a relative name.
+    INSTALL=$ac_install_sh
+  fi
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $INSTALL" >&5
+$as_echo "$INSTALL" >&6; }
+
+# Use test -z because SunOS4 sh mishandles braces in ${var-val}.
+# It thinks the first close brace ends the variable substitution.
+test -z "$INSTALL_PROGRAM" && INSTALL_PROGRAM='${INSTALL}'
+
+test -z "$INSTALL_SCRIPT" && INSTALL_SCRIPT='${INSTALL}'
+
+test -z "$INSTALL_DATA" && INSTALL_DATA='${INSTALL} -m 644'
+
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking whether build environment is sane" >&5
+$as_echo_n "checking whether build environment is sane... " >&6; }
+# Reject unsafe characters in $srcdir or the absolute working directory
+# name.  Accept space and tab only in the latter.
+am_lf='
+'
+case `pwd` in
+  *[\\\"\#\$\&\'\`$am_lf]*)
+    as_fn_error $? "unsafe absolute working directory name" "$LINENO" 5;;
+esac
+case $srcdir in
+  *[\\\"\#\$\&\'\`$am_lf\ \	]*)
+    as_fn_error $? "unsafe srcdir value: '$srcdir'" "$LINENO" 5;;
+esac
+
+# Do 'set' in a subshell so we don't clobber the current shell's
+# arguments.  Must try -L first in case configure is actually a
+# symlink; some systems play weird games with the mod time of symlinks
+# (eg FreeBSD returns the mod time of the symlink's containing
+# directory).
+if (
+   am_has_slept=no
+   for am_try in 1 2; do
+     echo "timestamp, slept: $am_has_slept" > conftest.file
+     set X `ls -Lt "$srcdir/configure" conftest.file 2> /dev/null`
+     if test "$*" = "X"; then
+	# -L didn't work.
+	set X `ls -t "$srcdir/configure" conftest.file`
+     fi
+     if test "$*" != "X $srcdir/configure conftest.file" \
+	&& test "$*" != "X conftest.file $srcdir/configure"; then
+
+	# If neither matched, then we have a broken ls.  This can happen
+	# if, for instance, CONFIG_SHELL is bash and it inherits a
+	# broken ls alias from the environment.  This has actually
+	# happened.  Such a system could not be considered "sane".
+	as_fn_error $? "ls -t appears to fail.  Make sure there is not a broken
+  alias in your environment" "$LINENO" 5
+     fi
+     if test "$2" = conftest.file || test $am_try -eq 2; then
+       break
+     fi
+     # Just in case.
+     sleep 1
+     am_has_slept=yes
+   done
+   test "$2" = conftest.file
+   )
+then
+   # Ok.
+   :
+else
+   as_fn_error $? "newly created file is older than distributed files!
+Check your system clock" "$LINENO" 5
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: yes" >&5
+$as_echo "yes" >&6; }
+# If we didn't sleep, we still need to ensure time stamps of config.status and
+# generated files are strictly newer.
+am_sleep_pid=
+if grep 'slept: no' conftest.file >/dev/null 2>&1; then
+  ( sleep 1 ) &
+  am_sleep_pid=$!
+fi
+
+rm -f conftest.file
+
+test "$program_prefix" != NONE &&
+  program_transform_name="s&^&$program_prefix&;$program_transform_name"
+# Use a double $ so make ignores it.
+test "$program_suffix" != NONE &&
+  program_transform_name="s&\$&$program_suffix&;$program_transform_name"
+# Double any \ or $.
+# By default was `s,x,x', remove it if useless.
+ac_script='s/[\\$]/&&/g;s/;s,x,x,$//'
+program_transform_name=`$as_echo "$program_transform_name" | sed "$ac_script"`
+
+# expand $ac_aux_dir to an absolute path
+am_aux_dir=`cd $ac_aux_dir && pwd`
+
+if test x"${MISSING+set}" != xset; then
+  case $am_aux_dir in
+  *\ * | *\	*)
+    MISSING="\${SHELL} \"$am_aux_dir/missing\"" ;;
+  *)
+    MISSING="\${SHELL} $am_aux_dir/missing" ;;
+  esac
+fi
+# Use eval to expand $SHELL
+if eval "$MISSING --is-lightweight"; then
+  am_missing_run="$MISSING "
+else
+  am_missing_run=
+  { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: 'missing' script is too old or missing" >&5
+$as_echo "$as_me: WARNING: 'missing' script is too old or missing" >&2;}
+fi
+
+if test x"${install_sh}" != xset; then
+  case $am_aux_dir in
+  *\ * | *\	*)
+    install_sh="\${SHELL} '$am_aux_dir/install-sh'" ;;
+  *)
+    install_sh="\${SHELL} $am_aux_dir/install-sh"
+  esac
+fi
+
+# Installed binaries are usually stripped using 'strip' when the user
+# run "make install-strip".  However 'strip' might not be the right
+# tool to use in cross-compilation environments, therefore Automake
+# will honor the 'STRIP' environment variable to overrule this program.
+if test "$cross_compiling" != no; then
+  if test -n "$ac_tool_prefix"; then
+  # Extract the first word of "${ac_tool_prefix}strip", so it can be a program name with args.
+set dummy ${ac_tool_prefix}strip; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if ${ac_cv_prog_STRIP+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$STRIP"; then
+  ac_cv_prog_STRIP="$STRIP" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if as_fn_executable_p "$as_dir/$ac_word$ac_exec_ext"; then
+    ac_cv_prog_STRIP="${ac_tool_prefix}strip"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+fi
+fi
+STRIP=$ac_cv_prog_STRIP
+if test -n "$STRIP"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $STRIP" >&5
+$as_echo "$STRIP" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+fi
+if test -z "$ac_cv_prog_STRIP"; then
+  ac_ct_STRIP=$STRIP
+  # Extract the first word of "strip", so it can be a program name with args.
+set dummy strip; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if ${ac_cv_prog_ac_ct_STRIP+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$ac_ct_STRIP"; then
+  ac_cv_prog_ac_ct_STRIP="$ac_ct_STRIP" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if as_fn_executable_p "$as_dir/$ac_word$ac_exec_ext"; then
+    ac_cv_prog_ac_ct_STRIP="strip"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+fi
+fi
+ac_ct_STRIP=$ac_cv_prog_ac_ct_STRIP
+if test -n "$ac_ct_STRIP"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_ct_STRIP" >&5
+$as_echo "$ac_ct_STRIP" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+  if test "x$ac_ct_STRIP" = x; then
+    STRIP=":"
+  else
+    case $cross_compiling:$ac_tool_warned in
+yes:)
+{ $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: using cross tools not prefixed with host triplet" >&5
+$as_echo "$as_me: WARNING: using cross tools not prefixed with host triplet" >&2;}
+ac_tool_warned=yes ;;
+esac
+    STRIP=$ac_ct_STRIP
+  fi
+else
+  STRIP="$ac_cv_prog_STRIP"
+fi
+
+fi
+INSTALL_STRIP_PROGRAM="\$(install_sh) -c -s"
+
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for a thread-safe mkdir -p" >&5
+$as_echo_n "checking for a thread-safe mkdir -p... " >&6; }
+if test -z "$MKDIR_P"; then
+  if ${ac_cv_path_mkdir+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH$PATH_SEPARATOR/opt/sfw/bin
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_prog in mkdir gmkdir; do
+	 for ac_exec_ext in '' $ac_executable_extensions; do
+	   as_fn_executable_p "$as_dir/$ac_prog$ac_exec_ext" || continue
+	   case `"$as_dir/$ac_prog$ac_exec_ext" --version 2>&1` in #(
+	     'mkdir (GNU coreutils) '* | \
+	     'mkdir (coreutils) '* | \
+	     'mkdir (fileutils) '4.1*)
+	       ac_cv_path_mkdir=$as_dir/$ac_prog$ac_exec_ext
+	       break 3;;
+	   esac
+	 done
+       done
+  done
+IFS=$as_save_IFS
+
+fi
+
+  test -d ./--version && rmdir ./--version
+  if test "${ac_cv_path_mkdir+set}" = set; then
+    MKDIR_P="$ac_cv_path_mkdir -p"
+  else
+    # As a last resort, use the slow shell script.  Don't cache a
+    # value for MKDIR_P within a source directory, because that will
+    # break other packages using the cache if that directory is
+    # removed, or if the value is a relative name.
+    MKDIR_P="$ac_install_sh -d"
+  fi
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $MKDIR_P" >&5
+$as_echo "$MKDIR_P" >&6; }
+
+for ac_prog in gawk mawk nawk awk
+do
+  # Extract the first word of "$ac_prog", so it can be a program name with args.
+set dummy $ac_prog; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if ${ac_cv_prog_AWK+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$AWK"; then
+  ac_cv_prog_AWK="$AWK" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if as_fn_executable_p "$as_dir/$ac_word$ac_exec_ext"; then
+    ac_cv_prog_AWK="$ac_prog"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+fi
+fi
+AWK=$ac_cv_prog_AWK
+if test -n "$AWK"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $AWK" >&5
+$as_echo "$AWK" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+  test -n "$AWK" && break
+done
+
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking whether ${MAKE-make} sets \$(MAKE)" >&5
+$as_echo_n "checking whether ${MAKE-make} sets \$(MAKE)... " >&6; }
+set x ${MAKE-make}
+ac_make=`$as_echo "$2" | sed 's/+/p/g; s/[^a-zA-Z0-9_]/_/g'`
+if eval \${ac_cv_prog_make_${ac_make}_set+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  cat >conftest.make <<\_ACEOF
+SHELL = /bin/sh
+all:
+	@echo '@@@%%%=$(MAKE)=@@@%%%'
+_ACEOF
+# GNU make sometimes prints "make[1]: Entering ...", which would confuse us.
+case `${MAKE-make} -f conftest.make 2>/dev/null` in
+  *@@@%%%=?*=@@@%%%*)
+    eval ac_cv_prog_make_${ac_make}_set=yes;;
+  *)
+    eval ac_cv_prog_make_${ac_make}_set=no;;
+esac
+rm -f conftest.make
+fi
+if eval test \$ac_cv_prog_make_${ac_make}_set = yes; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: yes" >&5
+$as_echo "yes" >&6; }
+  SET_MAKE=
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+  SET_MAKE="MAKE=${MAKE-make}"
+fi
+
+rm -rf .tst 2>/dev/null
+mkdir .tst 2>/dev/null
+if test -d .tst; then
+  am__leading_dot=.
+else
+  am__leading_dot=_
+fi
+rmdir .tst 2>/dev/null
+
+# Check whether --enable-silent-rules was given.
+if test "${enable_silent_rules+set}" = set; then :
+  enableval=$enable_silent_rules;
+fi
+
+case $enable_silent_rules in # (((
+  yes) AM_DEFAULT_VERBOSITY=0;;
+   no) AM_DEFAULT_VERBOSITY=1;;
+    *) AM_DEFAULT_VERBOSITY=1;;
+esac
+am_make=${MAKE-make}
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking whether $am_make supports nested variables" >&5
+$as_echo_n "checking whether $am_make supports nested variables... " >&6; }
+if ${am_cv_make_support_nested_variables+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  if $as_echo 'TRUE=$(BAR$(V))
+BAR0=false
+BAR1=true
+V=1
+am__doit:
+	@$(TRUE)
+.PHONY: am__doit' | $am_make -f - >/dev/null 2>&1; then
+  am_cv_make_support_nested_variables=yes
+else
+  am_cv_make_support_nested_variables=no
+fi
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $am_cv_make_support_nested_variables" >&5
+$as_echo "$am_cv_make_support_nested_variables" >&6; }
+if test $am_cv_make_support_nested_variables = yes; then
+    AM_V='$(V)'
+  AM_DEFAULT_V='$(AM_DEFAULT_VERBOSITY)'
+else
+  AM_V=$AM_DEFAULT_VERBOSITY
+  AM_DEFAULT_V=$AM_DEFAULT_VERBOSITY
+fi
+AM_BACKSLASH='\'
+
+if test "`cd $srcdir && pwd`" != "`pwd`"; then
+  # Use -I$(srcdir) only when $(srcdir) != ., so that make's output
+  # is not polluted with repeated "-I."
+  am__isrc=' -I$(srcdir)'
+  # test to see if srcdir already configured
+  if test -f $srcdir/config.status; then
+    as_fn_error $? "source directory already configured; run \"make distclean\" there first" "$LINENO" 5
+  fi
+fi
+
+# test whether we have cygpath
+if test -z "$CYGPATH_W"; then
+  if (cygpath --version) >/dev/null 2>/dev/null; then
+    CYGPATH_W='cygpath -w'
+  else
+    CYGPATH_W=echo
+  fi
+fi
+
+
+# Define the identity of the package.
+ PACKAGE='expeyes_jr'
+ VERSION='3.0.5'
+
+
+cat >>confdefs.h <<_ACEOF
+#define PACKAGE "$PACKAGE"
+_ACEOF
+
+
+cat >>confdefs.h <<_ACEOF
+#define VERSION "$VERSION"
+_ACEOF
+
+# Some tools Automake needs.
+
+ACLOCAL=${ACLOCAL-"${am_missing_run}aclocal-${am__api_version}"}
+
+
+AUTOCONF=${AUTOCONF-"${am_missing_run}autoconf"}
+
+
+AUTOMAKE=${AUTOMAKE-"${am_missing_run}automake-${am__api_version}"}
+
+
+AUTOHEADER=${AUTOHEADER-"${am_missing_run}autoheader"}
+
+
+MAKEINFO=${MAKEINFO-"${am_missing_run}makeinfo"}
+
+# For better backward compatibility.  To be removed once Automake 1.9.x
+# dies out for good.  For more background, see:
+# <http://lists.gnu.org/archive/html/automake/2012-07/msg00001.html>
+# <http://lists.gnu.org/archive/html/automake/2012-07/msg00014.html>
+mkdir_p='$(MKDIR_P)'
+
+# We need awk for the "check" target.  The system "awk" is bad on
+# some platforms.
+# Always define AMTAR for backward compatibility.  Yes, it's still used
+# in the wild :-(  We should find a proper way to deprecate it ...
+AMTAR='$${TAR-tar}'
+
+
+# We'll loop over all known methods to create a tar archive until one works.
+_am_tools='gnutar  pax cpio none'
+
+am__tar='$${TAR-tar} chof - "$$tardir"' am__untar='$${TAR-tar} xf -'
+
+
+
+
+
+
+# POSIX will say in a future version that running "rm -f" with no argument
+# is OK; and we want to be able to make that assumption in our Makefile
+# recipes.  So use an aggressive probe to check that the usage we want is
+# actually supported "in the wild" to an acceptable degree.
+# See automake bug#10828.
+# To make any issue more visible, cause the running configure to be aborted
+# by default if the 'rm' program in use doesn't match our expectations; the
+# user can still override this though.
+if rm -f && rm -fr && rm -rf; then : OK; else
+  cat >&2 <<'END'
+Oops!
+
+Your 'rm' program seems unable to run without file operands specified
+on the command line, even when the '-f' option is present.  This is contrary
+to the behaviour of most rm programs out there, and not conforming with
+the upcoming POSIX standard: <http://austingroupbugs.net/view.php?id=542>
+
+Please tell bug-automake at gnu.org about your system, including the value
+of your $PATH and any error possibly output before this message.  This
+can help us improve future automake versions.
+
+END
+  if test x"$ACCEPT_INFERIOR_RM_PROGRAM" = x"yes"; then
+    echo 'Configuration will proceed anyway, since you have set the' >&2
+    echo 'ACCEPT_INFERIOR_RM_PROGRAM variable to "yes"' >&2
+    echo >&2
+  else
+    cat >&2 <<'END'
+Aborting the configuration process, to ensure you take notice of the issue.
+
+You can download and install GNU coreutils to get an 'rm' implementation
+that behaves properly: <http://www.gnu.org/software/coreutils/>.
+
+If you want to complete the configuration process using your problematic
+'rm' anyway, export the environment variable ACCEPT_INFERIOR_RM_PROGRAM
+to "yes", and re-run configure.
+
+END
+    as_fn_error $? "Your 'rm' program is bad, sorry." "$LINENO" 5
+  fi
+fi
+
+#### example snippet for dependency on INTI
+#INTI_REQUIRED_VERSION=1.0.7
+#PKG_CHECK_MODULES(INTI, inti-1.0 >= $INTI_REQUIRED_VERSION)
+#AC_SUBST(INTI_CFLAGS)
+#AC_SUBST(INTI_LIBS)
+
+# for C++
+# AC_PROG_CXX
+
+# for C language
+ac_ext=c
+ac_cpp='$CPP $CPPFLAGS'
+ac_compile='$CC -c $CFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CC -o conftest$ac_exeext $CFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_c_compiler_gnu
+if test -n "$ac_tool_prefix"; then
+  # Extract the first word of "${ac_tool_prefix}gcc", so it can be a program name with args.
+set dummy ${ac_tool_prefix}gcc; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if ${ac_cv_prog_CC+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$CC"; then
+  ac_cv_prog_CC="$CC" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if as_fn_executable_p "$as_dir/$ac_word$ac_exec_ext"; then
+    ac_cv_prog_CC="${ac_tool_prefix}gcc"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+fi
+fi
+CC=$ac_cv_prog_CC
+if test -n "$CC"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $CC" >&5
+$as_echo "$CC" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+fi
+if test -z "$ac_cv_prog_CC"; then
+  ac_ct_CC=$CC
+  # Extract the first word of "gcc", so it can be a program name with args.
+set dummy gcc; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if ${ac_cv_prog_ac_ct_CC+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$ac_ct_CC"; then
+  ac_cv_prog_ac_ct_CC="$ac_ct_CC" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if as_fn_executable_p "$as_dir/$ac_word$ac_exec_ext"; then
+    ac_cv_prog_ac_ct_CC="gcc"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+fi
+fi
+ac_ct_CC=$ac_cv_prog_ac_ct_CC
+if test -n "$ac_ct_CC"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_ct_CC" >&5
+$as_echo "$ac_ct_CC" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+  if test "x$ac_ct_CC" = x; then
+    CC=""
+  else
+    case $cross_compiling:$ac_tool_warned in
+yes:)
+{ $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: using cross tools not prefixed with host triplet" >&5
+$as_echo "$as_me: WARNING: using cross tools not prefixed with host triplet" >&2;}
+ac_tool_warned=yes ;;
+esac
+    CC=$ac_ct_CC
+  fi
+else
+  CC="$ac_cv_prog_CC"
+fi
+
+if test -z "$CC"; then
+          if test -n "$ac_tool_prefix"; then
+    # Extract the first word of "${ac_tool_prefix}cc", so it can be a program name with args.
+set dummy ${ac_tool_prefix}cc; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if ${ac_cv_prog_CC+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$CC"; then
+  ac_cv_prog_CC="$CC" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if as_fn_executable_p "$as_dir/$ac_word$ac_exec_ext"; then
+    ac_cv_prog_CC="${ac_tool_prefix}cc"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+fi
+fi
+CC=$ac_cv_prog_CC
+if test -n "$CC"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $CC" >&5
+$as_echo "$CC" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+  fi
+fi
+if test -z "$CC"; then
+  # Extract the first word of "cc", so it can be a program name with args.
+set dummy cc; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if ${ac_cv_prog_CC+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$CC"; then
+  ac_cv_prog_CC="$CC" # Let the user override the test.
+else
+  ac_prog_rejected=no
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if as_fn_executable_p "$as_dir/$ac_word$ac_exec_ext"; then
+    if test "$as_dir/$ac_word$ac_exec_ext" = "/usr/ucb/cc"; then
+       ac_prog_rejected=yes
+       continue
+     fi
+    ac_cv_prog_CC="cc"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+if test $ac_prog_rejected = yes; then
+  # We found a bogon in the path, so make sure we never use it.
+  set dummy $ac_cv_prog_CC
+  shift
+  if test $# != 0; then
+    # We chose a different compiler from the bogus one.
+    # However, it has the same basename, so the bogon will be chosen
+    # first if we set CC to just the basename; use the full file name.
+    shift
+    ac_cv_prog_CC="$as_dir/$ac_word${1+' '}$@"
+  fi
+fi
+fi
+fi
+CC=$ac_cv_prog_CC
+if test -n "$CC"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $CC" >&5
+$as_echo "$CC" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+fi
+if test -z "$CC"; then
+  if test -n "$ac_tool_prefix"; then
+  for ac_prog in cl.exe
+  do
+    # Extract the first word of "$ac_tool_prefix$ac_prog", so it can be a program name with args.
+set dummy $ac_tool_prefix$ac_prog; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if ${ac_cv_prog_CC+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$CC"; then
+  ac_cv_prog_CC="$CC" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if as_fn_executable_p "$as_dir/$ac_word$ac_exec_ext"; then
+    ac_cv_prog_CC="$ac_tool_prefix$ac_prog"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+fi
+fi
+CC=$ac_cv_prog_CC
+if test -n "$CC"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $CC" >&5
+$as_echo "$CC" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+    test -n "$CC" && break
+  done
+fi
+if test -z "$CC"; then
+  ac_ct_CC=$CC
+  for ac_prog in cl.exe
+do
+  # Extract the first word of "$ac_prog", so it can be a program name with args.
+set dummy $ac_prog; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if ${ac_cv_prog_ac_ct_CC+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$ac_ct_CC"; then
+  ac_cv_prog_ac_ct_CC="$ac_ct_CC" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if as_fn_executable_p "$as_dir/$ac_word$ac_exec_ext"; then
+    ac_cv_prog_ac_ct_CC="$ac_prog"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+fi
+fi
+ac_ct_CC=$ac_cv_prog_ac_ct_CC
+if test -n "$ac_ct_CC"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_ct_CC" >&5
+$as_echo "$ac_ct_CC" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+  test -n "$ac_ct_CC" && break
+done
+
+  if test "x$ac_ct_CC" = x; then
+    CC=""
+  else
+    case $cross_compiling:$ac_tool_warned in
+yes:)
+{ $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: using cross tools not prefixed with host triplet" >&5
+$as_echo "$as_me: WARNING: using cross tools not prefixed with host triplet" >&2;}
+ac_tool_warned=yes ;;
+esac
+    CC=$ac_ct_CC
+  fi
+fi
+
+fi
+
+
+test -z "$CC" && { { $as_echo "$as_me:${as_lineno-$LINENO}: error: in \`$ac_pwd':" >&5
+$as_echo "$as_me: error: in \`$ac_pwd':" >&2;}
+as_fn_error $? "no acceptable C compiler found in \$PATH
+See \`config.log' for more details" "$LINENO" 5; }
+
+# Provide some information about the compiler.
+$as_echo "$as_me:${as_lineno-$LINENO}: checking for C compiler version" >&5
+set X $ac_compile
+ac_compiler=$2
+for ac_option in --version -v -V -qversion; do
+  { { ac_try="$ac_compiler $ac_option >&5"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:${as_lineno-$LINENO}: $ac_try_echo\""
+$as_echo "$ac_try_echo"; } >&5
+  (eval "$ac_compiler $ac_option >&5") 2>conftest.err
+  ac_status=$?
+  if test -s conftest.err; then
+    sed '10a\
+... rest of stderr output deleted ...
+         10q' conftest.err >conftest.er1
+    cat conftest.er1 >&5
+  fi
+  rm -f conftest.er1 conftest.err
+  $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
+  test $ac_status = 0; }
+done
+
+cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+
+int
+main ()
+{
+
+  ;
+  return 0;
+}
+_ACEOF
+ac_clean_files_save=$ac_clean_files
+ac_clean_files="$ac_clean_files a.out a.out.dSYM a.exe b.out"
+# Try to create an executable without -o first, disregard a.out.
+# It will help us diagnose broken compilers, and finding out an intuition
+# of exeext.
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking whether the C compiler works" >&5
+$as_echo_n "checking whether the C compiler works... " >&6; }
+ac_link_default=`$as_echo "$ac_link" | sed 's/ -o *conftest[^ ]*//'`
+
+# The possible output files:
+ac_files="a.out conftest.exe conftest a.exe a_out.exe b.out conftest.*"
+
+ac_rmfiles=
+for ac_file in $ac_files
+do
+  case $ac_file in
+    *.$ac_ext | *.xcoff | *.tds | *.d | *.pdb | *.xSYM | *.bb | *.bbg | *.map | *.inf | *.dSYM | *.o | *.obj ) ;;
+    * ) ac_rmfiles="$ac_rmfiles $ac_file";;
+  esac
+done
+rm -f $ac_rmfiles
+
+if { { ac_try="$ac_link_default"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:${as_lineno-$LINENO}: $ac_try_echo\""
+$as_echo "$ac_try_echo"; } >&5
+  (eval "$ac_link_default") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
+  test $ac_status = 0; }; then :
+  # Autoconf-2.13 could set the ac_cv_exeext variable to `no'.
+# So ignore a value of `no', otherwise this would lead to `EXEEXT = no'
+# in a Makefile.  We should not override ac_cv_exeext if it was cached,
+# so that the user can short-circuit this test for compilers unknown to
+# Autoconf.
+for ac_file in $ac_files ''
+do
+  test -f "$ac_file" || continue
+  case $ac_file in
+    *.$ac_ext | *.xcoff | *.tds | *.d | *.pdb | *.xSYM | *.bb | *.bbg | *.map | *.inf | *.dSYM | *.o | *.obj )
+	;;
+    [ab].out )
+	# We found the default executable, but exeext='' is most
+	# certainly right.
+	break;;
+    *.* )
+	if test "${ac_cv_exeext+set}" = set && test "$ac_cv_exeext" != no;
+	then :; else
+	   ac_cv_exeext=`expr "$ac_file" : '[^.]*\(\..*\)'`
+	fi
+	# We set ac_cv_exeext here because the later test for it is not
+	# safe: cross compilers may not add the suffix if given an `-o'
+	# argument, so we may need to know it at that point already.
+	# Even if this section looks crufty: it has the advantage of
+	# actually working.
+	break;;
+    * )
+	break;;
+  esac
+done
+test "$ac_cv_exeext" = no && ac_cv_exeext=
+
+else
+  ac_file=''
+fi
+if test -z "$ac_file"; then :
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+$as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+{ { $as_echo "$as_me:${as_lineno-$LINENO}: error: in \`$ac_pwd':" >&5
+$as_echo "$as_me: error: in \`$ac_pwd':" >&2;}
+as_fn_error 77 "C compiler cannot create executables
+See \`config.log' for more details" "$LINENO" 5; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: yes" >&5
+$as_echo "yes" >&6; }
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for C compiler default output file name" >&5
+$as_echo_n "checking for C compiler default output file name... " >&6; }
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_file" >&5
+$as_echo "$ac_file" >&6; }
+ac_exeext=$ac_cv_exeext
+
+rm -f -r a.out a.out.dSYM a.exe conftest$ac_cv_exeext b.out
+ac_clean_files=$ac_clean_files_save
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for suffix of executables" >&5
+$as_echo_n "checking for suffix of executables... " >&6; }
+if { { ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:${as_lineno-$LINENO}: $ac_try_echo\""
+$as_echo "$ac_try_echo"; } >&5
+  (eval "$ac_link") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
+  test $ac_status = 0; }; then :
+  # If both `conftest.exe' and `conftest' are `present' (well, observable)
+# catch `conftest.exe'.  For instance with Cygwin, `ls conftest' will
+# work properly (i.e., refer to `conftest.exe'), while it won't with
+# `rm'.
+for ac_file in conftest.exe conftest conftest.*; do
+  test -f "$ac_file" || continue
+  case $ac_file in
+    *.$ac_ext | *.xcoff | *.tds | *.d | *.pdb | *.xSYM | *.bb | *.bbg | *.map | *.inf | *.dSYM | *.o | *.obj ) ;;
+    *.* ) ac_cv_exeext=`expr "$ac_file" : '[^.]*\(\..*\)'`
+	  break;;
+    * ) break;;
+  esac
+done
+else
+  { { $as_echo "$as_me:${as_lineno-$LINENO}: error: in \`$ac_pwd':" >&5
+$as_echo "$as_me: error: in \`$ac_pwd':" >&2;}
+as_fn_error $? "cannot compute suffix of executables: cannot compile and link
+See \`config.log' for more details" "$LINENO" 5; }
+fi
+rm -f conftest conftest$ac_cv_exeext
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_exeext" >&5
+$as_echo "$ac_cv_exeext" >&6; }
+
+rm -f conftest.$ac_ext
+EXEEXT=$ac_cv_exeext
+ac_exeext=$EXEEXT
+cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+#include <stdio.h>
+int
+main ()
+{
+FILE *f = fopen ("conftest.out", "w");
+ return ferror (f) || fclose (f) != 0;
+
+  ;
+  return 0;
+}
+_ACEOF
+ac_clean_files="$ac_clean_files conftest.out"
+# Check that the compiler produces executables we can run.  If not, either
+# the compiler is broken, or we cross compile.
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking whether we are cross compiling" >&5
+$as_echo_n "checking whether we are cross compiling... " >&6; }
+if test "$cross_compiling" != yes; then
+  { { ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:${as_lineno-$LINENO}: $ac_try_echo\""
+$as_echo "$ac_try_echo"; } >&5
+  (eval "$ac_link") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
+  test $ac_status = 0; }
+  if { ac_try='./conftest$ac_cv_exeext'
+  { { case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:${as_lineno-$LINENO}: $ac_try_echo\""
+$as_echo "$ac_try_echo"; } >&5
+  (eval "$ac_try") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
+  test $ac_status = 0; }; }; then
+    cross_compiling=no
+  else
+    if test "$cross_compiling" = maybe; then
+	cross_compiling=yes
+    else
+	{ { $as_echo "$as_me:${as_lineno-$LINENO}: error: in \`$ac_pwd':" >&5
+$as_echo "$as_me: error: in \`$ac_pwd':" >&2;}
+as_fn_error $? "cannot run C compiled programs.
+If you meant to cross compile, use \`--host'.
+See \`config.log' for more details" "$LINENO" 5; }
+    fi
+  fi
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $cross_compiling" >&5
+$as_echo "$cross_compiling" >&6; }
+
+rm -f conftest.$ac_ext conftest$ac_cv_exeext conftest.out
+ac_clean_files=$ac_clean_files_save
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for suffix of object files" >&5
+$as_echo_n "checking for suffix of object files... " >&6; }
+if ${ac_cv_objext+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+
+int
+main ()
+{
+
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.o conftest.obj
+if { { ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:${as_lineno-$LINENO}: $ac_try_echo\""
+$as_echo "$ac_try_echo"; } >&5
+  (eval "$ac_compile") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
+  test $ac_status = 0; }; then :
+  for ac_file in conftest.o conftest.obj conftest.*; do
+  test -f "$ac_file" || continue;
+  case $ac_file in
+    *.$ac_ext | *.xcoff | *.tds | *.d | *.pdb | *.xSYM | *.bb | *.bbg | *.map | *.inf | *.dSYM ) ;;
+    *) ac_cv_objext=`expr "$ac_file" : '.*\.\(.*\)'`
+       break;;
+  esac
+done
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+{ { $as_echo "$as_me:${as_lineno-$LINENO}: error: in \`$ac_pwd':" >&5
+$as_echo "$as_me: error: in \`$ac_pwd':" >&2;}
+as_fn_error $? "cannot compute suffix of object files: cannot compile
+See \`config.log' for more details" "$LINENO" 5; }
+fi
+rm -f conftest.$ac_cv_objext conftest.$ac_ext
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_objext" >&5
+$as_echo "$ac_cv_objext" >&6; }
+OBJEXT=$ac_cv_objext
+ac_objext=$OBJEXT
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking whether we are using the GNU C compiler" >&5
+$as_echo_n "checking whether we are using the GNU C compiler... " >&6; }
+if ${ac_cv_c_compiler_gnu+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+
+int
+main ()
+{
+#ifndef __GNUC__
+       choke me
+#endif
+
+  ;
+  return 0;
+}
+_ACEOF
+if ac_fn_c_try_compile "$LINENO"; then :
+  ac_compiler_gnu=yes
+else
+  ac_compiler_gnu=no
+fi
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+ac_cv_c_compiler_gnu=$ac_compiler_gnu
+
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_c_compiler_gnu" >&5
+$as_echo "$ac_cv_c_compiler_gnu" >&6; }
+if test $ac_compiler_gnu = yes; then
+  GCC=yes
+else
+  GCC=
+fi
+ac_test_CFLAGS=${CFLAGS+set}
+ac_save_CFLAGS=$CFLAGS
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking whether $CC accepts -g" >&5
+$as_echo_n "checking whether $CC accepts -g... " >&6; }
+if ${ac_cv_prog_cc_g+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  ac_save_c_werror_flag=$ac_c_werror_flag
+   ac_c_werror_flag=yes
+   ac_cv_prog_cc_g=no
+   CFLAGS="-g"
+   cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+
+int
+main ()
+{
+
+  ;
+  return 0;
+}
+_ACEOF
+if ac_fn_c_try_compile "$LINENO"; then :
+  ac_cv_prog_cc_g=yes
+else
+  CFLAGS=""
+      cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+
+int
+main ()
+{
+
+  ;
+  return 0;
+}
+_ACEOF
+if ac_fn_c_try_compile "$LINENO"; then :
+
+else
+  ac_c_werror_flag=$ac_save_c_werror_flag
+	 CFLAGS="-g"
+	 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+
+int
+main ()
+{
+
+  ;
+  return 0;
+}
+_ACEOF
+if ac_fn_c_try_compile "$LINENO"; then :
+  ac_cv_prog_cc_g=yes
+fi
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+fi
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+fi
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+   ac_c_werror_flag=$ac_save_c_werror_flag
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_prog_cc_g" >&5
+$as_echo "$ac_cv_prog_cc_g" >&6; }
+if test "$ac_test_CFLAGS" = set; then
+  CFLAGS=$ac_save_CFLAGS
+elif test $ac_cv_prog_cc_g = yes; then
+  if test "$GCC" = yes; then
+    CFLAGS="-g -O2"
+  else
+    CFLAGS="-g"
+  fi
+else
+  if test "$GCC" = yes; then
+    CFLAGS="-O2"
+  else
+    CFLAGS=
+  fi
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $CC option to accept ISO C89" >&5
+$as_echo_n "checking for $CC option to accept ISO C89... " >&6; }
+if ${ac_cv_prog_cc_c89+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  ac_cv_prog_cc_c89=no
+ac_save_CC=$CC
+cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+#include <stdarg.h>
+#include <stdio.h>
+struct stat;
+/* Most of the following tests are stolen from RCS 5.7's src/conf.sh.  */
+struct buf { int x; };
+FILE * (*rcsopen) (struct buf *, struct stat *, int);
+static char *e (p, i)
+     char **p;
+     int i;
+{
+  return p[i];
+}
+static char *f (char * (*g) (char **, int), char **p, ...)
+{
+  char *s;
+  va_list v;
+  va_start (v,p);
+  s = g (p, va_arg (v,int));
+  va_end (v);
+  return s;
+}
+
+/* OSF 4.0 Compaq cc is some sort of almost-ANSI by default.  It has
+   function prototypes and stuff, but not '\xHH' hex character constants.
+   These don't provoke an error unfortunately, instead are silently treated
+   as 'x'.  The following induces an error, until -std is added to get
+   proper ANSI mode.  Curiously '\x00'!='x' always comes out true, for an
+   array size at least.  It's necessary to write '\x00'==0 to get something
+   that's true only with -std.  */
+int osf4_cc_array ['\x00' == 0 ? 1 : -1];
+
+/* IBM C 6 for AIX is almost-ANSI by default, but it replaces macro parameters
+   inside strings and character constants.  */
+#define FOO(x) 'x'
+int xlc6_cc_array[FOO(a) == 'x' ? 1 : -1];
+
+int test (int i, double x);
+struct s1 {int (*f) (int a);};
+struct s2 {int (*f) (double a);};
+int pairnames (int, char **, FILE *(*)(struct buf *, struct stat *, int), int, int);
+int argc;
+char **argv;
+int
+main ()
+{
+return f (e, argv, 0) != argv[0]  ||  f (e, argv, 1) != argv[1];
+  ;
+  return 0;
+}
+_ACEOF
+for ac_arg in '' -qlanglvl=extc89 -qlanglvl=ansi -std \
+	-Ae "-Aa -D_HPUX_SOURCE" "-Xc -D__EXTENSIONS__"
+do
+  CC="$ac_save_CC $ac_arg"
+  if ac_fn_c_try_compile "$LINENO"; then :
+  ac_cv_prog_cc_c89=$ac_arg
+fi
+rm -f core conftest.err conftest.$ac_objext
+  test "x$ac_cv_prog_cc_c89" != "xno" && break
+done
+rm -f conftest.$ac_ext
+CC=$ac_save_CC
+
+fi
+# AC_CACHE_VAL
+case "x$ac_cv_prog_cc_c89" in
+  x)
+    { $as_echo "$as_me:${as_lineno-$LINENO}: result: none needed" >&5
+$as_echo "none needed" >&6; } ;;
+  xno)
+    { $as_echo "$as_me:${as_lineno-$LINENO}: result: unsupported" >&5
+$as_echo "unsupported" >&6; } ;;
+  *)
+    CC="$CC $ac_cv_prog_cc_c89"
+    { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_prog_cc_c89" >&5
+$as_echo "$ac_cv_prog_cc_c89" >&6; } ;;
+esac
+if test "x$ac_cv_prog_cc_c89" != xno; then :
+
+fi
+
+ac_ext=c
+ac_cpp='$CPP $CPPFLAGS'
+ac_compile='$CC -c $CFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CC -o conftest$ac_exeext $CFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_c_compiler_gnu
+
+ac_ext=c
+ac_cpp='$CPP $CPPFLAGS'
+ac_compile='$CC -c $CFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CC -o conftest$ac_exeext $CFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_c_compiler_gnu
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking whether $CC understands -c and -o together" >&5
+$as_echo_n "checking whether $CC understands -c and -o together... " >&6; }
+if ${am_cv_prog_cc_c_o+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+
+int
+main ()
+{
+
+  ;
+  return 0;
+}
+_ACEOF
+  # Make sure it works both with $CC and with simple cc.
+  # Following AC_PROG_CC_C_O, we do the test twice because some
+  # compilers refuse to overwrite an existing .o file with -o,
+  # though they will create one.
+  am_cv_prog_cc_c_o=yes
+  for am_i in 1 2; do
+    if { echo "$as_me:$LINENO: $CC -c conftest.$ac_ext -o conftest2.$ac_objext" >&5
+   ($CC -c conftest.$ac_ext -o conftest2.$ac_objext) >&5 2>&5
+   ac_status=$?
+   echo "$as_me:$LINENO: \$? = $ac_status" >&5
+   (exit $ac_status); } \
+         && test -f conftest2.$ac_objext; then
+      : OK
+    else
+      am_cv_prog_cc_c_o=no
+      break
+    fi
+  done
+  rm -f core conftest*
+  unset am_i
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $am_cv_prog_cc_c_o" >&5
+$as_echo "$am_cv_prog_cc_c_o" >&6; }
+if test "$am_cv_prog_cc_c_o" != yes; then
+   # Losing compiler, so override with the script.
+   # FIXME: It is wrong to rewrite CC.
+   # But if we don't then we get into trouble of one sort or another.
+   # A longer-term fix would be to have automake use am__CC in this case,
+   # and then we could set am__CC="\$(top_srcdir)/compile \$(CC)"
+   CC="$am_aux_dir/compile $CC"
+fi
+ac_ext=c
+ac_cpp='$CPP $CPPFLAGS'
+ac_compile='$CC -c $CFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CC -o conftest$ac_exeext $CFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_c_compiler_gnu
+
+DEPDIR="${am__leading_dot}deps"
+
+ac_config_commands="$ac_config_commands depfiles"
+
+
+am_make=${MAKE-make}
+cat > confinc << 'END'
+am__doit:
+	@echo this is the am__doit target
+.PHONY: am__doit
+END
+# If we don't find an include directive, just comment out the code.
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for style of include used by $am_make" >&5
+$as_echo_n "checking for style of include used by $am_make... " >&6; }
+am__include="#"
+am__quote=
+_am_result=none
+# First try GNU make style include.
+echo "include confinc" > confmf
+# Ignore all kinds of additional output from 'make'.
+case `$am_make -s -f confmf 2> /dev/null` in #(
+*the\ am__doit\ target*)
+  am__include=include
+  am__quote=
+  _am_result=GNU
+  ;;
+esac
+# Now try BSD make style include.
+if test "$am__include" = "#"; then
+   echo '.include "confinc"' > confmf
+   case `$am_make -s -f confmf 2> /dev/null` in #(
+   *the\ am__doit\ target*)
+     am__include=.include
+     am__quote="\""
+     _am_result=BSD
+     ;;
+   esac
+fi
+
+
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $_am_result" >&5
+$as_echo "$_am_result" >&6; }
+rm -f confinc confmf
+
+# Check whether --enable-dependency-tracking was given.
+if test "${enable_dependency_tracking+set}" = set; then :
+  enableval=$enable_dependency_tracking;
+fi
+
+if test "x$enable_dependency_tracking" != xno; then
+  am_depcomp="$ac_aux_dir/depcomp"
+  AMDEPBACKSLASH='\'
+  am__nodep='_no'
+fi
+ if test "x$enable_dependency_tracking" != xno; then
+  AMDEP_TRUE=
+  AMDEP_FALSE='#'
+else
+  AMDEP_TRUE='#'
+  AMDEP_FALSE=
+fi
+
+
+
+depcc="$CC"   am_compiler_list=
+
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking dependency style of $depcc" >&5
+$as_echo_n "checking dependency style of $depcc... " >&6; }
+if ${am_cv_CC_dependencies_compiler_type+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -z "$AMDEP_TRUE" && test -f "$am_depcomp"; then
+  # We make a subdir and do the tests there.  Otherwise we can end up
+  # making bogus files that we don't know about and never remove.  For
+  # instance it was reported that on HP-UX the gcc test will end up
+  # making a dummy file named 'D' -- because '-MD' means "put the output
+  # in D".
+  rm -rf conftest.dir
+  mkdir conftest.dir
+  # Copy depcomp to subdir because otherwise we won't find it if we're
+  # using a relative directory.
+  cp "$am_depcomp" conftest.dir
+  cd conftest.dir
+  # We will build objects and dependencies in a subdirectory because
+  # it helps to detect inapplicable dependency modes.  For instance
+  # both Tru64's cc and ICC support -MD to output dependencies as a
+  # side effect of compilation, but ICC will put the dependencies in
+  # the current directory while Tru64 will put them in the object
+  # directory.
+  mkdir sub
+
+  am_cv_CC_dependencies_compiler_type=none
+  if test "$am_compiler_list" = ""; then
+     am_compiler_list=`sed -n 's/^#*\([a-zA-Z0-9]*\))$/\1/p' < ./depcomp`
+  fi
+  am__universal=false
+  case " $depcc " in #(
+     *\ -arch\ *\ -arch\ *) am__universal=true ;;
+     esac
+
+  for depmode in $am_compiler_list; do
+    # Setup a source with many dependencies, because some compilers
+    # like to wrap large dependency lists on column 80 (with \), and
+    # we should not choose a depcomp mode which is confused by this.
+    #
+    # We need to recreate these files for each test, as the compiler may
+    # overwrite some of them when testing with obscure command lines.
+    # This happens at least with the AIX C compiler.
+    : > sub/conftest.c
+    for i in 1 2 3 4 5 6; do
+      echo '#include "conftst'$i'.h"' >> sub/conftest.c
+      # Using ": > sub/conftst$i.h" creates only sub/conftst1.h with
+      # Solaris 10 /bin/sh.
+      echo '/* dummy */' > sub/conftst$i.h
+    done
+    echo "${am__include} ${am__quote}sub/conftest.Po${am__quote}" > confmf
+
+    # We check with '-c' and '-o' for the sake of the "dashmstdout"
+    # mode.  It turns out that the SunPro C++ compiler does not properly
+    # handle '-M -o', and we need to detect this.  Also, some Intel
+    # versions had trouble with output in subdirs.
+    am__obj=sub/conftest.${OBJEXT-o}
+    am__minus_obj="-o $am__obj"
+    case $depmode in
+    gcc)
+      # This depmode causes a compiler race in universal mode.
+      test "$am__universal" = false || continue
+      ;;
+    nosideeffect)
+      # After this tag, mechanisms are not by side-effect, so they'll
+      # only be used when explicitly requested.
+      if test "x$enable_dependency_tracking" = xyes; then
+	continue
+      else
+	break
+      fi
+      ;;
+    msvc7 | msvc7msys | msvisualcpp | msvcmsys)
+      # This compiler won't grok '-c -o', but also, the minuso test has
+      # not run yet.  These depmodes are late enough in the game, and
+      # so weak that their functioning should not be impacted.
+      am__obj=conftest.${OBJEXT-o}
+      am__minus_obj=
+      ;;
+    none) break ;;
+    esac
+    if depmode=$depmode \
+       source=sub/conftest.c object=$am__obj \
+       depfile=sub/conftest.Po tmpdepfile=sub/conftest.TPo \
+       $SHELL ./depcomp $depcc -c $am__minus_obj sub/conftest.c \
+         >/dev/null 2>conftest.err &&
+       grep sub/conftst1.h sub/conftest.Po > /dev/null 2>&1 &&
+       grep sub/conftst6.h sub/conftest.Po > /dev/null 2>&1 &&
+       grep $am__obj sub/conftest.Po > /dev/null 2>&1 &&
+       ${MAKE-make} -s -f confmf > /dev/null 2>&1; then
+      # icc doesn't choke on unknown options, it will just issue warnings
+      # or remarks (even with -Werror).  So we grep stderr for any message
+      # that says an option was ignored or not supported.
+      # When given -MP, icc 7.0 and 7.1 complain thusly:
+      #   icc: Command line warning: ignoring option '-M'; no argument required
+      # The diagnosis changed in icc 8.0:
+      #   icc: Command line remark: option '-MP' not supported
+      if (grep 'ignoring option' conftest.err ||
+          grep 'not supported' conftest.err) >/dev/null 2>&1; then :; else
+        am_cv_CC_dependencies_compiler_type=$depmode
+        break
+      fi
+    fi
+  done
+
+  cd ..
+  rm -rf conftest.dir
+else
+  am_cv_CC_dependencies_compiler_type=none
+fi
+
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $am_cv_CC_dependencies_compiler_type" >&5
+$as_echo "$am_cv_CC_dependencies_compiler_type" >&6; }
+CCDEPMODE=depmode=$am_cv_CC_dependencies_compiler_type
+
+ if
+  test "x$enable_dependency_tracking" != xno \
+  && test "$am_cv_CC_dependencies_compiler_type" = gcc3; then
+  am__fastdepCC_TRUE=
+  am__fastdepCC_FALSE='#'
+else
+  am__fastdepCC_TRUE='#'
+  am__fastdepCC_FALSE=
+fi
+
+
+LDFLAGS="$LDFLAGS -lm"
+# generate libtool stuff
+case `pwd` in
+  *\ * | *\	*)
+    { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: Libtool does not cope well with whitespace in \`pwd\`" >&5
+$as_echo "$as_me: WARNING: Libtool does not cope well with whitespace in \`pwd\`" >&2;} ;;
+esac
+
+
+
+macro_version='2.4.2'
+macro_revision='1.3337'
+
+
+
+
+
+
+
+
+
+
+
+
+
+ltmain="$ac_aux_dir/ltmain.sh"
+
+# Make sure we can run config.sub.
+$SHELL "$ac_aux_dir/config.sub" sun4 >/dev/null 2>&1 ||
+  as_fn_error $? "cannot run $SHELL $ac_aux_dir/config.sub" "$LINENO" 5
+
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking build system type" >&5
+$as_echo_n "checking build system type... " >&6; }
+if ${ac_cv_build+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  ac_build_alias=$build_alias
+test "x$ac_build_alias" = x &&
+  ac_build_alias=`$SHELL "$ac_aux_dir/config.guess"`
+test "x$ac_build_alias" = x &&
+  as_fn_error $? "cannot guess build type; you must specify one" "$LINENO" 5
+ac_cv_build=`$SHELL "$ac_aux_dir/config.sub" $ac_build_alias` ||
+  as_fn_error $? "$SHELL $ac_aux_dir/config.sub $ac_build_alias failed" "$LINENO" 5
+
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_build" >&5
+$as_echo "$ac_cv_build" >&6; }
+case $ac_cv_build in
+*-*-*) ;;
+*) as_fn_error $? "invalid value of canonical build" "$LINENO" 5;;
+esac
+build=$ac_cv_build
+ac_save_IFS=$IFS; IFS='-'
+set x $ac_cv_build
+shift
+build_cpu=$1
+build_vendor=$2
+shift; shift
+# Remember, the first character of IFS is used to create $*,
+# except with old shells:
+build_os=$*
+IFS=$ac_save_IFS
+case $build_os in *\ *) build_os=`echo "$build_os" | sed 's/ /-/g'`;; esac
+
+
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking host system type" >&5
+$as_echo_n "checking host system type... " >&6; }
+if ${ac_cv_host+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test "x$host_alias" = x; then
+  ac_cv_host=$ac_cv_build
+else
+  ac_cv_host=`$SHELL "$ac_aux_dir/config.sub" $host_alias` ||
+    as_fn_error $? "$SHELL $ac_aux_dir/config.sub $host_alias failed" "$LINENO" 5
+fi
+
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_host" >&5
+$as_echo "$ac_cv_host" >&6; }
+case $ac_cv_host in
+*-*-*) ;;
+*) as_fn_error $? "invalid value of canonical host" "$LINENO" 5;;
+esac
+host=$ac_cv_host
+ac_save_IFS=$IFS; IFS='-'
+set x $ac_cv_host
+shift
+host_cpu=$1
+host_vendor=$2
+shift; shift
+# Remember, the first character of IFS is used to create $*,
+# except with old shells:
+host_os=$*
+IFS=$ac_save_IFS
+case $host_os in *\ *) host_os=`echo "$host_os" | sed 's/ /-/g'`;; esac
+
+
+# Backslashify metacharacters that are still active within
+# double-quoted strings.
+sed_quote_subst='s/\(["`$\\]\)/\\\1/g'
+
+# Same as above, but do not quote variable references.
+double_quote_subst='s/\(["`\\]\)/\\\1/g'
+
+# Sed substitution to delay expansion of an escaped shell variable in a
+# double_quote_subst'ed string.
+delay_variable_subst='s/\\\\\\\\\\\$/\\\\\\$/g'
+
+# Sed substitution to delay expansion of an escaped single quote.
+delay_single_quote_subst='s/'\''/'\'\\\\\\\'\''/g'
+
+# Sed substitution to avoid accidental globbing in evaled expressions
+no_glob_subst='s/\*/\\\*/g'
+
+ECHO='\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\'
+ECHO=$ECHO$ECHO$ECHO$ECHO$ECHO
+ECHO=$ECHO$ECHO$ECHO$ECHO$ECHO$ECHO
+
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking how to print strings" >&5
+$as_echo_n "checking how to print strings... " >&6; }
+# Test print first, because it will be a builtin if present.
+if test "X`( print -r -- -n ) 2>/dev/null`" = X-n && \
+   test "X`print -r -- $ECHO 2>/dev/null`" = "X$ECHO"; then
+  ECHO='print -r --'
+elif test "X`printf %s $ECHO 2>/dev/null`" = "X$ECHO"; then
+  ECHO='printf %s\n'
+else
+  # Use this function as a fallback that always works.
+  func_fallback_echo ()
+  {
+    eval 'cat <<_LTECHO_EOF
+$1
+_LTECHO_EOF'
+  }
+  ECHO='func_fallback_echo'
+fi
+
+# func_echo_all arg...
+# Invoke $ECHO with all args, space-separated.
+func_echo_all ()
+{
+    $ECHO ""
+}
+
+case "$ECHO" in
+  printf*) { $as_echo "$as_me:${as_lineno-$LINENO}: result: printf" >&5
+$as_echo "printf" >&6; } ;;
+  print*) { $as_echo "$as_me:${as_lineno-$LINENO}: result: print -r" >&5
+$as_echo "print -r" >&6; } ;;
+  *) { $as_echo "$as_me:${as_lineno-$LINENO}: result: cat" >&5
+$as_echo "cat" >&6; } ;;
+esac
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for a sed that does not truncate output" >&5
+$as_echo_n "checking for a sed that does not truncate output... " >&6; }
+if ${ac_cv_path_SED+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+            ac_script=s/aaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaa/bbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbb/
+     for ac_i in 1 2 3 4 5 6 7; do
+       ac_script="$ac_script$as_nl$ac_script"
+     done
+     echo "$ac_script" 2>/dev/null | sed 99q >conftest.sed
+     { ac_script=; unset ac_script;}
+     if test -z "$SED"; then
+  ac_path_SED_found=false
+  # Loop through the user's path and test for each of PROGNAME-LIST
+  as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_prog in sed gsed; do
+    for ac_exec_ext in '' $ac_executable_extensions; do
+      ac_path_SED="$as_dir/$ac_prog$ac_exec_ext"
+      as_fn_executable_p "$ac_path_SED" || continue
+# Check for GNU ac_path_SED and select it if it is found.
+  # Check for GNU $ac_path_SED
+case `"$ac_path_SED" --version 2>&1` in
+*GNU*)
+  ac_cv_path_SED="$ac_path_SED" ac_path_SED_found=:;;
+*)
+  ac_count=0
+  $as_echo_n 0123456789 >"conftest.in"
+  while :
+  do
+    cat "conftest.in" "conftest.in" >"conftest.tmp"
+    mv "conftest.tmp" "conftest.in"
+    cp "conftest.in" "conftest.nl"
+    $as_echo '' >> "conftest.nl"
+    "$ac_path_SED" -f conftest.sed < "conftest.nl" >"conftest.out" 2>/dev/null || break
+    diff "conftest.out" "conftest.nl" >/dev/null 2>&1 || break
+    as_fn_arith $ac_count + 1 && ac_count=$as_val
+    if test $ac_count -gt ${ac_path_SED_max-0}; then
+      # Best one so far, save it but keep looking for a better one
+      ac_cv_path_SED="$ac_path_SED"
+      ac_path_SED_max=$ac_count
+    fi
+    # 10*(2^10) chars as input seems more than enough
+    test $ac_count -gt 10 && break
+  done
+  rm -f conftest.in conftest.tmp conftest.nl conftest.out;;
+esac
+
+      $ac_path_SED_found && break 3
+    done
+  done
+  done
+IFS=$as_save_IFS
+  if test -z "$ac_cv_path_SED"; then
+    as_fn_error $? "no acceptable sed could be found in \$PATH" "$LINENO" 5
+  fi
+else
+  ac_cv_path_SED=$SED
+fi
+
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_path_SED" >&5
+$as_echo "$ac_cv_path_SED" >&6; }
+ SED="$ac_cv_path_SED"
+  rm -f conftest.sed
+
+test -z "$SED" && SED=sed
+Xsed="$SED -e 1s/^X//"
+
+
+
+
+
+
+
+
+
+
+
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for grep that handles long lines and -e" >&5
+$as_echo_n "checking for grep that handles long lines and -e... " >&6; }
+if ${ac_cv_path_GREP+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -z "$GREP"; then
+  ac_path_GREP_found=false
+  # Loop through the user's path and test for each of PROGNAME-LIST
+  as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH$PATH_SEPARATOR/usr/xpg4/bin
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_prog in grep ggrep; do
+    for ac_exec_ext in '' $ac_executable_extensions; do
+      ac_path_GREP="$as_dir/$ac_prog$ac_exec_ext"
+      as_fn_executable_p "$ac_path_GREP" || continue
+# Check for GNU ac_path_GREP and select it if it is found.
+  # Check for GNU $ac_path_GREP
+case `"$ac_path_GREP" --version 2>&1` in
+*GNU*)
+  ac_cv_path_GREP="$ac_path_GREP" ac_path_GREP_found=:;;
+*)
+  ac_count=0
+  $as_echo_n 0123456789 >"conftest.in"
+  while :
+  do
+    cat "conftest.in" "conftest.in" >"conftest.tmp"
+    mv "conftest.tmp" "conftest.in"
+    cp "conftest.in" "conftest.nl"
+    $as_echo 'GREP' >> "conftest.nl"
+    "$ac_path_GREP" -e 'GREP$' -e '-(cannot match)-' < "conftest.nl" >"conftest.out" 2>/dev/null || break
+    diff "conftest.out" "conftest.nl" >/dev/null 2>&1 || break
+    as_fn_arith $ac_count + 1 && ac_count=$as_val
+    if test $ac_count -gt ${ac_path_GREP_max-0}; then
+      # Best one so far, save it but keep looking for a better one
+      ac_cv_path_GREP="$ac_path_GREP"
+      ac_path_GREP_max=$ac_count
+    fi
+    # 10*(2^10) chars as input seems more than enough
+    test $ac_count -gt 10 && break
+  done
+  rm -f conftest.in conftest.tmp conftest.nl conftest.out;;
+esac
+
+      $ac_path_GREP_found && break 3
+    done
+  done
+  done
+IFS=$as_save_IFS
+  if test -z "$ac_cv_path_GREP"; then
+    as_fn_error $? "no acceptable grep could be found in $PATH$PATH_SEPARATOR/usr/xpg4/bin" "$LINENO" 5
+  fi
+else
+  ac_cv_path_GREP=$GREP
+fi
+
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_path_GREP" >&5
+$as_echo "$ac_cv_path_GREP" >&6; }
+ GREP="$ac_cv_path_GREP"
+
+
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for egrep" >&5
+$as_echo_n "checking for egrep... " >&6; }
+if ${ac_cv_path_EGREP+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  if echo a | $GREP -E '(a|b)' >/dev/null 2>&1
+   then ac_cv_path_EGREP="$GREP -E"
+   else
+     if test -z "$EGREP"; then
+  ac_path_EGREP_found=false
+  # Loop through the user's path and test for each of PROGNAME-LIST
+  as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH$PATH_SEPARATOR/usr/xpg4/bin
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_prog in egrep; do
+    for ac_exec_ext in '' $ac_executable_extensions; do
+      ac_path_EGREP="$as_dir/$ac_prog$ac_exec_ext"
+      as_fn_executable_p "$ac_path_EGREP" || continue
+# Check for GNU ac_path_EGREP and select it if it is found.
+  # Check for GNU $ac_path_EGREP
+case `"$ac_path_EGREP" --version 2>&1` in
+*GNU*)
+  ac_cv_path_EGREP="$ac_path_EGREP" ac_path_EGREP_found=:;;
+*)
+  ac_count=0
+  $as_echo_n 0123456789 >"conftest.in"
+  while :
+  do
+    cat "conftest.in" "conftest.in" >"conftest.tmp"
+    mv "conftest.tmp" "conftest.in"
+    cp "conftest.in" "conftest.nl"
+    $as_echo 'EGREP' >> "conftest.nl"
+    "$ac_path_EGREP" 'EGREP$' < "conftest.nl" >"conftest.out" 2>/dev/null || break
+    diff "conftest.out" "conftest.nl" >/dev/null 2>&1 || break
+    as_fn_arith $ac_count + 1 && ac_count=$as_val
+    if test $ac_count -gt ${ac_path_EGREP_max-0}; then
+      # Best one so far, save it but keep looking for a better one
+      ac_cv_path_EGREP="$ac_path_EGREP"
+      ac_path_EGREP_max=$ac_count
+    fi
+    # 10*(2^10) chars as input seems more than enough
+    test $ac_count -gt 10 && break
+  done
+  rm -f conftest.in conftest.tmp conftest.nl conftest.out;;
+esac
+
+      $ac_path_EGREP_found && break 3
+    done
+  done
+  done
+IFS=$as_save_IFS
+  if test -z "$ac_cv_path_EGREP"; then
+    as_fn_error $? "no acceptable egrep could be found in $PATH$PATH_SEPARATOR/usr/xpg4/bin" "$LINENO" 5
+  fi
+else
+  ac_cv_path_EGREP=$EGREP
+fi
+
+   fi
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_path_EGREP" >&5
+$as_echo "$ac_cv_path_EGREP" >&6; }
+ EGREP="$ac_cv_path_EGREP"
+
+
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for fgrep" >&5
+$as_echo_n "checking for fgrep... " >&6; }
+if ${ac_cv_path_FGREP+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  if echo 'ab*c' | $GREP -F 'ab*c' >/dev/null 2>&1
+   then ac_cv_path_FGREP="$GREP -F"
+   else
+     if test -z "$FGREP"; then
+  ac_path_FGREP_found=false
+  # Loop through the user's path and test for each of PROGNAME-LIST
+  as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH$PATH_SEPARATOR/usr/xpg4/bin
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_prog in fgrep; do
+    for ac_exec_ext in '' $ac_executable_extensions; do
+      ac_path_FGREP="$as_dir/$ac_prog$ac_exec_ext"
+      as_fn_executable_p "$ac_path_FGREP" || continue
+# Check for GNU ac_path_FGREP and select it if it is found.
+  # Check for GNU $ac_path_FGREP
+case `"$ac_path_FGREP" --version 2>&1` in
+*GNU*)
+  ac_cv_path_FGREP="$ac_path_FGREP" ac_path_FGREP_found=:;;
+*)
+  ac_count=0
+  $as_echo_n 0123456789 >"conftest.in"
+  while :
+  do
+    cat "conftest.in" "conftest.in" >"conftest.tmp"
+    mv "conftest.tmp" "conftest.in"
+    cp "conftest.in" "conftest.nl"
+    $as_echo 'FGREP' >> "conftest.nl"
+    "$ac_path_FGREP" FGREP < "conftest.nl" >"conftest.out" 2>/dev/null || break
+    diff "conftest.out" "conftest.nl" >/dev/null 2>&1 || break
+    as_fn_arith $ac_count + 1 && ac_count=$as_val
+    if test $ac_count -gt ${ac_path_FGREP_max-0}; then
+      # Best one so far, save it but keep looking for a better one
+      ac_cv_path_FGREP="$ac_path_FGREP"
+      ac_path_FGREP_max=$ac_count
+    fi
+    # 10*(2^10) chars as input seems more than enough
+    test $ac_count -gt 10 && break
+  done
+  rm -f conftest.in conftest.tmp conftest.nl conftest.out;;
+esac
+
+      $ac_path_FGREP_found && break 3
+    done
+  done
+  done
+IFS=$as_save_IFS
+  if test -z "$ac_cv_path_FGREP"; then
+    as_fn_error $? "no acceptable fgrep could be found in $PATH$PATH_SEPARATOR/usr/xpg4/bin" "$LINENO" 5
+  fi
+else
+  ac_cv_path_FGREP=$FGREP
+fi
+
+   fi
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_path_FGREP" >&5
+$as_echo "$ac_cv_path_FGREP" >&6; }
+ FGREP="$ac_cv_path_FGREP"
+
+
+test -z "$GREP" && GREP=grep
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+# Check whether --with-gnu-ld was given.
+if test "${with_gnu_ld+set}" = set; then :
+  withval=$with_gnu_ld; test "$withval" = no || with_gnu_ld=yes
+else
+  with_gnu_ld=no
+fi
+
+ac_prog=ld
+if test "$GCC" = yes; then
+  # Check if gcc -print-prog-name=ld gives a path.
+  { $as_echo "$as_me:${as_lineno-$LINENO}: checking for ld used by $CC" >&5
+$as_echo_n "checking for ld used by $CC... " >&6; }
+  case $host in
+  *-*-mingw*)
+    # gcc leaves a trailing carriage return which upsets mingw
+    ac_prog=`($CC -print-prog-name=ld) 2>&5 | tr -d '\015'` ;;
+  *)
+    ac_prog=`($CC -print-prog-name=ld) 2>&5` ;;
+  esac
+  case $ac_prog in
+    # Accept absolute paths.
+    [\\/]* | ?:[\\/]*)
+      re_direlt='/[^/][^/]*/\.\./'
+      # Canonicalize the pathname of ld
+      ac_prog=`$ECHO "$ac_prog"| $SED 's%\\\\%/%g'`
+      while $ECHO "$ac_prog" | $GREP "$re_direlt" > /dev/null 2>&1; do
+	ac_prog=`$ECHO $ac_prog| $SED "s%$re_direlt%/%"`
+      done
+      test -z "$LD" && LD="$ac_prog"
+      ;;
+  "")
+    # If it fails, then pretend we aren't using GCC.
+    ac_prog=ld
+    ;;
+  *)
+    # If it is relative, then search for the first ld in PATH.
+    with_gnu_ld=unknown
+    ;;
+  esac
+elif test "$with_gnu_ld" = yes; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: checking for GNU ld" >&5
+$as_echo_n "checking for GNU ld... " >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: checking for non-GNU ld" >&5
+$as_echo_n "checking for non-GNU ld... " >&6; }
+fi
+if ${lt_cv_path_LD+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -z "$LD"; then
+  lt_save_ifs="$IFS"; IFS=$PATH_SEPARATOR
+  for ac_dir in $PATH; do
+    IFS="$lt_save_ifs"
+    test -z "$ac_dir" && ac_dir=.
+    if test -f "$ac_dir/$ac_prog" || test -f "$ac_dir/$ac_prog$ac_exeext"; then
+      lt_cv_path_LD="$ac_dir/$ac_prog"
+      # Check to see if the program is GNU ld.  I'd rather use --version,
+      # but apparently some variants of GNU ld only accept -v.
+      # Break only if it was the GNU/non-GNU ld that we prefer.
+      case `"$lt_cv_path_LD" -v 2>&1 </dev/null` in
+      *GNU* | *'with BFD'*)
+	test "$with_gnu_ld" != no && break
+	;;
+      *)
+	test "$with_gnu_ld" != yes && break
+	;;
+      esac
+    fi
+  done
+  IFS="$lt_save_ifs"
+else
+  lt_cv_path_LD="$LD" # Let the user override the test with a path.
+fi
+fi
+
+LD="$lt_cv_path_LD"
+if test -n "$LD"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $LD" >&5
+$as_echo "$LD" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+test -z "$LD" && as_fn_error $? "no acceptable ld found in \$PATH" "$LINENO" 5
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking if the linker ($LD) is GNU ld" >&5
+$as_echo_n "checking if the linker ($LD) is GNU ld... " >&6; }
+if ${lt_cv_prog_gnu_ld+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  # I'd rather use --version here, but apparently some GNU lds only accept -v.
+case `$LD -v 2>&1 </dev/null` in
+*GNU* | *'with BFD'*)
+  lt_cv_prog_gnu_ld=yes
+  ;;
+*)
+  lt_cv_prog_gnu_ld=no
+  ;;
+esac
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $lt_cv_prog_gnu_ld" >&5
+$as_echo "$lt_cv_prog_gnu_ld" >&6; }
+with_gnu_ld=$lt_cv_prog_gnu_ld
+
+
+
+
+
+
+
+
+
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for BSD- or MS-compatible name lister (nm)" >&5
+$as_echo_n "checking for BSD- or MS-compatible name lister (nm)... " >&6; }
+if ${lt_cv_path_NM+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$NM"; then
+  # Let the user override the test.
+  lt_cv_path_NM="$NM"
+else
+  lt_nm_to_check="${ac_tool_prefix}nm"
+  if test -n "$ac_tool_prefix" && test "$build" = "$host"; then
+    lt_nm_to_check="$lt_nm_to_check nm"
+  fi
+  for lt_tmp_nm in $lt_nm_to_check; do
+    lt_save_ifs="$IFS"; IFS=$PATH_SEPARATOR
+    for ac_dir in $PATH /usr/ccs/bin/elf /usr/ccs/bin /usr/ucb /bin; do
+      IFS="$lt_save_ifs"
+      test -z "$ac_dir" && ac_dir=.
+      tmp_nm="$ac_dir/$lt_tmp_nm"
+      if test -f "$tmp_nm" || test -f "$tmp_nm$ac_exeext" ; then
+	# Check to see if the nm accepts a BSD-compat flag.
+	# Adding the `sed 1q' prevents false positives on HP-UX, which says:
+	#   nm: unknown option "B" ignored
+	# Tru64's nm complains that /dev/null is an invalid object file
+	case `"$tmp_nm" -B /dev/null 2>&1 | sed '1q'` in
+	*/dev/null* | *'Invalid file or object type'*)
+	  lt_cv_path_NM="$tmp_nm -B"
+	  break
+	  ;;
+	*)
+	  case `"$tmp_nm" -p /dev/null 2>&1 | sed '1q'` in
+	  */dev/null*)
+	    lt_cv_path_NM="$tmp_nm -p"
+	    break
+	    ;;
+	  *)
+	    lt_cv_path_NM=${lt_cv_path_NM="$tmp_nm"} # keep the first match, but
+	    continue # so that we can try to find one that supports BSD flags
+	    ;;
+	  esac
+	  ;;
+	esac
+      fi
+    done
+    IFS="$lt_save_ifs"
+  done
+  : ${lt_cv_path_NM=no}
+fi
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $lt_cv_path_NM" >&5
+$as_echo "$lt_cv_path_NM" >&6; }
+if test "$lt_cv_path_NM" != "no"; then
+  NM="$lt_cv_path_NM"
+else
+  # Didn't find any BSD compatible name lister, look for dumpbin.
+  if test -n "$DUMPBIN"; then :
+    # Let the user override the test.
+  else
+    if test -n "$ac_tool_prefix"; then
+  for ac_prog in dumpbin "link -dump"
+  do
+    # Extract the first word of "$ac_tool_prefix$ac_prog", so it can be a program name with args.
+set dummy $ac_tool_prefix$ac_prog; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if ${ac_cv_prog_DUMPBIN+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$DUMPBIN"; then
+  ac_cv_prog_DUMPBIN="$DUMPBIN" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if as_fn_executable_p "$as_dir/$ac_word$ac_exec_ext"; then
+    ac_cv_prog_DUMPBIN="$ac_tool_prefix$ac_prog"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+fi
+fi
+DUMPBIN=$ac_cv_prog_DUMPBIN
+if test -n "$DUMPBIN"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $DUMPBIN" >&5
+$as_echo "$DUMPBIN" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+    test -n "$DUMPBIN" && break
+  done
+fi
+if test -z "$DUMPBIN"; then
+  ac_ct_DUMPBIN=$DUMPBIN
+  for ac_prog in dumpbin "link -dump"
+do
+  # Extract the first word of "$ac_prog", so it can be a program name with args.
+set dummy $ac_prog; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if ${ac_cv_prog_ac_ct_DUMPBIN+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$ac_ct_DUMPBIN"; then
+  ac_cv_prog_ac_ct_DUMPBIN="$ac_ct_DUMPBIN" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if as_fn_executable_p "$as_dir/$ac_word$ac_exec_ext"; then
+    ac_cv_prog_ac_ct_DUMPBIN="$ac_prog"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+fi
+fi
+ac_ct_DUMPBIN=$ac_cv_prog_ac_ct_DUMPBIN
+if test -n "$ac_ct_DUMPBIN"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_ct_DUMPBIN" >&5
+$as_echo "$ac_ct_DUMPBIN" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+  test -n "$ac_ct_DUMPBIN" && break
+done
+
+  if test "x$ac_ct_DUMPBIN" = x; then
+    DUMPBIN=":"
+  else
+    case $cross_compiling:$ac_tool_warned in
+yes:)
+{ $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: using cross tools not prefixed with host triplet" >&5
+$as_echo "$as_me: WARNING: using cross tools not prefixed with host triplet" >&2;}
+ac_tool_warned=yes ;;
+esac
+    DUMPBIN=$ac_ct_DUMPBIN
+  fi
+fi
+
+    case `$DUMPBIN -symbols /dev/null 2>&1 | sed '1q'` in
+    *COFF*)
+      DUMPBIN="$DUMPBIN -symbols"
+      ;;
+    *)
+      DUMPBIN=:
+      ;;
+    esac
+  fi
+
+  if test "$DUMPBIN" != ":"; then
+    NM="$DUMPBIN"
+  fi
+fi
+test -z "$NM" && NM=nm
+
+
+
+
+
+
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking the name lister ($NM) interface" >&5
+$as_echo_n "checking the name lister ($NM) interface... " >&6; }
+if ${lt_cv_nm_interface+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  lt_cv_nm_interface="BSD nm"
+  echo "int some_variable = 0;" > conftest.$ac_ext
+  (eval echo "\"\$as_me:$LINENO: $ac_compile\"" >&5)
+  (eval "$ac_compile" 2>conftest.err)
+  cat conftest.err >&5
+  (eval echo "\"\$as_me:$LINENO: $NM \\\"conftest.$ac_objext\\\"\"" >&5)
+  (eval "$NM \"conftest.$ac_objext\"" 2>conftest.err > conftest.out)
+  cat conftest.err >&5
+  (eval echo "\"\$as_me:$LINENO: output\"" >&5)
+  cat conftest.out >&5
+  if $GREP 'External.*some_variable' conftest.out > /dev/null; then
+    lt_cv_nm_interface="MS dumpbin"
+  fi
+  rm -f conftest*
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $lt_cv_nm_interface" >&5
+$as_echo "$lt_cv_nm_interface" >&6; }
+
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking whether ln -s works" >&5
+$as_echo_n "checking whether ln -s works... " >&6; }
+LN_S=$as_ln_s
+if test "$LN_S" = "ln -s"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: yes" >&5
+$as_echo "yes" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no, using $LN_S" >&5
+$as_echo "no, using $LN_S" >&6; }
+fi
+
+# find the maximum length of command line arguments
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking the maximum length of command line arguments" >&5
+$as_echo_n "checking the maximum length of command line arguments... " >&6; }
+if ${lt_cv_sys_max_cmd_len+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+    i=0
+  teststring="ABCD"
+
+  case $build_os in
+  msdosdjgpp*)
+    # On DJGPP, this test can blow up pretty badly due to problems in libc
+    # (any single argument exceeding 2000 bytes causes a buffer overrun
+    # during glob expansion).  Even if it were fixed, the result of this
+    # check would be larger than it should be.
+    lt_cv_sys_max_cmd_len=12288;    # 12K is about right
+    ;;
+
+  gnu*)
+    # Under GNU Hurd, this test is not required because there is
+    # no limit to the length of command line arguments.
+    # Libtool will interpret -1 as no limit whatsoever
+    lt_cv_sys_max_cmd_len=-1;
+    ;;
+
+  cygwin* | mingw* | cegcc*)
+    # On Win9x/ME, this test blows up -- it succeeds, but takes
+    # about 5 minutes as the teststring grows exponentially.
+    # Worse, since 9x/ME are not pre-emptively multitasking,
+    # you end up with a "frozen" computer, even though with patience
+    # the test eventually succeeds (with a max line length of 256k).
+    # Instead, let's just punt: use the minimum linelength reported by
+    # all of the supported platforms: 8192 (on NT/2K/XP).
+    lt_cv_sys_max_cmd_len=8192;
+    ;;
+
+  mint*)
+    # On MiNT this can take a long time and run out of memory.
+    lt_cv_sys_max_cmd_len=8192;
+    ;;
+
+  amigaos*)
+    # On AmigaOS with pdksh, this test takes hours, literally.
+    # So we just punt and use a minimum line length of 8192.
+    lt_cv_sys_max_cmd_len=8192;
+    ;;
+
+  netbsd* | freebsd* | openbsd* | darwin* | dragonfly*)
+    # This has been around since 386BSD, at least.  Likely further.
+    if test -x /sbin/sysctl; then
+      lt_cv_sys_max_cmd_len=`/sbin/sysctl -n kern.argmax`
+    elif test -x /usr/sbin/sysctl; then
+      lt_cv_sys_max_cmd_len=`/usr/sbin/sysctl -n kern.argmax`
+    else
+      lt_cv_sys_max_cmd_len=65536	# usable default for all BSDs
+    fi
+    # And add a safety zone
+    lt_cv_sys_max_cmd_len=`expr $lt_cv_sys_max_cmd_len \/ 4`
+    lt_cv_sys_max_cmd_len=`expr $lt_cv_sys_max_cmd_len \* 3`
+    ;;
+
+  interix*)
+    # We know the value 262144 and hardcode it with a safety zone (like BSD)
+    lt_cv_sys_max_cmd_len=196608
+    ;;
+
+  os2*)
+    # The test takes a long time on OS/2.
+    lt_cv_sys_max_cmd_len=8192
+    ;;
+
+  osf*)
+    # Dr. Hans Ekkehard Plesser reports seeing a kernel panic running configure
+    # due to this test when exec_disable_arg_limit is 1 on Tru64. It is not
+    # nice to cause kernel panics so lets avoid the loop below.
+    # First set a reasonable default.
+    lt_cv_sys_max_cmd_len=16384
+    #
+    if test -x /sbin/sysconfig; then
+      case `/sbin/sysconfig -q proc exec_disable_arg_limit` in
+        *1*) lt_cv_sys_max_cmd_len=-1 ;;
+      esac
+    fi
+    ;;
+  sco3.2v5*)
+    lt_cv_sys_max_cmd_len=102400
+    ;;
+  sysv5* | sco5v6* | sysv4.2uw2*)
+    kargmax=`grep ARG_MAX /etc/conf/cf.d/stune 2>/dev/null`
+    if test -n "$kargmax"; then
+      lt_cv_sys_max_cmd_len=`echo $kargmax | sed 's/.*[	 ]//'`
+    else
+      lt_cv_sys_max_cmd_len=32768
+    fi
+    ;;
+  *)
+    lt_cv_sys_max_cmd_len=`(getconf ARG_MAX) 2> /dev/null`
+    if test -n "$lt_cv_sys_max_cmd_len"; then
+      lt_cv_sys_max_cmd_len=`expr $lt_cv_sys_max_cmd_len \/ 4`
+      lt_cv_sys_max_cmd_len=`expr $lt_cv_sys_max_cmd_len \* 3`
+    else
+      # Make teststring a little bigger before we do anything with it.
+      # a 1K string should be a reasonable start.
+      for i in 1 2 3 4 5 6 7 8 ; do
+        teststring=$teststring$teststring
+      done
+      SHELL=${SHELL-${CONFIG_SHELL-/bin/sh}}
+      # If test is not a shell built-in, we'll probably end up computing a
+      # maximum length that is only half of the actual maximum length, but
+      # we can't tell.
+      while { test "X"`env echo "$teststring$teststring" 2>/dev/null` \
+	         = "X$teststring$teststring"; } >/dev/null 2>&1 &&
+	      test $i != 17 # 1/2 MB should be enough
+      do
+        i=`expr $i + 1`
+        teststring=$teststring$teststring
+      done
+      # Only check the string length outside the loop.
+      lt_cv_sys_max_cmd_len=`expr "X$teststring" : ".*" 2>&1`
+      teststring=
+      # Add a significant safety factor because C++ compilers can tack on
+      # massive amounts of additional arguments before passing them to the
+      # linker.  It appears as though 1/2 is a usable value.
+      lt_cv_sys_max_cmd_len=`expr $lt_cv_sys_max_cmd_len \/ 2`
+    fi
+    ;;
+  esac
+
+fi
+
+if test -n $lt_cv_sys_max_cmd_len ; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $lt_cv_sys_max_cmd_len" >&5
+$as_echo "$lt_cv_sys_max_cmd_len" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: none" >&5
+$as_echo "none" >&6; }
+fi
+max_cmd_len=$lt_cv_sys_max_cmd_len
+
+
+
+
+
+
+: ${CP="cp -f"}
+: ${MV="mv -f"}
+: ${RM="rm -f"}
+
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking whether the shell understands some XSI constructs" >&5
+$as_echo_n "checking whether the shell understands some XSI constructs... " >&6; }
+# Try some XSI features
+xsi_shell=no
+( _lt_dummy="a/b/c"
+  test "${_lt_dummy##*/},${_lt_dummy%/*},${_lt_dummy#??}"${_lt_dummy%"$_lt_dummy"}, \
+      = c,a/b,b/c, \
+    && eval 'test $(( 1 + 1 )) -eq 2 \
+    && test "${#_lt_dummy}" -eq 5' ) >/dev/null 2>&1 \
+  && xsi_shell=yes
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $xsi_shell" >&5
+$as_echo "$xsi_shell" >&6; }
+
+
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking whether the shell understands \"+=\"" >&5
+$as_echo_n "checking whether the shell understands \"+=\"... " >&6; }
+lt_shell_append=no
+( foo=bar; set foo baz; eval "$1+=\$2" && test "$foo" = barbaz ) \
+    >/dev/null 2>&1 \
+  && lt_shell_append=yes
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $lt_shell_append" >&5
+$as_echo "$lt_shell_append" >&6; }
+
+
+if ( (MAIL=60; unset MAIL) || exit) >/dev/null 2>&1; then
+  lt_unset=unset
+else
+  lt_unset=false
+fi
+
+
+
+
+
+# test EBCDIC or ASCII
+case `echo X|tr X '\101'` in
+ A) # ASCII based system
+    # \n is not interpreted correctly by Solaris 8 /usr/ucb/tr
+  lt_SP2NL='tr \040 \012'
+  lt_NL2SP='tr \015\012 \040\040'
+  ;;
+ *) # EBCDIC based system
+  lt_SP2NL='tr \100 \n'
+  lt_NL2SP='tr \r\n \100\100'
+  ;;
+esac
+
+
+
+
+
+
+
+
+
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking how to convert $build file names to $host format" >&5
+$as_echo_n "checking how to convert $build file names to $host format... " >&6; }
+if ${lt_cv_to_host_file_cmd+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  case $host in
+  *-*-mingw* )
+    case $build in
+      *-*-mingw* ) # actually msys
+        lt_cv_to_host_file_cmd=func_convert_file_msys_to_w32
+        ;;
+      *-*-cygwin* )
+        lt_cv_to_host_file_cmd=func_convert_file_cygwin_to_w32
+        ;;
+      * ) # otherwise, assume *nix
+        lt_cv_to_host_file_cmd=func_convert_file_nix_to_w32
+        ;;
+    esac
+    ;;
+  *-*-cygwin* )
+    case $build in
+      *-*-mingw* ) # actually msys
+        lt_cv_to_host_file_cmd=func_convert_file_msys_to_cygwin
+        ;;
+      *-*-cygwin* )
+        lt_cv_to_host_file_cmd=func_convert_file_noop
+        ;;
+      * ) # otherwise, assume *nix
+        lt_cv_to_host_file_cmd=func_convert_file_nix_to_cygwin
+        ;;
+    esac
+    ;;
+  * ) # unhandled hosts (and "normal" native builds)
+    lt_cv_to_host_file_cmd=func_convert_file_noop
+    ;;
+esac
+
+fi
+
+to_host_file_cmd=$lt_cv_to_host_file_cmd
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $lt_cv_to_host_file_cmd" >&5
+$as_echo "$lt_cv_to_host_file_cmd" >&6; }
+
+
+
+
+
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking how to convert $build file names to toolchain format" >&5
+$as_echo_n "checking how to convert $build file names to toolchain format... " >&6; }
+if ${lt_cv_to_tool_file_cmd+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  #assume ordinary cross tools, or native build.
+lt_cv_to_tool_file_cmd=func_convert_file_noop
+case $host in
+  *-*-mingw* )
+    case $build in
+      *-*-mingw* ) # actually msys
+        lt_cv_to_tool_file_cmd=func_convert_file_msys_to_w32
+        ;;
+    esac
+    ;;
+esac
+
+fi
+
+to_tool_file_cmd=$lt_cv_to_tool_file_cmd
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $lt_cv_to_tool_file_cmd" >&5
+$as_echo "$lt_cv_to_tool_file_cmd" >&6; }
+
+
+
+
+
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $LD option to reload object files" >&5
+$as_echo_n "checking for $LD option to reload object files... " >&6; }
+if ${lt_cv_ld_reload_flag+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  lt_cv_ld_reload_flag='-r'
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $lt_cv_ld_reload_flag" >&5
+$as_echo "$lt_cv_ld_reload_flag" >&6; }
+reload_flag=$lt_cv_ld_reload_flag
+case $reload_flag in
+"" | " "*) ;;
+*) reload_flag=" $reload_flag" ;;
+esac
+reload_cmds='$LD$reload_flag -o $output$reload_objs'
+case $host_os in
+  cygwin* | mingw* | pw32* | cegcc*)
+    if test "$GCC" != yes; then
+      reload_cmds=false
+    fi
+    ;;
+  darwin*)
+    if test "$GCC" = yes; then
+      reload_cmds='$LTCC $LTCFLAGS -nostdlib ${wl}-r -o $output$reload_objs'
+    else
+      reload_cmds='$LD$reload_flag -o $output$reload_objs'
+    fi
+    ;;
+esac
+
+
+
+
+
+
+
+
+
+if test -n "$ac_tool_prefix"; then
+  # Extract the first word of "${ac_tool_prefix}objdump", so it can be a program name with args.
+set dummy ${ac_tool_prefix}objdump; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if ${ac_cv_prog_OBJDUMP+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$OBJDUMP"; then
+  ac_cv_prog_OBJDUMP="$OBJDUMP" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if as_fn_executable_p "$as_dir/$ac_word$ac_exec_ext"; then
+    ac_cv_prog_OBJDUMP="${ac_tool_prefix}objdump"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+fi
+fi
+OBJDUMP=$ac_cv_prog_OBJDUMP
+if test -n "$OBJDUMP"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $OBJDUMP" >&5
+$as_echo "$OBJDUMP" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+fi
+if test -z "$ac_cv_prog_OBJDUMP"; then
+  ac_ct_OBJDUMP=$OBJDUMP
+  # Extract the first word of "objdump", so it can be a program name with args.
+set dummy objdump; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if ${ac_cv_prog_ac_ct_OBJDUMP+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$ac_ct_OBJDUMP"; then
+  ac_cv_prog_ac_ct_OBJDUMP="$ac_ct_OBJDUMP" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if as_fn_executable_p "$as_dir/$ac_word$ac_exec_ext"; then
+    ac_cv_prog_ac_ct_OBJDUMP="objdump"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+fi
+fi
+ac_ct_OBJDUMP=$ac_cv_prog_ac_ct_OBJDUMP
+if test -n "$ac_ct_OBJDUMP"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_ct_OBJDUMP" >&5
+$as_echo "$ac_ct_OBJDUMP" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+  if test "x$ac_ct_OBJDUMP" = x; then
+    OBJDUMP="false"
+  else
+    case $cross_compiling:$ac_tool_warned in
+yes:)
+{ $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: using cross tools not prefixed with host triplet" >&5
+$as_echo "$as_me: WARNING: using cross tools not prefixed with host triplet" >&2;}
+ac_tool_warned=yes ;;
+esac
+    OBJDUMP=$ac_ct_OBJDUMP
+  fi
+else
+  OBJDUMP="$ac_cv_prog_OBJDUMP"
+fi
+
+test -z "$OBJDUMP" && OBJDUMP=objdump
+
+
+
+
+
+
+
+
+
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking how to recognize dependent libraries" >&5
+$as_echo_n "checking how to recognize dependent libraries... " >&6; }
+if ${lt_cv_deplibs_check_method+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  lt_cv_file_magic_cmd='$MAGIC_CMD'
+lt_cv_file_magic_test_file=
+lt_cv_deplibs_check_method='unknown'
+# Need to set the preceding variable on all platforms that support
+# interlibrary dependencies.
+# 'none' -- dependencies not supported.
+# `unknown' -- same as none, but documents that we really don't know.
+# 'pass_all' -- all dependencies passed with no checks.
+# 'test_compile' -- check by making test program.
+# 'file_magic [[regex]]' -- check by looking for files in library path
+# which responds to the $file_magic_cmd with a given extended regex.
+# If you have `file' or equivalent on your system and you're not sure
+# whether `pass_all' will *always* work, you probably want this one.
+
+case $host_os in
+aix[4-9]*)
+  lt_cv_deplibs_check_method=pass_all
+  ;;
+
+beos*)
+  lt_cv_deplibs_check_method=pass_all
+  ;;
+
+bsdi[45]*)
+  lt_cv_deplibs_check_method='file_magic ELF [0-9][0-9]*-bit [ML]SB (shared object|dynamic lib)'
+  lt_cv_file_magic_cmd='/usr/bin/file -L'
+  lt_cv_file_magic_test_file=/shlib/libc.so
+  ;;
+
+cygwin*)
+  # func_win32_libid is a shell function defined in ltmain.sh
+  lt_cv_deplibs_check_method='file_magic ^x86 archive import|^x86 DLL'
+  lt_cv_file_magic_cmd='func_win32_libid'
+  ;;
+
+mingw* | pw32*)
+  # Base MSYS/MinGW do not provide the 'file' command needed by
+  # func_win32_libid shell function, so use a weaker test based on 'objdump',
+  # unless we find 'file', for example because we are cross-compiling.
+  # func_win32_libid assumes BSD nm, so disallow it if using MS dumpbin.
+  if ( test "$lt_cv_nm_interface" = "BSD nm" && file / ) >/dev/null 2>&1; then
+    lt_cv_deplibs_check_method='file_magic ^x86 archive import|^x86 DLL'
+    lt_cv_file_magic_cmd='func_win32_libid'
+  else
+    # Keep this pattern in sync with the one in func_win32_libid.
+    lt_cv_deplibs_check_method='file_magic file format (pei*-i386(.*architecture: i386)?|pe-arm-wince|pe-x86-64)'
+    lt_cv_file_magic_cmd='$OBJDUMP -f'
+  fi
+  ;;
+
+cegcc*)
+  # use the weaker test based on 'objdump'. See mingw*.
+  lt_cv_deplibs_check_method='file_magic file format pe-arm-.*little(.*architecture: arm)?'
+  lt_cv_file_magic_cmd='$OBJDUMP -f'
+  ;;
+
+darwin* | rhapsody*)
+  lt_cv_deplibs_check_method=pass_all
+  ;;
+
+freebsd* | dragonfly*)
+  if echo __ELF__ | $CC -E - | $GREP __ELF__ > /dev/null; then
+    case $host_cpu in
+    i*86 )
+      # Not sure whether the presence of OpenBSD here was a mistake.
+      # Let's accept both of them until this is cleared up.
+      lt_cv_deplibs_check_method='file_magic (FreeBSD|OpenBSD|DragonFly)/i[3-9]86 (compact )?demand paged shared library'
+      lt_cv_file_magic_cmd=/usr/bin/file
+      lt_cv_file_magic_test_file=`echo /usr/lib/libc.so.*`
+      ;;
+    esac
+  else
+    lt_cv_deplibs_check_method=pass_all
+  fi
+  ;;
+
+haiku*)
+  lt_cv_deplibs_check_method=pass_all
+  ;;
+
+hpux10.20* | hpux11*)
+  lt_cv_file_magic_cmd=/usr/bin/file
+  case $host_cpu in
+  ia64*)
+    lt_cv_deplibs_check_method='file_magic (s[0-9][0-9][0-9]|ELF-[0-9][0-9]) shared object file - IA64'
+    lt_cv_file_magic_test_file=/usr/lib/hpux32/libc.so
+    ;;
+  hppa*64*)
+    lt_cv_deplibs_check_method='file_magic (s[0-9][0-9][0-9]|ELF[ -][0-9][0-9])(-bit)?( [LM]SB)? shared object( file)?[, -]* PA-RISC [0-9]\.[0-9]'
+    lt_cv_file_magic_test_file=/usr/lib/pa20_64/libc.sl
+    ;;
+  *)
+    lt_cv_deplibs_check_method='file_magic (s[0-9][0-9][0-9]|PA-RISC[0-9]\.[0-9]) shared library'
+    lt_cv_file_magic_test_file=/usr/lib/libc.sl
+    ;;
+  esac
+  ;;
+
+interix[3-9]*)
+  # PIC code is broken on Interix 3.x, that's why |\.a not |_pic\.a here
+  lt_cv_deplibs_check_method='match_pattern /lib[^/]+(\.so|\.a)$'
+  ;;
+
+irix5* | irix6* | nonstopux*)
+  case $LD in
+  *-32|*"-32 ") libmagic=32-bit;;
+  *-n32|*"-n32 ") libmagic=N32;;
+  *-64|*"-64 ") libmagic=64-bit;;
+  *) libmagic=never-match;;
+  esac
+  lt_cv_deplibs_check_method=pass_all
+  ;;
+
+# This must be glibc/ELF.
+linux* | k*bsd*-gnu | kopensolaris*-gnu | gnu*)
+  lt_cv_deplibs_check_method=pass_all
+  ;;
+
+netbsd* | netbsdelf*-gnu)
+  if echo __ELF__ | $CC -E - | $GREP __ELF__ > /dev/null; then
+    lt_cv_deplibs_check_method='match_pattern /lib[^/]+(\.so\.[0-9]+\.[0-9]+|_pic\.a)$'
+  else
+    lt_cv_deplibs_check_method='match_pattern /lib[^/]+(\.so|_pic\.a)$'
+  fi
+  ;;
+
+newos6*)
+  lt_cv_deplibs_check_method='file_magic ELF [0-9][0-9]*-bit [ML]SB (executable|dynamic lib)'
+  lt_cv_file_magic_cmd=/usr/bin/file
+  lt_cv_file_magic_test_file=/usr/lib/libnls.so
+  ;;
+
+*nto* | *qnx*)
+  lt_cv_deplibs_check_method=pass_all
+  ;;
+
+openbsd*)
+  if test -z "`echo __ELF__ | $CC -E - | $GREP __ELF__`" || test "$host_os-$host_cpu" = "openbsd2.8-powerpc"; then
+    lt_cv_deplibs_check_method='match_pattern /lib[^/]+(\.so\.[0-9]+\.[0-9]+|\.so|_pic\.a)$'
+  else
+    lt_cv_deplibs_check_method='match_pattern /lib[^/]+(\.so\.[0-9]+\.[0-9]+|_pic\.a)$'
+  fi
+  ;;
+
+osf3* | osf4* | osf5*)
+  lt_cv_deplibs_check_method=pass_all
+  ;;
+
+rdos*)
+  lt_cv_deplibs_check_method=pass_all
+  ;;
+
+solaris*)
+  lt_cv_deplibs_check_method=pass_all
+  ;;
+
+sysv5* | sco3.2v5* | sco5v6* | unixware* | OpenUNIX* | sysv4*uw2*)
+  lt_cv_deplibs_check_method=pass_all
+  ;;
+
+sysv4 | sysv4.3*)
+  case $host_vendor in
+  motorola)
+    lt_cv_deplibs_check_method='file_magic ELF [0-9][0-9]*-bit [ML]SB (shared object|dynamic lib) M[0-9][0-9]* Version [0-9]'
+    lt_cv_file_magic_test_file=`echo /usr/lib/libc.so*`
+    ;;
+  ncr)
+    lt_cv_deplibs_check_method=pass_all
+    ;;
+  sequent)
+    lt_cv_file_magic_cmd='/bin/file'
+    lt_cv_deplibs_check_method='file_magic ELF [0-9][0-9]*-bit [LM]SB (shared object|dynamic lib )'
+    ;;
+  sni)
+    lt_cv_file_magic_cmd='/bin/file'
+    lt_cv_deplibs_check_method="file_magic ELF [0-9][0-9]*-bit [LM]SB dynamic lib"
+    lt_cv_file_magic_test_file=/lib/libc.so
+    ;;
+  siemens)
+    lt_cv_deplibs_check_method=pass_all
+    ;;
+  pc)
+    lt_cv_deplibs_check_method=pass_all
+    ;;
+  esac
+  ;;
+
+tpf*)
+  lt_cv_deplibs_check_method=pass_all
+  ;;
+esac
+
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $lt_cv_deplibs_check_method" >&5
+$as_echo "$lt_cv_deplibs_check_method" >&6; }
+
+file_magic_glob=
+want_nocaseglob=no
+if test "$build" = "$host"; then
+  case $host_os in
+  mingw* | pw32*)
+    if ( shopt | grep nocaseglob ) >/dev/null 2>&1; then
+      want_nocaseglob=yes
+    else
+      file_magic_glob=`echo aAbBcCdDeEfFgGhHiIjJkKlLmMnNoOpPqQrRsStTuUvVwWxXyYzZ | $SED -e "s/\(..\)/s\/[\1]\/[\1]\/g;/g"`
+    fi
+    ;;
+  esac
+fi
+
+file_magic_cmd=$lt_cv_file_magic_cmd
+deplibs_check_method=$lt_cv_deplibs_check_method
+test -z "$deplibs_check_method" && deplibs_check_method=unknown
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+if test -n "$ac_tool_prefix"; then
+  # Extract the first word of "${ac_tool_prefix}dlltool", so it can be a program name with args.
+set dummy ${ac_tool_prefix}dlltool; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if ${ac_cv_prog_DLLTOOL+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$DLLTOOL"; then
+  ac_cv_prog_DLLTOOL="$DLLTOOL" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if as_fn_executable_p "$as_dir/$ac_word$ac_exec_ext"; then
+    ac_cv_prog_DLLTOOL="${ac_tool_prefix}dlltool"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+fi
+fi
+DLLTOOL=$ac_cv_prog_DLLTOOL
+if test -n "$DLLTOOL"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $DLLTOOL" >&5
+$as_echo "$DLLTOOL" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+fi
+if test -z "$ac_cv_prog_DLLTOOL"; then
+  ac_ct_DLLTOOL=$DLLTOOL
+  # Extract the first word of "dlltool", so it can be a program name with args.
+set dummy dlltool; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if ${ac_cv_prog_ac_ct_DLLTOOL+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$ac_ct_DLLTOOL"; then
+  ac_cv_prog_ac_ct_DLLTOOL="$ac_ct_DLLTOOL" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if as_fn_executable_p "$as_dir/$ac_word$ac_exec_ext"; then
+    ac_cv_prog_ac_ct_DLLTOOL="dlltool"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+fi
+fi
+ac_ct_DLLTOOL=$ac_cv_prog_ac_ct_DLLTOOL
+if test -n "$ac_ct_DLLTOOL"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_ct_DLLTOOL" >&5
+$as_echo "$ac_ct_DLLTOOL" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+  if test "x$ac_ct_DLLTOOL" = x; then
+    DLLTOOL="false"
+  else
+    case $cross_compiling:$ac_tool_warned in
+yes:)
+{ $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: using cross tools not prefixed with host triplet" >&5
+$as_echo "$as_me: WARNING: using cross tools not prefixed with host triplet" >&2;}
+ac_tool_warned=yes ;;
+esac
+    DLLTOOL=$ac_ct_DLLTOOL
+  fi
+else
+  DLLTOOL="$ac_cv_prog_DLLTOOL"
+fi
+
+test -z "$DLLTOOL" && DLLTOOL=dlltool
+
+
+
+
+
+
+
+
+
+
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking how to associate runtime and link libraries" >&5
+$as_echo_n "checking how to associate runtime and link libraries... " >&6; }
+if ${lt_cv_sharedlib_from_linklib_cmd+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  lt_cv_sharedlib_from_linklib_cmd='unknown'
+
+case $host_os in
+cygwin* | mingw* | pw32* | cegcc*)
+  # two different shell functions defined in ltmain.sh
+  # decide which to use based on capabilities of $DLLTOOL
+  case `$DLLTOOL --help 2>&1` in
+  *--identify-strict*)
+    lt_cv_sharedlib_from_linklib_cmd=func_cygming_dll_for_implib
+    ;;
+  *)
+    lt_cv_sharedlib_from_linklib_cmd=func_cygming_dll_for_implib_fallback
+    ;;
+  esac
+  ;;
+*)
+  # fallback: assume linklib IS sharedlib
+  lt_cv_sharedlib_from_linklib_cmd="$ECHO"
+  ;;
+esac
+
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $lt_cv_sharedlib_from_linklib_cmd" >&5
+$as_echo "$lt_cv_sharedlib_from_linklib_cmd" >&6; }
+sharedlib_from_linklib_cmd=$lt_cv_sharedlib_from_linklib_cmd
+test -z "$sharedlib_from_linklib_cmd" && sharedlib_from_linklib_cmd=$ECHO
+
+
+
+
+
+
+
+
+if test -n "$ac_tool_prefix"; then
+  for ac_prog in ar
+  do
+    # Extract the first word of "$ac_tool_prefix$ac_prog", so it can be a program name with args.
+set dummy $ac_tool_prefix$ac_prog; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if ${ac_cv_prog_AR+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$AR"; then
+  ac_cv_prog_AR="$AR" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if as_fn_executable_p "$as_dir/$ac_word$ac_exec_ext"; then
+    ac_cv_prog_AR="$ac_tool_prefix$ac_prog"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+fi
+fi
+AR=$ac_cv_prog_AR
+if test -n "$AR"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $AR" >&5
+$as_echo "$AR" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+    test -n "$AR" && break
+  done
+fi
+if test -z "$AR"; then
+  ac_ct_AR=$AR
+  for ac_prog in ar
+do
+  # Extract the first word of "$ac_prog", so it can be a program name with args.
+set dummy $ac_prog; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if ${ac_cv_prog_ac_ct_AR+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$ac_ct_AR"; then
+  ac_cv_prog_ac_ct_AR="$ac_ct_AR" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if as_fn_executable_p "$as_dir/$ac_word$ac_exec_ext"; then
+    ac_cv_prog_ac_ct_AR="$ac_prog"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+fi
+fi
+ac_ct_AR=$ac_cv_prog_ac_ct_AR
+if test -n "$ac_ct_AR"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_ct_AR" >&5
+$as_echo "$ac_ct_AR" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+  test -n "$ac_ct_AR" && break
+done
+
+  if test "x$ac_ct_AR" = x; then
+    AR="false"
+  else
+    case $cross_compiling:$ac_tool_warned in
+yes:)
+{ $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: using cross tools not prefixed with host triplet" >&5
+$as_echo "$as_me: WARNING: using cross tools not prefixed with host triplet" >&2;}
+ac_tool_warned=yes ;;
+esac
+    AR=$ac_ct_AR
+  fi
+fi
+
+: ${AR=ar}
+: ${AR_FLAGS=cru}
+
+
+
+
+
+
+
+
+
+
+
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for archiver @FILE support" >&5
+$as_echo_n "checking for archiver @FILE support... " >&6; }
+if ${lt_cv_ar_at_file+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  lt_cv_ar_at_file=no
+   cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+
+int
+main ()
+{
+
+  ;
+  return 0;
+}
+_ACEOF
+if ac_fn_c_try_compile "$LINENO"; then :
+  echo conftest.$ac_objext > conftest.lst
+      lt_ar_try='$AR $AR_FLAGS libconftest.a @conftest.lst >&5'
+      { { eval echo "\"\$as_me\":${as_lineno-$LINENO}: \"$lt_ar_try\""; } >&5
+  (eval $lt_ar_try) 2>&5
+  ac_status=$?
+  $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
+  test $ac_status = 0; }
+      if test "$ac_status" -eq 0; then
+	# Ensure the archiver fails upon bogus file names.
+	rm -f conftest.$ac_objext libconftest.a
+	{ { eval echo "\"\$as_me\":${as_lineno-$LINENO}: \"$lt_ar_try\""; } >&5
+  (eval $lt_ar_try) 2>&5
+  ac_status=$?
+  $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
+  test $ac_status = 0; }
+	if test "$ac_status" -ne 0; then
+          lt_cv_ar_at_file=@
+        fi
+      fi
+      rm -f conftest.* libconftest.a
+
+fi
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $lt_cv_ar_at_file" >&5
+$as_echo "$lt_cv_ar_at_file" >&6; }
+
+if test "x$lt_cv_ar_at_file" = xno; then
+  archiver_list_spec=
+else
+  archiver_list_spec=$lt_cv_ar_at_file
+fi
+
+
+
+
+
+
+
+if test -n "$ac_tool_prefix"; then
+  # Extract the first word of "${ac_tool_prefix}strip", so it can be a program name with args.
+set dummy ${ac_tool_prefix}strip; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if ${ac_cv_prog_STRIP+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$STRIP"; then
+  ac_cv_prog_STRIP="$STRIP" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if as_fn_executable_p "$as_dir/$ac_word$ac_exec_ext"; then
+    ac_cv_prog_STRIP="${ac_tool_prefix}strip"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+fi
+fi
+STRIP=$ac_cv_prog_STRIP
+if test -n "$STRIP"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $STRIP" >&5
+$as_echo "$STRIP" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+fi
+if test -z "$ac_cv_prog_STRIP"; then
+  ac_ct_STRIP=$STRIP
+  # Extract the first word of "strip", so it can be a program name with args.
+set dummy strip; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if ${ac_cv_prog_ac_ct_STRIP+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$ac_ct_STRIP"; then
+  ac_cv_prog_ac_ct_STRIP="$ac_ct_STRIP" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if as_fn_executable_p "$as_dir/$ac_word$ac_exec_ext"; then
+    ac_cv_prog_ac_ct_STRIP="strip"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+fi
+fi
+ac_ct_STRIP=$ac_cv_prog_ac_ct_STRIP
+if test -n "$ac_ct_STRIP"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_ct_STRIP" >&5
+$as_echo "$ac_ct_STRIP" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+  if test "x$ac_ct_STRIP" = x; then
+    STRIP=":"
+  else
+    case $cross_compiling:$ac_tool_warned in
+yes:)
+{ $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: using cross tools not prefixed with host triplet" >&5
+$as_echo "$as_me: WARNING: using cross tools not prefixed with host triplet" >&2;}
+ac_tool_warned=yes ;;
+esac
+    STRIP=$ac_ct_STRIP
+  fi
+else
+  STRIP="$ac_cv_prog_STRIP"
+fi
+
+test -z "$STRIP" && STRIP=:
+
+
+
+
+
+
+if test -n "$ac_tool_prefix"; then
+  # Extract the first word of "${ac_tool_prefix}ranlib", so it can be a program name with args.
+set dummy ${ac_tool_prefix}ranlib; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if ${ac_cv_prog_RANLIB+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$RANLIB"; then
+  ac_cv_prog_RANLIB="$RANLIB" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if as_fn_executable_p "$as_dir/$ac_word$ac_exec_ext"; then
+    ac_cv_prog_RANLIB="${ac_tool_prefix}ranlib"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+fi
+fi
+RANLIB=$ac_cv_prog_RANLIB
+if test -n "$RANLIB"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $RANLIB" >&5
+$as_echo "$RANLIB" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+fi
+if test -z "$ac_cv_prog_RANLIB"; then
+  ac_ct_RANLIB=$RANLIB
+  # Extract the first word of "ranlib", so it can be a program name with args.
+set dummy ranlib; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if ${ac_cv_prog_ac_ct_RANLIB+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$ac_ct_RANLIB"; then
+  ac_cv_prog_ac_ct_RANLIB="$ac_ct_RANLIB" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if as_fn_executable_p "$as_dir/$ac_word$ac_exec_ext"; then
+    ac_cv_prog_ac_ct_RANLIB="ranlib"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+fi
+fi
+ac_ct_RANLIB=$ac_cv_prog_ac_ct_RANLIB
+if test -n "$ac_ct_RANLIB"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_ct_RANLIB" >&5
+$as_echo "$ac_ct_RANLIB" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+  if test "x$ac_ct_RANLIB" = x; then
+    RANLIB=":"
+  else
+    case $cross_compiling:$ac_tool_warned in
+yes:)
+{ $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: using cross tools not prefixed with host triplet" >&5
+$as_echo "$as_me: WARNING: using cross tools not prefixed with host triplet" >&2;}
+ac_tool_warned=yes ;;
+esac
+    RANLIB=$ac_ct_RANLIB
+  fi
+else
+  RANLIB="$ac_cv_prog_RANLIB"
+fi
+
+test -z "$RANLIB" && RANLIB=:
+
+
+
+
+
+
+# Determine commands to create old-style static archives.
+old_archive_cmds='$AR $AR_FLAGS $oldlib$oldobjs'
+old_postinstall_cmds='chmod 644 $oldlib'
+old_postuninstall_cmds=
+
+if test -n "$RANLIB"; then
+  case $host_os in
+  openbsd*)
+    old_postinstall_cmds="$old_postinstall_cmds~\$RANLIB -t \$tool_oldlib"
+    ;;
+  *)
+    old_postinstall_cmds="$old_postinstall_cmds~\$RANLIB \$tool_oldlib"
+    ;;
+  esac
+  old_archive_cmds="$old_archive_cmds~\$RANLIB \$tool_oldlib"
+fi
+
+case $host_os in
+  darwin*)
+    lock_old_archive_extraction=yes ;;
+  *)
+    lock_old_archive_extraction=no ;;
+esac
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+# If no C compiler was specified, use CC.
+LTCC=${LTCC-"$CC"}
+
+# If no C compiler flags were specified, use CFLAGS.
+LTCFLAGS=${LTCFLAGS-"$CFLAGS"}
+
+# Allow CC to be a program name with arguments.
+compiler=$CC
+
+
+# Check for command to grab the raw symbol name followed by C symbol from nm.
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking command to parse $NM output from $compiler object" >&5
+$as_echo_n "checking command to parse $NM output from $compiler object... " >&6; }
+if ${lt_cv_sys_global_symbol_pipe+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+
+# These are sane defaults that work on at least a few old systems.
+# [They come from Ultrix.  What could be older than Ultrix?!! ;)]
+
+# Character class describing NM global symbol codes.
+symcode='[BCDEGRST]'
+
+# Regexp to match symbols that can be accessed directly from C.
+sympat='\([_A-Za-z][_A-Za-z0-9]*\)'
+
+# Define system-specific variables.
+case $host_os in
+aix*)
+  symcode='[BCDT]'
+  ;;
+cygwin* | mingw* | pw32* | cegcc*)
+  symcode='[ABCDGISTW]'
+  ;;
+hpux*)
+  if test "$host_cpu" = ia64; then
+    symcode='[ABCDEGRST]'
+  fi
+  ;;
+irix* | nonstopux*)
+  symcode='[BCDEGRST]'
+  ;;
+osf*)
+  symcode='[BCDEGQRST]'
+  ;;
+solaris*)
+  symcode='[BDRT]'
+  ;;
+sco3.2v5*)
+  symcode='[DT]'
+  ;;
+sysv4.2uw2*)
+  symcode='[DT]'
+  ;;
+sysv5* | sco5v6* | unixware* | OpenUNIX*)
+  symcode='[ABDT]'
+  ;;
+sysv4)
+  symcode='[DFNSTU]'
+  ;;
+esac
+
+# If we're using GNU nm, then use its standard symbol codes.
+case `$NM -V 2>&1` in
+*GNU* | *'with BFD'*)
+  symcode='[ABCDGIRSTW]' ;;
+esac
+
+# Transform an extracted symbol line into a proper C declaration.
+# Some systems (esp. on ia64) link data and code symbols differently,
+# so use this general approach.
+lt_cv_sys_global_symbol_to_cdecl="sed -n -e 's/^T .* \(.*\)$/extern int \1();/p' -e 's/^$symcode* .* \(.*\)$/extern char \1;/p'"
+
+# Transform an extracted symbol line into symbol name and symbol address
+lt_cv_sys_global_symbol_to_c_name_address="sed -n -e 's/^: \([^ ]*\)[ ]*$/  {\\\"\1\\\", (void *) 0},/p' -e 's/^$symcode* \([^ ]*\) \([^ ]*\)$/  {\"\2\", (void *) \&\2},/p'"
+lt_cv_sys_global_symbol_to_c_name_address_lib_prefix="sed -n -e 's/^: \([^ ]*\)[ ]*$/  {\\\"\1\\\", (void *) 0},/p' -e 's/^$symcode* \([^ ]*\) \(lib[^ ]*\)$/  {\"\2\", (void *) \&\2},/p' -e 's/^$symcode* \([^ ]*\) \([^ ]*\)$/  {\"lib\2\", (void *) \&\2},/p'"
+
+# Handle CRLF in mingw tool chain
+opt_cr=
+case $build_os in
+mingw*)
+  opt_cr=`$ECHO 'x\{0,1\}' | tr x '\015'` # option cr in regexp
+  ;;
+esac
+
+# Try without a prefix underscore, then with it.
+for ac_symprfx in "" "_"; do
+
+  # Transform symcode, sympat, and symprfx into a raw symbol and a C symbol.
+  symxfrm="\\1 $ac_symprfx\\2 \\2"
+
+  # Write the raw and C identifiers.
+  if test "$lt_cv_nm_interface" = "MS dumpbin"; then
+    # Fake it for dumpbin and say T for any non-static function
+    # and D for any global variable.
+    # Also find C++ and __fastcall symbols from MSVC++,
+    # which start with @ or ?.
+    lt_cv_sys_global_symbol_pipe="$AWK '"\
+"     {last_section=section; section=\$ 3};"\
+"     /^COFF SYMBOL TABLE/{for(i in hide) delete hide[i]};"\
+"     /Section length .*#relocs.*(pick any)/{hide[last_section]=1};"\
+"     \$ 0!~/External *\|/{next};"\
+"     / 0+ UNDEF /{next}; / UNDEF \([^|]\)*()/{next};"\
+"     {if(hide[section]) next};"\
+"     {f=0}; \$ 0~/\(\).*\|/{f=1}; {printf f ? \"T \" : \"D \"};"\
+"     {split(\$ 0, a, /\||\r/); split(a[2], s)};"\
+"     s[1]~/^[@?]/{print s[1], s[1]; next};"\
+"     s[1]~prfx {split(s[1],t,\"@\"); print t[1], substr(t[1],length(prfx))}"\
+"     ' prfx=^$ac_symprfx"
+  else
+    lt_cv_sys_global_symbol_pipe="sed -n -e 's/^.*[	 ]\($symcode$symcode*\)[	 ][	 ]*$ac_symprfx$sympat$opt_cr$/$symxfrm/p'"
+  fi
+  lt_cv_sys_global_symbol_pipe="$lt_cv_sys_global_symbol_pipe | sed '/ __gnu_lto/d'"
+
+  # Check to see that the pipe works correctly.
+  pipe_works=no
+
+  rm -f conftest*
+  cat > conftest.$ac_ext <<_LT_EOF
+#ifdef __cplusplus
+extern "C" {
+#endif
+char nm_test_var;
+void nm_test_func(void);
+void nm_test_func(void){}
+#ifdef __cplusplus
+}
+#endif
+int main(){nm_test_var='a';nm_test_func();return(0);}
+_LT_EOF
+
+  if { { eval echo "\"\$as_me\":${as_lineno-$LINENO}: \"$ac_compile\""; } >&5
+  (eval $ac_compile) 2>&5
+  ac_status=$?
+  $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
+  test $ac_status = 0; }; then
+    # Now try to grab the symbols.
+    nlist=conftest.nm
+    if { { eval echo "\"\$as_me\":${as_lineno-$LINENO}: \"$NM conftest.$ac_objext \| "$lt_cv_sys_global_symbol_pipe" \> $nlist\""; } >&5
+  (eval $NM conftest.$ac_objext \| "$lt_cv_sys_global_symbol_pipe" \> $nlist) 2>&5
+  ac_status=$?
+  $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
+  test $ac_status = 0; } && test -s "$nlist"; then
+      # Try sorting and uniquifying the output.
+      if sort "$nlist" | uniq > "$nlist"T; then
+	mv -f "$nlist"T "$nlist"
+      else
+	rm -f "$nlist"T
+      fi
+
+      # Make sure that we snagged all the symbols we need.
+      if $GREP ' nm_test_var$' "$nlist" >/dev/null; then
+	if $GREP ' nm_test_func$' "$nlist" >/dev/null; then
+	  cat <<_LT_EOF > conftest.$ac_ext
+/* Keep this code in sync between libtool.m4, ltmain, lt_system.h, and tests.  */
+#if defined(_WIN32) || defined(__CYGWIN__) || defined(_WIN32_WCE)
+/* DATA imports from DLLs on WIN32 con't be const, because runtime
+   relocations are performed -- see ld's documentation on pseudo-relocs.  */
+# define LT_DLSYM_CONST
+#elif defined(__osf__)
+/* This system does not cope well with relocations in const data.  */
+# define LT_DLSYM_CONST
+#else
+# define LT_DLSYM_CONST const
+#endif
+
+#ifdef __cplusplus
+extern "C" {
+#endif
+
+_LT_EOF
+	  # Now generate the symbol file.
+	  eval "$lt_cv_sys_global_symbol_to_cdecl"' < "$nlist" | $GREP -v main >> conftest.$ac_ext'
+
+	  cat <<_LT_EOF >> conftest.$ac_ext
+
+/* The mapping between symbol names and symbols.  */
+LT_DLSYM_CONST struct {
+  const char *name;
+  void       *address;
+}
+lt__PROGRAM__LTX_preloaded_symbols[] =
+{
+  { "@PROGRAM@", (void *) 0 },
+_LT_EOF
+	  $SED "s/^$symcode$symcode* \(.*\) \(.*\)$/  {\"\2\", (void *) \&\2},/" < "$nlist" | $GREP -v main >> conftest.$ac_ext
+	  cat <<\_LT_EOF >> conftest.$ac_ext
+  {0, (void *) 0}
+};
+
+/* This works around a problem in FreeBSD linker */
+#ifdef FREEBSD_WORKAROUND
+static const void *lt_preloaded_setup() {
+  return lt__PROGRAM__LTX_preloaded_symbols;
+}
+#endif
+
+#ifdef __cplusplus
+}
+#endif
+_LT_EOF
+	  # Now try linking the two files.
+	  mv conftest.$ac_objext conftstm.$ac_objext
+	  lt_globsym_save_LIBS=$LIBS
+	  lt_globsym_save_CFLAGS=$CFLAGS
+	  LIBS="conftstm.$ac_objext"
+	  CFLAGS="$CFLAGS$lt_prog_compiler_no_builtin_flag"
+	  if { { eval echo "\"\$as_me\":${as_lineno-$LINENO}: \"$ac_link\""; } >&5
+  (eval $ac_link) 2>&5
+  ac_status=$?
+  $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
+  test $ac_status = 0; } && test -s conftest${ac_exeext}; then
+	    pipe_works=yes
+	  fi
+	  LIBS=$lt_globsym_save_LIBS
+	  CFLAGS=$lt_globsym_save_CFLAGS
+	else
+	  echo "cannot find nm_test_func in $nlist" >&5
+	fi
+      else
+	echo "cannot find nm_test_var in $nlist" >&5
+      fi
+    else
+      echo "cannot run $lt_cv_sys_global_symbol_pipe" >&5
+    fi
+  else
+    echo "$progname: failed program was:" >&5
+    cat conftest.$ac_ext >&5
+  fi
+  rm -rf conftest* conftst*
+
+  # Do not use the global_symbol_pipe unless it works.
+  if test "$pipe_works" = yes; then
+    break
+  else
+    lt_cv_sys_global_symbol_pipe=
+  fi
+done
+
+fi
+
+if test -z "$lt_cv_sys_global_symbol_pipe"; then
+  lt_cv_sys_global_symbol_to_cdecl=
+fi
+if test -z "$lt_cv_sys_global_symbol_pipe$lt_cv_sys_global_symbol_to_cdecl"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: failed" >&5
+$as_echo "failed" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: ok" >&5
+$as_echo "ok" >&6; }
+fi
+
+# Response file support.
+if test "$lt_cv_nm_interface" = "MS dumpbin"; then
+  nm_file_list_spec='@'
+elif $NM --help 2>/dev/null | grep '[@]FILE' >/dev/null; then
+  nm_file_list_spec='@'
+fi
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for sysroot" >&5
+$as_echo_n "checking for sysroot... " >&6; }
+
+# Check whether --with-sysroot was given.
+if test "${with_sysroot+set}" = set; then :
+  withval=$with_sysroot;
+else
+  with_sysroot=no
+fi
+
+
+lt_sysroot=
+case ${with_sysroot} in #(
+ yes)
+   if test "$GCC" = yes; then
+     lt_sysroot=`$CC --print-sysroot 2>/dev/null`
+   fi
+   ;; #(
+ /*)
+   lt_sysroot=`echo "$with_sysroot" | sed -e "$sed_quote_subst"`
+   ;; #(
+ no|'')
+   ;; #(
+ *)
+   { $as_echo "$as_me:${as_lineno-$LINENO}: result: ${with_sysroot}" >&5
+$as_echo "${with_sysroot}" >&6; }
+   as_fn_error $? "The sysroot must be an absolute path." "$LINENO" 5
+   ;;
+esac
+
+ { $as_echo "$as_me:${as_lineno-$LINENO}: result: ${lt_sysroot:-no}" >&5
+$as_echo "${lt_sysroot:-no}" >&6; }
+
+
+
+
+
+# Check whether --enable-libtool-lock was given.
+if test "${enable_libtool_lock+set}" = set; then :
+  enableval=$enable_libtool_lock;
+fi
+
+test "x$enable_libtool_lock" != xno && enable_libtool_lock=yes
+
+# Some flags need to be propagated to the compiler or linker for good
+# libtool support.
+case $host in
+ia64-*-hpux*)
+  # Find out which ABI we are using.
+  echo 'int i;' > conftest.$ac_ext
+  if { { eval echo "\"\$as_me\":${as_lineno-$LINENO}: \"$ac_compile\""; } >&5
+  (eval $ac_compile) 2>&5
+  ac_status=$?
+  $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
+  test $ac_status = 0; }; then
+    case `/usr/bin/file conftest.$ac_objext` in
+      *ELF-32*)
+	HPUX_IA64_MODE="32"
+	;;
+      *ELF-64*)
+	HPUX_IA64_MODE="64"
+	;;
+    esac
+  fi
+  rm -rf conftest*
+  ;;
+*-*-irix6*)
+  # Find out which ABI we are using.
+  echo '#line '$LINENO' "configure"' > conftest.$ac_ext
+  if { { eval echo "\"\$as_me\":${as_lineno-$LINENO}: \"$ac_compile\""; } >&5
+  (eval $ac_compile) 2>&5
+  ac_status=$?
+  $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
+  test $ac_status = 0; }; then
+    if test "$lt_cv_prog_gnu_ld" = yes; then
+      case `/usr/bin/file conftest.$ac_objext` in
+	*32-bit*)
+	  LD="${LD-ld} -melf32bsmip"
+	  ;;
+	*N32*)
+	  LD="${LD-ld} -melf32bmipn32"
+	  ;;
+	*64-bit*)
+	  LD="${LD-ld} -melf64bmip"
+	;;
+      esac
+    else
+      case `/usr/bin/file conftest.$ac_objext` in
+	*32-bit*)
+	  LD="${LD-ld} -32"
+	  ;;
+	*N32*)
+	  LD="${LD-ld} -n32"
+	  ;;
+	*64-bit*)
+	  LD="${LD-ld} -64"
+	  ;;
+      esac
+    fi
+  fi
+  rm -rf conftest*
+  ;;
+
+x86_64-*kfreebsd*-gnu|x86_64-*linux*|ppc*-*linux*|powerpc*-*linux*| \
+s390*-*linux*|s390*-*tpf*|sparc*-*linux*)
+  # Find out which ABI we are using.
+  echo 'int i;' > conftest.$ac_ext
+  if { { eval echo "\"\$as_me\":${as_lineno-$LINENO}: \"$ac_compile\""; } >&5
+  (eval $ac_compile) 2>&5
+  ac_status=$?
+  $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
+  test $ac_status = 0; }; then
+    case `/usr/bin/file conftest.o` in
+      *32-bit*)
+	case $host in
+	  x86_64-*kfreebsd*-gnu)
+	    LD="${LD-ld} -m elf_i386_fbsd"
+	    ;;
+	  x86_64-*linux*)
+	    LD="${LD-ld} -m elf_i386"
+	    ;;
+	  ppc64-*linux*|powerpc64-*linux*)
+	    LD="${LD-ld} -m elf32ppclinux"
+	    ;;
+	  s390x-*linux*)
+	    LD="${LD-ld} -m elf_s390"
+	    ;;
+	  sparc64-*linux*)
+	    LD="${LD-ld} -m elf32_sparc"
+	    ;;
+	esac
+	;;
+      *64-bit*)
+	case $host in
+	  x86_64-*kfreebsd*-gnu)
+	    LD="${LD-ld} -m elf_x86_64_fbsd"
+	    ;;
+	  x86_64-*linux*)
+	    LD="${LD-ld} -m elf_x86_64"
+	    ;;
+	  ppc*-*linux*|powerpc*-*linux*)
+	    LD="${LD-ld} -m elf64ppc"
+	    ;;
+	  s390*-*linux*|s390*-*tpf*)
+	    LD="${LD-ld} -m elf64_s390"
+	    ;;
+	  sparc*-*linux*)
+	    LD="${LD-ld} -m elf64_sparc"
+	    ;;
+	esac
+	;;
+    esac
+  fi
+  rm -rf conftest*
+  ;;
+
+*-*-sco3.2v5*)
+  # On SCO OpenServer 5, we need -belf to get full-featured binaries.
+  SAVE_CFLAGS="$CFLAGS"
+  CFLAGS="$CFLAGS -belf"
+  { $as_echo "$as_me:${as_lineno-$LINENO}: checking whether the C compiler needs -belf" >&5
+$as_echo_n "checking whether the C compiler needs -belf... " >&6; }
+if ${lt_cv_cc_needs_belf+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  ac_ext=c
+ac_cpp='$CPP $CPPFLAGS'
+ac_compile='$CC -c $CFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CC -o conftest$ac_exeext $CFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_c_compiler_gnu
+
+     cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+
+int
+main ()
+{
+
+  ;
+  return 0;
+}
+_ACEOF
+if ac_fn_c_try_link "$LINENO"; then :
+  lt_cv_cc_needs_belf=yes
+else
+  lt_cv_cc_needs_belf=no
+fi
+rm -f core conftest.err conftest.$ac_objext \
+    conftest$ac_exeext conftest.$ac_ext
+     ac_ext=c
+ac_cpp='$CPP $CPPFLAGS'
+ac_compile='$CC -c $CFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CC -o conftest$ac_exeext $CFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_c_compiler_gnu
+
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $lt_cv_cc_needs_belf" >&5
+$as_echo "$lt_cv_cc_needs_belf" >&6; }
+  if test x"$lt_cv_cc_needs_belf" != x"yes"; then
+    # this is probably gcc 2.8.0, egcs 1.0 or newer; no need for -belf
+    CFLAGS="$SAVE_CFLAGS"
+  fi
+  ;;
+*-*solaris*)
+  # Find out which ABI we are using.
+  echo 'int i;' > conftest.$ac_ext
+  if { { eval echo "\"\$as_me\":${as_lineno-$LINENO}: \"$ac_compile\""; } >&5
+  (eval $ac_compile) 2>&5
+  ac_status=$?
+  $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
+  test $ac_status = 0; }; then
+    case `/usr/bin/file conftest.o` in
+    *64-bit*)
+      case $lt_cv_prog_gnu_ld in
+      yes*)
+        case $host in
+        i?86-*-solaris*)
+          LD="${LD-ld} -m elf_x86_64"
+          ;;
+        sparc*-*-solaris*)
+          LD="${LD-ld} -m elf64_sparc"
+          ;;
+        esac
+        # GNU ld 2.21 introduced _sol2 emulations.  Use them if available.
+        if ${LD-ld} -V | grep _sol2 >/dev/null 2>&1; then
+          LD="${LD-ld}_sol2"
+        fi
+        ;;
+      *)
+	if ${LD-ld} -64 -r -o conftest2.o conftest.o >/dev/null 2>&1; then
+	  LD="${LD-ld} -64"
+	fi
+	;;
+      esac
+      ;;
+    esac
+  fi
+  rm -rf conftest*
+  ;;
+esac
+
+need_locks="$enable_libtool_lock"
+
+if test -n "$ac_tool_prefix"; then
+  # Extract the first word of "${ac_tool_prefix}mt", so it can be a program name with args.
+set dummy ${ac_tool_prefix}mt; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if ${ac_cv_prog_MANIFEST_TOOL+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$MANIFEST_TOOL"; then
+  ac_cv_prog_MANIFEST_TOOL="$MANIFEST_TOOL" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if as_fn_executable_p "$as_dir/$ac_word$ac_exec_ext"; then
+    ac_cv_prog_MANIFEST_TOOL="${ac_tool_prefix}mt"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+fi
+fi
+MANIFEST_TOOL=$ac_cv_prog_MANIFEST_TOOL
+if test -n "$MANIFEST_TOOL"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $MANIFEST_TOOL" >&5
+$as_echo "$MANIFEST_TOOL" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+fi
+if test -z "$ac_cv_prog_MANIFEST_TOOL"; then
+  ac_ct_MANIFEST_TOOL=$MANIFEST_TOOL
+  # Extract the first word of "mt", so it can be a program name with args.
+set dummy mt; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if ${ac_cv_prog_ac_ct_MANIFEST_TOOL+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$ac_ct_MANIFEST_TOOL"; then
+  ac_cv_prog_ac_ct_MANIFEST_TOOL="$ac_ct_MANIFEST_TOOL" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if as_fn_executable_p "$as_dir/$ac_word$ac_exec_ext"; then
+    ac_cv_prog_ac_ct_MANIFEST_TOOL="mt"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+fi
+fi
+ac_ct_MANIFEST_TOOL=$ac_cv_prog_ac_ct_MANIFEST_TOOL
+if test -n "$ac_ct_MANIFEST_TOOL"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_ct_MANIFEST_TOOL" >&5
+$as_echo "$ac_ct_MANIFEST_TOOL" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+  if test "x$ac_ct_MANIFEST_TOOL" = x; then
+    MANIFEST_TOOL=":"
+  else
+    case $cross_compiling:$ac_tool_warned in
+yes:)
+{ $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: using cross tools not prefixed with host triplet" >&5
+$as_echo "$as_me: WARNING: using cross tools not prefixed with host triplet" >&2;}
+ac_tool_warned=yes ;;
+esac
+    MANIFEST_TOOL=$ac_ct_MANIFEST_TOOL
+  fi
+else
+  MANIFEST_TOOL="$ac_cv_prog_MANIFEST_TOOL"
+fi
+
+test -z "$MANIFEST_TOOL" && MANIFEST_TOOL=mt
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking if $MANIFEST_TOOL is a manifest tool" >&5
+$as_echo_n "checking if $MANIFEST_TOOL is a manifest tool... " >&6; }
+if ${lt_cv_path_mainfest_tool+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  lt_cv_path_mainfest_tool=no
+  echo "$as_me:$LINENO: $MANIFEST_TOOL '-?'" >&5
+  $MANIFEST_TOOL '-?' 2>conftest.err > conftest.out
+  cat conftest.err >&5
+  if $GREP 'Manifest Tool' conftest.out > /dev/null; then
+    lt_cv_path_mainfest_tool=yes
+  fi
+  rm -f conftest*
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $lt_cv_path_mainfest_tool" >&5
+$as_echo "$lt_cv_path_mainfest_tool" >&6; }
+if test "x$lt_cv_path_mainfest_tool" != xyes; then
+  MANIFEST_TOOL=:
+fi
+
+
+
+
+
+
+  case $host_os in
+    rhapsody* | darwin*)
+    if test -n "$ac_tool_prefix"; then
+  # Extract the first word of "${ac_tool_prefix}dsymutil", so it can be a program name with args.
+set dummy ${ac_tool_prefix}dsymutil; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if ${ac_cv_prog_DSYMUTIL+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$DSYMUTIL"; then
+  ac_cv_prog_DSYMUTIL="$DSYMUTIL" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if as_fn_executable_p "$as_dir/$ac_word$ac_exec_ext"; then
+    ac_cv_prog_DSYMUTIL="${ac_tool_prefix}dsymutil"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+fi
+fi
+DSYMUTIL=$ac_cv_prog_DSYMUTIL
+if test -n "$DSYMUTIL"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $DSYMUTIL" >&5
+$as_echo "$DSYMUTIL" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+fi
+if test -z "$ac_cv_prog_DSYMUTIL"; then
+  ac_ct_DSYMUTIL=$DSYMUTIL
+  # Extract the first word of "dsymutil", so it can be a program name with args.
+set dummy dsymutil; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if ${ac_cv_prog_ac_ct_DSYMUTIL+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$ac_ct_DSYMUTIL"; then
+  ac_cv_prog_ac_ct_DSYMUTIL="$ac_ct_DSYMUTIL" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if as_fn_executable_p "$as_dir/$ac_word$ac_exec_ext"; then
+    ac_cv_prog_ac_ct_DSYMUTIL="dsymutil"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+fi
+fi
+ac_ct_DSYMUTIL=$ac_cv_prog_ac_ct_DSYMUTIL
+if test -n "$ac_ct_DSYMUTIL"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_ct_DSYMUTIL" >&5
+$as_echo "$ac_ct_DSYMUTIL" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+  if test "x$ac_ct_DSYMUTIL" = x; then
+    DSYMUTIL=":"
+  else
+    case $cross_compiling:$ac_tool_warned in
+yes:)
+{ $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: using cross tools not prefixed with host triplet" >&5
+$as_echo "$as_me: WARNING: using cross tools not prefixed with host triplet" >&2;}
+ac_tool_warned=yes ;;
+esac
+    DSYMUTIL=$ac_ct_DSYMUTIL
+  fi
+else
+  DSYMUTIL="$ac_cv_prog_DSYMUTIL"
+fi
+
+    if test -n "$ac_tool_prefix"; then
+  # Extract the first word of "${ac_tool_prefix}nmedit", so it can be a program name with args.
+set dummy ${ac_tool_prefix}nmedit; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if ${ac_cv_prog_NMEDIT+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$NMEDIT"; then
+  ac_cv_prog_NMEDIT="$NMEDIT" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if as_fn_executable_p "$as_dir/$ac_word$ac_exec_ext"; then
+    ac_cv_prog_NMEDIT="${ac_tool_prefix}nmedit"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+fi
+fi
+NMEDIT=$ac_cv_prog_NMEDIT
+if test -n "$NMEDIT"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $NMEDIT" >&5
+$as_echo "$NMEDIT" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+fi
+if test -z "$ac_cv_prog_NMEDIT"; then
+  ac_ct_NMEDIT=$NMEDIT
+  # Extract the first word of "nmedit", so it can be a program name with args.
+set dummy nmedit; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if ${ac_cv_prog_ac_ct_NMEDIT+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$ac_ct_NMEDIT"; then
+  ac_cv_prog_ac_ct_NMEDIT="$ac_ct_NMEDIT" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if as_fn_executable_p "$as_dir/$ac_word$ac_exec_ext"; then
+    ac_cv_prog_ac_ct_NMEDIT="nmedit"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+fi
+fi
+ac_ct_NMEDIT=$ac_cv_prog_ac_ct_NMEDIT
+if test -n "$ac_ct_NMEDIT"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_ct_NMEDIT" >&5
+$as_echo "$ac_ct_NMEDIT" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+  if test "x$ac_ct_NMEDIT" = x; then
+    NMEDIT=":"
+  else
+    case $cross_compiling:$ac_tool_warned in
+yes:)
+{ $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: using cross tools not prefixed with host triplet" >&5
+$as_echo "$as_me: WARNING: using cross tools not prefixed with host triplet" >&2;}
+ac_tool_warned=yes ;;
+esac
+    NMEDIT=$ac_ct_NMEDIT
+  fi
+else
+  NMEDIT="$ac_cv_prog_NMEDIT"
+fi
+
+    if test -n "$ac_tool_prefix"; then
+  # Extract the first word of "${ac_tool_prefix}lipo", so it can be a program name with args.
+set dummy ${ac_tool_prefix}lipo; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if ${ac_cv_prog_LIPO+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$LIPO"; then
+  ac_cv_prog_LIPO="$LIPO" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if as_fn_executable_p "$as_dir/$ac_word$ac_exec_ext"; then
+    ac_cv_prog_LIPO="${ac_tool_prefix}lipo"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+fi
+fi
+LIPO=$ac_cv_prog_LIPO
+if test -n "$LIPO"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $LIPO" >&5
+$as_echo "$LIPO" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+fi
+if test -z "$ac_cv_prog_LIPO"; then
+  ac_ct_LIPO=$LIPO
+  # Extract the first word of "lipo", so it can be a program name with args.
+set dummy lipo; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if ${ac_cv_prog_ac_ct_LIPO+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$ac_ct_LIPO"; then
+  ac_cv_prog_ac_ct_LIPO="$ac_ct_LIPO" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if as_fn_executable_p "$as_dir/$ac_word$ac_exec_ext"; then
+    ac_cv_prog_ac_ct_LIPO="lipo"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+fi
+fi
+ac_ct_LIPO=$ac_cv_prog_ac_ct_LIPO
+if test -n "$ac_ct_LIPO"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_ct_LIPO" >&5
+$as_echo "$ac_ct_LIPO" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+  if test "x$ac_ct_LIPO" = x; then
+    LIPO=":"
+  else
+    case $cross_compiling:$ac_tool_warned in
+yes:)
+{ $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: using cross tools not prefixed with host triplet" >&5
+$as_echo "$as_me: WARNING: using cross tools not prefixed with host triplet" >&2;}
+ac_tool_warned=yes ;;
+esac
+    LIPO=$ac_ct_LIPO
+  fi
+else
+  LIPO="$ac_cv_prog_LIPO"
+fi
+
+    if test -n "$ac_tool_prefix"; then
+  # Extract the first word of "${ac_tool_prefix}otool", so it can be a program name with args.
+set dummy ${ac_tool_prefix}otool; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if ${ac_cv_prog_OTOOL+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$OTOOL"; then
+  ac_cv_prog_OTOOL="$OTOOL" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if as_fn_executable_p "$as_dir/$ac_word$ac_exec_ext"; then
+    ac_cv_prog_OTOOL="${ac_tool_prefix}otool"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+fi
+fi
+OTOOL=$ac_cv_prog_OTOOL
+if test -n "$OTOOL"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $OTOOL" >&5
+$as_echo "$OTOOL" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+fi
+if test -z "$ac_cv_prog_OTOOL"; then
+  ac_ct_OTOOL=$OTOOL
+  # Extract the first word of "otool", so it can be a program name with args.
+set dummy otool; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if ${ac_cv_prog_ac_ct_OTOOL+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$ac_ct_OTOOL"; then
+  ac_cv_prog_ac_ct_OTOOL="$ac_ct_OTOOL" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if as_fn_executable_p "$as_dir/$ac_word$ac_exec_ext"; then
+    ac_cv_prog_ac_ct_OTOOL="otool"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+fi
+fi
+ac_ct_OTOOL=$ac_cv_prog_ac_ct_OTOOL
+if test -n "$ac_ct_OTOOL"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_ct_OTOOL" >&5
+$as_echo "$ac_ct_OTOOL" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+  if test "x$ac_ct_OTOOL" = x; then
+    OTOOL=":"
+  else
+    case $cross_compiling:$ac_tool_warned in
+yes:)
+{ $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: using cross tools not prefixed with host triplet" >&5
+$as_echo "$as_me: WARNING: using cross tools not prefixed with host triplet" >&2;}
+ac_tool_warned=yes ;;
+esac
+    OTOOL=$ac_ct_OTOOL
+  fi
+else
+  OTOOL="$ac_cv_prog_OTOOL"
+fi
+
+    if test -n "$ac_tool_prefix"; then
+  # Extract the first word of "${ac_tool_prefix}otool64", so it can be a program name with args.
+set dummy ${ac_tool_prefix}otool64; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if ${ac_cv_prog_OTOOL64+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$OTOOL64"; then
+  ac_cv_prog_OTOOL64="$OTOOL64" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if as_fn_executable_p "$as_dir/$ac_word$ac_exec_ext"; then
+    ac_cv_prog_OTOOL64="${ac_tool_prefix}otool64"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+fi
+fi
+OTOOL64=$ac_cv_prog_OTOOL64
+if test -n "$OTOOL64"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $OTOOL64" >&5
+$as_echo "$OTOOL64" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+fi
+if test -z "$ac_cv_prog_OTOOL64"; then
+  ac_ct_OTOOL64=$OTOOL64
+  # Extract the first word of "otool64", so it can be a program name with args.
+set dummy otool64; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if ${ac_cv_prog_ac_ct_OTOOL64+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$ac_ct_OTOOL64"; then
+  ac_cv_prog_ac_ct_OTOOL64="$ac_ct_OTOOL64" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if as_fn_executable_p "$as_dir/$ac_word$ac_exec_ext"; then
+    ac_cv_prog_ac_ct_OTOOL64="otool64"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+fi
+fi
+ac_ct_OTOOL64=$ac_cv_prog_ac_ct_OTOOL64
+if test -n "$ac_ct_OTOOL64"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_ct_OTOOL64" >&5
+$as_echo "$ac_ct_OTOOL64" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+  if test "x$ac_ct_OTOOL64" = x; then
+    OTOOL64=":"
+  else
+    case $cross_compiling:$ac_tool_warned in
+yes:)
+{ $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: using cross tools not prefixed with host triplet" >&5
+$as_echo "$as_me: WARNING: using cross tools not prefixed with host triplet" >&2;}
+ac_tool_warned=yes ;;
+esac
+    OTOOL64=$ac_ct_OTOOL64
+  fi
+else
+  OTOOL64="$ac_cv_prog_OTOOL64"
+fi
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+    { $as_echo "$as_me:${as_lineno-$LINENO}: checking for -single_module linker flag" >&5
+$as_echo_n "checking for -single_module linker flag... " >&6; }
+if ${lt_cv_apple_cc_single_mod+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  lt_cv_apple_cc_single_mod=no
+      if test -z "${LT_MULTI_MODULE}"; then
+	# By default we will add the -single_module flag. You can override
+	# by either setting the environment variable LT_MULTI_MODULE
+	# non-empty at configure time, or by adding -multi_module to the
+	# link flags.
+	rm -rf libconftest.dylib*
+	echo "int foo(void){return 1;}" > conftest.c
+	echo "$LTCC $LTCFLAGS $LDFLAGS -o libconftest.dylib \
+-dynamiclib -Wl,-single_module conftest.c" >&5
+	$LTCC $LTCFLAGS $LDFLAGS -o libconftest.dylib \
+	  -dynamiclib -Wl,-single_module conftest.c 2>conftest.err
+        _lt_result=$?
+	# If there is a non-empty error log, and "single_module"
+	# appears in it, assume the flag caused a linker warning
+        if test -s conftest.err && $GREP single_module conftest.err; then
+	  cat conftest.err >&5
+	# Otherwise, if the output was created with a 0 exit code from
+	# the compiler, it worked.
+	elif test -f libconftest.dylib && test $_lt_result -eq 0; then
+	  lt_cv_apple_cc_single_mod=yes
+	else
+	  cat conftest.err >&5
+	fi
+	rm -rf libconftest.dylib*
+	rm -f conftest.*
+      fi
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $lt_cv_apple_cc_single_mod" >&5
+$as_echo "$lt_cv_apple_cc_single_mod" >&6; }
+
+    { $as_echo "$as_me:${as_lineno-$LINENO}: checking for -exported_symbols_list linker flag" >&5
+$as_echo_n "checking for -exported_symbols_list linker flag... " >&6; }
+if ${lt_cv_ld_exported_symbols_list+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  lt_cv_ld_exported_symbols_list=no
+      save_LDFLAGS=$LDFLAGS
+      echo "_main" > conftest.sym
+      LDFLAGS="$LDFLAGS -Wl,-exported_symbols_list,conftest.sym"
+      cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+
+int
+main ()
+{
+
+  ;
+  return 0;
+}
+_ACEOF
+if ac_fn_c_try_link "$LINENO"; then :
+  lt_cv_ld_exported_symbols_list=yes
+else
+  lt_cv_ld_exported_symbols_list=no
+fi
+rm -f core conftest.err conftest.$ac_objext \
+    conftest$ac_exeext conftest.$ac_ext
+	LDFLAGS="$save_LDFLAGS"
+
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $lt_cv_ld_exported_symbols_list" >&5
+$as_echo "$lt_cv_ld_exported_symbols_list" >&6; }
+
+    { $as_echo "$as_me:${as_lineno-$LINENO}: checking for -force_load linker flag" >&5
+$as_echo_n "checking for -force_load linker flag... " >&6; }
+if ${lt_cv_ld_force_load+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  lt_cv_ld_force_load=no
+      cat > conftest.c << _LT_EOF
+int forced_loaded() { return 2;}
+_LT_EOF
+      echo "$LTCC $LTCFLAGS -c -o conftest.o conftest.c" >&5
+      $LTCC $LTCFLAGS -c -o conftest.o conftest.c 2>&5
+      echo "$AR cru libconftest.a conftest.o" >&5
+      $AR cru libconftest.a conftest.o 2>&5
+      echo "$RANLIB libconftest.a" >&5
+      $RANLIB libconftest.a 2>&5
+      cat > conftest.c << _LT_EOF
+int main() { return 0;}
+_LT_EOF
+      echo "$LTCC $LTCFLAGS $LDFLAGS -o conftest conftest.c -Wl,-force_load,./libconftest.a" >&5
+      $LTCC $LTCFLAGS $LDFLAGS -o conftest conftest.c -Wl,-force_load,./libconftest.a 2>conftest.err
+      _lt_result=$?
+      if test -s conftest.err && $GREP force_load conftest.err; then
+	cat conftest.err >&5
+      elif test -f conftest && test $_lt_result -eq 0 && $GREP forced_load conftest >/dev/null 2>&1 ; then
+	lt_cv_ld_force_load=yes
+      else
+	cat conftest.err >&5
+      fi
+        rm -f conftest.err libconftest.a conftest conftest.c
+        rm -rf conftest.dSYM
+
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $lt_cv_ld_force_load" >&5
+$as_echo "$lt_cv_ld_force_load" >&6; }
+    case $host_os in
+    rhapsody* | darwin1.[012])
+      _lt_dar_allow_undefined='${wl}-undefined ${wl}suppress' ;;
+    darwin1.*)
+      _lt_dar_allow_undefined='${wl}-flat_namespace ${wl}-undefined ${wl}suppress' ;;
+    darwin*) # darwin 5.x on
+      # if running on 10.5 or later, the deployment target defaults
+      # to the OS version, if on x86, and 10.4, the deployment
+      # target defaults to 10.4. Don't you love it?
+      case ${MACOSX_DEPLOYMENT_TARGET-10.0},$host in
+	10.0,*86*-darwin8*|10.0,*-darwin[91]*)
+	  _lt_dar_allow_undefined='${wl}-undefined ${wl}dynamic_lookup' ;;
+	10.[012]*)
+	  _lt_dar_allow_undefined='${wl}-flat_namespace ${wl}-undefined ${wl}suppress' ;;
+	10.*)
+	  _lt_dar_allow_undefined='${wl}-undefined ${wl}dynamic_lookup' ;;
+      esac
+    ;;
+  esac
+    if test "$lt_cv_apple_cc_single_mod" = "yes"; then
+      _lt_dar_single_mod='$single_module'
+    fi
+    if test "$lt_cv_ld_exported_symbols_list" = "yes"; then
+      _lt_dar_export_syms=' ${wl}-exported_symbols_list,$output_objdir/${libname}-symbols.expsym'
+    else
+      _lt_dar_export_syms='~$NMEDIT -s $output_objdir/${libname}-symbols.expsym ${lib}'
+    fi
+    if test "$DSYMUTIL" != ":" && test "$lt_cv_ld_force_load" = "no"; then
+      _lt_dsymutil='~$DSYMUTIL $lib || :'
+    else
+      _lt_dsymutil=
+    fi
+    ;;
+  esac
+
+ac_ext=c
+ac_cpp='$CPP $CPPFLAGS'
+ac_compile='$CC -c $CFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CC -o conftest$ac_exeext $CFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_c_compiler_gnu
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking how to run the C preprocessor" >&5
+$as_echo_n "checking how to run the C preprocessor... " >&6; }
+# On Suns, sometimes $CPP names a directory.
+if test -n "$CPP" && test -d "$CPP"; then
+  CPP=
+fi
+if test -z "$CPP"; then
+  if ${ac_cv_prog_CPP+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+      # Double quotes because CPP needs to be expanded
+    for CPP in "$CC -E" "$CC -E -traditional-cpp" "/lib/cpp"
+    do
+      ac_preproc_ok=false
+for ac_c_preproc_warn_flag in '' yes
+do
+  # Use a header file that comes with gcc, so configuring glibc
+  # with a fresh cross-compiler works.
+  # Prefer <limits.h> to <assert.h> if __STDC__ is defined, since
+  # <limits.h> exists even on freestanding compilers.
+  # On the NeXT, cc -E runs the code through the compiler's parser,
+  # not just through cpp. "Syntax error" is here to catch this case.
+  cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+#ifdef __STDC__
+# include <limits.h>
+#else
+# include <assert.h>
+#endif
+		     Syntax error
+_ACEOF
+if ac_fn_c_try_cpp "$LINENO"; then :
+
+else
+  # Broken: fails on valid input.
+continue
+fi
+rm -f conftest.err conftest.i conftest.$ac_ext
+
+  # OK, works on sane cases.  Now check whether nonexistent headers
+  # can be detected and how.
+  cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+#include <ac_nonexistent.h>
+_ACEOF
+if ac_fn_c_try_cpp "$LINENO"; then :
+  # Broken: success on invalid input.
+continue
+else
+  # Passes both tests.
+ac_preproc_ok=:
+break
+fi
+rm -f conftest.err conftest.i conftest.$ac_ext
+
+done
+# Because of `break', _AC_PREPROC_IFELSE's cleaning code was skipped.
+rm -f conftest.i conftest.err conftest.$ac_ext
+if $ac_preproc_ok; then :
+  break
+fi
+
+    done
+    ac_cv_prog_CPP=$CPP
+
+fi
+  CPP=$ac_cv_prog_CPP
+else
+  ac_cv_prog_CPP=$CPP
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $CPP" >&5
+$as_echo "$CPP" >&6; }
+ac_preproc_ok=false
+for ac_c_preproc_warn_flag in '' yes
+do
+  # Use a header file that comes with gcc, so configuring glibc
+  # with a fresh cross-compiler works.
+  # Prefer <limits.h> to <assert.h> if __STDC__ is defined, since
+  # <limits.h> exists even on freestanding compilers.
+  # On the NeXT, cc -E runs the code through the compiler's parser,
+  # not just through cpp. "Syntax error" is here to catch this case.
+  cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+#ifdef __STDC__
+# include <limits.h>
+#else
+# include <assert.h>
+#endif
+		     Syntax error
+_ACEOF
+if ac_fn_c_try_cpp "$LINENO"; then :
+
+else
+  # Broken: fails on valid input.
+continue
+fi
+rm -f conftest.err conftest.i conftest.$ac_ext
+
+  # OK, works on sane cases.  Now check whether nonexistent headers
+  # can be detected and how.
+  cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+#include <ac_nonexistent.h>
+_ACEOF
+if ac_fn_c_try_cpp "$LINENO"; then :
+  # Broken: success on invalid input.
+continue
+else
+  # Passes both tests.
+ac_preproc_ok=:
+break
+fi
+rm -f conftest.err conftest.i conftest.$ac_ext
+
+done
+# Because of `break', _AC_PREPROC_IFELSE's cleaning code was skipped.
+rm -f conftest.i conftest.err conftest.$ac_ext
+if $ac_preproc_ok; then :
+
+else
+  { { $as_echo "$as_me:${as_lineno-$LINENO}: error: in \`$ac_pwd':" >&5
+$as_echo "$as_me: error: in \`$ac_pwd':" >&2;}
+as_fn_error $? "C preprocessor \"$CPP\" fails sanity check
+See \`config.log' for more details" "$LINENO" 5; }
+fi
+
+ac_ext=c
+ac_cpp='$CPP $CPPFLAGS'
+ac_compile='$CC -c $CFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CC -o conftest$ac_exeext $CFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_c_compiler_gnu
+
+
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for ANSI C header files" >&5
+$as_echo_n "checking for ANSI C header files... " >&6; }
+if ${ac_cv_header_stdc+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+#include <stdlib.h>
+#include <stdarg.h>
+#include <string.h>
+#include <float.h>
+
+int
+main ()
+{
+
+  ;
+  return 0;
+}
+_ACEOF
+if ac_fn_c_try_compile "$LINENO"; then :
+  ac_cv_header_stdc=yes
+else
+  ac_cv_header_stdc=no
+fi
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+
+if test $ac_cv_header_stdc = yes; then
+  # SunOS 4.x string.h does not declare mem*, contrary to ANSI.
+  cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+#include <string.h>
+
+_ACEOF
+if (eval "$ac_cpp conftest.$ac_ext") 2>&5 |
+  $EGREP "memchr" >/dev/null 2>&1; then :
+
+else
+  ac_cv_header_stdc=no
+fi
+rm -f conftest*
+
+fi
+
+if test $ac_cv_header_stdc = yes; then
+  # ISC 2.0.2 stdlib.h does not declare free, contrary to ANSI.
+  cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+#include <stdlib.h>
+
+_ACEOF
+if (eval "$ac_cpp conftest.$ac_ext") 2>&5 |
+  $EGREP "free" >/dev/null 2>&1; then :
+
+else
+  ac_cv_header_stdc=no
+fi
+rm -f conftest*
+
+fi
+
+if test $ac_cv_header_stdc = yes; then
+  # /bin/cc in Irix-4.0.5 gets non-ANSI ctype macros unless using -ansi.
+  if test "$cross_compiling" = yes; then :
+  :
+else
+  cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+#include <ctype.h>
+#include <stdlib.h>
+#if ((' ' & 0x0FF) == 0x020)
+# define ISLOWER(c) ('a' <= (c) && (c) <= 'z')
+# define TOUPPER(c) (ISLOWER(c) ? 'A' + ((c) - 'a') : (c))
+#else
+# define ISLOWER(c) \
+		   (('a' <= (c) && (c) <= 'i') \
+		     || ('j' <= (c) && (c) <= 'r') \
+		     || ('s' <= (c) && (c) <= 'z'))
+# define TOUPPER(c) (ISLOWER(c) ? ((c) | 0x40) : (c))
+#endif
+
+#define XOR(e, f) (((e) && !(f)) || (!(e) && (f)))
+int
+main ()
+{
+  int i;
+  for (i = 0; i < 256; i++)
+    if (XOR (islower (i), ISLOWER (i))
+	|| toupper (i) != TOUPPER (i))
+      return 2;
+  return 0;
+}
+_ACEOF
+if ac_fn_c_try_run "$LINENO"; then :
+
+else
+  ac_cv_header_stdc=no
+fi
+rm -f core *.core core.conftest.* gmon.out bb.out conftest$ac_exeext \
+  conftest.$ac_objext conftest.beam conftest.$ac_ext
+fi
+
+fi
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_header_stdc" >&5
+$as_echo "$ac_cv_header_stdc" >&6; }
+if test $ac_cv_header_stdc = yes; then
+
+$as_echo "#define STDC_HEADERS 1" >>confdefs.h
+
+fi
+
+# On IRIX 5.3, sys/types and inttypes.h are conflicting.
+for ac_header in sys/types.h sys/stat.h stdlib.h string.h memory.h strings.h \
+		  inttypes.h stdint.h unistd.h
+do :
+  as_ac_Header=`$as_echo "ac_cv_header_$ac_header" | $as_tr_sh`
+ac_fn_c_check_header_compile "$LINENO" "$ac_header" "$as_ac_Header" "$ac_includes_default
+"
+if eval test \"x\$"$as_ac_Header"\" = x"yes"; then :
+  cat >>confdefs.h <<_ACEOF
+#define `$as_echo "HAVE_$ac_header" | $as_tr_cpp` 1
+_ACEOF
+
+fi
+
+done
+
+
+for ac_header in dlfcn.h
+do :
+  ac_fn_c_check_header_compile "$LINENO" "dlfcn.h" "ac_cv_header_dlfcn_h" "$ac_includes_default
+"
+if test "x$ac_cv_header_dlfcn_h" = xyes; then :
+  cat >>confdefs.h <<_ACEOF
+#define HAVE_DLFCN_H 1
+_ACEOF
+
+fi
+
+done
+
+
+
+
+
+# Set options
+
+
+
+        enable_dlopen=no
+
+
+  enable_win32_dll=no
+
+
+            # Check whether --enable-shared was given.
+if test "${enable_shared+set}" = set; then :
+  enableval=$enable_shared; p=${PACKAGE-default}
+    case $enableval in
+    yes) enable_shared=yes ;;
+    no) enable_shared=no ;;
+    *)
+      enable_shared=no
+      # Look at the argument we got.  We use all the common list separators.
+      lt_save_ifs="$IFS"; IFS="${IFS}$PATH_SEPARATOR,"
+      for pkg in $enableval; do
+	IFS="$lt_save_ifs"
+	if test "X$pkg" = "X$p"; then
+	  enable_shared=yes
+	fi
+      done
+      IFS="$lt_save_ifs"
+      ;;
+    esac
+else
+  enable_shared=yes
+fi
+
+
+
+
+
+
+
+
+
+  # Check whether --enable-static was given.
+if test "${enable_static+set}" = set; then :
+  enableval=$enable_static; p=${PACKAGE-default}
+    case $enableval in
+    yes) enable_static=yes ;;
+    no) enable_static=no ;;
+    *)
+     enable_static=no
+      # Look at the argument we got.  We use all the common list separators.
+      lt_save_ifs="$IFS"; IFS="${IFS}$PATH_SEPARATOR,"
+      for pkg in $enableval; do
+	IFS="$lt_save_ifs"
+	if test "X$pkg" = "X$p"; then
+	  enable_static=yes
+	fi
+      done
+      IFS="$lt_save_ifs"
+      ;;
+    esac
+else
+  enable_static=yes
+fi
+
+
+
+
+
+
+
+
+
+
+# Check whether --with-pic was given.
+if test "${with_pic+set}" = set; then :
+  withval=$with_pic; lt_p=${PACKAGE-default}
+    case $withval in
+    yes|no) pic_mode=$withval ;;
+    *)
+      pic_mode=default
+      # Look at the argument we got.  We use all the common list separators.
+      lt_save_ifs="$IFS"; IFS="${IFS}$PATH_SEPARATOR,"
+      for lt_pkg in $withval; do
+	IFS="$lt_save_ifs"
+	if test "X$lt_pkg" = "X$lt_p"; then
+	  pic_mode=yes
+	fi
+      done
+      IFS="$lt_save_ifs"
+      ;;
+    esac
+else
+  pic_mode=default
+fi
+
+
+test -z "$pic_mode" && pic_mode=default
+
+
+
+
+
+
+
+  # Check whether --enable-fast-install was given.
+if test "${enable_fast_install+set}" = set; then :
+  enableval=$enable_fast_install; p=${PACKAGE-default}
+    case $enableval in
+    yes) enable_fast_install=yes ;;
+    no) enable_fast_install=no ;;
+    *)
+      enable_fast_install=no
+      # Look at the argument we got.  We use all the common list separators.
+      lt_save_ifs="$IFS"; IFS="${IFS}$PATH_SEPARATOR,"
+      for pkg in $enableval; do
+	IFS="$lt_save_ifs"
+	if test "X$pkg" = "X$p"; then
+	  enable_fast_install=yes
+	fi
+      done
+      IFS="$lt_save_ifs"
+      ;;
+    esac
+else
+  enable_fast_install=yes
+fi
+
+
+
+
+
+
+
+
+
+
+
+# This can be used to rebuild libtool when needed
+LIBTOOL_DEPS="$ltmain"
+
+# Always use our own libtool.
+LIBTOOL='$(SHELL) $(top_builddir)/libtool'
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+test -z "$LN_S" && LN_S="ln -s"
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+if test -n "${ZSH_VERSION+set}" ; then
+   setopt NO_GLOB_SUBST
+fi
+
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for objdir" >&5
+$as_echo_n "checking for objdir... " >&6; }
+if ${lt_cv_objdir+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  rm -f .libs 2>/dev/null
+mkdir .libs 2>/dev/null
+if test -d .libs; then
+  lt_cv_objdir=.libs
+else
+  # MS-DOS does not allow filenames that begin with a dot.
+  lt_cv_objdir=_libs
+fi
+rmdir .libs 2>/dev/null
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $lt_cv_objdir" >&5
+$as_echo "$lt_cv_objdir" >&6; }
+objdir=$lt_cv_objdir
+
+
+
+
+
+cat >>confdefs.h <<_ACEOF
+#define LT_OBJDIR "$lt_cv_objdir/"
+_ACEOF
+
+
+
+
+case $host_os in
+aix3*)
+  # AIX sometimes has problems with the GCC collect2 program.  For some
+  # reason, if we set the COLLECT_NAMES environment variable, the problems
+  # vanish in a puff of smoke.
+  if test "X${COLLECT_NAMES+set}" != Xset; then
+    COLLECT_NAMES=
+    export COLLECT_NAMES
+  fi
+  ;;
+esac
+
+# Global variables:
+ofile=libtool
+can_build_shared=yes
+
+# All known linkers require a `.a' archive for static linking (except MSVC,
+# which needs '.lib').
+libext=a
+
+with_gnu_ld="$lt_cv_prog_gnu_ld"
+
+old_CC="$CC"
+old_CFLAGS="$CFLAGS"
+
+# Set sane defaults for various variables
+test -z "$CC" && CC=cc
+test -z "$LTCC" && LTCC=$CC
+test -z "$LTCFLAGS" && LTCFLAGS=$CFLAGS
+test -z "$LD" && LD=ld
+test -z "$ac_objext" && ac_objext=o
+
+for cc_temp in $compiler""; do
+  case $cc_temp in
+    compile | *[\\/]compile | ccache | *[\\/]ccache ) ;;
+    distcc | *[\\/]distcc | purify | *[\\/]purify ) ;;
+    \-*) ;;
+    *) break;;
+  esac
+done
+cc_basename=`$ECHO "$cc_temp" | $SED "s%.*/%%; s%^$host_alias-%%"`
+
+
+# Only perform the check for file, if the check method requires it
+test -z "$MAGIC_CMD" && MAGIC_CMD=file
+case $deplibs_check_method in
+file_magic*)
+  if test "$file_magic_cmd" = '$MAGIC_CMD'; then
+    { $as_echo "$as_me:${as_lineno-$LINENO}: checking for ${ac_tool_prefix}file" >&5
+$as_echo_n "checking for ${ac_tool_prefix}file... " >&6; }
+if ${lt_cv_path_MAGIC_CMD+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  case $MAGIC_CMD in
+[\\/*] |  ?:[\\/]*)
+  lt_cv_path_MAGIC_CMD="$MAGIC_CMD" # Let the user override the test with a path.
+  ;;
+*)
+  lt_save_MAGIC_CMD="$MAGIC_CMD"
+  lt_save_ifs="$IFS"; IFS=$PATH_SEPARATOR
+  ac_dummy="/usr/bin$PATH_SEPARATOR$PATH"
+  for ac_dir in $ac_dummy; do
+    IFS="$lt_save_ifs"
+    test -z "$ac_dir" && ac_dir=.
+    if test -f $ac_dir/${ac_tool_prefix}file; then
+      lt_cv_path_MAGIC_CMD="$ac_dir/${ac_tool_prefix}file"
+      if test -n "$file_magic_test_file"; then
+	case $deplibs_check_method in
+	"file_magic "*)
+	  file_magic_regex=`expr "$deplibs_check_method" : "file_magic \(.*\)"`
+	  MAGIC_CMD="$lt_cv_path_MAGIC_CMD"
+	  if eval $file_magic_cmd \$file_magic_test_file 2> /dev/null |
+	    $EGREP "$file_magic_regex" > /dev/null; then
+	    :
+	  else
+	    cat <<_LT_EOF 1>&2
+
+*** Warning: the command libtool uses to detect shared libraries,
+*** $file_magic_cmd, produces output that libtool cannot recognize.
+*** The result is that libtool may fail to recognize shared libraries
+*** as such.  This will affect the creation of libtool libraries that
+*** depend on shared libraries, but programs linked with such libtool
+*** libraries will work regardless of this problem.  Nevertheless, you
+*** may want to report the problem to your system manager and/or to
+*** bug-libtool at gnu.org
+
+_LT_EOF
+	  fi ;;
+	esac
+      fi
+      break
+    fi
+  done
+  IFS="$lt_save_ifs"
+  MAGIC_CMD="$lt_save_MAGIC_CMD"
+  ;;
+esac
+fi
+
+MAGIC_CMD="$lt_cv_path_MAGIC_CMD"
+if test -n "$MAGIC_CMD"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $MAGIC_CMD" >&5
+$as_echo "$MAGIC_CMD" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+
+
+
+if test -z "$lt_cv_path_MAGIC_CMD"; then
+  if test -n "$ac_tool_prefix"; then
+    { $as_echo "$as_me:${as_lineno-$LINENO}: checking for file" >&5
+$as_echo_n "checking for file... " >&6; }
+if ${lt_cv_path_MAGIC_CMD+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  case $MAGIC_CMD in
+[\\/*] |  ?:[\\/]*)
+  lt_cv_path_MAGIC_CMD="$MAGIC_CMD" # Let the user override the test with a path.
+  ;;
+*)
+  lt_save_MAGIC_CMD="$MAGIC_CMD"
+  lt_save_ifs="$IFS"; IFS=$PATH_SEPARATOR
+  ac_dummy="/usr/bin$PATH_SEPARATOR$PATH"
+  for ac_dir in $ac_dummy; do
+    IFS="$lt_save_ifs"
+    test -z "$ac_dir" && ac_dir=.
+    if test -f $ac_dir/file; then
+      lt_cv_path_MAGIC_CMD="$ac_dir/file"
+      if test -n "$file_magic_test_file"; then
+	case $deplibs_check_method in
+	"file_magic "*)
+	  file_magic_regex=`expr "$deplibs_check_method" : "file_magic \(.*\)"`
+	  MAGIC_CMD="$lt_cv_path_MAGIC_CMD"
+	  if eval $file_magic_cmd \$file_magic_test_file 2> /dev/null |
+	    $EGREP "$file_magic_regex" > /dev/null; then
+	    :
+	  else
+	    cat <<_LT_EOF 1>&2
+
+*** Warning: the command libtool uses to detect shared libraries,
+*** $file_magic_cmd, produces output that libtool cannot recognize.
+*** The result is that libtool may fail to recognize shared libraries
+*** as such.  This will affect the creation of libtool libraries that
+*** depend on shared libraries, but programs linked with such libtool
+*** libraries will work regardless of this problem.  Nevertheless, you
+*** may want to report the problem to your system manager and/or to
+*** bug-libtool at gnu.org
+
+_LT_EOF
+	  fi ;;
+	esac
+      fi
+      break
+    fi
+  done
+  IFS="$lt_save_ifs"
+  MAGIC_CMD="$lt_save_MAGIC_CMD"
+  ;;
+esac
+fi
+
+MAGIC_CMD="$lt_cv_path_MAGIC_CMD"
+if test -n "$MAGIC_CMD"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $MAGIC_CMD" >&5
+$as_echo "$MAGIC_CMD" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+  else
+    MAGIC_CMD=:
+  fi
+fi
+
+  fi
+  ;;
+esac
+
+# Use C for the default configuration in the libtool script
+
+lt_save_CC="$CC"
+ac_ext=c
+ac_cpp='$CPP $CPPFLAGS'
+ac_compile='$CC -c $CFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CC -o conftest$ac_exeext $CFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_c_compiler_gnu
+
+
+# Source file extension for C test sources.
+ac_ext=c
+
+# Object file extension for compiled C test sources.
+objext=o
+objext=$objext
+
+# Code to be used in simple compile tests
+lt_simple_compile_test_code="int some_variable = 0;"
+
+# Code to be used in simple link tests
+lt_simple_link_test_code='int main(){return(0);}'
+
+
+
+
+
+
+
+# If no C compiler was specified, use CC.
+LTCC=${LTCC-"$CC"}
+
+# If no C compiler flags were specified, use CFLAGS.
+LTCFLAGS=${LTCFLAGS-"$CFLAGS"}
+
+# Allow CC to be a program name with arguments.
+compiler=$CC
+
+# Save the default compiler, since it gets overwritten when the other
+# tags are being tested, and _LT_TAGVAR(compiler, []) is a NOP.
+compiler_DEFAULT=$CC
+
+# save warnings/boilerplate of simple test code
+ac_outfile=conftest.$ac_objext
+echo "$lt_simple_compile_test_code" >conftest.$ac_ext
+eval "$ac_compile" 2>&1 >/dev/null | $SED '/^$/d; /^ *+/d' >conftest.err
+_lt_compiler_boilerplate=`cat conftest.err`
+$RM conftest*
+
+ac_outfile=conftest.$ac_objext
+echo "$lt_simple_link_test_code" >conftest.$ac_ext
+eval "$ac_link" 2>&1 >/dev/null | $SED '/^$/d; /^ *+/d' >conftest.err
+_lt_linker_boilerplate=`cat conftest.err`
+$RM -r conftest*
+
+
+## CAVEAT EMPTOR:
+## There is no encapsulation within the following macros, do not change
+## the running order or otherwise move them around unless you know exactly
+## what you are doing...
+if test -n "$compiler"; then
+
+lt_prog_compiler_no_builtin_flag=
+
+if test "$GCC" = yes; then
+  case $cc_basename in
+  nvcc*)
+    lt_prog_compiler_no_builtin_flag=' -Xcompiler -fno-builtin' ;;
+  *)
+    lt_prog_compiler_no_builtin_flag=' -fno-builtin' ;;
+  esac
+
+  { $as_echo "$as_me:${as_lineno-$LINENO}: checking if $compiler supports -fno-rtti -fno-exceptions" >&5
+$as_echo_n "checking if $compiler supports -fno-rtti -fno-exceptions... " >&6; }
+if ${lt_cv_prog_compiler_rtti_exceptions+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  lt_cv_prog_compiler_rtti_exceptions=no
+   ac_outfile=conftest.$ac_objext
+   echo "$lt_simple_compile_test_code" > conftest.$ac_ext
+   lt_compiler_flag="-fno-rtti -fno-exceptions"
+   # Insert the option either (1) after the last *FLAGS variable, or
+   # (2) before a word containing "conftest.", or (3) at the end.
+   # Note that $ac_compile itself does not contain backslashes and begins
+   # with a dollar sign (not a hyphen), so the echo should work correctly.
+   # The option is referenced via a variable to avoid confusing sed.
+   lt_compile=`echo "$ac_compile" | $SED \
+   -e 's:.*FLAGS}\{0,1\} :&$lt_compiler_flag :; t' \
+   -e 's: [^ ]*conftest\.: $lt_compiler_flag&:; t' \
+   -e 's:$: $lt_compiler_flag:'`
+   (eval echo "\"\$as_me:$LINENO: $lt_compile\"" >&5)
+   (eval "$lt_compile" 2>conftest.err)
+   ac_status=$?
+   cat conftest.err >&5
+   echo "$as_me:$LINENO: \$? = $ac_status" >&5
+   if (exit $ac_status) && test -s "$ac_outfile"; then
+     # The compiler can only warn and ignore the option if not recognized
+     # So say no if there are warnings other than the usual output.
+     $ECHO "$_lt_compiler_boilerplate" | $SED '/^$/d' >conftest.exp
+     $SED '/^$/d; /^ *+/d' conftest.err >conftest.er2
+     if test ! -s conftest.er2 || diff conftest.exp conftest.er2 >/dev/null; then
+       lt_cv_prog_compiler_rtti_exceptions=yes
+     fi
+   fi
+   $RM conftest*
+
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $lt_cv_prog_compiler_rtti_exceptions" >&5
+$as_echo "$lt_cv_prog_compiler_rtti_exceptions" >&6; }
+
+if test x"$lt_cv_prog_compiler_rtti_exceptions" = xyes; then
+    lt_prog_compiler_no_builtin_flag="$lt_prog_compiler_no_builtin_flag -fno-rtti -fno-exceptions"
+else
+    :
+fi
+
+fi
+
+
+
+
+
+
+  lt_prog_compiler_wl=
+lt_prog_compiler_pic=
+lt_prog_compiler_static=
+
+
+  if test "$GCC" = yes; then
+    lt_prog_compiler_wl='-Wl,'
+    lt_prog_compiler_static='-static'
+
+    case $host_os in
+      aix*)
+      # All AIX code is PIC.
+      if test "$host_cpu" = ia64; then
+	# AIX 5 now supports IA64 processor
+	lt_prog_compiler_static='-Bstatic'
+      fi
+      ;;
+
+    amigaos*)
+      case $host_cpu in
+      powerpc)
+            # see comment about AmigaOS4 .so support
+            lt_prog_compiler_pic='-fPIC'
+        ;;
+      m68k)
+            # FIXME: we need at least 68020 code to build shared libraries, but
+            # adding the `-m68020' flag to GCC prevents building anything better,
+            # like `-m68040'.
+            lt_prog_compiler_pic='-m68020 -resident32 -malways-restore-a4'
+        ;;
+      esac
+      ;;
+
+    beos* | irix5* | irix6* | nonstopux* | osf3* | osf4* | osf5*)
+      # PIC is the default for these OSes.
+      ;;
+
+    mingw* | cygwin* | pw32* | os2* | cegcc*)
+      # This hack is so that the source file can tell whether it is being
+      # built for inclusion in a dll (and should export symbols for example).
+      # Although the cygwin gcc ignores -fPIC, still need this for old-style
+      # (--disable-auto-import) libraries
+      lt_prog_compiler_pic='-DDLL_EXPORT'
+      ;;
+
+    darwin* | rhapsody*)
+      # PIC is the default on this platform
+      # Common symbols not allowed in MH_DYLIB files
+      lt_prog_compiler_pic='-fno-common'
+      ;;
+
+    haiku*)
+      # PIC is the default for Haiku.
+      # The "-static" flag exists, but is broken.
+      lt_prog_compiler_static=
+      ;;
+
+    hpux*)
+      # PIC is the default for 64-bit PA HP-UX, but not for 32-bit
+      # PA HP-UX.  On IA64 HP-UX, PIC is the default but the pic flag
+      # sets the default TLS model and affects inlining.
+      case $host_cpu in
+      hppa*64*)
+	# +Z the default
+	;;
+      *)
+	lt_prog_compiler_pic='-fPIC'
+	;;
+      esac
+      ;;
+
+    interix[3-9]*)
+      # Interix 3.x gcc -fpic/-fPIC options generate broken code.
+      # Instead, we relocate shared libraries at runtime.
+      ;;
+
+    msdosdjgpp*)
+      # Just because we use GCC doesn't mean we suddenly get shared libraries
+      # on systems that don't support them.
+      lt_prog_compiler_can_build_shared=no
+      enable_shared=no
+      ;;
+
+    *nto* | *qnx*)
+      # QNX uses GNU C++, but need to define -shared option too, otherwise
+      # it will coredump.
+      lt_prog_compiler_pic='-fPIC -shared'
+      ;;
+
+    sysv4*MP*)
+      if test -d /usr/nec; then
+	lt_prog_compiler_pic=-Kconform_pic
+      fi
+      ;;
+
+    *)
+      lt_prog_compiler_pic='-fPIC'
+      ;;
+    esac
+
+    case $cc_basename in
+    nvcc*) # Cuda Compiler Driver 2.2
+      lt_prog_compiler_wl='-Xlinker '
+      if test -n "$lt_prog_compiler_pic"; then
+        lt_prog_compiler_pic="-Xcompiler $lt_prog_compiler_pic"
+      fi
+      ;;
+    esac
+  else
+    # PORTME Check for flag to pass linker flags through the system compiler.
+    case $host_os in
+    aix*)
+      lt_prog_compiler_wl='-Wl,'
+      if test "$host_cpu" = ia64; then
+	# AIX 5 now supports IA64 processor
+	lt_prog_compiler_static='-Bstatic'
+      else
+	lt_prog_compiler_static='-bnso -bI:/lib/syscalls.exp'
+      fi
+      ;;
+
+    mingw* | cygwin* | pw32* | os2* | cegcc*)
+      # This hack is so that the source file can tell whether it is being
+      # built for inclusion in a dll (and should export symbols for example).
+      lt_prog_compiler_pic='-DDLL_EXPORT'
+      ;;
+
+    hpux9* | hpux10* | hpux11*)
+      lt_prog_compiler_wl='-Wl,'
+      # PIC is the default for IA64 HP-UX and 64-bit HP-UX, but
+      # not for PA HP-UX.
+      case $host_cpu in
+      hppa*64*|ia64*)
+	# +Z the default
+	;;
+      *)
+	lt_prog_compiler_pic='+Z'
+	;;
+      esac
+      # Is there a better lt_prog_compiler_static that works with the bundled CC?
+      lt_prog_compiler_static='${wl}-a ${wl}archive'
+      ;;
+
+    irix5* | irix6* | nonstopux*)
+      lt_prog_compiler_wl='-Wl,'
+      # PIC (with -KPIC) is the default.
+      lt_prog_compiler_static='-non_shared'
+      ;;
+
+    linux* | k*bsd*-gnu | kopensolaris*-gnu | gnu*)
+      case $cc_basename in
+      # old Intel for x86_64 which still supported -KPIC.
+      ecc*)
+	lt_prog_compiler_wl='-Wl,'
+	lt_prog_compiler_pic='-KPIC'
+	lt_prog_compiler_static='-static'
+        ;;
+      # icc used to be incompatible with GCC.
+      # ICC 10 doesn't accept -KPIC any more.
+      icc* | ifort*)
+	lt_prog_compiler_wl='-Wl,'
+	lt_prog_compiler_pic='-fPIC'
+	lt_prog_compiler_static='-static'
+        ;;
+      # Lahey Fortran 8.1.
+      lf95*)
+	lt_prog_compiler_wl='-Wl,'
+	lt_prog_compiler_pic='--shared'
+	lt_prog_compiler_static='--static'
+	;;
+      nagfor*)
+	# NAG Fortran compiler
+	lt_prog_compiler_wl='-Wl,-Wl,,'
+	lt_prog_compiler_pic='-PIC'
+	lt_prog_compiler_static='-Bstatic'
+	;;
+      pgcc* | pgf77* | pgf90* | pgf95* | pgfortran*)
+        # Portland Group compilers (*not* the Pentium gcc compiler,
+	# which looks to be a dead project)
+	lt_prog_compiler_wl='-Wl,'
+	lt_prog_compiler_pic='-fpic'
+	lt_prog_compiler_static='-Bstatic'
+        ;;
+      ccc*)
+        lt_prog_compiler_wl='-Wl,'
+        # All Alpha code is PIC.
+        lt_prog_compiler_static='-non_shared'
+        ;;
+      xl* | bgxl* | bgf* | mpixl*)
+	# IBM XL C 8.0/Fortran 10.1, 11.1 on PPC and BlueGene
+	lt_prog_compiler_wl='-Wl,'
+	lt_prog_compiler_pic='-qpic'
+	lt_prog_compiler_static='-qstaticlink'
+	;;
+      *)
+	case `$CC -V 2>&1 | sed 5q` in
+	*Sun\ Ceres\ Fortran* | *Sun*Fortran*\ [1-7].* | *Sun*Fortran*\ 8.[0-3]*)
+	  # Sun Fortran 8.3 passes all unrecognized flags to the linker
+	  lt_prog_compiler_pic='-KPIC'
+	  lt_prog_compiler_static='-Bstatic'
+	  lt_prog_compiler_wl=''
+	  ;;
+	*Sun\ F* | *Sun*Fortran*)
+	  lt_prog_compiler_pic='-KPIC'
+	  lt_prog_compiler_static='-Bstatic'
+	  lt_prog_compiler_wl='-Qoption ld '
+	  ;;
+	*Sun\ C*)
+	  # Sun C 5.9
+	  lt_prog_compiler_pic='-KPIC'
+	  lt_prog_compiler_static='-Bstatic'
+	  lt_prog_compiler_wl='-Wl,'
+	  ;;
+        *Intel*\ [CF]*Compiler*)
+	  lt_prog_compiler_wl='-Wl,'
+	  lt_prog_compiler_pic='-fPIC'
+	  lt_prog_compiler_static='-static'
+	  ;;
+	*Portland\ Group*)
+	  lt_prog_compiler_wl='-Wl,'
+	  lt_prog_compiler_pic='-fpic'
+	  lt_prog_compiler_static='-Bstatic'
+	  ;;
+	esac
+	;;
+      esac
+      ;;
+
+    newsos6)
+      lt_prog_compiler_pic='-KPIC'
+      lt_prog_compiler_static='-Bstatic'
+      ;;
+
+    *nto* | *qnx*)
+      # QNX uses GNU C++, but need to define -shared option too, otherwise
+      # it will coredump.
+      lt_prog_compiler_pic='-fPIC -shared'
+      ;;
+
+    osf3* | osf4* | osf5*)
+      lt_prog_compiler_wl='-Wl,'
+      # All OSF/1 code is PIC.
+      lt_prog_compiler_static='-non_shared'
+      ;;
+
+    rdos*)
+      lt_prog_compiler_static='-non_shared'
+      ;;
+
+    solaris*)
+      lt_prog_compiler_pic='-KPIC'
+      lt_prog_compiler_static='-Bstatic'
+      case $cc_basename in
+      f77* | f90* | f95* | sunf77* | sunf90* | sunf95*)
+	lt_prog_compiler_wl='-Qoption ld ';;
+      *)
+	lt_prog_compiler_wl='-Wl,';;
+      esac
+      ;;
+
+    sunos4*)
+      lt_prog_compiler_wl='-Qoption ld '
+      lt_prog_compiler_pic='-PIC'
+      lt_prog_compiler_static='-Bstatic'
+      ;;
+
+    sysv4 | sysv4.2uw2* | sysv4.3*)
+      lt_prog_compiler_wl='-Wl,'
+      lt_prog_compiler_pic='-KPIC'
+      lt_prog_compiler_static='-Bstatic'
+      ;;
+
+    sysv4*MP*)
+      if test -d /usr/nec ;then
+	lt_prog_compiler_pic='-Kconform_pic'
+	lt_prog_compiler_static='-Bstatic'
+      fi
+      ;;
+
+    sysv5* | unixware* | sco3.2v5* | sco5v6* | OpenUNIX*)
+      lt_prog_compiler_wl='-Wl,'
+      lt_prog_compiler_pic='-KPIC'
+      lt_prog_compiler_static='-Bstatic'
+      ;;
+
+    unicos*)
+      lt_prog_compiler_wl='-Wl,'
+      lt_prog_compiler_can_build_shared=no
+      ;;
+
+    uts4*)
+      lt_prog_compiler_pic='-pic'
+      lt_prog_compiler_static='-Bstatic'
+      ;;
+
+    *)
+      lt_prog_compiler_can_build_shared=no
+      ;;
+    esac
+  fi
+
+case $host_os in
+  # For platforms which do not support PIC, -DPIC is meaningless:
+  *djgpp*)
+    lt_prog_compiler_pic=
+    ;;
+  *)
+    lt_prog_compiler_pic="$lt_prog_compiler_pic -DPIC"
+    ;;
+esac
+
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $compiler option to produce PIC" >&5
+$as_echo_n "checking for $compiler option to produce PIC... " >&6; }
+if ${lt_cv_prog_compiler_pic+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  lt_cv_prog_compiler_pic=$lt_prog_compiler_pic
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $lt_cv_prog_compiler_pic" >&5
+$as_echo "$lt_cv_prog_compiler_pic" >&6; }
+lt_prog_compiler_pic=$lt_cv_prog_compiler_pic
+
+#
+# Check to make sure the PIC flag actually works.
+#
+if test -n "$lt_prog_compiler_pic"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: checking if $compiler PIC flag $lt_prog_compiler_pic works" >&5
+$as_echo_n "checking if $compiler PIC flag $lt_prog_compiler_pic works... " >&6; }
+if ${lt_cv_prog_compiler_pic_works+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  lt_cv_prog_compiler_pic_works=no
+   ac_outfile=conftest.$ac_objext
+   echo "$lt_simple_compile_test_code" > conftest.$ac_ext
+   lt_compiler_flag="$lt_prog_compiler_pic -DPIC"
+   # Insert the option either (1) after the last *FLAGS variable, or
+   # (2) before a word containing "conftest.", or (3) at the end.
+   # Note that $ac_compile itself does not contain backslashes and begins
+   # with a dollar sign (not a hyphen), so the echo should work correctly.
+   # The option is referenced via a variable to avoid confusing sed.
+   lt_compile=`echo "$ac_compile" | $SED \
+   -e 's:.*FLAGS}\{0,1\} :&$lt_compiler_flag :; t' \
+   -e 's: [^ ]*conftest\.: $lt_compiler_flag&:; t' \
+   -e 's:$: $lt_compiler_flag:'`
+   (eval echo "\"\$as_me:$LINENO: $lt_compile\"" >&5)
+   (eval "$lt_compile" 2>conftest.err)
+   ac_status=$?
+   cat conftest.err >&5
+   echo "$as_me:$LINENO: \$? = $ac_status" >&5
+   if (exit $ac_status) && test -s "$ac_outfile"; then
+     # The compiler can only warn and ignore the option if not recognized
+     # So say no if there are warnings other than the usual output.
+     $ECHO "$_lt_compiler_boilerplate" | $SED '/^$/d' >conftest.exp
+     $SED '/^$/d; /^ *+/d' conftest.err >conftest.er2
+     if test ! -s conftest.er2 || diff conftest.exp conftest.er2 >/dev/null; then
+       lt_cv_prog_compiler_pic_works=yes
+     fi
+   fi
+   $RM conftest*
+
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $lt_cv_prog_compiler_pic_works" >&5
+$as_echo "$lt_cv_prog_compiler_pic_works" >&6; }
+
+if test x"$lt_cv_prog_compiler_pic_works" = xyes; then
+    case $lt_prog_compiler_pic in
+     "" | " "*) ;;
+     *) lt_prog_compiler_pic=" $lt_prog_compiler_pic" ;;
+     esac
+else
+    lt_prog_compiler_pic=
+     lt_prog_compiler_can_build_shared=no
+fi
+
+fi
+
+
+
+
+
+
+
+
+
+
+
+#
+# Check to make sure the static flag actually works.
+#
+wl=$lt_prog_compiler_wl eval lt_tmp_static_flag=\"$lt_prog_compiler_static\"
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking if $compiler static flag $lt_tmp_static_flag works" >&5
+$as_echo_n "checking if $compiler static flag $lt_tmp_static_flag works... " >&6; }
+if ${lt_cv_prog_compiler_static_works+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  lt_cv_prog_compiler_static_works=no
+   save_LDFLAGS="$LDFLAGS"
+   LDFLAGS="$LDFLAGS $lt_tmp_static_flag"
+   echo "$lt_simple_link_test_code" > conftest.$ac_ext
+   if (eval $ac_link 2>conftest.err) && test -s conftest$ac_exeext; then
+     # The linker can only warn and ignore the option if not recognized
+     # So say no if there are warnings
+     if test -s conftest.err; then
+       # Append any errors to the config.log.
+       cat conftest.err 1>&5
+       $ECHO "$_lt_linker_boilerplate" | $SED '/^$/d' > conftest.exp
+       $SED '/^$/d; /^ *+/d' conftest.err >conftest.er2
+       if diff conftest.exp conftest.er2 >/dev/null; then
+         lt_cv_prog_compiler_static_works=yes
+       fi
+     else
+       lt_cv_prog_compiler_static_works=yes
+     fi
+   fi
+   $RM -r conftest*
+   LDFLAGS="$save_LDFLAGS"
+
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $lt_cv_prog_compiler_static_works" >&5
+$as_echo "$lt_cv_prog_compiler_static_works" >&6; }
+
+if test x"$lt_cv_prog_compiler_static_works" = xyes; then
+    :
+else
+    lt_prog_compiler_static=
+fi
+
+
+
+
+
+
+
+  { $as_echo "$as_me:${as_lineno-$LINENO}: checking if $compiler supports -c -o file.$ac_objext" >&5
+$as_echo_n "checking if $compiler supports -c -o file.$ac_objext... " >&6; }
+if ${lt_cv_prog_compiler_c_o+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  lt_cv_prog_compiler_c_o=no
+   $RM -r conftest 2>/dev/null
+   mkdir conftest
+   cd conftest
+   mkdir out
+   echo "$lt_simple_compile_test_code" > conftest.$ac_ext
+
+   lt_compiler_flag="-o out/conftest2.$ac_objext"
+   # Insert the option either (1) after the last *FLAGS variable, or
+   # (2) before a word containing "conftest.", or (3) at the end.
+   # Note that $ac_compile itself does not contain backslashes and begins
+   # with a dollar sign (not a hyphen), so the echo should work correctly.
+   lt_compile=`echo "$ac_compile" | $SED \
+   -e 's:.*FLAGS}\{0,1\} :&$lt_compiler_flag :; t' \
+   -e 's: [^ ]*conftest\.: $lt_compiler_flag&:; t' \
+   -e 's:$: $lt_compiler_flag:'`
+   (eval echo "\"\$as_me:$LINENO: $lt_compile\"" >&5)
+   (eval "$lt_compile" 2>out/conftest.err)
+   ac_status=$?
+   cat out/conftest.err >&5
+   echo "$as_me:$LINENO: \$? = $ac_status" >&5
+   if (exit $ac_status) && test -s out/conftest2.$ac_objext
+   then
+     # The compiler can only warn and ignore the option if not recognized
+     # So say no if there are warnings
+     $ECHO "$_lt_compiler_boilerplate" | $SED '/^$/d' > out/conftest.exp
+     $SED '/^$/d; /^ *+/d' out/conftest.err >out/conftest.er2
+     if test ! -s out/conftest.er2 || diff out/conftest.exp out/conftest.er2 >/dev/null; then
+       lt_cv_prog_compiler_c_o=yes
+     fi
+   fi
+   chmod u+w . 2>&5
+   $RM conftest*
+   # SGI C++ compiler will create directory out/ii_files/ for
+   # template instantiation
+   test -d out/ii_files && $RM out/ii_files/* && rmdir out/ii_files
+   $RM out/* && rmdir out
+   cd ..
+   $RM -r conftest
+   $RM conftest*
+
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $lt_cv_prog_compiler_c_o" >&5
+$as_echo "$lt_cv_prog_compiler_c_o" >&6; }
+
+
+
+
+
+
+  { $as_echo "$as_me:${as_lineno-$LINENO}: checking if $compiler supports -c -o file.$ac_objext" >&5
+$as_echo_n "checking if $compiler supports -c -o file.$ac_objext... " >&6; }
+if ${lt_cv_prog_compiler_c_o+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  lt_cv_prog_compiler_c_o=no
+   $RM -r conftest 2>/dev/null
+   mkdir conftest
+   cd conftest
+   mkdir out
+   echo "$lt_simple_compile_test_code" > conftest.$ac_ext
+
+   lt_compiler_flag="-o out/conftest2.$ac_objext"
+   # Insert the option either (1) after the last *FLAGS variable, or
+   # (2) before a word containing "conftest.", or (3) at the end.
+   # Note that $ac_compile itself does not contain backslashes and begins
+   # with a dollar sign (not a hyphen), so the echo should work correctly.
+   lt_compile=`echo "$ac_compile" | $SED \
+   -e 's:.*FLAGS}\{0,1\} :&$lt_compiler_flag :; t' \
+   -e 's: [^ ]*conftest\.: $lt_compiler_flag&:; t' \
+   -e 's:$: $lt_compiler_flag:'`
+   (eval echo "\"\$as_me:$LINENO: $lt_compile\"" >&5)
+   (eval "$lt_compile" 2>out/conftest.err)
+   ac_status=$?
+   cat out/conftest.err >&5
+   echo "$as_me:$LINENO: \$? = $ac_status" >&5
+   if (exit $ac_status) && test -s out/conftest2.$ac_objext
+   then
+     # The compiler can only warn and ignore the option if not recognized
+     # So say no if there are warnings
+     $ECHO "$_lt_compiler_boilerplate" | $SED '/^$/d' > out/conftest.exp
+     $SED '/^$/d; /^ *+/d' out/conftest.err >out/conftest.er2
+     if test ! -s out/conftest.er2 || diff out/conftest.exp out/conftest.er2 >/dev/null; then
+       lt_cv_prog_compiler_c_o=yes
+     fi
+   fi
+   chmod u+w . 2>&5
+   $RM conftest*
+   # SGI C++ compiler will create directory out/ii_files/ for
+   # template instantiation
+   test -d out/ii_files && $RM out/ii_files/* && rmdir out/ii_files
+   $RM out/* && rmdir out
+   cd ..
+   $RM -r conftest
+   $RM conftest*
+
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $lt_cv_prog_compiler_c_o" >&5
+$as_echo "$lt_cv_prog_compiler_c_o" >&6; }
+
+
+
+
+hard_links="nottested"
+if test "$lt_cv_prog_compiler_c_o" = no && test "$need_locks" != no; then
+  # do not overwrite the value of need_locks provided by the user
+  { $as_echo "$as_me:${as_lineno-$LINENO}: checking if we can lock with hard links" >&5
+$as_echo_n "checking if we can lock with hard links... " >&6; }
+  hard_links=yes
+  $RM conftest*
+  ln conftest.a conftest.b 2>/dev/null && hard_links=no
+  touch conftest.a
+  ln conftest.a conftest.b 2>&5 || hard_links=no
+  ln conftest.a conftest.b 2>/dev/null && hard_links=no
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $hard_links" >&5
+$as_echo "$hard_links" >&6; }
+  if test "$hard_links" = no; then
+    { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: \`$CC' does not support \`-c -o', so \`make -j' may be unsafe" >&5
+$as_echo "$as_me: WARNING: \`$CC' does not support \`-c -o', so \`make -j' may be unsafe" >&2;}
+    need_locks=warn
+  fi
+else
+  need_locks=no
+fi
+
+
+
+
+
+
+  { $as_echo "$as_me:${as_lineno-$LINENO}: checking whether the $compiler linker ($LD) supports shared libraries" >&5
+$as_echo_n "checking whether the $compiler linker ($LD) supports shared libraries... " >&6; }
+
+  runpath_var=
+  allow_undefined_flag=
+  always_export_symbols=no
+  archive_cmds=
+  archive_expsym_cmds=
+  compiler_needs_object=no
+  enable_shared_with_static_runtimes=no
+  export_dynamic_flag_spec=
+  export_symbols_cmds='$NM $libobjs $convenience | $global_symbol_pipe | $SED '\''s/.* //'\'' | sort | uniq > $export_symbols'
+  hardcode_automatic=no
+  hardcode_direct=no
+  hardcode_direct_absolute=no
+  hardcode_libdir_flag_spec=
+  hardcode_libdir_separator=
+  hardcode_minus_L=no
+  hardcode_shlibpath_var=unsupported
+  inherit_rpath=no
+  link_all_deplibs=unknown
+  module_cmds=
+  module_expsym_cmds=
+  old_archive_from_new_cmds=
+  old_archive_from_expsyms_cmds=
+  thread_safe_flag_spec=
+  whole_archive_flag_spec=
+  # include_expsyms should be a list of space-separated symbols to be *always*
+  # included in the symbol list
+  include_expsyms=
+  # exclude_expsyms can be an extended regexp of symbols to exclude
+  # it will be wrapped by ` (' and `)$', so one must not match beginning or
+  # end of line.  Example: `a|bc|.*d.*' will exclude the symbols `a' and `bc',
+  # as well as any symbol that contains `d'.
+  exclude_expsyms='_GLOBAL_OFFSET_TABLE_|_GLOBAL__F[ID]_.*'
+  # Although _GLOBAL_OFFSET_TABLE_ is a valid symbol C name, most a.out
+  # platforms (ab)use it in PIC code, but their linkers get confused if
+  # the symbol is explicitly referenced.  Since portable code cannot
+  # rely on this symbol name, it's probably fine to never include it in
+  # preloaded symbol tables.
+  # Exclude shared library initialization/finalization symbols.
+  extract_expsyms_cmds=
+
+  case $host_os in
+  cygwin* | mingw* | pw32* | cegcc*)
+    # FIXME: the MSVC++ port hasn't been tested in a loooong time
+    # When not using gcc, we currently assume that we are using
+    # Microsoft Visual C++.
+    if test "$GCC" != yes; then
+      with_gnu_ld=no
+    fi
+    ;;
+  interix*)
+    # we just hope/assume this is gcc and not c89 (= MSVC++)
+    with_gnu_ld=yes
+    ;;
+  openbsd*)
+    with_gnu_ld=no
+    ;;
+  linux* | k*bsd*-gnu | gnu*)
+    link_all_deplibs=no
+    ;;
+  esac
+
+  ld_shlibs=yes
+
+  # On some targets, GNU ld is compatible enough with the native linker
+  # that we're better off using the native interface for both.
+  lt_use_gnu_ld_interface=no
+  if test "$with_gnu_ld" = yes; then
+    case $host_os in
+      aix*)
+	# The AIX port of GNU ld has always aspired to compatibility
+	# with the native linker.  However, as the warning in the GNU ld
+	# block says, versions before 2.19.5* couldn't really create working
+	# shared libraries, regardless of the interface used.
+	case `$LD -v 2>&1` in
+	  *\ \(GNU\ Binutils\)\ 2.19.5*) ;;
+	  *\ \(GNU\ Binutils\)\ 2.[2-9]*) ;;
+	  *\ \(GNU\ Binutils\)\ [3-9]*) ;;
+	  *)
+	    lt_use_gnu_ld_interface=yes
+	    ;;
+	esac
+	;;
+      *)
+	lt_use_gnu_ld_interface=yes
+	;;
+    esac
+  fi
+
+  if test "$lt_use_gnu_ld_interface" = yes; then
+    # If archive_cmds runs LD, not CC, wlarc should be empty
+    wlarc='${wl}'
+
+    # Set some defaults for GNU ld with shared library support. These
+    # are reset later if shared libraries are not supported. Putting them
+    # here allows them to be overridden if necessary.
+    runpath_var=LD_RUN_PATH
+    hardcode_libdir_flag_spec='${wl}-rpath ${wl}$libdir'
+    export_dynamic_flag_spec='${wl}--export-dynamic'
+    # ancient GNU ld didn't support --whole-archive et. al.
+    if $LD --help 2>&1 | $GREP 'no-whole-archive' > /dev/null; then
+      whole_archive_flag_spec="$wlarc"'--whole-archive$convenience '"$wlarc"'--no-whole-archive'
+    else
+      whole_archive_flag_spec=
+    fi
+    supports_anon_versioning=no
+    case `$LD -v 2>&1` in
+      *GNU\ gold*) supports_anon_versioning=yes ;;
+      *\ [01].* | *\ 2.[0-9].* | *\ 2.10.*) ;; # catch versions < 2.11
+      *\ 2.11.93.0.2\ *) supports_anon_versioning=yes ;; # RH7.3 ...
+      *\ 2.11.92.0.12\ *) supports_anon_versioning=yes ;; # Mandrake 8.2 ...
+      *\ 2.11.*) ;; # other 2.11 versions
+      *) supports_anon_versioning=yes ;;
+    esac
+
+    # See if GNU ld supports shared libraries.
+    case $host_os in
+    aix[3-9]*)
+      # On AIX/PPC, the GNU linker is very broken
+      if test "$host_cpu" != ia64; then
+	ld_shlibs=no
+	cat <<_LT_EOF 1>&2
+
+*** Warning: the GNU linker, at least up to release 2.19, is reported
+*** to be unable to reliably create shared libraries on AIX.
+*** Therefore, libtool is disabling shared libraries support.  If you
+*** really care for shared libraries, you may want to install binutils
+*** 2.20 or above, or modify your PATH so that a non-GNU linker is found.
+*** You will then need to restart the configuration process.
+
+_LT_EOF
+      fi
+      ;;
+
+    amigaos*)
+      case $host_cpu in
+      powerpc)
+            # see comment about AmigaOS4 .so support
+            archive_cmds='$CC -shared $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname -o $lib'
+            archive_expsym_cmds=''
+        ;;
+      m68k)
+            archive_cmds='$RM $output_objdir/a2ixlibrary.data~$ECHO "#define NAME $libname" > $output_objdir/a2ixlibrary.data~$ECHO "#define LIBRARY_ID 1" >> $output_objdir/a2ixlibrary.data~$ECHO "#define VERSION $major" >> $output_objdir/a2ixlibrary.data~$ECHO "#define REVISION $revision" >> $output_objdir/a2ixlibrary.data~$AR $AR_FLAGS $lib $libobjs~$RANLIB $lib~(cd $output_objdir && a2ixlibrary -32)'
+            hardcode_libdir_flag_spec='-L$libdir'
+            hardcode_minus_L=yes
+        ;;
+      esac
+      ;;
+
+    beos*)
+      if $LD --help 2>&1 | $GREP ': supported targets:.* elf' > /dev/null; then
+	allow_undefined_flag=unsupported
+	# Joseph Beckenbach <jrb3 at best.com> says some releases of gcc
+	# support --undefined.  This deserves some investigation.  FIXME
+	archive_cmds='$CC -nostart $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname -o $lib'
+      else
+	ld_shlibs=no
+      fi
+      ;;
+
+    cygwin* | mingw* | pw32* | cegcc*)
+      # _LT_TAGVAR(hardcode_libdir_flag_spec, ) is actually meaningless,
+      # as there is no search path for DLLs.
+      hardcode_libdir_flag_spec='-L$libdir'
+      export_dynamic_flag_spec='${wl}--export-all-symbols'
+      allow_undefined_flag=unsupported
+      always_export_symbols=no
+      enable_shared_with_static_runtimes=yes
+      export_symbols_cmds='$NM $libobjs $convenience | $global_symbol_pipe | $SED -e '\''/^[BCDGRS][ ]/s/.*[ ]\([^ ]*\)/\1 DATA/;s/^.*[ ]__nm__\([^ ]*\)[ ][^ ]*/\1 DATA/;/^I[ ]/d;/^[AITW][ ]/s/.* //'\'' | sort | uniq > $export_symbols'
+      exclude_expsyms='[_]+GLOBAL_OFFSET_TABLE_|[_]+GLOBAL__[FID]_.*|[_]+head_[A-Za-z0-9_]+_dll|[A-Za-z0-9_]+_dll_iname'
+
+      if $LD --help 2>&1 | $GREP 'auto-import' > /dev/null; then
+        archive_cmds='$CC -shared $libobjs $deplibs $compiler_flags -o $output_objdir/$soname ${wl}--enable-auto-image-base -Xlinker --out-implib -Xlinker $lib'
+	# If the export-symbols file already is a .def file (1st line
+	# is EXPORTS), use it as is; otherwise, prepend...
+	archive_expsym_cmds='if test "x`$SED 1q $export_symbols`" = xEXPORTS; then
+	  cp $export_symbols $output_objdir/$soname.def;
+	else
+	  echo EXPORTS > $output_objdir/$soname.def;
+	  cat $export_symbols >> $output_objdir/$soname.def;
+	fi~
+	$CC -shared $output_objdir/$soname.def $libobjs $deplibs $compiler_flags -o $output_objdir/$soname ${wl}--enable-auto-image-base -Xlinker --out-implib -Xlinker $lib'
+      else
+	ld_shlibs=no
+      fi
+      ;;
+
+    haiku*)
+      archive_cmds='$CC -shared $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname -o $lib'
+      link_all_deplibs=yes
+      ;;
+
+    interix[3-9]*)
+      hardcode_direct=no
+      hardcode_shlibpath_var=no
+      hardcode_libdir_flag_spec='${wl}-rpath,$libdir'
+      export_dynamic_flag_spec='${wl}-E'
+      # Hack: On Interix 3.x, we cannot compile PIC because of a broken gcc.
+      # Instead, shared libraries are loaded at an image base (0x10000000 by
+      # default) and relocated if they conflict, which is a slow very memory
+      # consuming and fragmenting process.  To avoid this, we pick a random,
+      # 256 KiB-aligned image base between 0x50000000 and 0x6FFC0000 at link
+      # time.  Moving up from 0x10000000 also allows more sbrk(2) space.
+      archive_cmds='$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-h,$soname ${wl}--image-base,`expr ${RANDOM-$$} % 4096 / 2 \* 262144 + 1342177280` -o $lib'
+      archive_expsym_cmds='sed "s,^,_," $export_symbols >$output_objdir/$soname.expsym~$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-h,$soname ${wl}--retain-symbols-file,$output_objdir/$soname.expsym ${wl}--image-base,`expr ${RANDOM-$$} % 4096 / 2 \* 262144 + 1342177280` -o $lib'
+      ;;
+
+    gnu* | linux* | tpf* | k*bsd*-gnu | kopensolaris*-gnu)
+      tmp_diet=no
+      if test "$host_os" = linux-dietlibc; then
+	case $cc_basename in
+	  diet\ *) tmp_diet=yes;;	# linux-dietlibc with static linking (!diet-dyn)
+	esac
+      fi
+      if $LD --help 2>&1 | $EGREP ': supported targets:.* elf' > /dev/null \
+	 && test "$tmp_diet" = no
+      then
+	tmp_addflag=' $pic_flag'
+	tmp_sharedflag='-shared'
+	case $cc_basename,$host_cpu in
+        pgcc*)				# Portland Group C compiler
+	  whole_archive_flag_spec='${wl}--whole-archive`for conv in $convenience\"\"; do test  -n \"$conv\" && new_convenience=\"$new_convenience,$conv\"; done; func_echo_all \"$new_convenience\"` ${wl}--no-whole-archive'
+	  tmp_addflag=' $pic_flag'
+	  ;;
+	pgf77* | pgf90* | pgf95* | pgfortran*)
+					# Portland Group f77 and f90 compilers
+	  whole_archive_flag_spec='${wl}--whole-archive`for conv in $convenience\"\"; do test  -n \"$conv\" && new_convenience=\"$new_convenience,$conv\"; done; func_echo_all \"$new_convenience\"` ${wl}--no-whole-archive'
+	  tmp_addflag=' $pic_flag -Mnomain' ;;
+	ecc*,ia64* | icc*,ia64*)	# Intel C compiler on ia64
+	  tmp_addflag=' -i_dynamic' ;;
+	efc*,ia64* | ifort*,ia64*)	# Intel Fortran compiler on ia64
+	  tmp_addflag=' -i_dynamic -nofor_main' ;;
+	ifc* | ifort*)			# Intel Fortran compiler
+	  tmp_addflag=' -nofor_main' ;;
+	lf95*)				# Lahey Fortran 8.1
+	  whole_archive_flag_spec=
+	  tmp_sharedflag='--shared' ;;
+	xl[cC]* | bgxl[cC]* | mpixl[cC]*) # IBM XL C 8.0 on PPC (deal with xlf below)
+	  tmp_sharedflag='-qmkshrobj'
+	  tmp_addflag= ;;
+	nvcc*)	# Cuda Compiler Driver 2.2
+	  whole_archive_flag_spec='${wl}--whole-archive`for conv in $convenience\"\"; do test  -n \"$conv\" && new_convenience=\"$new_convenience,$conv\"; done; func_echo_all \"$new_convenience\"` ${wl}--no-whole-archive'
+	  compiler_needs_object=yes
+	  ;;
+	esac
+	case `$CC -V 2>&1 | sed 5q` in
+	*Sun\ C*)			# Sun C 5.9
+	  whole_archive_flag_spec='${wl}--whole-archive`new_convenience=; for conv in $convenience\"\"; do test -z \"$conv\" || new_convenience=\"$new_convenience,$conv\"; done; func_echo_all \"$new_convenience\"` ${wl}--no-whole-archive'
+	  compiler_needs_object=yes
+	  tmp_sharedflag='-G' ;;
+	*Sun\ F*)			# Sun Fortran 8.3
+	  tmp_sharedflag='-G' ;;
+	esac
+	archive_cmds='$CC '"$tmp_sharedflag""$tmp_addflag"' $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname -o $lib'
+
+        if test "x$supports_anon_versioning" = xyes; then
+          archive_expsym_cmds='echo "{ global:" > $output_objdir/$libname.ver~
+	    cat $export_symbols | sed -e "s/\(.*\)/\1;/" >> $output_objdir/$libname.ver~
+	    echo "local: *; };" >> $output_objdir/$libname.ver~
+	    $CC '"$tmp_sharedflag""$tmp_addflag"' $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname ${wl}-version-script ${wl}$output_objdir/$libname.ver -o $lib'
+        fi
+
+	case $cc_basename in
+	xlf* | bgf* | bgxlf* | mpixlf*)
+	  # IBM XL Fortran 10.1 on PPC cannot create shared libs itself
+	  whole_archive_flag_spec='--whole-archive$convenience --no-whole-archive'
+	  hardcode_libdir_flag_spec='${wl}-rpath ${wl}$libdir'
+	  archive_cmds='$LD -shared $libobjs $deplibs $linker_flags -soname $soname -o $lib'
+	  if test "x$supports_anon_versioning" = xyes; then
+	    archive_expsym_cmds='echo "{ global:" > $output_objdir/$libname.ver~
+	      cat $export_symbols | sed -e "s/\(.*\)/\1;/" >> $output_objdir/$libname.ver~
+	      echo "local: *; };" >> $output_objdir/$libname.ver~
+	      $LD -shared $libobjs $deplibs $linker_flags -soname $soname -version-script $output_objdir/$libname.ver -o $lib'
+	  fi
+	  ;;
+	esac
+      else
+        ld_shlibs=no
+      fi
+      ;;
+
+    netbsd* | netbsdelf*-gnu)
+      if echo __ELF__ | $CC -E - | $GREP __ELF__ >/dev/null; then
+	archive_cmds='$LD -Bshareable $libobjs $deplibs $linker_flags -o $lib'
+	wlarc=
+      else
+	archive_cmds='$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname -o $lib'
+	archive_expsym_cmds='$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname ${wl}-retain-symbols-file $wl$export_symbols -o $lib'
+      fi
+      ;;
+
+    solaris*)
+      if $LD -v 2>&1 | $GREP 'BFD 2\.8' > /dev/null; then
+	ld_shlibs=no
+	cat <<_LT_EOF 1>&2
+
+*** Warning: The releases 2.8.* of the GNU linker cannot reliably
+*** create shared libraries on Solaris systems.  Therefore, libtool
+*** is disabling shared libraries support.  We urge you to upgrade GNU
+*** binutils to release 2.9.1 or newer.  Another option is to modify
+*** your PATH or compiler configuration so that the native linker is
+*** used, and then restart.
+
+_LT_EOF
+      elif $LD --help 2>&1 | $GREP ': supported targets:.* elf' > /dev/null; then
+	archive_cmds='$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname -o $lib'
+	archive_expsym_cmds='$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname ${wl}-retain-symbols-file $wl$export_symbols -o $lib'
+      else
+	ld_shlibs=no
+      fi
+      ;;
+
+    sysv5* | sco3.2v5* | sco5v6* | unixware* | OpenUNIX*)
+      case `$LD -v 2>&1` in
+        *\ [01].* | *\ 2.[0-9].* | *\ 2.1[0-5].*)
+	ld_shlibs=no
+	cat <<_LT_EOF 1>&2
+
+*** Warning: Releases of the GNU linker prior to 2.16.91.0.3 can not
+*** reliably create shared libraries on SCO systems.  Therefore, libtool
+*** is disabling shared libraries support.  We urge you to upgrade GNU
+*** binutils to release 2.16.91.0.3 or newer.  Another option is to modify
+*** your PATH or compiler configuration so that the native linker is
+*** used, and then restart.
+
+_LT_EOF
+	;;
+	*)
+	  # For security reasons, it is highly recommended that you always
+	  # use absolute paths for naming shared libraries, and exclude the
+	  # DT_RUNPATH tag from executables and libraries.  But doing so
+	  # requires that you compile everything twice, which is a pain.
+	  if $LD --help 2>&1 | $GREP ': supported targets:.* elf' > /dev/null; then
+	    hardcode_libdir_flag_spec='${wl}-rpath ${wl}$libdir'
+	    archive_cmds='$CC -shared $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname -o $lib'
+	    archive_expsym_cmds='$CC -shared $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname ${wl}-retain-symbols-file $wl$export_symbols -o $lib'
+	  else
+	    ld_shlibs=no
+	  fi
+	;;
+      esac
+      ;;
+
+    sunos4*)
+      archive_cmds='$LD -assert pure-text -Bshareable -o $lib $libobjs $deplibs $linker_flags'
+      wlarc=
+      hardcode_direct=yes
+      hardcode_shlibpath_var=no
+      ;;
+
+    *)
+      if $LD --help 2>&1 | $GREP ': supported targets:.* elf' > /dev/null; then
+	archive_cmds='$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname -o $lib'
+	archive_expsym_cmds='$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname ${wl}-retain-symbols-file $wl$export_symbols -o $lib'
+      else
+	ld_shlibs=no
+      fi
+      ;;
+    esac
+
+    if test "$ld_shlibs" = no; then
+      runpath_var=
+      hardcode_libdir_flag_spec=
+      export_dynamic_flag_spec=
+      whole_archive_flag_spec=
+    fi
+  else
+    # PORTME fill in a description of your system's linker (not GNU ld)
+    case $host_os in
+    aix3*)
+      allow_undefined_flag=unsupported
+      always_export_symbols=yes
+      archive_expsym_cmds='$LD -o $output_objdir/$soname $libobjs $deplibs $linker_flags -bE:$export_symbols -T512 -H512 -bM:SRE~$AR $AR_FLAGS $lib $output_objdir/$soname'
+      # Note: this linker hardcodes the directories in LIBPATH if there
+      # are no directories specified by -L.
+      hardcode_minus_L=yes
+      if test "$GCC" = yes && test -z "$lt_prog_compiler_static"; then
+	# Neither direct hardcoding nor static linking is supported with a
+	# broken collect2.
+	hardcode_direct=unsupported
+      fi
+      ;;
+
+    aix[4-9]*)
+      if test "$host_cpu" = ia64; then
+	# On IA64, the linker does run time linking by default, so we don't
+	# have to do anything special.
+	aix_use_runtimelinking=no
+	exp_sym_flag='-Bexport'
+	no_entry_flag=""
+      else
+	# If we're using GNU nm, then we don't want the "-C" option.
+	# -C means demangle to AIX nm, but means don't demangle with GNU nm
+	# Also, AIX nm treats weak defined symbols like other global
+	# defined symbols, whereas GNU nm marks them as "W".
+	if $NM -V 2>&1 | $GREP 'GNU' > /dev/null; then
+	  export_symbols_cmds='$NM -Bpg $libobjs $convenience | awk '\''{ if (((\$ 2 == "T") || (\$ 2 == "D") || (\$ 2 == "B") || (\$ 2 == "W")) && (substr(\$ 3,1,1) != ".")) { print \$ 3 } }'\'' | sort -u > $export_symbols'
+	else
+	  export_symbols_cmds='$NM -BCpg $libobjs $convenience | awk '\''{ if (((\$ 2 == "T") || (\$ 2 == "D") || (\$ 2 == "B")) && (substr(\$ 3,1,1) != ".")) { print \$ 3 } }'\'' | sort -u > $export_symbols'
+	fi
+	aix_use_runtimelinking=no
+
+	# Test if we are trying to use run time linking or normal
+	# AIX style linking. If -brtl is somewhere in LDFLAGS, we
+	# need to do runtime linking.
+	case $host_os in aix4.[23]|aix4.[23].*|aix[5-9]*)
+	  for ld_flag in $LDFLAGS; do
+	  if (test $ld_flag = "-brtl" || test $ld_flag = "-Wl,-brtl"); then
+	    aix_use_runtimelinking=yes
+	    break
+	  fi
+	  done
+	  ;;
+	esac
+
+	exp_sym_flag='-bexport'
+	no_entry_flag='-bnoentry'
+      fi
+
+      # When large executables or shared objects are built, AIX ld can
+      # have problems creating the table of contents.  If linking a library
+      # or program results in "error TOC overflow" add -mminimal-toc to
+      # CXXFLAGS/CFLAGS for g++/gcc.  In the cases where that is not
+      # enough to fix the problem, add -Wl,-bbigtoc to LDFLAGS.
+
+      archive_cmds=''
+      hardcode_direct=yes
+      hardcode_direct_absolute=yes
+      hardcode_libdir_separator=':'
+      link_all_deplibs=yes
+      file_list_spec='${wl}-f,'
+
+      if test "$GCC" = yes; then
+	case $host_os in aix4.[012]|aix4.[012].*)
+	# We only want to do this on AIX 4.2 and lower, the check
+	# below for broken collect2 doesn't work under 4.3+
+	  collect2name=`${CC} -print-prog-name=collect2`
+	  if test -f "$collect2name" &&
+	   strings "$collect2name" | $GREP resolve_lib_name >/dev/null
+	  then
+	  # We have reworked collect2
+	  :
+	  else
+	  # We have old collect2
+	  hardcode_direct=unsupported
+	  # It fails to find uninstalled libraries when the uninstalled
+	  # path is not listed in the libpath.  Setting hardcode_minus_L
+	  # to unsupported forces relinking
+	  hardcode_minus_L=yes
+	  hardcode_libdir_flag_spec='-L$libdir'
+	  hardcode_libdir_separator=
+	  fi
+	  ;;
+	esac
+	shared_flag='-shared'
+	if test "$aix_use_runtimelinking" = yes; then
+	  shared_flag="$shared_flag "'${wl}-G'
+	fi
+	link_all_deplibs=no
+      else
+	# not using gcc
+	if test "$host_cpu" = ia64; then
+	# VisualAge C++, Version 5.5 for AIX 5L for IA-64, Beta 3 Release
+	# chokes on -Wl,-G. The following line is correct:
+	  shared_flag='-G'
+	else
+	  if test "$aix_use_runtimelinking" = yes; then
+	    shared_flag='${wl}-G'
+	  else
+	    shared_flag='${wl}-bM:SRE'
+	  fi
+	fi
+      fi
+
+      export_dynamic_flag_spec='${wl}-bexpall'
+      # It seems that -bexpall does not export symbols beginning with
+      # underscore (_), so it is better to generate a list of symbols to export.
+      always_export_symbols=yes
+      if test "$aix_use_runtimelinking" = yes; then
+	# Warning - without using the other runtime loading flags (-brtl),
+	# -berok will link without error, but may produce a broken library.
+	allow_undefined_flag='-berok'
+        # Determine the default libpath from the value encoded in an
+        # empty executable.
+        if test "${lt_cv_aix_libpath+set}" = set; then
+  aix_libpath=$lt_cv_aix_libpath
+else
+  if ${lt_cv_aix_libpath_+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+
+int
+main ()
+{
+
+  ;
+  return 0;
+}
+_ACEOF
+if ac_fn_c_try_link "$LINENO"; then :
+
+  lt_aix_libpath_sed='
+      /Import File Strings/,/^$/ {
+	  /^0/ {
+	      s/^0  *\([^ ]*\) *$/\1/
+	      p
+	  }
+      }'
+  lt_cv_aix_libpath_=`dump -H conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
+  # Check for a 64-bit object if we didn't find anything.
+  if test -z "$lt_cv_aix_libpath_"; then
+    lt_cv_aix_libpath_=`dump -HX64 conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
+  fi
+fi
+rm -f core conftest.err conftest.$ac_objext \
+    conftest$ac_exeext conftest.$ac_ext
+  if test -z "$lt_cv_aix_libpath_"; then
+    lt_cv_aix_libpath_="/usr/lib:/lib"
+  fi
+
+fi
+
+  aix_libpath=$lt_cv_aix_libpath_
+fi
+
+        hardcode_libdir_flag_spec='${wl}-blibpath:$libdir:'"$aix_libpath"
+        archive_expsym_cmds='$CC -o $output_objdir/$soname $libobjs $deplibs '"\${wl}$no_entry_flag"' $compiler_flags `if test "x${allow_undefined_flag}" != "x"; then func_echo_all "${wl}${allow_undefined_flag}"; else :; fi` '"\${wl}$exp_sym_flag:\$export_symbols $shared_flag"
+      else
+	if test "$host_cpu" = ia64; then
+	  hardcode_libdir_flag_spec='${wl}-R $libdir:/usr/lib:/lib'
+	  allow_undefined_flag="-z nodefs"
+	  archive_expsym_cmds="\$CC $shared_flag"' -o $output_objdir/$soname $libobjs $deplibs '"\${wl}$no_entry_flag"' $compiler_flags ${wl}${allow_undefined_flag} '"\${wl}$exp_sym_flag:\$export_symbols"
+	else
+	 # Determine the default libpath from the value encoded in an
+	 # empty executable.
+	 if test "${lt_cv_aix_libpath+set}" = set; then
+  aix_libpath=$lt_cv_aix_libpath
+else
+  if ${lt_cv_aix_libpath_+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+
+int
+main ()
+{
+
+  ;
+  return 0;
+}
+_ACEOF
+if ac_fn_c_try_link "$LINENO"; then :
+
+  lt_aix_libpath_sed='
+      /Import File Strings/,/^$/ {
+	  /^0/ {
+	      s/^0  *\([^ ]*\) *$/\1/
+	      p
+	  }
+      }'
+  lt_cv_aix_libpath_=`dump -H conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
+  # Check for a 64-bit object if we didn't find anything.
+  if test -z "$lt_cv_aix_libpath_"; then
+    lt_cv_aix_libpath_=`dump -HX64 conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
+  fi
+fi
+rm -f core conftest.err conftest.$ac_objext \
+    conftest$ac_exeext conftest.$ac_ext
+  if test -z "$lt_cv_aix_libpath_"; then
+    lt_cv_aix_libpath_="/usr/lib:/lib"
+  fi
+
+fi
+
+  aix_libpath=$lt_cv_aix_libpath_
+fi
+
+	 hardcode_libdir_flag_spec='${wl}-blibpath:$libdir:'"$aix_libpath"
+	  # Warning - without using the other run time loading flags,
+	  # -berok will link without error, but may produce a broken library.
+	  no_undefined_flag=' ${wl}-bernotok'
+	  allow_undefined_flag=' ${wl}-berok'
+	  if test "$with_gnu_ld" = yes; then
+	    # We only use this code for GNU lds that support --whole-archive.
+	    whole_archive_flag_spec='${wl}--whole-archive$convenience ${wl}--no-whole-archive'
+	  else
+	    # Exported symbols can be pulled into shared objects from archives
+	    whole_archive_flag_spec='$convenience'
+	  fi
+	  archive_cmds_need_lc=yes
+	  # This is similar to how AIX traditionally builds its shared libraries.
+	  archive_expsym_cmds="\$CC $shared_flag"' -o $output_objdir/$soname $libobjs $deplibs ${wl}-bnoentry $compiler_flags ${wl}-bE:$export_symbols${allow_undefined_flag}~$AR $AR_FLAGS $output_objdir/$libname$release.a $output_objdir/$soname'
+	fi
+      fi
+      ;;
+
+    amigaos*)
+      case $host_cpu in
+      powerpc)
+            # see comment about AmigaOS4 .so support
+            archive_cmds='$CC -shared $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname -o $lib'
+            archive_expsym_cmds=''
+        ;;
+      m68k)
+            archive_cmds='$RM $output_objdir/a2ixlibrary.data~$ECHO "#define NAME $libname" > $output_objdir/a2ixlibrary.data~$ECHO "#define LIBRARY_ID 1" >> $output_objdir/a2ixlibrary.data~$ECHO "#define VERSION $major" >> $output_objdir/a2ixlibrary.data~$ECHO "#define REVISION $revision" >> $output_objdir/a2ixlibrary.data~$AR $AR_FLAGS $lib $libobjs~$RANLIB $lib~(cd $output_objdir && a2ixlibrary -32)'
+            hardcode_libdir_flag_spec='-L$libdir'
+            hardcode_minus_L=yes
+        ;;
+      esac
+      ;;
+
+    bsdi[45]*)
+      export_dynamic_flag_spec=-rdynamic
+      ;;
+
+    cygwin* | mingw* | pw32* | cegcc*)
+      # When not using gcc, we currently assume that we are using
+      # Microsoft Visual C++.
+      # hardcode_libdir_flag_spec is actually meaningless, as there is
+      # no search path for DLLs.
+      case $cc_basename in
+      cl*)
+	# Native MSVC
+	hardcode_libdir_flag_spec=' '
+	allow_undefined_flag=unsupported
+	always_export_symbols=yes
+	file_list_spec='@'
+	# Tell ltmain to make .lib files, not .a files.
+	libext=lib
+	# Tell ltmain to make .dll files, not .so files.
+	shrext_cmds=".dll"
+	# FIXME: Setting linknames here is a bad hack.
+	archive_cmds='$CC -o $output_objdir/$soname $libobjs $compiler_flags $deplibs -Wl,-dll~linknames='
+	archive_expsym_cmds='if test "x`$SED 1q $export_symbols`" = xEXPORTS; then
+	    sed -n -e 's/\\\\\\\(.*\\\\\\\)/-link\\\ -EXPORT:\\\\\\\1/' -e '1\\\!p' < $export_symbols > $output_objdir/$soname.exp;
+	  else
+	    sed -e 's/\\\\\\\(.*\\\\\\\)/-link\\\ -EXPORT:\\\\\\\1/' < $export_symbols > $output_objdir/$soname.exp;
+	  fi~
+	  $CC -o $tool_output_objdir$soname $libobjs $compiler_flags $deplibs "@$tool_output_objdir$soname.exp" -Wl,-DLL,-IMPLIB:"$tool_output_objdir$libname.dll.lib"~
+	  linknames='
+	# The linker will not automatically build a static lib if we build a DLL.
+	# _LT_TAGVAR(old_archive_from_new_cmds, )='true'
+	enable_shared_with_static_runtimes=yes
+	exclude_expsyms='_NULL_IMPORT_DESCRIPTOR|_IMPORT_DESCRIPTOR_.*'
+	export_symbols_cmds='$NM $libobjs $convenience | $global_symbol_pipe | $SED -e '\''/^[BCDGRS][ ]/s/.*[ ]\([^ ]*\)/\1,DATA/'\'' | $SED -e '\''/^[AITW][ ]/s/.*[ ]//'\'' | sort | uniq > $export_symbols'
+	# Don't use ranlib
+	old_postinstall_cmds='chmod 644 $oldlib'
+	postlink_cmds='lt_outputfile="@OUTPUT@"~
+	  lt_tool_outputfile="@TOOL_OUTPUT@"~
+	  case $lt_outputfile in
+	    *.exe|*.EXE) ;;
+	    *)
+	      lt_outputfile="$lt_outputfile.exe"
+	      lt_tool_outputfile="$lt_tool_outputfile.exe"
+	      ;;
+	  esac~
+	  if test "$MANIFEST_TOOL" != ":" && test -f "$lt_outputfile.manifest"; then
+	    $MANIFEST_TOOL -manifest "$lt_tool_outputfile.manifest" -outputresource:"$lt_tool_outputfile" || exit 1;
+	    $RM "$lt_outputfile.manifest";
+	  fi'
+	;;
+      *)
+	# Assume MSVC wrapper
+	hardcode_libdir_flag_spec=' '
+	allow_undefined_flag=unsupported
+	# Tell ltmain to make .lib files, not .a files.
+	libext=lib
+	# Tell ltmain to make .dll files, not .so files.
+	shrext_cmds=".dll"
+	# FIXME: Setting linknames here is a bad hack.
+	archive_cmds='$CC -o $lib $libobjs $compiler_flags `func_echo_all "$deplibs" | $SED '\''s/ -lc$//'\''` -link -dll~linknames='
+	# The linker will automatically build a .lib file if we build a DLL.
+	old_archive_from_new_cmds='true'
+	# FIXME: Should let the user specify the lib program.
+	old_archive_cmds='lib -OUT:$oldlib$oldobjs$old_deplibs'
+	enable_shared_with_static_runtimes=yes
+	;;
+      esac
+      ;;
+
+    darwin* | rhapsody*)
+
+
+  archive_cmds_need_lc=no
+  hardcode_direct=no
+  hardcode_automatic=yes
+  hardcode_shlibpath_var=unsupported
+  if test "$lt_cv_ld_force_load" = "yes"; then
+    whole_archive_flag_spec='`for conv in $convenience\"\"; do test  -n \"$conv\" && new_convenience=\"$new_convenience ${wl}-force_load,$conv\"; done; func_echo_all \"$new_convenience\"`'
+
+  else
+    whole_archive_flag_spec=''
+  fi
+  link_all_deplibs=yes
+  allow_undefined_flag="$_lt_dar_allow_undefined"
+  case $cc_basename in
+     ifort*) _lt_dar_can_shared=yes ;;
+     *) _lt_dar_can_shared=$GCC ;;
+  esac
+  if test "$_lt_dar_can_shared" = "yes"; then
+    output_verbose_link_cmd=func_echo_all
+    archive_cmds="\$CC -dynamiclib \$allow_undefined_flag -o \$lib \$libobjs \$deplibs \$compiler_flags -install_name \$rpath/\$soname \$verstring $_lt_dar_single_mod${_lt_dsymutil}"
+    module_cmds="\$CC \$allow_undefined_flag -o \$lib -bundle \$libobjs \$deplibs \$compiler_flags${_lt_dsymutil}"
+    archive_expsym_cmds="sed 's,^,_,' < \$export_symbols > \$output_objdir/\${libname}-symbols.expsym~\$CC -dynamiclib \$allow_undefined_flag -o \$lib \$libobjs \$deplibs \$compiler_flags -install_name \$rpath/\$soname \$verstring ${_lt_dar_single_mod}${_lt_dar_export_syms}${_lt_dsymutil}"
+    module_expsym_cmds="sed -e 's,^,_,' < \$export_symbols > \$output_objdir/\${libname}-symbols.expsym~\$CC \$allow_undefined_flag -o \$lib -bundle \$libobjs \$deplibs \$compiler_flags${_lt_dar_export_syms}${_lt_dsymutil}"
+
+  else
+  ld_shlibs=no
+  fi
+
+      ;;
+
+    dgux*)
+      archive_cmds='$LD -G -h $soname -o $lib $libobjs $deplibs $linker_flags'
+      hardcode_libdir_flag_spec='-L$libdir'
+      hardcode_shlibpath_var=no
+      ;;
+
+    # FreeBSD 2.2.[012] allows us to include c++rt0.o to get C++ constructor
+    # support.  Future versions do this automatically, but an explicit c++rt0.o
+    # does not break anything, and helps significantly (at the cost of a little
+    # extra space).
+    freebsd2.2*)
+      archive_cmds='$LD -Bshareable -o $lib $libobjs $deplibs $linker_flags /usr/lib/c++rt0.o'
+      hardcode_libdir_flag_spec='-R$libdir'
+      hardcode_direct=yes
+      hardcode_shlibpath_var=no
+      ;;
+
+    # Unfortunately, older versions of FreeBSD 2 do not have this feature.
+    freebsd2.*)
+      archive_cmds='$LD -Bshareable -o $lib $libobjs $deplibs $linker_flags'
+      hardcode_direct=yes
+      hardcode_minus_L=yes
+      hardcode_shlibpath_var=no
+      ;;
+
+    # FreeBSD 3 and greater uses gcc -shared to do shared libraries.
+    freebsd* | dragonfly*)
+      archive_cmds='$CC -shared $pic_flag -o $lib $libobjs $deplibs $compiler_flags'
+      hardcode_libdir_flag_spec='-R$libdir'
+      hardcode_direct=yes
+      hardcode_shlibpath_var=no
+      ;;
+
+    hpux9*)
+      if test "$GCC" = yes; then
+	archive_cmds='$RM $output_objdir/$soname~$CC -shared $pic_flag ${wl}+b ${wl}$install_libdir -o $output_objdir/$soname $libobjs $deplibs $compiler_flags~test $output_objdir/$soname = $lib || mv $output_objdir/$soname $lib'
+      else
+	archive_cmds='$RM $output_objdir/$soname~$LD -b +b $install_libdir -o $output_objdir/$soname $libobjs $deplibs $linker_flags~test $output_objdir/$soname = $lib || mv $output_objdir/$soname $lib'
+      fi
+      hardcode_libdir_flag_spec='${wl}+b ${wl}$libdir'
+      hardcode_libdir_separator=:
+      hardcode_direct=yes
+
+      # hardcode_minus_L: Not really in the search PATH,
+      # but as the default location of the library.
+      hardcode_minus_L=yes
+      export_dynamic_flag_spec='${wl}-E'
+      ;;
+
+    hpux10*)
+      if test "$GCC" = yes && test "$with_gnu_ld" = no; then
+	archive_cmds='$CC -shared $pic_flag ${wl}+h ${wl}$soname ${wl}+b ${wl}$install_libdir -o $lib $libobjs $deplibs $compiler_flags'
+      else
+	archive_cmds='$LD -b +h $soname +b $install_libdir -o $lib $libobjs $deplibs $linker_flags'
+      fi
+      if test "$with_gnu_ld" = no; then
+	hardcode_libdir_flag_spec='${wl}+b ${wl}$libdir'
+	hardcode_libdir_separator=:
+	hardcode_direct=yes
+	hardcode_direct_absolute=yes
+	export_dynamic_flag_spec='${wl}-E'
+	# hardcode_minus_L: Not really in the search PATH,
+	# but as the default location of the library.
+	hardcode_minus_L=yes
+      fi
+      ;;
+
+    hpux11*)
+      if test "$GCC" = yes && test "$with_gnu_ld" = no; then
+	case $host_cpu in
+	hppa*64*)
+	  archive_cmds='$CC -shared ${wl}+h ${wl}$soname -o $lib $libobjs $deplibs $compiler_flags'
+	  ;;
+	ia64*)
+	  archive_cmds='$CC -shared $pic_flag ${wl}+h ${wl}$soname ${wl}+nodefaultrpath -o $lib $libobjs $deplibs $compiler_flags'
+	  ;;
+	*)
+	  archive_cmds='$CC -shared $pic_flag ${wl}+h ${wl}$soname ${wl}+b ${wl}$install_libdir -o $lib $libobjs $deplibs $compiler_flags'
+	  ;;
+	esac
+      else
+	case $host_cpu in
+	hppa*64*)
+	  archive_cmds='$CC -b ${wl}+h ${wl}$soname -o $lib $libobjs $deplibs $compiler_flags'
+	  ;;
+	ia64*)
+	  archive_cmds='$CC -b ${wl}+h ${wl}$soname ${wl}+nodefaultrpath -o $lib $libobjs $deplibs $compiler_flags'
+	  ;;
+	*)
+
+	  # Older versions of the 11.00 compiler do not understand -b yet
+	  # (HP92453-01 A.11.01.20 doesn't, HP92453-01 B.11.X.35175-35176.GP does)
+	  { $as_echo "$as_me:${as_lineno-$LINENO}: checking if $CC understands -b" >&5
+$as_echo_n "checking if $CC understands -b... " >&6; }
+if ${lt_cv_prog_compiler__b+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  lt_cv_prog_compiler__b=no
+   save_LDFLAGS="$LDFLAGS"
+   LDFLAGS="$LDFLAGS -b"
+   echo "$lt_simple_link_test_code" > conftest.$ac_ext
+   if (eval $ac_link 2>conftest.err) && test -s conftest$ac_exeext; then
+     # The linker can only warn and ignore the option if not recognized
+     # So say no if there are warnings
+     if test -s conftest.err; then
+       # Append any errors to the config.log.
+       cat conftest.err 1>&5
+       $ECHO "$_lt_linker_boilerplate" | $SED '/^$/d' > conftest.exp
+       $SED '/^$/d; /^ *+/d' conftest.err >conftest.er2
+       if diff conftest.exp conftest.er2 >/dev/null; then
+         lt_cv_prog_compiler__b=yes
+       fi
+     else
+       lt_cv_prog_compiler__b=yes
+     fi
+   fi
+   $RM -r conftest*
+   LDFLAGS="$save_LDFLAGS"
+
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $lt_cv_prog_compiler__b" >&5
+$as_echo "$lt_cv_prog_compiler__b" >&6; }
+
+if test x"$lt_cv_prog_compiler__b" = xyes; then
+    archive_cmds='$CC -b ${wl}+h ${wl}$soname ${wl}+b ${wl}$install_libdir -o $lib $libobjs $deplibs $compiler_flags'
+else
+    archive_cmds='$LD -b +h $soname +b $install_libdir -o $lib $libobjs $deplibs $linker_flags'
+fi
+
+	  ;;
+	esac
+      fi
+      if test "$with_gnu_ld" = no; then
+	hardcode_libdir_flag_spec='${wl}+b ${wl}$libdir'
+	hardcode_libdir_separator=:
+
+	case $host_cpu in
+	hppa*64*|ia64*)
+	  hardcode_direct=no
+	  hardcode_shlibpath_var=no
+	  ;;
+	*)
+	  hardcode_direct=yes
+	  hardcode_direct_absolute=yes
+	  export_dynamic_flag_spec='${wl}-E'
+
+	  # hardcode_minus_L: Not really in the search PATH,
+	  # but as the default location of the library.
+	  hardcode_minus_L=yes
+	  ;;
+	esac
+      fi
+      ;;
+
+    irix5* | irix6* | nonstopux*)
+      if test "$GCC" = yes; then
+	archive_cmds='$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && func_echo_all "${wl}-set_version ${wl}$verstring"` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
+	# Try to use the -exported_symbol ld option, if it does not
+	# work, assume that -exports_file does not work either and
+	# implicitly export all symbols.
+	# This should be the same for all languages, so no per-tag cache variable.
+	{ $as_echo "$as_me:${as_lineno-$LINENO}: checking whether the $host_os linker accepts -exported_symbol" >&5
+$as_echo_n "checking whether the $host_os linker accepts -exported_symbol... " >&6; }
+if ${lt_cv_irix_exported_symbol+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  save_LDFLAGS="$LDFLAGS"
+	   LDFLAGS="$LDFLAGS -shared ${wl}-exported_symbol ${wl}foo ${wl}-update_registry ${wl}/dev/null"
+	   cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+int foo (void) { return 0; }
+_ACEOF
+if ac_fn_c_try_link "$LINENO"; then :
+  lt_cv_irix_exported_symbol=yes
+else
+  lt_cv_irix_exported_symbol=no
+fi
+rm -f core conftest.err conftest.$ac_objext \
+    conftest$ac_exeext conftest.$ac_ext
+           LDFLAGS="$save_LDFLAGS"
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $lt_cv_irix_exported_symbol" >&5
+$as_echo "$lt_cv_irix_exported_symbol" >&6; }
+	if test "$lt_cv_irix_exported_symbol" = yes; then
+          archive_expsym_cmds='$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && func_echo_all "${wl}-set_version ${wl}$verstring"` ${wl}-update_registry ${wl}${output_objdir}/so_locations ${wl}-exports_file ${wl}$export_symbols -o $lib'
+	fi
+      else
+	archive_cmds='$CC -shared $libobjs $deplibs $compiler_flags -soname $soname `test -n "$verstring" && func_echo_all "-set_version $verstring"` -update_registry ${output_objdir}/so_locations -o $lib'
+	archive_expsym_cmds='$CC -shared $libobjs $deplibs $compiler_flags -soname $soname `test -n "$verstring" && func_echo_all "-set_version $verstring"` -update_registry ${output_objdir}/so_locations -exports_file $export_symbols -o $lib'
+      fi
+      archive_cmds_need_lc='no'
+      hardcode_libdir_flag_spec='${wl}-rpath ${wl}$libdir'
+      hardcode_libdir_separator=:
+      inherit_rpath=yes
+      link_all_deplibs=yes
+      ;;
+
+    netbsd* | netbsdelf*-gnu)
+      if echo __ELF__ | $CC -E - | $GREP __ELF__ >/dev/null; then
+	archive_cmds='$LD -Bshareable -o $lib $libobjs $deplibs $linker_flags'  # a.out
+      else
+	archive_cmds='$LD -shared -o $lib $libobjs $deplibs $linker_flags'      # ELF
+      fi
+      hardcode_libdir_flag_spec='-R$libdir'
+      hardcode_direct=yes
+      hardcode_shlibpath_var=no
+      ;;
+
+    newsos6)
+      archive_cmds='$LD -G -h $soname -o $lib $libobjs $deplibs $linker_flags'
+      hardcode_direct=yes
+      hardcode_libdir_flag_spec='${wl}-rpath ${wl}$libdir'
+      hardcode_libdir_separator=:
+      hardcode_shlibpath_var=no
+      ;;
+
+    *nto* | *qnx*)
+      ;;
+
+    openbsd*)
+      if test -f /usr/libexec/ld.so; then
+	hardcode_direct=yes
+	hardcode_shlibpath_var=no
+	hardcode_direct_absolute=yes
+	if test -z "`echo __ELF__ | $CC -E - | $GREP __ELF__`" || test "$host_os-$host_cpu" = "openbsd2.8-powerpc"; then
+	  archive_cmds='$CC -shared $pic_flag -o $lib $libobjs $deplibs $compiler_flags'
+	  archive_expsym_cmds='$CC -shared $pic_flag -o $lib $libobjs $deplibs $compiler_flags ${wl}-retain-symbols-file,$export_symbols'
+	  hardcode_libdir_flag_spec='${wl}-rpath,$libdir'
+	  export_dynamic_flag_spec='${wl}-E'
+	else
+	  case $host_os in
+	   openbsd[01].* | openbsd2.[0-7] | openbsd2.[0-7].*)
+	     archive_cmds='$LD -Bshareable -o $lib $libobjs $deplibs $linker_flags'
+	     hardcode_libdir_flag_spec='-R$libdir'
+	     ;;
+	   *)
+	     archive_cmds='$CC -shared $pic_flag -o $lib $libobjs $deplibs $compiler_flags'
+	     hardcode_libdir_flag_spec='${wl}-rpath,$libdir'
+	     ;;
+	  esac
+	fi
+      else
+	ld_shlibs=no
+      fi
+      ;;
+
+    os2*)
+      hardcode_libdir_flag_spec='-L$libdir'
+      hardcode_minus_L=yes
+      allow_undefined_flag=unsupported
+      archive_cmds='$ECHO "LIBRARY $libname INITINSTANCE" > $output_objdir/$libname.def~$ECHO "DESCRIPTION \"$libname\"" >> $output_objdir/$libname.def~echo DATA >> $output_objdir/$libname.def~echo " SINGLE NONSHARED" >> $output_objdir/$libname.def~echo EXPORTS >> $output_objdir/$libname.def~emxexp $libobjs >> $output_objdir/$libname.def~$CC -Zdll -Zcrtdll -o $lib $libobjs $deplibs $compiler_flags $output_objdir/$libname.def'
+      old_archive_from_new_cmds='emximp -o $output_objdir/$libname.a $output_objdir/$libname.def'
+      ;;
+
+    osf3*)
+      if test "$GCC" = yes; then
+	allow_undefined_flag=' ${wl}-expect_unresolved ${wl}\*'
+	archive_cmds='$CC -shared${allow_undefined_flag} $libobjs $deplibs $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && func_echo_all "${wl}-set_version ${wl}$verstring"` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
+      else
+	allow_undefined_flag=' -expect_unresolved \*'
+	archive_cmds='$CC -shared${allow_undefined_flag} $libobjs $deplibs $compiler_flags -soname $soname `test -n "$verstring" && func_echo_all "-set_version $verstring"` -update_registry ${output_objdir}/so_locations -o $lib'
+      fi
+      archive_cmds_need_lc='no'
+      hardcode_libdir_flag_spec='${wl}-rpath ${wl}$libdir'
+      hardcode_libdir_separator=:
+      ;;
+
+    osf4* | osf5*)	# as osf3* with the addition of -msym flag
+      if test "$GCC" = yes; then
+	allow_undefined_flag=' ${wl}-expect_unresolved ${wl}\*'
+	archive_cmds='$CC -shared${allow_undefined_flag} $pic_flag $libobjs $deplibs $compiler_flags ${wl}-msym ${wl}-soname ${wl}$soname `test -n "$verstring" && func_echo_all "${wl}-set_version ${wl}$verstring"` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
+	hardcode_libdir_flag_spec='${wl}-rpath ${wl}$libdir'
+      else
+	allow_undefined_flag=' -expect_unresolved \*'
+	archive_cmds='$CC -shared${allow_undefined_flag} $libobjs $deplibs $compiler_flags -msym -soname $soname `test -n "$verstring" && func_echo_all "-set_version $verstring"` -update_registry ${output_objdir}/so_locations -o $lib'
+	archive_expsym_cmds='for i in `cat $export_symbols`; do printf "%s %s\\n" -exported_symbol "\$i" >> $lib.exp; done; printf "%s\\n" "-hidden">> $lib.exp~
+	$CC -shared${allow_undefined_flag} ${wl}-input ${wl}$lib.exp $compiler_flags $libobjs $deplibs -soname $soname `test -n "$verstring" && $ECHO "-set_version $verstring"` -update_registry ${output_objdir}/so_locations -o $lib~$RM $lib.exp'
+
+	# Both c and cxx compiler support -rpath directly
+	hardcode_libdir_flag_spec='-rpath $libdir'
+      fi
+      archive_cmds_need_lc='no'
+      hardcode_libdir_separator=:
+      ;;
+
+    solaris*)
+      no_undefined_flag=' -z defs'
+      if test "$GCC" = yes; then
+	wlarc='${wl}'
+	archive_cmds='$CC -shared $pic_flag ${wl}-z ${wl}text ${wl}-h ${wl}$soname -o $lib $libobjs $deplibs $compiler_flags'
+	archive_expsym_cmds='echo "{ global:" > $lib.exp~cat $export_symbols | $SED -e "s/\(.*\)/\1;/" >> $lib.exp~echo "local: *; };" >> $lib.exp~
+	  $CC -shared $pic_flag ${wl}-z ${wl}text ${wl}-M ${wl}$lib.exp ${wl}-h ${wl}$soname -o $lib $libobjs $deplibs $compiler_flags~$RM $lib.exp'
+      else
+	case `$CC -V 2>&1` in
+	*"Compilers 5.0"*)
+	  wlarc=''
+	  archive_cmds='$LD -G${allow_undefined_flag} -h $soname -o $lib $libobjs $deplibs $linker_flags'
+	  archive_expsym_cmds='echo "{ global:" > $lib.exp~cat $export_symbols | $SED -e "s/\(.*\)/\1;/" >> $lib.exp~echo "local: *; };" >> $lib.exp~
+	  $LD -G${allow_undefined_flag} -M $lib.exp -h $soname -o $lib $libobjs $deplibs $linker_flags~$RM $lib.exp'
+	  ;;
+	*)
+	  wlarc='${wl}'
+	  archive_cmds='$CC -G${allow_undefined_flag} -h $soname -o $lib $libobjs $deplibs $compiler_flags'
+	  archive_expsym_cmds='echo "{ global:" > $lib.exp~cat $export_symbols | $SED -e "s/\(.*\)/\1;/" >> $lib.exp~echo "local: *; };" >> $lib.exp~
+	  $CC -G${allow_undefined_flag} -M $lib.exp -h $soname -o $lib $libobjs $deplibs $compiler_flags~$RM $lib.exp'
+	  ;;
+	esac
+      fi
+      hardcode_libdir_flag_spec='-R$libdir'
+      hardcode_shlibpath_var=no
+      case $host_os in
+      solaris2.[0-5] | solaris2.[0-5].*) ;;
+      *)
+	# The compiler driver will combine and reorder linker options,
+	# but understands `-z linker_flag'.  GCC discards it without `$wl',
+	# but is careful enough not to reorder.
+	# Supported since Solaris 2.6 (maybe 2.5.1?)
+	if test "$GCC" = yes; then
+	  whole_archive_flag_spec='${wl}-z ${wl}allextract$convenience ${wl}-z ${wl}defaultextract'
+	else
+	  whole_archive_flag_spec='-z allextract$convenience -z defaultextract'
+	fi
+	;;
+      esac
+      link_all_deplibs=yes
+      ;;
+
+    sunos4*)
+      if test "x$host_vendor" = xsequent; then
+	# Use $CC to link under sequent, because it throws in some extra .o
+	# files that make .init and .fini sections work.
+	archive_cmds='$CC -G ${wl}-h $soname -o $lib $libobjs $deplibs $compiler_flags'
+      else
+	archive_cmds='$LD -assert pure-text -Bstatic -o $lib $libobjs $deplibs $linker_flags'
+      fi
+      hardcode_libdir_flag_spec='-L$libdir'
+      hardcode_direct=yes
+      hardcode_minus_L=yes
+      hardcode_shlibpath_var=no
+      ;;
+
+    sysv4)
+      case $host_vendor in
+	sni)
+	  archive_cmds='$LD -G -h $soname -o $lib $libobjs $deplibs $linker_flags'
+	  hardcode_direct=yes # is this really true???
+	;;
+	siemens)
+	  ## LD is ld it makes a PLAMLIB
+	  ## CC just makes a GrossModule.
+	  archive_cmds='$LD -G -o $lib $libobjs $deplibs $linker_flags'
+	  reload_cmds='$CC -r -o $output$reload_objs'
+	  hardcode_direct=no
+        ;;
+	motorola)
+	  archive_cmds='$LD -G -h $soname -o $lib $libobjs $deplibs $linker_flags'
+	  hardcode_direct=no #Motorola manual says yes, but my tests say they lie
+	;;
+      esac
+      runpath_var='LD_RUN_PATH'
+      hardcode_shlibpath_var=no
+      ;;
+
+    sysv4.3*)
+      archive_cmds='$LD -G -h $soname -o $lib $libobjs $deplibs $linker_flags'
+      hardcode_shlibpath_var=no
+      export_dynamic_flag_spec='-Bexport'
+      ;;
+
+    sysv4*MP*)
+      if test -d /usr/nec; then
+	archive_cmds='$LD -G -h $soname -o $lib $libobjs $deplibs $linker_flags'
+	hardcode_shlibpath_var=no
+	runpath_var=LD_RUN_PATH
+	hardcode_runpath_var=yes
+	ld_shlibs=yes
+      fi
+      ;;
+
+    sysv4*uw2* | sysv5OpenUNIX* | sysv5UnixWare7.[01].[10]* | unixware7* | sco3.2v5.0.[024]*)
+      no_undefined_flag='${wl}-z,text'
+      archive_cmds_need_lc=no
+      hardcode_shlibpath_var=no
+      runpath_var='LD_RUN_PATH'
+
+      if test "$GCC" = yes; then
+	archive_cmds='$CC -shared ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+	archive_expsym_cmds='$CC -shared ${wl}-Bexport:$export_symbols ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+      else
+	archive_cmds='$CC -G ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+	archive_expsym_cmds='$CC -G ${wl}-Bexport:$export_symbols ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+      fi
+      ;;
+
+    sysv5* | sco3.2v5* | sco5v6*)
+      # Note: We can NOT use -z defs as we might desire, because we do not
+      # link with -lc, and that would cause any symbols used from libc to
+      # always be unresolved, which means just about no library would
+      # ever link correctly.  If we're not using GNU ld we use -z text
+      # though, which does catch some bad symbols but isn't as heavy-handed
+      # as -z defs.
+      no_undefined_flag='${wl}-z,text'
+      allow_undefined_flag='${wl}-z,nodefs'
+      archive_cmds_need_lc=no
+      hardcode_shlibpath_var=no
+      hardcode_libdir_flag_spec='${wl}-R,$libdir'
+      hardcode_libdir_separator=':'
+      link_all_deplibs=yes
+      export_dynamic_flag_spec='${wl}-Bexport'
+      runpath_var='LD_RUN_PATH'
+
+      if test "$GCC" = yes; then
+	archive_cmds='$CC -shared ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+	archive_expsym_cmds='$CC -shared ${wl}-Bexport:$export_symbols ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+      else
+	archive_cmds='$CC -G ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+	archive_expsym_cmds='$CC -G ${wl}-Bexport:$export_symbols ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+      fi
+      ;;
+
+    uts4*)
+      archive_cmds='$LD -G -h $soname -o $lib $libobjs $deplibs $linker_flags'
+      hardcode_libdir_flag_spec='-L$libdir'
+      hardcode_shlibpath_var=no
+      ;;
+
+    *)
+      ld_shlibs=no
+      ;;
+    esac
+
+    if test x$host_vendor = xsni; then
+      case $host in
+      sysv4 | sysv4.2uw2* | sysv4.3* | sysv5*)
+	export_dynamic_flag_spec='${wl}-Blargedynsym'
+	;;
+      esac
+    fi
+  fi
+
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ld_shlibs" >&5
+$as_echo "$ld_shlibs" >&6; }
+test "$ld_shlibs" = no && can_build_shared=no
+
+with_gnu_ld=$with_gnu_ld
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+#
+# Do we need to explicitly link libc?
+#
+case "x$archive_cmds_need_lc" in
+x|xyes)
+  # Assume -lc should be added
+  archive_cmds_need_lc=yes
+
+  if test "$enable_shared" = yes && test "$GCC" = yes; then
+    case $archive_cmds in
+    *'~'*)
+      # FIXME: we may have to deal with multi-command sequences.
+      ;;
+    '$CC '*)
+      # Test whether the compiler implicitly links with -lc since on some
+      # systems, -lgcc has to come before -lc. If gcc already passes -lc
+      # to ld, don't add -lc before -lgcc.
+      { $as_echo "$as_me:${as_lineno-$LINENO}: checking whether -lc should be explicitly linked in" >&5
+$as_echo_n "checking whether -lc should be explicitly linked in... " >&6; }
+if ${lt_cv_archive_cmds_need_lc+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  $RM conftest*
+	echo "$lt_simple_compile_test_code" > conftest.$ac_ext
+
+	if { { eval echo "\"\$as_me\":${as_lineno-$LINENO}: \"$ac_compile\""; } >&5
+  (eval $ac_compile) 2>&5
+  ac_status=$?
+  $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
+  test $ac_status = 0; } 2>conftest.err; then
+	  soname=conftest
+	  lib=conftest
+	  libobjs=conftest.$ac_objext
+	  deplibs=
+	  wl=$lt_prog_compiler_wl
+	  pic_flag=$lt_prog_compiler_pic
+	  compiler_flags=-v
+	  linker_flags=-v
+	  verstring=
+	  output_objdir=.
+	  libname=conftest
+	  lt_save_allow_undefined_flag=$allow_undefined_flag
+	  allow_undefined_flag=
+	  if { { eval echo "\"\$as_me\":${as_lineno-$LINENO}: \"$archive_cmds 2\>\&1 \| $GREP \" -lc \" \>/dev/null 2\>\&1\""; } >&5
+  (eval $archive_cmds 2\>\&1 \| $GREP \" -lc \" \>/dev/null 2\>\&1) 2>&5
+  ac_status=$?
+  $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
+  test $ac_status = 0; }
+	  then
+	    lt_cv_archive_cmds_need_lc=no
+	  else
+	    lt_cv_archive_cmds_need_lc=yes
+	  fi
+	  allow_undefined_flag=$lt_save_allow_undefined_flag
+	else
+	  cat conftest.err 1>&5
+	fi
+	$RM conftest*
+
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $lt_cv_archive_cmds_need_lc" >&5
+$as_echo "$lt_cv_archive_cmds_need_lc" >&6; }
+      archive_cmds_need_lc=$lt_cv_archive_cmds_need_lc
+      ;;
+    esac
+  fi
+  ;;
+esac
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+  { $as_echo "$as_me:${as_lineno-$LINENO}: checking dynamic linker characteristics" >&5
+$as_echo_n "checking dynamic linker characteristics... " >&6; }
+
+if test "$GCC" = yes; then
+  case $host_os in
+    darwin*) lt_awk_arg="/^libraries:/,/LR/" ;;
+    *) lt_awk_arg="/^libraries:/" ;;
+  esac
+  case $host_os in
+    mingw* | cegcc*) lt_sed_strip_eq="s,=\([A-Za-z]:\),\1,g" ;;
+    *) lt_sed_strip_eq="s,=/,/,g" ;;
+  esac
+  lt_search_path_spec=`$CC -print-search-dirs | awk $lt_awk_arg | $SED -e "s/^libraries://" -e $lt_sed_strip_eq`
+  case $lt_search_path_spec in
+  *\;*)
+    # if the path contains ";" then we assume it to be the separator
+    # otherwise default to the standard path separator (i.e. ":") - it is
+    # assumed that no part of a normal pathname contains ";" but that should
+    # okay in the real world where ";" in dirpaths is itself problematic.
+    lt_search_path_spec=`$ECHO "$lt_search_path_spec" | $SED 's/;/ /g'`
+    ;;
+  *)
+    lt_search_path_spec=`$ECHO "$lt_search_path_spec" | $SED "s/$PATH_SEPARATOR/ /g"`
+    ;;
+  esac
+  # Ok, now we have the path, separated by spaces, we can step through it
+  # and add multilib dir if necessary.
+  lt_tmp_lt_search_path_spec=
+  lt_multi_os_dir=`$CC $CPPFLAGS $CFLAGS $LDFLAGS -print-multi-os-directory 2>/dev/null`
+  for lt_sys_path in $lt_search_path_spec; do
+    if test -d "$lt_sys_path/$lt_multi_os_dir"; then
+      lt_tmp_lt_search_path_spec="$lt_tmp_lt_search_path_spec $lt_sys_path/$lt_multi_os_dir"
+    else
+      test -d "$lt_sys_path" && \
+	lt_tmp_lt_search_path_spec="$lt_tmp_lt_search_path_spec $lt_sys_path"
+    fi
+  done
+  lt_search_path_spec=`$ECHO "$lt_tmp_lt_search_path_spec" | awk '
+BEGIN {RS=" "; FS="/|\n";} {
+  lt_foo="";
+  lt_count=0;
+  for (lt_i = NF; lt_i > 0; lt_i--) {
+    if ($lt_i != "" && $lt_i != ".") {
+      if ($lt_i == "..") {
+        lt_count++;
+      } else {
+        if (lt_count == 0) {
+          lt_foo="/" $lt_i lt_foo;
+        } else {
+          lt_count--;
+        }
+      }
+    }
+  }
+  if (lt_foo != "") { lt_freq[lt_foo]++; }
+  if (lt_freq[lt_foo] == 1) { print lt_foo; }
+}'`
+  # AWK program above erroneously prepends '/' to C:/dos/paths
+  # for these hosts.
+  case $host_os in
+    mingw* | cegcc*) lt_search_path_spec=`$ECHO "$lt_search_path_spec" |\
+      $SED 's,/\([A-Za-z]:\),\1,g'` ;;
+  esac
+  sys_lib_search_path_spec=`$ECHO "$lt_search_path_spec" | $lt_NL2SP`
+else
+  sys_lib_search_path_spec="/lib /usr/lib /usr/local/lib"
+fi
+library_names_spec=
+libname_spec='lib$name'
+soname_spec=
+shrext_cmds=".so"
+postinstall_cmds=
+postuninstall_cmds=
+finish_cmds=
+finish_eval=
+shlibpath_var=
+shlibpath_overrides_runpath=unknown
+version_type=none
+dynamic_linker="$host_os ld.so"
+sys_lib_dlsearch_path_spec="/lib /usr/lib"
+need_lib_prefix=unknown
+hardcode_into_libs=no
+
+# when you set need_version to no, make sure it does not cause -set_version
+# flags to be left without arguments
+need_version=unknown
+
+case $host_os in
+aix3*)
+  version_type=linux # correct to gnu/linux during the next big refactor
+  library_names_spec='${libname}${release}${shared_ext}$versuffix $libname.a'
+  shlibpath_var=LIBPATH
+
+  # AIX 3 has no versioning support, so we append a major version to the name.
+  soname_spec='${libname}${release}${shared_ext}$major'
+  ;;
+
+aix[4-9]*)
+  version_type=linux # correct to gnu/linux during the next big refactor
+  need_lib_prefix=no
+  need_version=no
+  hardcode_into_libs=yes
+  if test "$host_cpu" = ia64; then
+    # AIX 5 supports IA64
+    library_names_spec='${libname}${release}${shared_ext}$major ${libname}${release}${shared_ext}$versuffix $libname${shared_ext}'
+    shlibpath_var=LD_LIBRARY_PATH
+  else
+    # With GCC up to 2.95.x, collect2 would create an import file
+    # for dependence libraries.  The import file would start with
+    # the line `#! .'.  This would cause the generated library to
+    # depend on `.', always an invalid library.  This was fixed in
+    # development snapshots of GCC prior to 3.0.
+    case $host_os in
+      aix4 | aix4.[01] | aix4.[01].*)
+      if { echo '#if __GNUC__ > 2 || (__GNUC__ == 2 && __GNUC_MINOR__ >= 97)'
+	   echo ' yes '
+	   echo '#endif'; } | ${CC} -E - | $GREP yes > /dev/null; then
+	:
+      else
+	can_build_shared=no
+      fi
+      ;;
+    esac
+    # AIX (on Power*) has no versioning support, so currently we can not hardcode correct
+    # soname into executable. Probably we can add versioning support to
+    # collect2, so additional links can be useful in future.
+    if test "$aix_use_runtimelinking" = yes; then
+      # If using run time linking (on AIX 4.2 or later) use lib<name>.so
+      # instead of lib<name>.a to let people know that these are not
+      # typical AIX shared libraries.
+      library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+    else
+      # We preserve .a as extension for shared libraries through AIX4.2
+      # and later when we are not doing run time linking.
+      library_names_spec='${libname}${release}.a $libname.a'
+      soname_spec='${libname}${release}${shared_ext}$major'
+    fi
+    shlibpath_var=LIBPATH
+  fi
+  ;;
+
+amigaos*)
+  case $host_cpu in
+  powerpc)
+    # Since July 2007 AmigaOS4 officially supports .so libraries.
+    # When compiling the executable, add -use-dynld -Lsobjs: to the compileline.
+    library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+    ;;
+  m68k)
+    library_names_spec='$libname.ixlibrary $libname.a'
+    # Create ${libname}_ixlibrary.a entries in /sys/libs.
+    finish_eval='for lib in `ls $libdir/*.ixlibrary 2>/dev/null`; do libname=`func_echo_all "$lib" | $SED '\''s%^.*/\([^/]*\)\.ixlibrary$%\1%'\''`; test $RM /sys/libs/${libname}_ixlibrary.a; $show "cd /sys/libs && $LN_S $lib ${libname}_ixlibrary.a"; cd /sys/libs && $LN_S $lib ${libname}_ixlibrary.a || exit 1; done'
+    ;;
+  esac
+  ;;
+
+beos*)
+  library_names_spec='${libname}${shared_ext}'
+  dynamic_linker="$host_os ld.so"
+  shlibpath_var=LIBRARY_PATH
+  ;;
+
+bsdi[45]*)
+  version_type=linux # correct to gnu/linux during the next big refactor
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  finish_cmds='PATH="\$PATH:/sbin" ldconfig $libdir'
+  shlibpath_var=LD_LIBRARY_PATH
+  sys_lib_search_path_spec="/shlib /usr/lib /usr/X11/lib /usr/contrib/lib /lib /usr/local/lib"
+  sys_lib_dlsearch_path_spec="/shlib /usr/lib /usr/local/lib"
+  # the default ld.so.conf also contains /usr/contrib/lib and
+  # /usr/X11R6/lib (/usr/X11 is a link to /usr/X11R6), but let us allow
+  # libtool to hard-code these into programs
+  ;;
+
+cygwin* | mingw* | pw32* | cegcc*)
+  version_type=windows
+  shrext_cmds=".dll"
+  need_version=no
+  need_lib_prefix=no
+
+  case $GCC,$cc_basename in
+  yes,*)
+    # gcc
+    library_names_spec='$libname.dll.a'
+    # DLL is installed to $(libdir)/../bin by postinstall_cmds
+    postinstall_cmds='base_file=`basename \${file}`~
+      dlpath=`$SHELL 2>&1 -c '\''. $dir/'\''\${base_file}'\''i; echo \$dlname'\''`~
+      dldir=$destdir/`dirname \$dlpath`~
+      test -d \$dldir || mkdir -p \$dldir~
+      $install_prog $dir/$dlname \$dldir/$dlname~
+      chmod a+x \$dldir/$dlname~
+      if test -n '\''$stripme'\'' && test -n '\''$striplib'\''; then
+        eval '\''$striplib \$dldir/$dlname'\'' || exit \$?;
+      fi'
+    postuninstall_cmds='dldll=`$SHELL 2>&1 -c '\''. $file; echo \$dlname'\''`~
+      dlpath=$dir/\$dldll~
+       $RM \$dlpath'
+    shlibpath_overrides_runpath=yes
+
+    case $host_os in
+    cygwin*)
+      # Cygwin DLLs use 'cyg' prefix rather than 'lib'
+      soname_spec='`echo ${libname} | sed -e 's/^lib/cyg/'``echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext}'
+
+      sys_lib_search_path_spec="$sys_lib_search_path_spec /usr/lib/w32api"
+      ;;
+    mingw* | cegcc*)
+      # MinGW DLLs use traditional 'lib' prefix
+      soname_spec='${libname}`echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext}'
+      ;;
+    pw32*)
+      # pw32 DLLs use 'pw' prefix rather than 'lib'
+      library_names_spec='`echo ${libname} | sed -e 's/^lib/pw/'``echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext}'
+      ;;
+    esac
+    dynamic_linker='Win32 ld.exe'
+    ;;
+
+  *,cl*)
+    # Native MSVC
+    libname_spec='$name'
+    soname_spec='${libname}`echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext}'
+    library_names_spec='${libname}.dll.lib'
+
+    case $build_os in
+    mingw*)
+      sys_lib_search_path_spec=
+      lt_save_ifs=$IFS
+      IFS=';'
+      for lt_path in $LIB
+      do
+        IFS=$lt_save_ifs
+        # Let DOS variable expansion print the short 8.3 style file name.
+        lt_path=`cd "$lt_path" 2>/dev/null && cmd //C "for %i in (".") do @echo %~si"`
+        sys_lib_search_path_spec="$sys_lib_search_path_spec $lt_path"
+      done
+      IFS=$lt_save_ifs
+      # Convert to MSYS style.
+      sys_lib_search_path_spec=`$ECHO "$sys_lib_search_path_spec" | sed -e 's|\\\\|/|g' -e 's| \\([a-zA-Z]\\):| /\\1|g' -e 's|^ ||'`
+      ;;
+    cygwin*)
+      # Convert to unix form, then to dos form, then back to unix form
+      # but this time dos style (no spaces!) so that the unix form looks
+      # like /cygdrive/c/PROGRA~1:/cygdr...
+      sys_lib_search_path_spec=`cygpath --path --unix "$LIB"`
+      sys_lib_search_path_spec=`cygpath --path --dos "$sys_lib_search_path_spec" 2>/dev/null`
+      sys_lib_search_path_spec=`cygpath --path --unix "$sys_lib_search_path_spec" | $SED -e "s/$PATH_SEPARATOR/ /g"`
+      ;;
+    *)
+      sys_lib_search_path_spec="$LIB"
+      if $ECHO "$sys_lib_search_path_spec" | $GREP ';[c-zC-Z]:/' >/dev/null; then
+        # It is most probably a Windows format PATH.
+        sys_lib_search_path_spec=`$ECHO "$sys_lib_search_path_spec" | $SED -e 's/;/ /g'`
+      else
+        sys_lib_search_path_spec=`$ECHO "$sys_lib_search_path_spec" | $SED -e "s/$PATH_SEPARATOR/ /g"`
+      fi
+      # FIXME: find the short name or the path components, as spaces are
+      # common. (e.g. "Program Files" -> "PROGRA~1")
+      ;;
+    esac
+
+    # DLL is installed to $(libdir)/../bin by postinstall_cmds
+    postinstall_cmds='base_file=`basename \${file}`~
+      dlpath=`$SHELL 2>&1 -c '\''. $dir/'\''\${base_file}'\''i; echo \$dlname'\''`~
+      dldir=$destdir/`dirname \$dlpath`~
+      test -d \$dldir || mkdir -p \$dldir~
+      $install_prog $dir/$dlname \$dldir/$dlname'
+    postuninstall_cmds='dldll=`$SHELL 2>&1 -c '\''. $file; echo \$dlname'\''`~
+      dlpath=$dir/\$dldll~
+       $RM \$dlpath'
+    shlibpath_overrides_runpath=yes
+    dynamic_linker='Win32 link.exe'
+    ;;
+
+  *)
+    # Assume MSVC wrapper
+    library_names_spec='${libname}`echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext} $libname.lib'
+    dynamic_linker='Win32 ld.exe'
+    ;;
+  esac
+  # FIXME: first we should search . and the directory the executable is in
+  shlibpath_var=PATH
+  ;;
+
+darwin* | rhapsody*)
+  dynamic_linker="$host_os dyld"
+  version_type=darwin
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${major}$shared_ext ${libname}$shared_ext'
+  soname_spec='${libname}${release}${major}$shared_ext'
+  shlibpath_overrides_runpath=yes
+  shlibpath_var=DYLD_LIBRARY_PATH
+  shrext_cmds='`test .$module = .yes && echo .so || echo .dylib`'
+
+  sys_lib_search_path_spec="$sys_lib_search_path_spec /usr/local/lib"
+  sys_lib_dlsearch_path_spec='/usr/local/lib /lib /usr/lib'
+  ;;
+
+dgux*)
+  version_type=linux # correct to gnu/linux during the next big refactor
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname$shared_ext'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  shlibpath_var=LD_LIBRARY_PATH
+  ;;
+
+freebsd* | dragonfly*)
+  # DragonFly does not have aout.  When/if they implement a new
+  # versioning mechanism, adjust this.
+  if test -x /usr/bin/objformat; then
+    objformat=`/usr/bin/objformat`
+  else
+    case $host_os in
+    freebsd[23].*) objformat=aout ;;
+    *) objformat=elf ;;
+    esac
+  fi
+  version_type=freebsd-$objformat
+  case $version_type in
+    freebsd-elf*)
+      library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext} $libname${shared_ext}'
+      need_version=no
+      need_lib_prefix=no
+      ;;
+    freebsd-*)
+      library_names_spec='${libname}${release}${shared_ext}$versuffix $libname${shared_ext}$versuffix'
+      need_version=yes
+      ;;
+  esac
+  shlibpath_var=LD_LIBRARY_PATH
+  case $host_os in
+  freebsd2.*)
+    shlibpath_overrides_runpath=yes
+    ;;
+  freebsd3.[01]* | freebsdelf3.[01]*)
+    shlibpath_overrides_runpath=yes
+    hardcode_into_libs=yes
+    ;;
+  freebsd3.[2-9]* | freebsdelf3.[2-9]* | \
+  freebsd4.[0-5] | freebsdelf4.[0-5] | freebsd4.1.1 | freebsdelf4.1.1)
+    shlibpath_overrides_runpath=no
+    hardcode_into_libs=yes
+    ;;
+  *) # from 4.6 on, and DragonFly
+    shlibpath_overrides_runpath=yes
+    hardcode_into_libs=yes
+    ;;
+  esac
+  ;;
+
+haiku*)
+  version_type=linux # correct to gnu/linux during the next big refactor
+  need_lib_prefix=no
+  need_version=no
+  dynamic_linker="$host_os runtime_loader"
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}${major} ${libname}${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  shlibpath_var=LIBRARY_PATH
+  shlibpath_overrides_runpath=yes
+  sys_lib_dlsearch_path_spec='/boot/home/config/lib /boot/common/lib /boot/system/lib'
+  hardcode_into_libs=yes
+  ;;
+
+hpux9* | hpux10* | hpux11*)
+  # Give a soname corresponding to the major version so that dld.sl refuses to
+  # link against other versions.
+  version_type=sunos
+  need_lib_prefix=no
+  need_version=no
+  case $host_cpu in
+  ia64*)
+    shrext_cmds='.so'
+    hardcode_into_libs=yes
+    dynamic_linker="$host_os dld.so"
+    shlibpath_var=LD_LIBRARY_PATH
+    shlibpath_overrides_runpath=yes # Unless +noenvvar is specified.
+    library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+    soname_spec='${libname}${release}${shared_ext}$major'
+    if test "X$HPUX_IA64_MODE" = X32; then
+      sys_lib_search_path_spec="/usr/lib/hpux32 /usr/local/lib/hpux32 /usr/local/lib"
+    else
+      sys_lib_search_path_spec="/usr/lib/hpux64 /usr/local/lib/hpux64"
+    fi
+    sys_lib_dlsearch_path_spec=$sys_lib_search_path_spec
+    ;;
+  hppa*64*)
+    shrext_cmds='.sl'
+    hardcode_into_libs=yes
+    dynamic_linker="$host_os dld.sl"
+    shlibpath_var=LD_LIBRARY_PATH # How should we handle SHLIB_PATH
+    shlibpath_overrides_runpath=yes # Unless +noenvvar is specified.
+    library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+    soname_spec='${libname}${release}${shared_ext}$major'
+    sys_lib_search_path_spec="/usr/lib/pa20_64 /usr/ccs/lib/pa20_64"
+    sys_lib_dlsearch_path_spec=$sys_lib_search_path_spec
+    ;;
+  *)
+    shrext_cmds='.sl'
+    dynamic_linker="$host_os dld.sl"
+    shlibpath_var=SHLIB_PATH
+    shlibpath_overrides_runpath=no # +s is required to enable SHLIB_PATH
+    library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+    soname_spec='${libname}${release}${shared_ext}$major'
+    ;;
+  esac
+  # HP-UX runs *really* slowly unless shared libraries are mode 555, ...
+  postinstall_cmds='chmod 555 $lib'
+  # or fails outright, so override atomically:
+  install_override_mode=555
+  ;;
+
+interix[3-9]*)
+  version_type=linux # correct to gnu/linux during the next big refactor
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major ${libname}${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  dynamic_linker='Interix 3.x ld.so.1 (PE, like ELF)'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=no
+  hardcode_into_libs=yes
+  ;;
+
+irix5* | irix6* | nonstopux*)
+  case $host_os in
+    nonstopux*) version_type=nonstopux ;;
+    *)
+	if test "$lt_cv_prog_gnu_ld" = yes; then
+		version_type=linux # correct to gnu/linux during the next big refactor
+	else
+		version_type=irix
+	fi ;;
+  esac
+  need_lib_prefix=no
+  need_version=no
+  soname_spec='${libname}${release}${shared_ext}$major'
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major ${libname}${release}${shared_ext} $libname${shared_ext}'
+  case $host_os in
+  irix5* | nonstopux*)
+    libsuff= shlibsuff=
+    ;;
+  *)
+    case $LD in # libtool.m4 will add one of these switches to LD
+    *-32|*"-32 "|*-melf32bsmip|*"-melf32bsmip ")
+      libsuff= shlibsuff= libmagic=32-bit;;
+    *-n32|*"-n32 "|*-melf32bmipn32|*"-melf32bmipn32 ")
+      libsuff=32 shlibsuff=N32 libmagic=N32;;
+    *-64|*"-64 "|*-melf64bmip|*"-melf64bmip ")
+      libsuff=64 shlibsuff=64 libmagic=64-bit;;
+    *) libsuff= shlibsuff= libmagic=never-match;;
+    esac
+    ;;
+  esac
+  shlibpath_var=LD_LIBRARY${shlibsuff}_PATH
+  shlibpath_overrides_runpath=no
+  sys_lib_search_path_spec="/usr/lib${libsuff} /lib${libsuff} /usr/local/lib${libsuff}"
+  sys_lib_dlsearch_path_spec="/usr/lib${libsuff} /lib${libsuff}"
+  hardcode_into_libs=yes
+  ;;
+
+# No shared lib support for Linux oldld, aout, or coff.
+linux*oldld* | linux*aout* | linux*coff*)
+  dynamic_linker=no
+  ;;
+
+# This must be glibc/ELF.
+linux* | k*bsd*-gnu | kopensolaris*-gnu | gnu*)
+  version_type=linux # correct to gnu/linux during the next big refactor
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  finish_cmds='PATH="\$PATH:/sbin" ldconfig -n $libdir'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=no
+
+  # Some binutils ld are patched to set DT_RUNPATH
+  if ${lt_cv_shlibpath_overrides_runpath+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  lt_cv_shlibpath_overrides_runpath=no
+    save_LDFLAGS=$LDFLAGS
+    save_libdir=$libdir
+    eval "libdir=/foo; wl=\"$lt_prog_compiler_wl\"; \
+	 LDFLAGS=\"\$LDFLAGS $hardcode_libdir_flag_spec\""
+    cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+
+int
+main ()
+{
+
+  ;
+  return 0;
+}
+_ACEOF
+if ac_fn_c_try_link "$LINENO"; then :
+  if  ($OBJDUMP -p conftest$ac_exeext) 2>/dev/null | grep "RUNPATH.*$libdir" >/dev/null; then :
+  lt_cv_shlibpath_overrides_runpath=yes
+fi
+fi
+rm -f core conftest.err conftest.$ac_objext \
+    conftest$ac_exeext conftest.$ac_ext
+    LDFLAGS=$save_LDFLAGS
+    libdir=$save_libdir
+
+fi
+
+  shlibpath_overrides_runpath=$lt_cv_shlibpath_overrides_runpath
+
+  # This implies no fast_install, which is unacceptable.
+  # Some rework will be needed to allow for fast_install
+  # before this can be enabled.
+  hardcode_into_libs=yes
+
+  # Append ld.so.conf contents to the search path
+  if test -f /etc/ld.so.conf; then
+    lt_ld_extra=`awk '/^include / { system(sprintf("cd /etc; cat %s 2>/dev/null", \$2)); skip = 1; } { if (!skip) print \$0; skip = 0; }' < /etc/ld.so.conf | $SED -e 's/#.*//;/^[	 ]*hwcap[	 ]/d;s/[:,	]/ /g;s/=[^=]*$//;s/=[^= ]* / /g;s/"//g;/^$/d' | tr '\n' ' '`
+    sys_lib_dlsearch_path_spec="/lib /usr/lib $lt_ld_extra"
+  fi
+
+  # We used to test for /lib/ld.so.1 and disable shared libraries on
+  # powerpc, because MkLinux only supported shared libraries with the
+  # GNU dynamic linker.  Since this was broken with cross compilers,
+  # most powerpc-linux boxes support dynamic linking these days and
+  # people can always --disable-shared, the test was removed, and we
+  # assume the GNU/Linux dynamic linker is in use.
+  dynamic_linker='GNU/Linux ld.so'
+  ;;
+
+netbsdelf*-gnu)
+  version_type=linux
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major ${libname}${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=no
+  hardcode_into_libs=yes
+  dynamic_linker='NetBSD ld.elf_so'
+  ;;
+
+netbsd*)
+  version_type=sunos
+  need_lib_prefix=no
+  need_version=no
+  if echo __ELF__ | $CC -E - | $GREP __ELF__ >/dev/null; then
+    library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${shared_ext}$versuffix'
+    finish_cmds='PATH="\$PATH:/sbin" ldconfig -m $libdir'
+    dynamic_linker='NetBSD (a.out) ld.so'
+  else
+    library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major ${libname}${shared_ext}'
+    soname_spec='${libname}${release}${shared_ext}$major'
+    dynamic_linker='NetBSD ld.elf_so'
+  fi
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=yes
+  hardcode_into_libs=yes
+  ;;
+
+newsos6)
+  version_type=linux # correct to gnu/linux during the next big refactor
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=yes
+  ;;
+
+*nto* | *qnx*)
+  version_type=qnx
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=no
+  hardcode_into_libs=yes
+  dynamic_linker='ldqnx.so'
+  ;;
+
+openbsd*)
+  version_type=sunos
+  sys_lib_dlsearch_path_spec="/usr/lib"
+  need_lib_prefix=no
+  # Some older versions of OpenBSD (3.3 at least) *do* need versioned libs.
+  case $host_os in
+    openbsd3.3 | openbsd3.3.*)	need_version=yes ;;
+    *)				need_version=no  ;;
+  esac
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${shared_ext}$versuffix'
+  finish_cmds='PATH="\$PATH:/sbin" ldconfig -m $libdir'
+  shlibpath_var=LD_LIBRARY_PATH
+  if test -z "`echo __ELF__ | $CC -E - | $GREP __ELF__`" || test "$host_os-$host_cpu" = "openbsd2.8-powerpc"; then
+    case $host_os in
+      openbsd2.[89] | openbsd2.[89].*)
+	shlibpath_overrides_runpath=no
+	;;
+      *)
+	shlibpath_overrides_runpath=yes
+	;;
+      esac
+  else
+    shlibpath_overrides_runpath=yes
+  fi
+  ;;
+
+os2*)
+  libname_spec='$name'
+  shrext_cmds=".dll"
+  need_lib_prefix=no
+  library_names_spec='$libname${shared_ext} $libname.a'
+  dynamic_linker='OS/2 ld.exe'
+  shlibpath_var=LIBPATH
+  ;;
+
+osf3* | osf4* | osf5*)
+  version_type=osf
+  need_lib_prefix=no
+  need_version=no
+  soname_spec='${libname}${release}${shared_ext}$major'
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  shlibpath_var=LD_LIBRARY_PATH
+  sys_lib_search_path_spec="/usr/shlib /usr/ccs/lib /usr/lib/cmplrs/cc /usr/lib /usr/local/lib /var/shlib"
+  sys_lib_dlsearch_path_spec="$sys_lib_search_path_spec"
+  ;;
+
+rdos*)
+  dynamic_linker=no
+  ;;
+
+solaris*)
+  version_type=linux # correct to gnu/linux during the next big refactor
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=yes
+  hardcode_into_libs=yes
+  # ldd complains unless libraries are executable
+  postinstall_cmds='chmod +x $lib'
+  ;;
+
+sunos4*)
+  version_type=sunos
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${shared_ext}$versuffix'
+  finish_cmds='PATH="\$PATH:/usr/etc" ldconfig $libdir'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=yes
+  if test "$with_gnu_ld" = yes; then
+    need_lib_prefix=no
+  fi
+  need_version=yes
+  ;;
+
+sysv4 | sysv4.3*)
+  version_type=linux # correct to gnu/linux during the next big refactor
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  shlibpath_var=LD_LIBRARY_PATH
+  case $host_vendor in
+    sni)
+      shlibpath_overrides_runpath=no
+      need_lib_prefix=no
+      runpath_var=LD_RUN_PATH
+      ;;
+    siemens)
+      need_lib_prefix=no
+      ;;
+    motorola)
+      need_lib_prefix=no
+      need_version=no
+      shlibpath_overrides_runpath=no
+      sys_lib_search_path_spec='/lib /usr/lib /usr/ccs/lib'
+      ;;
+  esac
+  ;;
+
+sysv4*MP*)
+  if test -d /usr/nec ;then
+    version_type=linux # correct to gnu/linux during the next big refactor
+    library_names_spec='$libname${shared_ext}.$versuffix $libname${shared_ext}.$major $libname${shared_ext}'
+    soname_spec='$libname${shared_ext}.$major'
+    shlibpath_var=LD_LIBRARY_PATH
+  fi
+  ;;
+
+sysv5* | sco3.2v5* | sco5v6* | unixware* | OpenUNIX* | sysv4*uw2*)
+  version_type=freebsd-elf
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext} $libname${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=yes
+  hardcode_into_libs=yes
+  if test "$with_gnu_ld" = yes; then
+    sys_lib_search_path_spec='/usr/local/lib /usr/gnu/lib /usr/ccs/lib /usr/lib /lib'
+  else
+    sys_lib_search_path_spec='/usr/ccs/lib /usr/lib'
+    case $host_os in
+      sco3.2v5*)
+        sys_lib_search_path_spec="$sys_lib_search_path_spec /lib"
+	;;
+    esac
+  fi
+  sys_lib_dlsearch_path_spec='/usr/lib'
+  ;;
+
+tpf*)
+  # TPF is a cross-target only.  Preferred cross-host = GNU/Linux.
+  version_type=linux # correct to gnu/linux during the next big refactor
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=no
+  hardcode_into_libs=yes
+  ;;
+
+uts4*)
+  version_type=linux # correct to gnu/linux during the next big refactor
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  shlibpath_var=LD_LIBRARY_PATH
+  ;;
+
+*)
+  dynamic_linker=no
+  ;;
+esac
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $dynamic_linker" >&5
+$as_echo "$dynamic_linker" >&6; }
+test "$dynamic_linker" = no && can_build_shared=no
+
+variables_saved_for_relink="PATH $shlibpath_var $runpath_var"
+if test "$GCC" = yes; then
+  variables_saved_for_relink="$variables_saved_for_relink GCC_EXEC_PREFIX COMPILER_PATH LIBRARY_PATH"
+fi
+
+if test "${lt_cv_sys_lib_search_path_spec+set}" = set; then
+  sys_lib_search_path_spec="$lt_cv_sys_lib_search_path_spec"
+fi
+if test "${lt_cv_sys_lib_dlsearch_path_spec+set}" = set; then
+  sys_lib_dlsearch_path_spec="$lt_cv_sys_lib_dlsearch_path_spec"
+fi
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+  { $as_echo "$as_me:${as_lineno-$LINENO}: checking how to hardcode library paths into programs" >&5
+$as_echo_n "checking how to hardcode library paths into programs... " >&6; }
+hardcode_action=
+if test -n "$hardcode_libdir_flag_spec" ||
+   test -n "$runpath_var" ||
+   test "X$hardcode_automatic" = "Xyes" ; then
+
+  # We can hardcode non-existent directories.
+  if test "$hardcode_direct" != no &&
+     # If the only mechanism to avoid hardcoding is shlibpath_var, we
+     # have to relink, otherwise we might link with an installed library
+     # when we should be linking with a yet-to-be-installed one
+     ## test "$_LT_TAGVAR(hardcode_shlibpath_var, )" != no &&
+     test "$hardcode_minus_L" != no; then
+    # Linking always hardcodes the temporary library directory.
+    hardcode_action=relink
+  else
+    # We can link without hardcoding, and we can hardcode nonexisting dirs.
+    hardcode_action=immediate
+  fi
+else
+  # We cannot hardcode anything, or else we can only hardcode existing
+  # directories.
+  hardcode_action=unsupported
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $hardcode_action" >&5
+$as_echo "$hardcode_action" >&6; }
+
+if test "$hardcode_action" = relink ||
+   test "$inherit_rpath" = yes; then
+  # Fast installation is not supported
+  enable_fast_install=no
+elif test "$shlibpath_overrides_runpath" = yes ||
+     test "$enable_shared" = no; then
+  # Fast installation is not necessary
+  enable_fast_install=needless
+fi
+
+
+
+
+
+
+  if test "x$enable_dlopen" != xyes; then
+  enable_dlopen=unknown
+  enable_dlopen_self=unknown
+  enable_dlopen_self_static=unknown
+else
+  lt_cv_dlopen=no
+  lt_cv_dlopen_libs=
+
+  case $host_os in
+  beos*)
+    lt_cv_dlopen="load_add_on"
+    lt_cv_dlopen_libs=
+    lt_cv_dlopen_self=yes
+    ;;
+
+  mingw* | pw32* | cegcc*)
+    lt_cv_dlopen="LoadLibrary"
+    lt_cv_dlopen_libs=
+    ;;
+
+  cygwin*)
+    lt_cv_dlopen="dlopen"
+    lt_cv_dlopen_libs=
+    ;;
+
+  darwin*)
+  # if libdl is installed we need to link against it
+    { $as_echo "$as_me:${as_lineno-$LINENO}: checking for dlopen in -ldl" >&5
+$as_echo_n "checking for dlopen in -ldl... " >&6; }
+if ${ac_cv_lib_dl_dlopen+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  ac_check_lib_save_LIBS=$LIBS
+LIBS="-ldl  $LIBS"
+cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char dlopen ();
+int
+main ()
+{
+return dlopen ();
+  ;
+  return 0;
+}
+_ACEOF
+if ac_fn_c_try_link "$LINENO"; then :
+  ac_cv_lib_dl_dlopen=yes
+else
+  ac_cv_lib_dl_dlopen=no
+fi
+rm -f core conftest.err conftest.$ac_objext \
+    conftest$ac_exeext conftest.$ac_ext
+LIBS=$ac_check_lib_save_LIBS
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_lib_dl_dlopen" >&5
+$as_echo "$ac_cv_lib_dl_dlopen" >&6; }
+if test "x$ac_cv_lib_dl_dlopen" = xyes; then :
+  lt_cv_dlopen="dlopen" lt_cv_dlopen_libs="-ldl"
+else
+
+    lt_cv_dlopen="dyld"
+    lt_cv_dlopen_libs=
+    lt_cv_dlopen_self=yes
+
+fi
+
+    ;;
+
+  *)
+    ac_fn_c_check_func "$LINENO" "shl_load" "ac_cv_func_shl_load"
+if test "x$ac_cv_func_shl_load" = xyes; then :
+  lt_cv_dlopen="shl_load"
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: checking for shl_load in -ldld" >&5
+$as_echo_n "checking for shl_load in -ldld... " >&6; }
+if ${ac_cv_lib_dld_shl_load+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  ac_check_lib_save_LIBS=$LIBS
+LIBS="-ldld  $LIBS"
+cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char shl_load ();
+int
+main ()
+{
+return shl_load ();
+  ;
+  return 0;
+}
+_ACEOF
+if ac_fn_c_try_link "$LINENO"; then :
+  ac_cv_lib_dld_shl_load=yes
+else
+  ac_cv_lib_dld_shl_load=no
+fi
+rm -f core conftest.err conftest.$ac_objext \
+    conftest$ac_exeext conftest.$ac_ext
+LIBS=$ac_check_lib_save_LIBS
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_lib_dld_shl_load" >&5
+$as_echo "$ac_cv_lib_dld_shl_load" >&6; }
+if test "x$ac_cv_lib_dld_shl_load" = xyes; then :
+  lt_cv_dlopen="shl_load" lt_cv_dlopen_libs="-ldld"
+else
+  ac_fn_c_check_func "$LINENO" "dlopen" "ac_cv_func_dlopen"
+if test "x$ac_cv_func_dlopen" = xyes; then :
+  lt_cv_dlopen="dlopen"
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: checking for dlopen in -ldl" >&5
+$as_echo_n "checking for dlopen in -ldl... " >&6; }
+if ${ac_cv_lib_dl_dlopen+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  ac_check_lib_save_LIBS=$LIBS
+LIBS="-ldl  $LIBS"
+cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char dlopen ();
+int
+main ()
+{
+return dlopen ();
+  ;
+  return 0;
+}
+_ACEOF
+if ac_fn_c_try_link "$LINENO"; then :
+  ac_cv_lib_dl_dlopen=yes
+else
+  ac_cv_lib_dl_dlopen=no
+fi
+rm -f core conftest.err conftest.$ac_objext \
+    conftest$ac_exeext conftest.$ac_ext
+LIBS=$ac_check_lib_save_LIBS
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_lib_dl_dlopen" >&5
+$as_echo "$ac_cv_lib_dl_dlopen" >&6; }
+if test "x$ac_cv_lib_dl_dlopen" = xyes; then :
+  lt_cv_dlopen="dlopen" lt_cv_dlopen_libs="-ldl"
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: checking for dlopen in -lsvld" >&5
+$as_echo_n "checking for dlopen in -lsvld... " >&6; }
+if ${ac_cv_lib_svld_dlopen+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  ac_check_lib_save_LIBS=$LIBS
+LIBS="-lsvld  $LIBS"
+cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char dlopen ();
+int
+main ()
+{
+return dlopen ();
+  ;
+  return 0;
+}
+_ACEOF
+if ac_fn_c_try_link "$LINENO"; then :
+  ac_cv_lib_svld_dlopen=yes
+else
+  ac_cv_lib_svld_dlopen=no
+fi
+rm -f core conftest.err conftest.$ac_objext \
+    conftest$ac_exeext conftest.$ac_ext
+LIBS=$ac_check_lib_save_LIBS
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_lib_svld_dlopen" >&5
+$as_echo "$ac_cv_lib_svld_dlopen" >&6; }
+if test "x$ac_cv_lib_svld_dlopen" = xyes; then :
+  lt_cv_dlopen="dlopen" lt_cv_dlopen_libs="-lsvld"
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: checking for dld_link in -ldld" >&5
+$as_echo_n "checking for dld_link in -ldld... " >&6; }
+if ${ac_cv_lib_dld_dld_link+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  ac_check_lib_save_LIBS=$LIBS
+LIBS="-ldld  $LIBS"
+cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char dld_link ();
+int
+main ()
+{
+return dld_link ();
+  ;
+  return 0;
+}
+_ACEOF
+if ac_fn_c_try_link "$LINENO"; then :
+  ac_cv_lib_dld_dld_link=yes
+else
+  ac_cv_lib_dld_dld_link=no
+fi
+rm -f core conftest.err conftest.$ac_objext \
+    conftest$ac_exeext conftest.$ac_ext
+LIBS=$ac_check_lib_save_LIBS
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_lib_dld_dld_link" >&5
+$as_echo "$ac_cv_lib_dld_dld_link" >&6; }
+if test "x$ac_cv_lib_dld_dld_link" = xyes; then :
+  lt_cv_dlopen="dld_link" lt_cv_dlopen_libs="-ldld"
+fi
+
+
+fi
+
+
+fi
+
+
+fi
+
+
+fi
+
+
+fi
+
+    ;;
+  esac
+
+  if test "x$lt_cv_dlopen" != xno; then
+    enable_dlopen=yes
+  else
+    enable_dlopen=no
+  fi
+
+  case $lt_cv_dlopen in
+  dlopen)
+    save_CPPFLAGS="$CPPFLAGS"
+    test "x$ac_cv_header_dlfcn_h" = xyes && CPPFLAGS="$CPPFLAGS -DHAVE_DLFCN_H"
+
+    save_LDFLAGS="$LDFLAGS"
+    wl=$lt_prog_compiler_wl eval LDFLAGS=\"\$LDFLAGS $export_dynamic_flag_spec\"
+
+    save_LIBS="$LIBS"
+    LIBS="$lt_cv_dlopen_libs $LIBS"
+
+    { $as_echo "$as_me:${as_lineno-$LINENO}: checking whether a program can dlopen itself" >&5
+$as_echo_n "checking whether a program can dlopen itself... " >&6; }
+if ${lt_cv_dlopen_self+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  	  if test "$cross_compiling" = yes; then :
+  lt_cv_dlopen_self=cross
+else
+  lt_dlunknown=0; lt_dlno_uscore=1; lt_dlneed_uscore=2
+  lt_status=$lt_dlunknown
+  cat > conftest.$ac_ext <<_LT_EOF
+#line $LINENO "configure"
+#include "confdefs.h"
+
+#if HAVE_DLFCN_H
+#include <dlfcn.h>
+#endif
+
+#include <stdio.h>
+
+#ifdef RTLD_GLOBAL
+#  define LT_DLGLOBAL		RTLD_GLOBAL
+#else
+#  ifdef DL_GLOBAL
+#    define LT_DLGLOBAL		DL_GLOBAL
+#  else
+#    define LT_DLGLOBAL		0
+#  endif
+#endif
+
+/* We may have to define LT_DLLAZY_OR_NOW in the command line if we
+   find out it does not work in some platform. */
+#ifndef LT_DLLAZY_OR_NOW
+#  ifdef RTLD_LAZY
+#    define LT_DLLAZY_OR_NOW		RTLD_LAZY
+#  else
+#    ifdef DL_LAZY
+#      define LT_DLLAZY_OR_NOW		DL_LAZY
+#    else
+#      ifdef RTLD_NOW
+#        define LT_DLLAZY_OR_NOW	RTLD_NOW
+#      else
+#        ifdef DL_NOW
+#          define LT_DLLAZY_OR_NOW	DL_NOW
+#        else
+#          define LT_DLLAZY_OR_NOW	0
+#        endif
+#      endif
+#    endif
+#  endif
+#endif
+
+/* When -fvisbility=hidden is used, assume the code has been annotated
+   correspondingly for the symbols needed.  */
+#if defined(__GNUC__) && (((__GNUC__ == 3) && (__GNUC_MINOR__ >= 3)) || (__GNUC__ > 3))
+int fnord () __attribute__((visibility("default")));
+#endif
+
+int fnord () { return 42; }
+int main ()
+{
+  void *self = dlopen (0, LT_DLGLOBAL|LT_DLLAZY_OR_NOW);
+  int status = $lt_dlunknown;
+
+  if (self)
+    {
+      if (dlsym (self,"fnord"))       status = $lt_dlno_uscore;
+      else
+        {
+	  if (dlsym( self,"_fnord"))  status = $lt_dlneed_uscore;
+          else puts (dlerror ());
+	}
+      /* dlclose (self); */
+    }
+  else
+    puts (dlerror ());
+
+  return status;
+}
+_LT_EOF
+  if { { eval echo "\"\$as_me\":${as_lineno-$LINENO}: \"$ac_link\""; } >&5
+  (eval $ac_link) 2>&5
+  ac_status=$?
+  $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
+  test $ac_status = 0; } && test -s conftest${ac_exeext} 2>/dev/null; then
+    (./conftest; exit; ) >&5 2>/dev/null
+    lt_status=$?
+    case x$lt_status in
+      x$lt_dlno_uscore) lt_cv_dlopen_self=yes ;;
+      x$lt_dlneed_uscore) lt_cv_dlopen_self=yes ;;
+      x$lt_dlunknown|x*) lt_cv_dlopen_self=no ;;
+    esac
+  else :
+    # compilation failed
+    lt_cv_dlopen_self=no
+  fi
+fi
+rm -fr conftest*
+
+
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $lt_cv_dlopen_self" >&5
+$as_echo "$lt_cv_dlopen_self" >&6; }
+
+    if test "x$lt_cv_dlopen_self" = xyes; then
+      wl=$lt_prog_compiler_wl eval LDFLAGS=\"\$LDFLAGS $lt_prog_compiler_static\"
+      { $as_echo "$as_me:${as_lineno-$LINENO}: checking whether a statically linked program can dlopen itself" >&5
+$as_echo_n "checking whether a statically linked program can dlopen itself... " >&6; }
+if ${lt_cv_dlopen_self_static+:} false; then :
+  $as_echo_n "(cached) " >&6
+else
+  	  if test "$cross_compiling" = yes; then :
+  lt_cv_dlopen_self_static=cross
+else
+  lt_dlunknown=0; lt_dlno_uscore=1; lt_dlneed_uscore=2
+  lt_status=$lt_dlunknown
+  cat > conftest.$ac_ext <<_LT_EOF
+#line $LINENO "configure"
+#include "confdefs.h"
+
+#if HAVE_DLFCN_H
+#include <dlfcn.h>
+#endif
+
+#include <stdio.h>
+
+#ifdef RTLD_GLOBAL
+#  define LT_DLGLOBAL		RTLD_GLOBAL
+#else
+#  ifdef DL_GLOBAL
+#    define LT_DLGLOBAL		DL_GLOBAL
+#  else
+#    define LT_DLGLOBAL		0
+#  endif
+#endif
+
+/* We may have to define LT_DLLAZY_OR_NOW in the command line if we
+   find out it does not work in some platform. */
+#ifndef LT_DLLAZY_OR_NOW
+#  ifdef RTLD_LAZY
+#    define LT_DLLAZY_OR_NOW		RTLD_LAZY
+#  else
+#    ifdef DL_LAZY
+#      define LT_DLLAZY_OR_NOW		DL_LAZY
+#    else
+#      ifdef RTLD_NOW
+#        define LT_DLLAZY_OR_NOW	RTLD_NOW
+#      else
+#        ifdef DL_NOW
+#          define LT_DLLAZY_OR_NOW	DL_NOW
+#        else
+#          define LT_DLLAZY_OR_NOW	0
+#        endif
+#      endif
+#    endif
+#  endif
+#endif
+
+/* When -fvisbility=hidden is used, assume the code has been annotated
+   correspondingly for the symbols needed.  */
+#if defined(__GNUC__) && (((__GNUC__ == 3) && (__GNUC_MINOR__ >= 3)) || (__GNUC__ > 3))
+int fnord () __attribute__((visibility("default")));
+#endif
+
+int fnord () { return 42; }
+int main ()
+{
+  void *self = dlopen (0, LT_DLGLOBAL|LT_DLLAZY_OR_NOW);
+  int status = $lt_dlunknown;
+
+  if (self)
+    {
+      if (dlsym (self,"fnord"))       status = $lt_dlno_uscore;
+      else
+        {
+	  if (dlsym( self,"_fnord"))  status = $lt_dlneed_uscore;
+          else puts (dlerror ());
+	}
+      /* dlclose (self); */
+    }
+  else
+    puts (dlerror ());
+
+  return status;
+}
+_LT_EOF
+  if { { eval echo "\"\$as_me\":${as_lineno-$LINENO}: \"$ac_link\""; } >&5
+  (eval $ac_link) 2>&5
+  ac_status=$?
+  $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
+  test $ac_status = 0; } && test -s conftest${ac_exeext} 2>/dev/null; then
+    (./conftest; exit; ) >&5 2>/dev/null
+    lt_status=$?
+    case x$lt_status in
+      x$lt_dlno_uscore) lt_cv_dlopen_self_static=yes ;;
+      x$lt_dlneed_uscore) lt_cv_dlopen_self_static=yes ;;
+      x$lt_dlunknown|x*) lt_cv_dlopen_self_static=no ;;
+    esac
+  else :
+    # compilation failed
+    lt_cv_dlopen_self_static=no
+  fi
+fi
+rm -fr conftest*
+
+
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $lt_cv_dlopen_self_static" >&5
+$as_echo "$lt_cv_dlopen_self_static" >&6; }
+    fi
+
+    CPPFLAGS="$save_CPPFLAGS"
+    LDFLAGS="$save_LDFLAGS"
+    LIBS="$save_LIBS"
+    ;;
+  esac
+
+  case $lt_cv_dlopen_self in
+  yes|no) enable_dlopen_self=$lt_cv_dlopen_self ;;
+  *) enable_dlopen_self=unknown ;;
+  esac
+
+  case $lt_cv_dlopen_self_static in
+  yes|no) enable_dlopen_self_static=$lt_cv_dlopen_self_static ;;
+  *) enable_dlopen_self_static=unknown ;;
+  esac
+fi
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+striplib=
+old_striplib=
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking whether stripping libraries is possible" >&5
+$as_echo_n "checking whether stripping libraries is possible... " >&6; }
+if test -n "$STRIP" && $STRIP -V 2>&1 | $GREP "GNU strip" >/dev/null; then
+  test -z "$old_striplib" && old_striplib="$STRIP --strip-debug"
+  test -z "$striplib" && striplib="$STRIP --strip-unneeded"
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: yes" >&5
+$as_echo "yes" >&6; }
+else
+# FIXME - insert some real tests, host_os isn't really good enough
+  case $host_os in
+  darwin*)
+    if test -n "$STRIP" ; then
+      striplib="$STRIP -x"
+      old_striplib="$STRIP -S"
+      { $as_echo "$as_me:${as_lineno-$LINENO}: result: yes" >&5
+$as_echo "yes" >&6; }
+    else
+      { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+    fi
+    ;;
+  *)
+    { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+    ;;
+  esac
+fi
+
+
+
+
+
+
+
+
+
+
+
+
+  # Report which library types will actually be built
+  { $as_echo "$as_me:${as_lineno-$LINENO}: checking if libtool supports shared libraries" >&5
+$as_echo_n "checking if libtool supports shared libraries... " >&6; }
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $can_build_shared" >&5
+$as_echo "$can_build_shared" >&6; }
+
+  { $as_echo "$as_me:${as_lineno-$LINENO}: checking whether to build shared libraries" >&5
+$as_echo_n "checking whether to build shared libraries... " >&6; }
+  test "$can_build_shared" = "no" && enable_shared=no
+
+  # On AIX, shared libraries and static libraries use the same namespace, and
+  # are all built from PIC.
+  case $host_os in
+  aix3*)
+    test "$enable_shared" = yes && enable_static=no
+    if test -n "$RANLIB"; then
+      archive_cmds="$archive_cmds~\$RANLIB \$lib"
+      postinstall_cmds='$RANLIB $lib'
+    fi
+    ;;
+
+  aix[4-9]*)
+    if test "$host_cpu" != ia64 && test "$aix_use_runtimelinking" = no ; then
+      test "$enable_shared" = yes && enable_static=no
+    fi
+    ;;
+  esac
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $enable_shared" >&5
+$as_echo "$enable_shared" >&6; }
+
+  { $as_echo "$as_me:${as_lineno-$LINENO}: checking whether to build static libraries" >&5
+$as_echo_n "checking whether to build static libraries... " >&6; }
+  # Make sure either enable_shared or enable_static is yes.
+  test "$enable_shared" = yes || enable_static=yes
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $enable_static" >&5
+$as_echo "$enable_static" >&6; }
+
+
+
+
+fi
+ac_ext=c
+ac_cpp='$CPP $CPPFLAGS'
+ac_compile='$CC -c $CFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CC -o conftest$ac_exeext $CFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_c_compiler_gnu
+
+CC="$lt_save_CC"
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+        ac_config_commands="$ac_config_commands libtool"
+
+
+
+
+# Only expand once:
+
+
+
+
+
+
+
+
+ac_config_files="$ac_config_files Makefile src/Makefile"
+
+cat >confcache <<\_ACEOF
+# This file is a shell script that caches the results of configure
+# tests run on this system so they can be shared between configure
+# scripts and configure runs, see configure's option --config-cache.
+# It is not useful on other systems.  If it contains results you don't
+# want to keep, you may remove or edit it.
+#
+# config.status only pays attention to the cache file if you give it
+# the --recheck option to rerun configure.
+#
+# `ac_cv_env_foo' variables (set or unset) will be overridden when
+# loading this file, other *unset* `ac_cv_foo' will be assigned the
+# following values.
+
+_ACEOF
+
+# The following way of writing the cache mishandles newlines in values,
+# but we know of no workaround that is simple, portable, and efficient.
+# So, we kill variables containing newlines.
+# Ultrix sh set writes to stderr and can't be redirected directly,
+# and sets the high bit in the cache file unless we assign to the vars.
+(
+  for ac_var in `(set) 2>&1 | sed -n 's/^\([a-zA-Z_][a-zA-Z0-9_]*\)=.*/\1/p'`; do
+    eval ac_val=\$$ac_var
+    case $ac_val in #(
+    *${as_nl}*)
+      case $ac_var in #(
+      *_cv_*) { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: cache variable $ac_var contains a newline" >&5
+$as_echo "$as_me: WARNING: cache variable $ac_var contains a newline" >&2;} ;;
+      esac
+      case $ac_var in #(
+      _ | IFS | as_nl) ;; #(
+      BASH_ARGV | BASH_SOURCE) eval $ac_var= ;; #(
+      *) { eval $ac_var=; unset $ac_var;} ;;
+      esac ;;
+    esac
+  done
+
+  (set) 2>&1 |
+    case $as_nl`(ac_space=' '; set) 2>&1` in #(
+    *${as_nl}ac_space=\ *)
+      # `set' does not quote correctly, so add quotes: double-quote
+      # substitution turns \\\\ into \\, and sed turns \\ into \.
+      sed -n \
+	"s/'/'\\\\''/g;
+	  s/^\\([_$as_cr_alnum]*_cv_[_$as_cr_alnum]*\\)=\\(.*\\)/\\1='\\2'/p"
+      ;; #(
+    *)
+      # `set' quotes correctly as required by POSIX, so do not add quotes.
+      sed -n "/^[_$as_cr_alnum]*_cv_[_$as_cr_alnum]*=/p"
+      ;;
+    esac |
+    sort
+) |
+  sed '
+     /^ac_cv_env_/b end
+     t clear
+     :clear
+     s/^\([^=]*\)=\(.*[{}].*\)$/test "${\1+set}" = set || &/
+     t end
+     s/^\([^=]*\)=\(.*\)$/\1=${\1=\2}/
+     :end' >>confcache
+if diff "$cache_file" confcache >/dev/null 2>&1; then :; else
+  if test -w "$cache_file"; then
+    if test "x$cache_file" != "x/dev/null"; then
+      { $as_echo "$as_me:${as_lineno-$LINENO}: updating cache $cache_file" >&5
+$as_echo "$as_me: updating cache $cache_file" >&6;}
+      if test ! -f "$cache_file" || test -h "$cache_file"; then
+	cat confcache >"$cache_file"
+      else
+        case $cache_file in #(
+        */* | ?:*)
+	  mv -f confcache "$cache_file"$$ &&
+	  mv -f "$cache_file"$$ "$cache_file" ;; #(
+        *)
+	  mv -f confcache "$cache_file" ;;
+	esac
+      fi
+    fi
+  else
+    { $as_echo "$as_me:${as_lineno-$LINENO}: not updating unwritable cache $cache_file" >&5
+$as_echo "$as_me: not updating unwritable cache $cache_file" >&6;}
+  fi
+fi
+rm -f confcache
+
+test "x$prefix" = xNONE && prefix=$ac_default_prefix
+# Let make expand exec_prefix.
+test "x$exec_prefix" = xNONE && exec_prefix='${prefix}'
+
+# Transform confdefs.h into DEFS.
+# Protect against shell expansion while executing Makefile rules.
+# Protect against Makefile macro expansion.
+#
+# If the first sed substitution is executed (which looks for macros that
+# take arguments), then branch to the quote section.  Otherwise,
+# look for a macro that doesn't take arguments.
+ac_script='
+:mline
+/\\$/{
+ N
+ s,\\\n,,
+ b mline
+}
+t clear
+:clear
+s/^[	 ]*#[	 ]*define[	 ][	 ]*\([^	 (][^	 (]*([^)]*)\)[	 ]*\(.*\)/-D\1=\2/g
+t quote
+s/^[	 ]*#[	 ]*define[	 ][	 ]*\([^	 ][^	 ]*\)[	 ]*\(.*\)/-D\1=\2/g
+t quote
+b any
+:quote
+s/[	 `~#$^&*(){}\\|;'\''"<>?]/\\&/g
+s/\[/\\&/g
+s/\]/\\&/g
+s/\$/$$/g
+H
+:any
+${
+	g
+	s/^\n//
+	s/\n/ /g
+	p
+}
+'
+DEFS=`sed -n "$ac_script" confdefs.h`
+
+
+ac_libobjs=
+ac_ltlibobjs=
+U=
+for ac_i in : $LIBOBJS; do test "x$ac_i" = x: && continue
+  # 1. Remove the extension, and $U if already installed.
+  ac_script='s/\$U\././;s/\.o$//;s/\.obj$//'
+  ac_i=`$as_echo "$ac_i" | sed "$ac_script"`
+  # 2. Prepend LIBOBJDIR.  When used with automake>=1.10 LIBOBJDIR
+  #    will be set to the directory where LIBOBJS objects are built.
+  as_fn_append ac_libobjs " \${LIBOBJDIR}$ac_i\$U.$ac_objext"
+  as_fn_append ac_ltlibobjs " \${LIBOBJDIR}$ac_i"'$U.lo'
+done
+LIBOBJS=$ac_libobjs
+
+LTLIBOBJS=$ac_ltlibobjs
+
+
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking that generated files are newer than configure" >&5
+$as_echo_n "checking that generated files are newer than configure... " >&6; }
+   if test -n "$am_sleep_pid"; then
+     # Hide warnings about reused PIDs.
+     wait $am_sleep_pid 2>/dev/null
+   fi
+   { $as_echo "$as_me:${as_lineno-$LINENO}: result: done" >&5
+$as_echo "done" >&6; }
+ if test -n "$EXEEXT"; then
+  am__EXEEXT_TRUE=
+  am__EXEEXT_FALSE='#'
+else
+  am__EXEEXT_TRUE='#'
+  am__EXEEXT_FALSE=
+fi
+
+if test -z "${AMDEP_TRUE}" && test -z "${AMDEP_FALSE}"; then
+  as_fn_error $? "conditional \"AMDEP\" was never defined.
+Usually this means the macro was only invoked conditionally." "$LINENO" 5
+fi
+if test -z "${am__fastdepCC_TRUE}" && test -z "${am__fastdepCC_FALSE}"; then
+  as_fn_error $? "conditional \"am__fastdepCC\" was never defined.
+Usually this means the macro was only invoked conditionally." "$LINENO" 5
+fi
+
+: "${CONFIG_STATUS=./config.status}"
+ac_write_fail=0
+ac_clean_files_save=$ac_clean_files
+ac_clean_files="$ac_clean_files $CONFIG_STATUS"
+{ $as_echo "$as_me:${as_lineno-$LINENO}: creating $CONFIG_STATUS" >&5
+$as_echo "$as_me: creating $CONFIG_STATUS" >&6;}
+as_write_fail=0
+cat >$CONFIG_STATUS <<_ASEOF || as_write_fail=1
+#! $SHELL
+# Generated by $as_me.
+# Run this file to recreate the current configuration.
+# Compiler output produced by configure, useful for debugging
+# configure, is in config.log if it exists.
+
+debug=false
+ac_cs_recheck=false
+ac_cs_silent=false
+
+SHELL=\${CONFIG_SHELL-$SHELL}
+export SHELL
+_ASEOF
+cat >>$CONFIG_STATUS <<\_ASEOF || as_write_fail=1
+## -------------------- ##
+## M4sh Initialization. ##
+## -------------------- ##
+
+# Be more Bourne compatible
+DUALCASE=1; export DUALCASE # for MKS sh
+if test -n "${ZSH_VERSION+set}" && (emulate sh) >/dev/null 2>&1; then :
+  emulate sh
+  NULLCMD=:
+  # Pre-4.2 versions of Zsh do word splitting on ${1+"$@"}, which
+  # is contrary to our usage.  Disable this feature.
+  alias -g '${1+"$@"}'='"$@"'
+  setopt NO_GLOB_SUBST
+else
+  case `(set -o) 2>/dev/null` in #(
+  *posix*) :
+    set -o posix ;; #(
+  *) :
+     ;;
+esac
+fi
+
+
+as_nl='
+'
+export as_nl
+# Printing a long string crashes Solaris 7 /usr/bin/printf.
+as_echo='\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\'
+as_echo=$as_echo$as_echo$as_echo$as_echo$as_echo
+as_echo=$as_echo$as_echo$as_echo$as_echo$as_echo$as_echo
+# Prefer a ksh shell builtin over an external printf program on Solaris,
+# but without wasting forks for bash or zsh.
+if test -z "$BASH_VERSION$ZSH_VERSION" \
+    && (test "X`print -r -- $as_echo`" = "X$as_echo") 2>/dev/null; then
+  as_echo='print -r --'
+  as_echo_n='print -rn --'
+elif (test "X`printf %s $as_echo`" = "X$as_echo") 2>/dev/null; then
+  as_echo='printf %s\n'
+  as_echo_n='printf %s'
+else
+  if test "X`(/usr/ucb/echo -n -n $as_echo) 2>/dev/null`" = "X-n $as_echo"; then
+    as_echo_body='eval /usr/ucb/echo -n "$1$as_nl"'
+    as_echo_n='/usr/ucb/echo -n'
+  else
+    as_echo_body='eval expr "X$1" : "X\\(.*\\)"'
+    as_echo_n_body='eval
+      arg=$1;
+      case $arg in #(
+      *"$as_nl"*)
+	expr "X$arg" : "X\\(.*\\)$as_nl";
+	arg=`expr "X$arg" : ".*$as_nl\\(.*\\)"`;;
+      esac;
+      expr "X$arg" : "X\\(.*\\)" | tr -d "$as_nl"
+    '
+    export as_echo_n_body
+    as_echo_n='sh -c $as_echo_n_body as_echo'
+  fi
+  export as_echo_body
+  as_echo='sh -c $as_echo_body as_echo'
+fi
+
+# The user is always right.
+if test "${PATH_SEPARATOR+set}" != set; then
+  PATH_SEPARATOR=:
+  (PATH='/bin;/bin'; FPATH=$PATH; sh -c :) >/dev/null 2>&1 && {
+    (PATH='/bin:/bin'; FPATH=$PATH; sh -c :) >/dev/null 2>&1 ||
+      PATH_SEPARATOR=';'
+  }
+fi
+
+
+# IFS
+# We need space, tab and new line, in precisely that order.  Quoting is
+# there to prevent editors from complaining about space-tab.
+# (If _AS_PATH_WALK were called with IFS unset, it would disable word
+# splitting by setting IFS to empty value.)
+IFS=" ""	$as_nl"
+
+# Find who we are.  Look in the path if we contain no directory separator.
+as_myself=
+case $0 in #((
+  *[\\/]* ) as_myself=$0 ;;
+  *) as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    test -r "$as_dir/$0" && as_myself=$as_dir/$0 && break
+  done
+IFS=$as_save_IFS
+
+     ;;
+esac
+# We did not find ourselves, most probably we were run as `sh COMMAND'
+# in which case we are not to be found in the path.
+if test "x$as_myself" = x; then
+  as_myself=$0
+fi
+if test ! -f "$as_myself"; then
+  $as_echo "$as_myself: error: cannot find myself; rerun with an absolute file name" >&2
+  exit 1
+fi
+
+# Unset variables that we do not need and which cause bugs (e.g. in
+# pre-3.0 UWIN ksh).  But do not cause bugs in bash 2.01; the "|| exit 1"
+# suppresses any "Segmentation fault" message there.  '((' could
+# trigger a bug in pdksh 5.2.14.
+for as_var in BASH_ENV ENV MAIL MAILPATH
+do eval test x\${$as_var+set} = xset \
+  && ( (unset $as_var) || exit 1) >/dev/null 2>&1 && unset $as_var || :
+done
+PS1='$ '
+PS2='> '
+PS4='+ '
+
+# NLS nuisances.
+LC_ALL=C
+export LC_ALL
+LANGUAGE=C
+export LANGUAGE
+
+# CDPATH.
+(unset CDPATH) >/dev/null 2>&1 && unset CDPATH
+
+
+# as_fn_error STATUS ERROR [LINENO LOG_FD]
+# ----------------------------------------
+# Output "`basename $0`: error: ERROR" to stderr. If LINENO and LOG_FD are
+# provided, also output the error to LOG_FD, referencing LINENO. Then exit the
+# script with STATUS, using 1 if that was 0.
+as_fn_error ()
+{
+  as_status=$1; test $as_status -eq 0 && as_status=1
+  if test "$4"; then
+    as_lineno=${as_lineno-"$3"} as_lineno_stack=as_lineno_stack=$as_lineno_stack
+    $as_echo "$as_me:${as_lineno-$LINENO}: error: $2" >&$4
+  fi
+  $as_echo "$as_me: error: $2" >&2
+  as_fn_exit $as_status
+} # as_fn_error
+
+
+# as_fn_set_status STATUS
+# -----------------------
+# Set $? to STATUS, without forking.
+as_fn_set_status ()
+{
+  return $1
+} # as_fn_set_status
+
+# as_fn_exit STATUS
+# -----------------
+# Exit the shell with STATUS, even in a "trap 0" or "set -e" context.
+as_fn_exit ()
+{
+  set +e
+  as_fn_set_status $1
+  exit $1
+} # as_fn_exit
+
+# as_fn_unset VAR
+# ---------------
+# Portably unset VAR.
+as_fn_unset ()
+{
+  { eval $1=; unset $1;}
+}
+as_unset=as_fn_unset
+# as_fn_append VAR VALUE
+# ----------------------
+# Append the text in VALUE to the end of the definition contained in VAR. Take
+# advantage of any shell optimizations that allow amortized linear growth over
+# repeated appends, instead of the typical quadratic growth present in naive
+# implementations.
+if (eval "as_var=1; as_var+=2; test x\$as_var = x12") 2>/dev/null; then :
+  eval 'as_fn_append ()
+  {
+    eval $1+=\$2
+  }'
+else
+  as_fn_append ()
+  {
+    eval $1=\$$1\$2
+  }
+fi # as_fn_append
+
+# as_fn_arith ARG...
+# ------------------
+# Perform arithmetic evaluation on the ARGs, and store the result in the
+# global $as_val. Take advantage of shells that can avoid forks. The arguments
+# must be portable across $(()) and expr.
+if (eval "test \$(( 1 + 1 )) = 2") 2>/dev/null; then :
+  eval 'as_fn_arith ()
+  {
+    as_val=$(( $* ))
+  }'
+else
+  as_fn_arith ()
+  {
+    as_val=`expr "$@" || test $? -eq 1`
+  }
+fi # as_fn_arith
+
+
+if expr a : '\(a\)' >/dev/null 2>&1 &&
+   test "X`expr 00001 : '.*\(...\)'`" = X001; then
+  as_expr=expr
+else
+  as_expr=false
+fi
+
+if (basename -- /) >/dev/null 2>&1 && test "X`basename -- / 2>&1`" = "X/"; then
+  as_basename=basename
+else
+  as_basename=false
+fi
+
+if (as_dir=`dirname -- /` && test "X$as_dir" = X/) >/dev/null 2>&1; then
+  as_dirname=dirname
+else
+  as_dirname=false
+fi
+
+as_me=`$as_basename -- "$0" ||
+$as_expr X/"$0" : '.*/\([^/][^/]*\)/*$' \| \
+	 X"$0" : 'X\(//\)$' \| \
+	 X"$0" : 'X\(/\)' \| . 2>/dev/null ||
+$as_echo X/"$0" |
+    sed '/^.*\/\([^/][^/]*\)\/*$/{
+	    s//\1/
+	    q
+	  }
+	  /^X\/\(\/\/\)$/{
+	    s//\1/
+	    q
+	  }
+	  /^X\/\(\/\).*/{
+	    s//\1/
+	    q
+	  }
+	  s/.*/./; q'`
+
+# Avoid depending upon Character Ranges.
+as_cr_letters='abcdefghijklmnopqrstuvwxyz'
+as_cr_LETTERS='ABCDEFGHIJKLMNOPQRSTUVWXYZ'
+as_cr_Letters=$as_cr_letters$as_cr_LETTERS
+as_cr_digits='0123456789'
+as_cr_alnum=$as_cr_Letters$as_cr_digits
+
+ECHO_C= ECHO_N= ECHO_T=
+case `echo -n x` in #(((((
+-n*)
+  case `echo 'xy\c'` in
+  *c*) ECHO_T='	';;	# ECHO_T is single tab character.
+  xy)  ECHO_C='\c';;
+  *)   echo `echo ksh88 bug on AIX 6.1` > /dev/null
+       ECHO_T='	';;
+  esac;;
+*)
+  ECHO_N='-n';;
+esac
+
+rm -f conf$$ conf$$.exe conf$$.file
+if test -d conf$$.dir; then
+  rm -f conf$$.dir/conf$$.file
+else
+  rm -f conf$$.dir
+  mkdir conf$$.dir 2>/dev/null
+fi
+if (echo >conf$$.file) 2>/dev/null; then
+  if ln -s conf$$.file conf$$ 2>/dev/null; then
+    as_ln_s='ln -s'
+    # ... but there are two gotchas:
+    # 1) On MSYS, both `ln -s file dir' and `ln file dir' fail.
+    # 2) DJGPP < 2.04 has no symlinks; `ln -s' creates a wrapper executable.
+    # In both cases, we have to default to `cp -pR'.
+    ln -s conf$$.file conf$$.dir 2>/dev/null && test ! -f conf$$.exe ||
+      as_ln_s='cp -pR'
+  elif ln conf$$.file conf$$ 2>/dev/null; then
+    as_ln_s=ln
+  else
+    as_ln_s='cp -pR'
+  fi
+else
+  as_ln_s='cp -pR'
+fi
+rm -f conf$$ conf$$.exe conf$$.dir/conf$$.file conf$$.file
+rmdir conf$$.dir 2>/dev/null
+
+
+# as_fn_mkdir_p
+# -------------
+# Create "$as_dir" as a directory, including parents if necessary.
+as_fn_mkdir_p ()
+{
+
+  case $as_dir in #(
+  -*) as_dir=./$as_dir;;
+  esac
+  test -d "$as_dir" || eval $as_mkdir_p || {
+    as_dirs=
+    while :; do
+      case $as_dir in #(
+      *\'*) as_qdir=`$as_echo "$as_dir" | sed "s/'/'\\\\\\\\''/g"`;; #'(
+      *) as_qdir=$as_dir;;
+      esac
+      as_dirs="'$as_qdir' $as_dirs"
+      as_dir=`$as_dirname -- "$as_dir" ||
+$as_expr X"$as_dir" : 'X\(.*[^/]\)//*[^/][^/]*/*$' \| \
+	 X"$as_dir" : 'X\(//\)[^/]' \| \
+	 X"$as_dir" : 'X\(//\)$' \| \
+	 X"$as_dir" : 'X\(/\)' \| . 2>/dev/null ||
+$as_echo X"$as_dir" |
+    sed '/^X\(.*[^/]\)\/\/*[^/][^/]*\/*$/{
+	    s//\1/
+	    q
+	  }
+	  /^X\(\/\/\)[^/].*/{
+	    s//\1/
+	    q
+	  }
+	  /^X\(\/\/\)$/{
+	    s//\1/
+	    q
+	  }
+	  /^X\(\/\).*/{
+	    s//\1/
+	    q
+	  }
+	  s/.*/./; q'`
+      test -d "$as_dir" && break
+    done
+    test -z "$as_dirs" || eval "mkdir $as_dirs"
+  } || test -d "$as_dir" || as_fn_error $? "cannot create directory $as_dir"
+
+
+} # as_fn_mkdir_p
+if mkdir -p . 2>/dev/null; then
+  as_mkdir_p='mkdir -p "$as_dir"'
+else
+  test -d ./-p && rmdir ./-p
+  as_mkdir_p=false
+fi
+
+
+# as_fn_executable_p FILE
+# -----------------------
+# Test if FILE is an executable regular file.
+as_fn_executable_p ()
+{
+  test -f "$1" && test -x "$1"
+} # as_fn_executable_p
+as_test_x='test -x'
+as_executable_p=as_fn_executable_p
+
+# Sed expression to map a string onto a valid CPP name.
+as_tr_cpp="eval sed 'y%*$as_cr_letters%P$as_cr_LETTERS%;s%[^_$as_cr_alnum]%_%g'"
+
+# Sed expression to map a string onto a valid variable name.
+as_tr_sh="eval sed 'y%*+%pp%;s%[^_$as_cr_alnum]%_%g'"
+
+
+exec 6>&1
+## ----------------------------------- ##
+## Main body of $CONFIG_STATUS script. ##
+## ----------------------------------- ##
+_ASEOF
+test $as_write_fail = 0 && chmod +x $CONFIG_STATUS || ac_write_fail=1
+
+cat >>$CONFIG_STATUS <<\_ACEOF || ac_write_fail=1
+# Save the log message, to keep $0 and so on meaningful, and to
+# report actual input values of CONFIG_FILES etc. instead of their
+# values after options handling.
+ac_log="
+This file was extended by EXPEYES_JR $as_me 3.0.5, which was
+generated by GNU Autoconf 2.69.  Invocation command line was
+
+  CONFIG_FILES    = $CONFIG_FILES
+  CONFIG_HEADERS  = $CONFIG_HEADERS
+  CONFIG_LINKS    = $CONFIG_LINKS
+  CONFIG_COMMANDS = $CONFIG_COMMANDS
+  $ $0 $@
+
+on `(hostname || uname -n) 2>/dev/null | sed 1q`
+"
+
+_ACEOF
+
+case $ac_config_files in *"
+"*) set x $ac_config_files; shift; ac_config_files=$*;;
+esac
+
+
+
+cat >>$CONFIG_STATUS <<_ACEOF || ac_write_fail=1
+# Files that config.status was made for.
+config_files="$ac_config_files"
+config_commands="$ac_config_commands"
+
+_ACEOF
+
+cat >>$CONFIG_STATUS <<\_ACEOF || ac_write_fail=1
+ac_cs_usage="\
+\`$as_me' instantiates files and other configuration actions
+from templates according to the current configuration.  Unless the files
+and actions are specified as TAGs, all are instantiated by default.
+
+Usage: $0 [OPTION]... [TAG]...
+
+  -h, --help       print this help, then exit
+  -V, --version    print version number and configuration settings, then exit
+      --config     print configuration, then exit
+  -q, --quiet, --silent
+                   do not print progress messages
+  -d, --debug      don't remove temporary files
+      --recheck    update $as_me by reconfiguring in the same conditions
+      --file=FILE[:TEMPLATE]
+                   instantiate the configuration file FILE
+
+Configuration files:
+$config_files
+
+Configuration commands:
+$config_commands
+
+Report bugs to the package provider."
+
+_ACEOF
+cat >>$CONFIG_STATUS <<_ACEOF || ac_write_fail=1
+ac_cs_config="`$as_echo "$ac_configure_args" | sed 's/^ //; s/[\\""\`\$]/\\\\&/g'`"
+ac_cs_version="\\
+EXPEYES_JR config.status 3.0.5
+configured by $0, generated by GNU Autoconf 2.69,
+  with options \\"\$ac_cs_config\\"
+
+Copyright (C) 2012 Free Software Foundation, Inc.
+This config.status script is free software; the Free Software Foundation
+gives unlimited permission to copy, distribute and modify it."
+
+ac_pwd='$ac_pwd'
+srcdir='$srcdir'
+INSTALL='$INSTALL'
+MKDIR_P='$MKDIR_P'
+AWK='$AWK'
+test -n "\$AWK" || AWK=awk
+_ACEOF
+
+cat >>$CONFIG_STATUS <<\_ACEOF || ac_write_fail=1
+# The default lists apply if the user does not specify any file.
+ac_need_defaults=:
+while test $# != 0
+do
+  case $1 in
+  --*=?*)
+    ac_option=`expr "X$1" : 'X\([^=]*\)='`
+    ac_optarg=`expr "X$1" : 'X[^=]*=\(.*\)'`
+    ac_shift=:
+    ;;
+  --*=)
+    ac_option=`expr "X$1" : 'X\([^=]*\)='`
+    ac_optarg=
+    ac_shift=:
+    ;;
+  *)
+    ac_option=$1
+    ac_optarg=$2
+    ac_shift=shift
+    ;;
+  esac
+
+  case $ac_option in
+  # Handling of the options.
+  -recheck | --recheck | --rechec | --reche | --rech | --rec | --re | --r)
+    ac_cs_recheck=: ;;
+  --version | --versio | --versi | --vers | --ver | --ve | --v | -V )
+    $as_echo "$ac_cs_version"; exit ;;
+  --config | --confi | --conf | --con | --co | --c )
+    $as_echo "$ac_cs_config"; exit ;;
+  --debug | --debu | --deb | --de | --d | -d )
+    debug=: ;;
+  --file | --fil | --fi | --f )
+    $ac_shift
+    case $ac_optarg in
+    *\'*) ac_optarg=`$as_echo "$ac_optarg" | sed "s/'/'\\\\\\\\''/g"` ;;
+    '') as_fn_error $? "missing file argument" ;;
+    esac
+    as_fn_append CONFIG_FILES " '$ac_optarg'"
+    ac_need_defaults=false;;
+  --he | --h |  --help | --hel | -h )
+    $as_echo "$ac_cs_usage"; exit ;;
+  -q | -quiet | --quiet | --quie | --qui | --qu | --q \
+  | -silent | --silent | --silen | --sile | --sil | --si | --s)
+    ac_cs_silent=: ;;
+
+  # This is an error.
+  -*) as_fn_error $? "unrecognized option: \`$1'
+Try \`$0 --help' for more information." ;;
+
+  *) as_fn_append ac_config_targets " $1"
+     ac_need_defaults=false ;;
+
+  esac
+  shift
+done
+
+ac_configure_extra_args=
+
+if $ac_cs_silent; then
+  exec 6>/dev/null
+  ac_configure_extra_args="$ac_configure_extra_args --silent"
+fi
+
+_ACEOF
+cat >>$CONFIG_STATUS <<_ACEOF || ac_write_fail=1
+if \$ac_cs_recheck; then
+  set X $SHELL '$0' $ac_configure_args \$ac_configure_extra_args --no-create --no-recursion
+  shift
+  \$as_echo "running CONFIG_SHELL=$SHELL \$*" >&6
+  CONFIG_SHELL='$SHELL'
+  export CONFIG_SHELL
+  exec "\$@"
+fi
+
+_ACEOF
+cat >>$CONFIG_STATUS <<\_ACEOF || ac_write_fail=1
+exec 5>>config.log
+{
+  echo
+  sed 'h;s/./-/g;s/^.../## /;s/...$/ ##/;p;x;p;x' <<_ASBOX
+## Running $as_me. ##
+_ASBOX
+  $as_echo "$ac_log"
+} >&5
+
+_ACEOF
+cat >>$CONFIG_STATUS <<_ACEOF || ac_write_fail=1
+#
+# INIT-COMMANDS
+#
+AMDEP_TRUE="$AMDEP_TRUE" ac_aux_dir="$ac_aux_dir"
+
+
+# The HP-UX ksh and POSIX shell print the target directory to stdout
+# if CDPATH is set.
+(unset CDPATH) >/dev/null 2>&1 && unset CDPATH
+
+sed_quote_subst='$sed_quote_subst'
+double_quote_subst='$double_quote_subst'
+delay_variable_subst='$delay_variable_subst'
+macro_version='`$ECHO "$macro_version" | $SED "$delay_single_quote_subst"`'
+macro_revision='`$ECHO "$macro_revision" | $SED "$delay_single_quote_subst"`'
+enable_shared='`$ECHO "$enable_shared" | $SED "$delay_single_quote_subst"`'
+enable_static='`$ECHO "$enable_static" | $SED "$delay_single_quote_subst"`'
+pic_mode='`$ECHO "$pic_mode" | $SED "$delay_single_quote_subst"`'
+enable_fast_install='`$ECHO "$enable_fast_install" | $SED "$delay_single_quote_subst"`'
+SHELL='`$ECHO "$SHELL" | $SED "$delay_single_quote_subst"`'
+ECHO='`$ECHO "$ECHO" | $SED "$delay_single_quote_subst"`'
+PATH_SEPARATOR='`$ECHO "$PATH_SEPARATOR" | $SED "$delay_single_quote_subst"`'
+host_alias='`$ECHO "$host_alias" | $SED "$delay_single_quote_subst"`'
+host='`$ECHO "$host" | $SED "$delay_single_quote_subst"`'
+host_os='`$ECHO "$host_os" | $SED "$delay_single_quote_subst"`'
+build_alias='`$ECHO "$build_alias" | $SED "$delay_single_quote_subst"`'
+build='`$ECHO "$build" | $SED "$delay_single_quote_subst"`'
+build_os='`$ECHO "$build_os" | $SED "$delay_single_quote_subst"`'
+SED='`$ECHO "$SED" | $SED "$delay_single_quote_subst"`'
+Xsed='`$ECHO "$Xsed" | $SED "$delay_single_quote_subst"`'
+GREP='`$ECHO "$GREP" | $SED "$delay_single_quote_subst"`'
+EGREP='`$ECHO "$EGREP" | $SED "$delay_single_quote_subst"`'
+FGREP='`$ECHO "$FGREP" | $SED "$delay_single_quote_subst"`'
+LD='`$ECHO "$LD" | $SED "$delay_single_quote_subst"`'
+NM='`$ECHO "$NM" | $SED "$delay_single_quote_subst"`'
+LN_S='`$ECHO "$LN_S" | $SED "$delay_single_quote_subst"`'
+max_cmd_len='`$ECHO "$max_cmd_len" | $SED "$delay_single_quote_subst"`'
+ac_objext='`$ECHO "$ac_objext" | $SED "$delay_single_quote_subst"`'
+exeext='`$ECHO "$exeext" | $SED "$delay_single_quote_subst"`'
+lt_unset='`$ECHO "$lt_unset" | $SED "$delay_single_quote_subst"`'
+lt_SP2NL='`$ECHO "$lt_SP2NL" | $SED "$delay_single_quote_subst"`'
+lt_NL2SP='`$ECHO "$lt_NL2SP" | $SED "$delay_single_quote_subst"`'
+lt_cv_to_host_file_cmd='`$ECHO "$lt_cv_to_host_file_cmd" | $SED "$delay_single_quote_subst"`'
+lt_cv_to_tool_file_cmd='`$ECHO "$lt_cv_to_tool_file_cmd" | $SED "$delay_single_quote_subst"`'
+reload_flag='`$ECHO "$reload_flag" | $SED "$delay_single_quote_subst"`'
+reload_cmds='`$ECHO "$reload_cmds" | $SED "$delay_single_quote_subst"`'
+OBJDUMP='`$ECHO "$OBJDUMP" | $SED "$delay_single_quote_subst"`'
+deplibs_check_method='`$ECHO "$deplibs_check_method" | $SED "$delay_single_quote_subst"`'
+file_magic_cmd='`$ECHO "$file_magic_cmd" | $SED "$delay_single_quote_subst"`'
+file_magic_glob='`$ECHO "$file_magic_glob" | $SED "$delay_single_quote_subst"`'
+want_nocaseglob='`$ECHO "$want_nocaseglob" | $SED "$delay_single_quote_subst"`'
+DLLTOOL='`$ECHO "$DLLTOOL" | $SED "$delay_single_quote_subst"`'
+sharedlib_from_linklib_cmd='`$ECHO "$sharedlib_from_linklib_cmd" | $SED "$delay_single_quote_subst"`'
+AR='`$ECHO "$AR" | $SED "$delay_single_quote_subst"`'
+AR_FLAGS='`$ECHO "$AR_FLAGS" | $SED "$delay_single_quote_subst"`'
+archiver_list_spec='`$ECHO "$archiver_list_spec" | $SED "$delay_single_quote_subst"`'
+STRIP='`$ECHO "$STRIP" | $SED "$delay_single_quote_subst"`'
+RANLIB='`$ECHO "$RANLIB" | $SED "$delay_single_quote_subst"`'
+old_postinstall_cmds='`$ECHO "$old_postinstall_cmds" | $SED "$delay_single_quote_subst"`'
+old_postuninstall_cmds='`$ECHO "$old_postuninstall_cmds" | $SED "$delay_single_quote_subst"`'
+old_archive_cmds='`$ECHO "$old_archive_cmds" | $SED "$delay_single_quote_subst"`'
+lock_old_archive_extraction='`$ECHO "$lock_old_archive_extraction" | $SED "$delay_single_quote_subst"`'
+CC='`$ECHO "$CC" | $SED "$delay_single_quote_subst"`'
+CFLAGS='`$ECHO "$CFLAGS" | $SED "$delay_single_quote_subst"`'
+compiler='`$ECHO "$compiler" | $SED "$delay_single_quote_subst"`'
+GCC='`$ECHO "$GCC" | $SED "$delay_single_quote_subst"`'
+lt_cv_sys_global_symbol_pipe='`$ECHO "$lt_cv_sys_global_symbol_pipe" | $SED "$delay_single_quote_subst"`'
+lt_cv_sys_global_symbol_to_cdecl='`$ECHO "$lt_cv_sys_global_symbol_to_cdecl" | $SED "$delay_single_quote_subst"`'
+lt_cv_sys_global_symbol_to_c_name_address='`$ECHO "$lt_cv_sys_global_symbol_to_c_name_address" | $SED "$delay_single_quote_subst"`'
+lt_cv_sys_global_symbol_to_c_name_address_lib_prefix='`$ECHO "$lt_cv_sys_global_symbol_to_c_name_address_lib_prefix" | $SED "$delay_single_quote_subst"`'
+nm_file_list_spec='`$ECHO "$nm_file_list_spec" | $SED "$delay_single_quote_subst"`'
+lt_sysroot='`$ECHO "$lt_sysroot" | $SED "$delay_single_quote_subst"`'
+objdir='`$ECHO "$objdir" | $SED "$delay_single_quote_subst"`'
+MAGIC_CMD='`$ECHO "$MAGIC_CMD" | $SED "$delay_single_quote_subst"`'
+lt_prog_compiler_no_builtin_flag='`$ECHO "$lt_prog_compiler_no_builtin_flag" | $SED "$delay_single_quote_subst"`'
+lt_prog_compiler_pic='`$ECHO "$lt_prog_compiler_pic" | $SED "$delay_single_quote_subst"`'
+lt_prog_compiler_wl='`$ECHO "$lt_prog_compiler_wl" | $SED "$delay_single_quote_subst"`'
+lt_prog_compiler_static='`$ECHO "$lt_prog_compiler_static" | $SED "$delay_single_quote_subst"`'
+lt_cv_prog_compiler_c_o='`$ECHO "$lt_cv_prog_compiler_c_o" | $SED "$delay_single_quote_subst"`'
+need_locks='`$ECHO "$need_locks" | $SED "$delay_single_quote_subst"`'
+MANIFEST_TOOL='`$ECHO "$MANIFEST_TOOL" | $SED "$delay_single_quote_subst"`'
+DSYMUTIL='`$ECHO "$DSYMUTIL" | $SED "$delay_single_quote_subst"`'
+NMEDIT='`$ECHO "$NMEDIT" | $SED "$delay_single_quote_subst"`'
+LIPO='`$ECHO "$LIPO" | $SED "$delay_single_quote_subst"`'
+OTOOL='`$ECHO "$OTOOL" | $SED "$delay_single_quote_subst"`'
+OTOOL64='`$ECHO "$OTOOL64" | $SED "$delay_single_quote_subst"`'
+libext='`$ECHO "$libext" | $SED "$delay_single_quote_subst"`'
+shrext_cmds='`$ECHO "$shrext_cmds" | $SED "$delay_single_quote_subst"`'
+extract_expsyms_cmds='`$ECHO "$extract_expsyms_cmds" | $SED "$delay_single_quote_subst"`'
+archive_cmds_need_lc='`$ECHO "$archive_cmds_need_lc" | $SED "$delay_single_quote_subst"`'
+enable_shared_with_static_runtimes='`$ECHO "$enable_shared_with_static_runtimes" | $SED "$delay_single_quote_subst"`'
+export_dynamic_flag_spec='`$ECHO "$export_dynamic_flag_spec" | $SED "$delay_single_quote_subst"`'
+whole_archive_flag_spec='`$ECHO "$whole_archive_flag_spec" | $SED "$delay_single_quote_subst"`'
+compiler_needs_object='`$ECHO "$compiler_needs_object" | $SED "$delay_single_quote_subst"`'
+old_archive_from_new_cmds='`$ECHO "$old_archive_from_new_cmds" | $SED "$delay_single_quote_subst"`'
+old_archive_from_expsyms_cmds='`$ECHO "$old_archive_from_expsyms_cmds" | $SED "$delay_single_quote_subst"`'
+archive_cmds='`$ECHO "$archive_cmds" | $SED "$delay_single_quote_subst"`'
+archive_expsym_cmds='`$ECHO "$archive_expsym_cmds" | $SED "$delay_single_quote_subst"`'
+module_cmds='`$ECHO "$module_cmds" | $SED "$delay_single_quote_subst"`'
+module_expsym_cmds='`$ECHO "$module_expsym_cmds" | $SED "$delay_single_quote_subst"`'
+with_gnu_ld='`$ECHO "$with_gnu_ld" | $SED "$delay_single_quote_subst"`'
+allow_undefined_flag='`$ECHO "$allow_undefined_flag" | $SED "$delay_single_quote_subst"`'
+no_undefined_flag='`$ECHO "$no_undefined_flag" | $SED "$delay_single_quote_subst"`'
+hardcode_libdir_flag_spec='`$ECHO "$hardcode_libdir_flag_spec" | $SED "$delay_single_quote_subst"`'
+hardcode_libdir_separator='`$ECHO "$hardcode_libdir_separator" | $SED "$delay_single_quote_subst"`'
+hardcode_direct='`$ECHO "$hardcode_direct" | $SED "$delay_single_quote_subst"`'
+hardcode_direct_absolute='`$ECHO "$hardcode_direct_absolute" | $SED "$delay_single_quote_subst"`'
+hardcode_minus_L='`$ECHO "$hardcode_minus_L" | $SED "$delay_single_quote_subst"`'
+hardcode_shlibpath_var='`$ECHO "$hardcode_shlibpath_var" | $SED "$delay_single_quote_subst"`'
+hardcode_automatic='`$ECHO "$hardcode_automatic" | $SED "$delay_single_quote_subst"`'
+inherit_rpath='`$ECHO "$inherit_rpath" | $SED "$delay_single_quote_subst"`'
+link_all_deplibs='`$ECHO "$link_all_deplibs" | $SED "$delay_single_quote_subst"`'
+always_export_symbols='`$ECHO "$always_export_symbols" | $SED "$delay_single_quote_subst"`'
+export_symbols_cmds='`$ECHO "$export_symbols_cmds" | $SED "$delay_single_quote_subst"`'
+exclude_expsyms='`$ECHO "$exclude_expsyms" | $SED "$delay_single_quote_subst"`'
+include_expsyms='`$ECHO "$include_expsyms" | $SED "$delay_single_quote_subst"`'
+prelink_cmds='`$ECHO "$prelink_cmds" | $SED "$delay_single_quote_subst"`'
+postlink_cmds='`$ECHO "$postlink_cmds" | $SED "$delay_single_quote_subst"`'
+file_list_spec='`$ECHO "$file_list_spec" | $SED "$delay_single_quote_subst"`'
+variables_saved_for_relink='`$ECHO "$variables_saved_for_relink" | $SED "$delay_single_quote_subst"`'
+need_lib_prefix='`$ECHO "$need_lib_prefix" | $SED "$delay_single_quote_subst"`'
+need_version='`$ECHO "$need_version" | $SED "$delay_single_quote_subst"`'
+version_type='`$ECHO "$version_type" | $SED "$delay_single_quote_subst"`'
+runpath_var='`$ECHO "$runpath_var" | $SED "$delay_single_quote_subst"`'
+shlibpath_var='`$ECHO "$shlibpath_var" | $SED "$delay_single_quote_subst"`'
+shlibpath_overrides_runpath='`$ECHO "$shlibpath_overrides_runpath" | $SED "$delay_single_quote_subst"`'
+libname_spec='`$ECHO "$libname_spec" | $SED "$delay_single_quote_subst"`'
+library_names_spec='`$ECHO "$library_names_spec" | $SED "$delay_single_quote_subst"`'
+soname_spec='`$ECHO "$soname_spec" | $SED "$delay_single_quote_subst"`'
+install_override_mode='`$ECHO "$install_override_mode" | $SED "$delay_single_quote_subst"`'
+postinstall_cmds='`$ECHO "$postinstall_cmds" | $SED "$delay_single_quote_subst"`'
+postuninstall_cmds='`$ECHO "$postuninstall_cmds" | $SED "$delay_single_quote_subst"`'
+finish_cmds='`$ECHO "$finish_cmds" | $SED "$delay_single_quote_subst"`'
+finish_eval='`$ECHO "$finish_eval" | $SED "$delay_single_quote_subst"`'
+hardcode_into_libs='`$ECHO "$hardcode_into_libs" | $SED "$delay_single_quote_subst"`'
+sys_lib_search_path_spec='`$ECHO "$sys_lib_search_path_spec" | $SED "$delay_single_quote_subst"`'
+sys_lib_dlsearch_path_spec='`$ECHO "$sys_lib_dlsearch_path_spec" | $SED "$delay_single_quote_subst"`'
+hardcode_action='`$ECHO "$hardcode_action" | $SED "$delay_single_quote_subst"`'
+enable_dlopen='`$ECHO "$enable_dlopen" | $SED "$delay_single_quote_subst"`'
+enable_dlopen_self='`$ECHO "$enable_dlopen_self" | $SED "$delay_single_quote_subst"`'
+enable_dlopen_self_static='`$ECHO "$enable_dlopen_self_static" | $SED "$delay_single_quote_subst"`'
+old_striplib='`$ECHO "$old_striplib" | $SED "$delay_single_quote_subst"`'
+striplib='`$ECHO "$striplib" | $SED "$delay_single_quote_subst"`'
+
+LTCC='$LTCC'
+LTCFLAGS='$LTCFLAGS'
+compiler='$compiler_DEFAULT'
+
+# A function that is used when there is no print builtin or printf.
+func_fallback_echo ()
+{
+  eval 'cat <<_LTECHO_EOF
+\$1
+_LTECHO_EOF'
+}
+
+# Quote evaled strings.
+for var in SHELL \
+ECHO \
+PATH_SEPARATOR \
+SED \
+GREP \
+EGREP \
+FGREP \
+LD \
+NM \
+LN_S \
+lt_SP2NL \
+lt_NL2SP \
+reload_flag \
+OBJDUMP \
+deplibs_check_method \
+file_magic_cmd \
+file_magic_glob \
+want_nocaseglob \
+DLLTOOL \
+sharedlib_from_linklib_cmd \
+AR \
+AR_FLAGS \
+archiver_list_spec \
+STRIP \
+RANLIB \
+CC \
+CFLAGS \
+compiler \
+lt_cv_sys_global_symbol_pipe \
+lt_cv_sys_global_symbol_to_cdecl \
+lt_cv_sys_global_symbol_to_c_name_address \
+lt_cv_sys_global_symbol_to_c_name_address_lib_prefix \
+nm_file_list_spec \
+lt_prog_compiler_no_builtin_flag \
+lt_prog_compiler_pic \
+lt_prog_compiler_wl \
+lt_prog_compiler_static \
+lt_cv_prog_compiler_c_o \
+need_locks \
+MANIFEST_TOOL \
+DSYMUTIL \
+NMEDIT \
+LIPO \
+OTOOL \
+OTOOL64 \
+shrext_cmds \
+export_dynamic_flag_spec \
+whole_archive_flag_spec \
+compiler_needs_object \
+with_gnu_ld \
+allow_undefined_flag \
+no_undefined_flag \
+hardcode_libdir_flag_spec \
+hardcode_libdir_separator \
+exclude_expsyms \
+include_expsyms \
+file_list_spec \
+variables_saved_for_relink \
+libname_spec \
+library_names_spec \
+soname_spec \
+install_override_mode \
+finish_eval \
+old_striplib \
+striplib; do
+    case \`eval \\\\\$ECHO \\\\""\\\\\$\$var"\\\\"\` in
+    *[\\\\\\\`\\"\\\$]*)
+      eval "lt_\$var=\\\\\\"\\\`\\\$ECHO \\"\\\$\$var\\" | \\\$SED \\"\\\$sed_quote_subst\\"\\\`\\\\\\""
+      ;;
+    *)
+      eval "lt_\$var=\\\\\\"\\\$\$var\\\\\\""
+      ;;
+    esac
+done
+
+# Double-quote double-evaled strings.
+for var in reload_cmds \
+old_postinstall_cmds \
+old_postuninstall_cmds \
+old_archive_cmds \
+extract_expsyms_cmds \
+old_archive_from_new_cmds \
+old_archive_from_expsyms_cmds \
+archive_cmds \
+archive_expsym_cmds \
+module_cmds \
+module_expsym_cmds \
+export_symbols_cmds \
+prelink_cmds \
+postlink_cmds \
+postinstall_cmds \
+postuninstall_cmds \
+finish_cmds \
+sys_lib_search_path_spec \
+sys_lib_dlsearch_path_spec; do
+    case \`eval \\\\\$ECHO \\\\""\\\\\$\$var"\\\\"\` in
+    *[\\\\\\\`\\"\\\$]*)
+      eval "lt_\$var=\\\\\\"\\\`\\\$ECHO \\"\\\$\$var\\" | \\\$SED -e \\"\\\$double_quote_subst\\" -e \\"\\\$sed_quote_subst\\" -e \\"\\\$delay_variable_subst\\"\\\`\\\\\\""
+      ;;
+    *)
+      eval "lt_\$var=\\\\\\"\\\$\$var\\\\\\""
+      ;;
+    esac
+done
+
+ac_aux_dir='$ac_aux_dir'
+xsi_shell='$xsi_shell'
+lt_shell_append='$lt_shell_append'
+
+# See if we are running on zsh, and set the options which allow our
+# commands through without removal of \ escapes INIT.
+if test -n "\${ZSH_VERSION+set}" ; then
+   setopt NO_GLOB_SUBST
+fi
+
+
+    PACKAGE='$PACKAGE'
+    VERSION='$VERSION'
+    TIMESTAMP='$TIMESTAMP'
+    RM='$RM'
+    ofile='$ofile'
+
+
+
+
+_ACEOF
+
+cat >>$CONFIG_STATUS <<\_ACEOF || ac_write_fail=1
+
+# Handling of arguments.
+for ac_config_target in $ac_config_targets
+do
+  case $ac_config_target in
+    "depfiles") CONFIG_COMMANDS="$CONFIG_COMMANDS depfiles" ;;
+    "libtool") CONFIG_COMMANDS="$CONFIG_COMMANDS libtool" ;;
+    "Makefile") CONFIG_FILES="$CONFIG_FILES Makefile" ;;
+    "src/Makefile") CONFIG_FILES="$CONFIG_FILES src/Makefile" ;;
+
+  *) as_fn_error $? "invalid argument: \`$ac_config_target'" "$LINENO" 5;;
+  esac
+done
+
+
+# If the user did not use the arguments to specify the items to instantiate,
+# then the envvar interface is used.  Set only those that are not.
+# We use the long form for the default assignment because of an extremely
+# bizarre bug on SunOS 4.1.3.
+if $ac_need_defaults; then
+  test "${CONFIG_FILES+set}" = set || CONFIG_FILES=$config_files
+  test "${CONFIG_COMMANDS+set}" = set || CONFIG_COMMANDS=$config_commands
+fi
+
+# Have a temporary directory for convenience.  Make it in the build tree
+# simply because there is no reason against having it here, and in addition,
+# creating and moving files from /tmp can sometimes cause problems.
+# Hook for its removal unless debugging.
+# Note that there is a small window in which the directory will not be cleaned:
+# after its creation but before its name has been assigned to `$tmp'.
+$debug ||
+{
+  tmp= ac_tmp=
+  trap 'exit_status=$?
+  : "${ac_tmp:=$tmp}"
+  { test ! -d "$ac_tmp" || rm -fr "$ac_tmp"; } && exit $exit_status
+' 0
+  trap 'as_fn_exit 1' 1 2 13 15
+}
+# Create a (secure) tmp directory for tmp files.
+
+{
+  tmp=`(umask 077 && mktemp -d "./confXXXXXX") 2>/dev/null` &&
+  test -d "$tmp"
+}  ||
+{
+  tmp=./conf$$-$RANDOM
+  (umask 077 && mkdir "$tmp")
+} || as_fn_error $? "cannot create a temporary directory in ." "$LINENO" 5
+ac_tmp=$tmp
+
+# Set up the scripts for CONFIG_FILES section.
+# No need to generate them if there are no CONFIG_FILES.
+# This happens for instance with `./config.status config.h'.
+if test -n "$CONFIG_FILES"; then
+
+
+ac_cr=`echo X | tr X '\015'`
+# On cygwin, bash can eat \r inside `` if the user requested igncr.
+# But we know of no other shell where ac_cr would be empty at this
+# point, so we can use a bashism as a fallback.
+if test "x$ac_cr" = x; then
+  eval ac_cr=\$\'\\r\'
+fi
+ac_cs_awk_cr=`$AWK 'BEGIN { print "a\rb" }' </dev/null 2>/dev/null`
+if test "$ac_cs_awk_cr" = "a${ac_cr}b"; then
+  ac_cs_awk_cr='\\r'
+else
+  ac_cs_awk_cr=$ac_cr
+fi
+
+echo 'BEGIN {' >"$ac_tmp/subs1.awk" &&
+_ACEOF
+
+
+{
+  echo "cat >conf$$subs.awk <<_ACEOF" &&
+  echo "$ac_subst_vars" | sed 's/.*/&!$&$ac_delim/' &&
+  echo "_ACEOF"
+} >conf$$subs.sh ||
+  as_fn_error $? "could not make $CONFIG_STATUS" "$LINENO" 5
+ac_delim_num=`echo "$ac_subst_vars" | grep -c '^'`
+ac_delim='%!_!# '
+for ac_last_try in false false false false false :; do
+  . ./conf$$subs.sh ||
+    as_fn_error $? "could not make $CONFIG_STATUS" "$LINENO" 5
+
+  ac_delim_n=`sed -n "s/.*$ac_delim\$/X/p" conf$$subs.awk | grep -c X`
+  if test $ac_delim_n = $ac_delim_num; then
+    break
+  elif $ac_last_try; then
+    as_fn_error $? "could not make $CONFIG_STATUS" "$LINENO" 5
+  else
+    ac_delim="$ac_delim!$ac_delim _$ac_delim!! "
+  fi
+done
+rm -f conf$$subs.sh
+
+cat >>$CONFIG_STATUS <<_ACEOF || ac_write_fail=1
+cat >>"\$ac_tmp/subs1.awk" <<\\_ACAWK &&
+_ACEOF
+sed -n '
+h
+s/^/S["/; s/!.*/"]=/
+p
+g
+s/^[^!]*!//
+:repl
+t repl
+s/'"$ac_delim"'$//
+t delim
+:nl
+h
+s/\(.\{148\}\)..*/\1/
+t more1
+s/["\\]/\\&/g; s/^/"/; s/$/\\n"\\/
+p
+n
+b repl
+:more1
+s/["\\]/\\&/g; s/^/"/; s/$/"\\/
+p
+g
+s/.\{148\}//
+t nl
+:delim
+h
+s/\(.\{148\}\)..*/\1/
+t more2
+s/["\\]/\\&/g; s/^/"/; s/$/"/
+p
+b
+:more2
+s/["\\]/\\&/g; s/^/"/; s/$/"\\/
+p
+g
+s/.\{148\}//
+t delim
+' <conf$$subs.awk | sed '
+/^[^""]/{
+  N
+  s/\n//
+}
+' >>$CONFIG_STATUS || ac_write_fail=1
+rm -f conf$$subs.awk
+cat >>$CONFIG_STATUS <<_ACEOF || ac_write_fail=1
+_ACAWK
+cat >>"\$ac_tmp/subs1.awk" <<_ACAWK &&
+  for (key in S) S_is_set[key] = 1
+  FS = ""
+
+}
+{
+  line = $ 0
+  nfields = split(line, field, "@")
+  substed = 0
+  len = length(field[1])
+  for (i = 2; i < nfields; i++) {
+    key = field[i]
+    keylen = length(key)
+    if (S_is_set[key]) {
+      value = S[key]
+      line = substr(line, 1, len) "" value "" substr(line, len + keylen + 3)
+      len += length(value) + length(field[++i])
+      substed = 1
+    } else
+      len += 1 + keylen
+  }
+
+  print line
+}
+
+_ACAWK
+_ACEOF
+cat >>$CONFIG_STATUS <<\_ACEOF || ac_write_fail=1
+if sed "s/$ac_cr//" < /dev/null > /dev/null 2>&1; then
+  sed "s/$ac_cr\$//; s/$ac_cr/$ac_cs_awk_cr/g"
+else
+  cat
+fi < "$ac_tmp/subs1.awk" > "$ac_tmp/subs.awk" \
+  || as_fn_error $? "could not setup config files machinery" "$LINENO" 5
+_ACEOF
+
+# VPATH may cause trouble with some makes, so we remove sole $(srcdir),
+# ${srcdir} and @srcdir@ entries from VPATH if srcdir is ".", strip leading and
+# trailing colons and then remove the whole line if VPATH becomes empty
+# (actually we leave an empty line to preserve line numbers).
+if test "x$srcdir" = x.; then
+  ac_vpsub='/^[	 ]*VPATH[	 ]*=[	 ]*/{
+h
+s///
+s/^/:/
+s/[	 ]*$/:/
+s/:\$(srcdir):/:/g
+s/:\${srcdir}:/:/g
+s/:@srcdir@:/:/g
+s/^:*//
+s/:*$//
+x
+s/\(=[	 ]*\).*/\1/
+G
+s/\n//
+s/^[^=]*=[	 ]*$//
+}'
+fi
+
+cat >>$CONFIG_STATUS <<\_ACEOF || ac_write_fail=1
+fi # test -n "$CONFIG_FILES"
+
+
+eval set X "  :F $CONFIG_FILES      :C $CONFIG_COMMANDS"
+shift
+for ac_tag
+do
+  case $ac_tag in
+  :[FHLC]) ac_mode=$ac_tag; continue;;
+  esac
+  case $ac_mode$ac_tag in
+  :[FHL]*:*);;
+  :L* | :C*:*) as_fn_error $? "invalid tag \`$ac_tag'" "$LINENO" 5;;
+  :[FH]-) ac_tag=-:-;;
+  :[FH]*) ac_tag=$ac_tag:$ac_tag.in;;
+  esac
+  ac_save_IFS=$IFS
+  IFS=:
+  set x $ac_tag
+  IFS=$ac_save_IFS
+  shift
+  ac_file=$1
+  shift
+
+  case $ac_mode in
+  :L) ac_source=$1;;
+  :[FH])
+    ac_file_inputs=
+    for ac_f
+    do
+      case $ac_f in
+      -) ac_f="$ac_tmp/stdin";;
+      *) # Look for the file first in the build tree, then in the source tree
+	 # (if the path is not absolute).  The absolute path cannot be DOS-style,
+	 # because $ac_f cannot contain `:'.
+	 test -f "$ac_f" ||
+	   case $ac_f in
+	   [\\/$]*) false;;
+	   *) test -f "$srcdir/$ac_f" && ac_f="$srcdir/$ac_f";;
+	   esac ||
+	   as_fn_error 1 "cannot find input file: \`$ac_f'" "$LINENO" 5;;
+      esac
+      case $ac_f in *\'*) ac_f=`$as_echo "$ac_f" | sed "s/'/'\\\\\\\\''/g"`;; esac
+      as_fn_append ac_file_inputs " '$ac_f'"
+    done
+
+    # Let's still pretend it is `configure' which instantiates (i.e., don't
+    # use $as_me), people would be surprised to read:
+    #    /* config.h.  Generated by config.status.  */
+    configure_input='Generated from '`
+	  $as_echo "$*" | sed 's|^[^:]*/||;s|:[^:]*/|, |g'
+	`' by configure.'
+    if test x"$ac_file" != x-; then
+      configure_input="$ac_file.  $configure_input"
+      { $as_echo "$as_me:${as_lineno-$LINENO}: creating $ac_file" >&5
+$as_echo "$as_me: creating $ac_file" >&6;}
+    fi
+    # Neutralize special characters interpreted by sed in replacement strings.
+    case $configure_input in #(
+    *\&* | *\|* | *\\* )
+       ac_sed_conf_input=`$as_echo "$configure_input" |
+       sed 's/[\\\\&|]/\\\\&/g'`;; #(
+    *) ac_sed_conf_input=$configure_input;;
+    esac
+
+    case $ac_tag in
+    *:-:* | *:-) cat >"$ac_tmp/stdin" \
+      || as_fn_error $? "could not create $ac_file" "$LINENO" 5 ;;
+    esac
+    ;;
+  esac
+
+  ac_dir=`$as_dirname -- "$ac_file" ||
+$as_expr X"$ac_file" : 'X\(.*[^/]\)//*[^/][^/]*/*$' \| \
+	 X"$ac_file" : 'X\(//\)[^/]' \| \
+	 X"$ac_file" : 'X\(//\)$' \| \
+	 X"$ac_file" : 'X\(/\)' \| . 2>/dev/null ||
+$as_echo X"$ac_file" |
+    sed '/^X\(.*[^/]\)\/\/*[^/][^/]*\/*$/{
+	    s//\1/
+	    q
+	  }
+	  /^X\(\/\/\)[^/].*/{
+	    s//\1/
+	    q
+	  }
+	  /^X\(\/\/\)$/{
+	    s//\1/
+	    q
+	  }
+	  /^X\(\/\).*/{
+	    s//\1/
+	    q
+	  }
+	  s/.*/./; q'`
+  as_dir="$ac_dir"; as_fn_mkdir_p
+  ac_builddir=.
+
+case "$ac_dir" in
+.) ac_dir_suffix= ac_top_builddir_sub=. ac_top_build_prefix= ;;
+*)
+  ac_dir_suffix=/`$as_echo "$ac_dir" | sed 's|^\.[\\/]||'`
+  # A ".." for each directory in $ac_dir_suffix.
+  ac_top_builddir_sub=`$as_echo "$ac_dir_suffix" | sed 's|/[^\\/]*|/..|g;s|/||'`
+  case $ac_top_builddir_sub in
+  "") ac_top_builddir_sub=. ac_top_build_prefix= ;;
+  *)  ac_top_build_prefix=$ac_top_builddir_sub/ ;;
+  esac ;;
+esac
+ac_abs_top_builddir=$ac_pwd
+ac_abs_builddir=$ac_pwd$ac_dir_suffix
+# for backward compatibility:
+ac_top_builddir=$ac_top_build_prefix
+
+case $srcdir in
+  .)  # We are building in place.
+    ac_srcdir=.
+    ac_top_srcdir=$ac_top_builddir_sub
+    ac_abs_top_srcdir=$ac_pwd ;;
+  [\\/]* | ?:[\\/]* )  # Absolute name.
+    ac_srcdir=$srcdir$ac_dir_suffix;
+    ac_top_srcdir=$srcdir
+    ac_abs_top_srcdir=$srcdir ;;
+  *) # Relative name.
+    ac_srcdir=$ac_top_build_prefix$srcdir$ac_dir_suffix
+    ac_top_srcdir=$ac_top_build_prefix$srcdir
+    ac_abs_top_srcdir=$ac_pwd/$srcdir ;;
+esac
+ac_abs_srcdir=$ac_abs_top_srcdir$ac_dir_suffix
+
+
+  case $ac_mode in
+  :F)
+  #
+  # CONFIG_FILE
+  #
+
+  case $INSTALL in
+  [\\/$]* | ?:[\\/]* ) ac_INSTALL=$INSTALL ;;
+  *) ac_INSTALL=$ac_top_build_prefix$INSTALL ;;
+  esac
+  ac_MKDIR_P=$MKDIR_P
+  case $MKDIR_P in
+  [\\/$]* | ?:[\\/]* ) ;;
+  */*) ac_MKDIR_P=$ac_top_build_prefix$MKDIR_P ;;
+  esac
+_ACEOF
+
+cat >>$CONFIG_STATUS <<\_ACEOF || ac_write_fail=1
+# If the template does not know about datarootdir, expand it.
+# FIXME: This hack should be removed a few years after 2.60.
+ac_datarootdir_hack=; ac_datarootdir_seen=
+ac_sed_dataroot='
+/datarootdir/ {
+  p
+  q
+}
+/@datadir@/p
+/@docdir@/p
+/@infodir@/p
+/@localedir@/p
+/@mandir@/p'
+case `eval "sed -n \"\$ac_sed_dataroot\" $ac_file_inputs"` in
+*datarootdir*) ac_datarootdir_seen=yes;;
+*@datadir@*|*@docdir@*|*@infodir@*|*@localedir@*|*@mandir@*)
+  { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: $ac_file_inputs seems to ignore the --datarootdir setting" >&5
+$as_echo "$as_me: WARNING: $ac_file_inputs seems to ignore the --datarootdir setting" >&2;}
+_ACEOF
+cat >>$CONFIG_STATUS <<_ACEOF || ac_write_fail=1
+  ac_datarootdir_hack='
+  s&@datadir@&$datadir&g
+  s&@docdir@&$docdir&g
+  s&@infodir@&$infodir&g
+  s&@localedir@&$localedir&g
+  s&@mandir@&$mandir&g
+  s&\\\${datarootdir}&$datarootdir&g' ;;
+esac
+_ACEOF
+
+# Neutralize VPATH when `$srcdir' = `.'.
+# Shell code in configure.ac might set extrasub.
+# FIXME: do we really want to maintain this feature?
+cat >>$CONFIG_STATUS <<_ACEOF || ac_write_fail=1
+ac_sed_extra="$ac_vpsub
+$extrasub
+_ACEOF
+cat >>$CONFIG_STATUS <<\_ACEOF || ac_write_fail=1
+:t
+/@[a-zA-Z_][a-zA-Z_0-9]*@/!b
+s|@configure_input@|$ac_sed_conf_input|;t t
+s&@top_builddir@&$ac_top_builddir_sub&;t t
+s&@top_build_prefix@&$ac_top_build_prefix&;t t
+s&@srcdir@&$ac_srcdir&;t t
+s&@abs_srcdir@&$ac_abs_srcdir&;t t
+s&@top_srcdir@&$ac_top_srcdir&;t t
+s&@abs_top_srcdir@&$ac_abs_top_srcdir&;t t
+s&@builddir@&$ac_builddir&;t t
+s&@abs_builddir@&$ac_abs_builddir&;t t
+s&@abs_top_builddir@&$ac_abs_top_builddir&;t t
+s&@INSTALL@&$ac_INSTALL&;t t
+s&@MKDIR_P@&$ac_MKDIR_P&;t t
+$ac_datarootdir_hack
+"
+eval sed \"\$ac_sed_extra\" "$ac_file_inputs" | $AWK -f "$ac_tmp/subs.awk" \
+  >$ac_tmp/out || as_fn_error $? "could not create $ac_file" "$LINENO" 5
+
+test -z "$ac_datarootdir_hack$ac_datarootdir_seen" &&
+  { ac_out=`sed -n '/\${datarootdir}/p' "$ac_tmp/out"`; test -n "$ac_out"; } &&
+  { ac_out=`sed -n '/^[	 ]*datarootdir[	 ]*:*=/p' \
+      "$ac_tmp/out"`; test -z "$ac_out"; } &&
+  { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: $ac_file contains a reference to the variable \`datarootdir'
+which seems to be undefined.  Please make sure it is defined" >&5
+$as_echo "$as_me: WARNING: $ac_file contains a reference to the variable \`datarootdir'
+which seems to be undefined.  Please make sure it is defined" >&2;}
+
+  rm -f "$ac_tmp/stdin"
+  case $ac_file in
+  -) cat "$ac_tmp/out" && rm -f "$ac_tmp/out";;
+  *) rm -f "$ac_file" && mv "$ac_tmp/out" "$ac_file";;
+  esac \
+  || as_fn_error $? "could not create $ac_file" "$LINENO" 5
+ ;;
+
+
+  :C)  { $as_echo "$as_me:${as_lineno-$LINENO}: executing $ac_file commands" >&5
+$as_echo "$as_me: executing $ac_file commands" >&6;}
+ ;;
+  esac
+
+
+  case $ac_file$ac_mode in
+    "depfiles":C) test x"$AMDEP_TRUE" != x"" || {
+  # Older Autoconf quotes --file arguments for eval, but not when files
+  # are listed without --file.  Let's play safe and only enable the eval
+  # if we detect the quoting.
+  case $CONFIG_FILES in
+  *\'*) eval set x "$CONFIG_FILES" ;;
+  *)   set x $CONFIG_FILES ;;
+  esac
+  shift
+  for mf
+  do
+    # Strip MF so we end up with the name of the file.
+    mf=`echo "$mf" | sed -e 's/:.*$//'`
+    # Check whether this is an Automake generated Makefile or not.
+    # We used to match only the files named 'Makefile.in', but
+    # some people rename them; so instead we look at the file content.
+    # Grep'ing the first line is not enough: some people post-process
+    # each Makefile.in and add a new line on top of each file to say so.
+    # Grep'ing the whole file is not good either: AIX grep has a line
+    # limit of 2048, but all sed's we know have understand at least 4000.
+    if sed -n 's,^#.*generated by automake.*,X,p' "$mf" | grep X >/dev/null 2>&1; then
+      dirpart=`$as_dirname -- "$mf" ||
+$as_expr X"$mf" : 'X\(.*[^/]\)//*[^/][^/]*/*$' \| \
+	 X"$mf" : 'X\(//\)[^/]' \| \
+	 X"$mf" : 'X\(//\)$' \| \
+	 X"$mf" : 'X\(/\)' \| . 2>/dev/null ||
+$as_echo X"$mf" |
+    sed '/^X\(.*[^/]\)\/\/*[^/][^/]*\/*$/{
+	    s//\1/
+	    q
+	  }
+	  /^X\(\/\/\)[^/].*/{
+	    s//\1/
+	    q
+	  }
+	  /^X\(\/\/\)$/{
+	    s//\1/
+	    q
+	  }
+	  /^X\(\/\).*/{
+	    s//\1/
+	    q
+	  }
+	  s/.*/./; q'`
+    else
+      continue
+    fi
+    # Extract the definition of DEPDIR, am__include, and am__quote
+    # from the Makefile without running 'make'.
+    DEPDIR=`sed -n 's/^DEPDIR = //p' < "$mf"`
+    test -z "$DEPDIR" && continue
+    am__include=`sed -n 's/^am__include = //p' < "$mf"`
+    test -z "$am__include" && continue
+    am__quote=`sed -n 's/^am__quote = //p' < "$mf"`
+    # Find all dependency output files, they are included files with
+    # $(DEPDIR) in their names.  We invoke sed twice because it is the
+    # simplest approach to changing $(DEPDIR) to its actual value in the
+    # expansion.
+    for file in `sed -n "
+      s/^$am__include $am__quote\(.*(DEPDIR).*\)$am__quote"'$/\1/p' <"$mf" | \
+	 sed -e 's/\$(DEPDIR)/'"$DEPDIR"'/g'`; do
+      # Make sure the directory exists.
+      test -f "$dirpart/$file" && continue
+      fdir=`$as_dirname -- "$file" ||
+$as_expr X"$file" : 'X\(.*[^/]\)//*[^/][^/]*/*$' \| \
+	 X"$file" : 'X\(//\)[^/]' \| \
+	 X"$file" : 'X\(//\)$' \| \
+	 X"$file" : 'X\(/\)' \| . 2>/dev/null ||
+$as_echo X"$file" |
+    sed '/^X\(.*[^/]\)\/\/*[^/][^/]*\/*$/{
+	    s//\1/
+	    q
+	  }
+	  /^X\(\/\/\)[^/].*/{
+	    s//\1/
+	    q
+	  }
+	  /^X\(\/\/\)$/{
+	    s//\1/
+	    q
+	  }
+	  /^X\(\/\).*/{
+	    s//\1/
+	    q
+	  }
+	  s/.*/./; q'`
+      as_dir=$dirpart/$fdir; as_fn_mkdir_p
+      # echo "creating $dirpart/$file"
+      echo '# dummy' > "$dirpart/$file"
+    done
+  done
+}
+ ;;
+    "libtool":C)
+
+    # See if we are running on zsh, and set the options which allow our
+    # commands through without removal of \ escapes.
+    if test -n "${ZSH_VERSION+set}" ; then
+      setopt NO_GLOB_SUBST
+    fi
+
+    cfgfile="${ofile}T"
+    trap "$RM \"$cfgfile\"; exit 1" 1 2 15
+    $RM "$cfgfile"
+
+    cat <<_LT_EOF >> "$cfgfile"
+#! $SHELL
+
+# `$ECHO "$ofile" | sed 's%^.*/%%'` - Provide generalized library-building support services.
+# Generated automatically by $as_me ($PACKAGE$TIMESTAMP) $VERSION
+# Libtool was configured on host `(hostname || uname -n) 2>/dev/null | sed 1q`:
+# NOTE: Changes made to this file will be lost: look at ltmain.sh.
+#
+#   Copyright (C) 1996, 1997, 1998, 1999, 2000, 2001, 2003, 2004, 2005,
+#                 2006, 2007, 2008, 2009, 2010, 2011 Free Software
+#                 Foundation, Inc.
+#   Written by Gordon Matzigkeit, 1996
+#
+#   This file is part of GNU Libtool.
+#
+# GNU Libtool is free software; you can redistribute it and/or
+# modify it under the terms of the GNU General Public License as
+# published by the Free Software Foundation; either version 2 of
+# the License, or (at your option) any later version.
+#
+# As a special exception to the GNU General Public License,
+# if you distribute this file as part of a program or library that
+# is built using GNU Libtool, you may include this file under the
+# same distribution terms that you use for the rest of that program.
+#
+# GNU Libtool is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+#
+# You should have received a copy of the GNU General Public License
+# along with GNU Libtool; see the file COPYING.  If not, a copy
+# can be downloaded from http://www.gnu.org/licenses/gpl.html, or
+# obtained by writing to the Free Software Foundation, Inc.,
+# 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301, USA.
+
+
+# The names of the tagged configurations supported by this script.
+available_tags=""
+
+# ### BEGIN LIBTOOL CONFIG
+
+# Which release of libtool.m4 was used?
+macro_version=$macro_version
+macro_revision=$macro_revision
+
+# Whether or not to build shared libraries.
+build_libtool_libs=$enable_shared
+
+# Whether or not to build static libraries.
+build_old_libs=$enable_static
+
+# What type of objects to build.
+pic_mode=$pic_mode
+
+# Whether or not to optimize for fast installation.
+fast_install=$enable_fast_install
+
+# Shell to use when invoking shell scripts.
+SHELL=$lt_SHELL
+
+# An echo program that protects backslashes.
+ECHO=$lt_ECHO
+
+# The PATH separator for the build system.
+PATH_SEPARATOR=$lt_PATH_SEPARATOR
+
+# The host system.
+host_alias=$host_alias
+host=$host
+host_os=$host_os
+
+# The build system.
+build_alias=$build_alias
+build=$build
+build_os=$build_os
+
+# A sed program that does not truncate output.
+SED=$lt_SED
+
+# Sed that helps us avoid accidentally triggering echo(1) options like -n.
+Xsed="\$SED -e 1s/^X//"
+
+# A grep program that handles long lines.
+GREP=$lt_GREP
+
+# An ERE matcher.
+EGREP=$lt_EGREP
+
+# A literal string matcher.
+FGREP=$lt_FGREP
+
+# A BSD- or MS-compatible name lister.
+NM=$lt_NM
+
+# Whether we need soft or hard links.
+LN_S=$lt_LN_S
+
+# What is the maximum length of a command?
+max_cmd_len=$max_cmd_len
+
+# Object file suffix (normally "o").
+objext=$ac_objext
+
+# Executable file suffix (normally "").
+exeext=$exeext
+
+# whether the shell understands "unset".
+lt_unset=$lt_unset
+
+# turn spaces into newlines.
+SP2NL=$lt_lt_SP2NL
+
+# turn newlines into spaces.
+NL2SP=$lt_lt_NL2SP
+
+# convert \$build file names to \$host format.
+to_host_file_cmd=$lt_cv_to_host_file_cmd
+
+# convert \$build files to toolchain format.
+to_tool_file_cmd=$lt_cv_to_tool_file_cmd
+
+# An object symbol dumper.
+OBJDUMP=$lt_OBJDUMP
+
+# Method to check whether dependent libraries are shared objects.
+deplibs_check_method=$lt_deplibs_check_method
+
+# Command to use when deplibs_check_method = "file_magic".
+file_magic_cmd=$lt_file_magic_cmd
+
+# How to find potential files when deplibs_check_method = "file_magic".
+file_magic_glob=$lt_file_magic_glob
+
+# Find potential files using nocaseglob when deplibs_check_method = "file_magic".
+want_nocaseglob=$lt_want_nocaseglob
+
+# DLL creation program.
+DLLTOOL=$lt_DLLTOOL
+
+# Command to associate shared and link libraries.
+sharedlib_from_linklib_cmd=$lt_sharedlib_from_linklib_cmd
+
+# The archiver.
+AR=$lt_AR
+
+# Flags to create an archive.
+AR_FLAGS=$lt_AR_FLAGS
+
+# How to feed a file listing to the archiver.
+archiver_list_spec=$lt_archiver_list_spec
+
+# A symbol stripping program.
+STRIP=$lt_STRIP
+
+# Commands used to install an old-style archive.
+RANLIB=$lt_RANLIB
+old_postinstall_cmds=$lt_old_postinstall_cmds
+old_postuninstall_cmds=$lt_old_postuninstall_cmds
+
+# Whether to use a lock for old archive extraction.
+lock_old_archive_extraction=$lock_old_archive_extraction
+
+# A C compiler.
+LTCC=$lt_CC
+
+# LTCC compiler flags.
+LTCFLAGS=$lt_CFLAGS
+
+# Take the output of nm and produce a listing of raw symbols and C names.
+global_symbol_pipe=$lt_lt_cv_sys_global_symbol_pipe
+
+# Transform the output of nm in a proper C declaration.
+global_symbol_to_cdecl=$lt_lt_cv_sys_global_symbol_to_cdecl
+
+# Transform the output of nm in a C name address pair.
+global_symbol_to_c_name_address=$lt_lt_cv_sys_global_symbol_to_c_name_address
+
+# Transform the output of nm in a C name address pair when lib prefix is needed.
+global_symbol_to_c_name_address_lib_prefix=$lt_lt_cv_sys_global_symbol_to_c_name_address_lib_prefix
+
+# Specify filename containing input files for \$NM.
+nm_file_list_spec=$lt_nm_file_list_spec
+
+# The root where to search for dependent libraries,and in which our libraries should be installed.
+lt_sysroot=$lt_sysroot
+
+# The name of the directory that contains temporary libtool files.
+objdir=$objdir
+
+# Used to examine libraries when file_magic_cmd begins with "file".
+MAGIC_CMD=$MAGIC_CMD
+
+# Must we lock files when doing compilation?
+need_locks=$lt_need_locks
+
+# Manifest tool.
+MANIFEST_TOOL=$lt_MANIFEST_TOOL
+
+# Tool to manipulate archived DWARF debug symbol files on Mac OS X.
+DSYMUTIL=$lt_DSYMUTIL
+
+# Tool to change global to local symbols on Mac OS X.
+NMEDIT=$lt_NMEDIT
+
+# Tool to manipulate fat objects and archives on Mac OS X.
+LIPO=$lt_LIPO
+
+# ldd/readelf like tool for Mach-O binaries on Mac OS X.
+OTOOL=$lt_OTOOL
+
+# ldd/readelf like tool for 64 bit Mach-O binaries on Mac OS X 10.4.
+OTOOL64=$lt_OTOOL64
+
+# Old archive suffix (normally "a").
+libext=$libext
+
+# Shared library suffix (normally ".so").
+shrext_cmds=$lt_shrext_cmds
+
+# The commands to extract the exported symbol list from a shared archive.
+extract_expsyms_cmds=$lt_extract_expsyms_cmds
+
+# Variables whose values should be saved in libtool wrapper scripts and
+# restored at link time.
+variables_saved_for_relink=$lt_variables_saved_for_relink
+
+# Do we need the "lib" prefix for modules?
+need_lib_prefix=$need_lib_prefix
+
+# Do we need a version for libraries?
+need_version=$need_version
+
+# Library versioning type.
+version_type=$version_type
+
+# Shared library runtime path variable.
+runpath_var=$runpath_var
+
+# Shared library path variable.
+shlibpath_var=$shlibpath_var
+
+# Is shlibpath searched before the hard-coded library search path?
+shlibpath_overrides_runpath=$shlibpath_overrides_runpath
+
+# Format of library name prefix.
+libname_spec=$lt_libname_spec
+
+# List of archive names.  First name is the real one, the rest are links.
+# The last name is the one that the linker finds with -lNAME
+library_names_spec=$lt_library_names_spec
+
+# The coded name of the library, if different from the real name.
+soname_spec=$lt_soname_spec
+
+# Permission mode override for installation of shared libraries.
+install_override_mode=$lt_install_override_mode
+
+# Command to use after installation of a shared archive.
+postinstall_cmds=$lt_postinstall_cmds
+
+# Command to use after uninstallation of a shared archive.
+postuninstall_cmds=$lt_postuninstall_cmds
+
+# Commands used to finish a libtool library installation in a directory.
+finish_cmds=$lt_finish_cmds
+
+# As "finish_cmds", except a single script fragment to be evaled but
+# not shown.
+finish_eval=$lt_finish_eval
+
+# Whether we should hardcode library paths into libraries.
+hardcode_into_libs=$hardcode_into_libs
+
+# Compile-time system search path for libraries.
+sys_lib_search_path_spec=$lt_sys_lib_search_path_spec
+
+# Run-time system search path for libraries.
+sys_lib_dlsearch_path_spec=$lt_sys_lib_dlsearch_path_spec
+
+# Whether dlopen is supported.
+dlopen_support=$enable_dlopen
+
+# Whether dlopen of programs is supported.
+dlopen_self=$enable_dlopen_self
+
+# Whether dlopen of statically linked programs is supported.
+dlopen_self_static=$enable_dlopen_self_static
+
+# Commands to strip libraries.
+old_striplib=$lt_old_striplib
+striplib=$lt_striplib
+
+
+# The linker used to build libraries.
+LD=$lt_LD
+
+# How to create reloadable object files.
+reload_flag=$lt_reload_flag
+reload_cmds=$lt_reload_cmds
+
+# Commands used to build an old-style archive.
+old_archive_cmds=$lt_old_archive_cmds
+
+# A language specific compiler.
+CC=$lt_compiler
+
+# Is the compiler the GNU compiler?
+with_gcc=$GCC
+
+# Compiler flag to turn off builtin functions.
+no_builtin_flag=$lt_lt_prog_compiler_no_builtin_flag
+
+# Additional compiler flags for building library objects.
+pic_flag=$lt_lt_prog_compiler_pic
+
+# How to pass a linker flag through the compiler.
+wl=$lt_lt_prog_compiler_wl
+
+# Compiler flag to prevent dynamic linking.
+link_static_flag=$lt_lt_prog_compiler_static
+
+# Does compiler simultaneously support -c and -o options?
+compiler_c_o=$lt_lt_cv_prog_compiler_c_o
+
+# Whether or not to add -lc for building shared libraries.
+build_libtool_need_lc=$archive_cmds_need_lc
+
+# Whether or not to disallow shared libs when runtime libs are static.
+allow_libtool_libs_with_static_runtimes=$enable_shared_with_static_runtimes
+
+# Compiler flag to allow reflexive dlopens.
+export_dynamic_flag_spec=$lt_export_dynamic_flag_spec
+
+# Compiler flag to generate shared objects directly from archives.
+whole_archive_flag_spec=$lt_whole_archive_flag_spec
+
+# Whether the compiler copes with passing no objects directly.
+compiler_needs_object=$lt_compiler_needs_object
+
+# Create an old-style archive from a shared archive.
+old_archive_from_new_cmds=$lt_old_archive_from_new_cmds
+
+# Create a temporary old-style archive to link instead of a shared archive.
+old_archive_from_expsyms_cmds=$lt_old_archive_from_expsyms_cmds
+
+# Commands used to build a shared archive.
+archive_cmds=$lt_archive_cmds
+archive_expsym_cmds=$lt_archive_expsym_cmds
+
+# Commands used to build a loadable module if different from building
+# a shared archive.
+module_cmds=$lt_module_cmds
+module_expsym_cmds=$lt_module_expsym_cmds
+
+# Whether we are building with GNU ld or not.
+with_gnu_ld=$lt_with_gnu_ld
+
+# Flag that allows shared libraries with undefined symbols to be built.
+allow_undefined_flag=$lt_allow_undefined_flag
+
+# Flag that enforces no undefined symbols.
+no_undefined_flag=$lt_no_undefined_flag
+
+# Flag to hardcode \$libdir into a binary during linking.
+# This must work even if \$libdir does not exist
+hardcode_libdir_flag_spec=$lt_hardcode_libdir_flag_spec
+
+# Whether we need a single "-rpath" flag with a separated argument.
+hardcode_libdir_separator=$lt_hardcode_libdir_separator
+
+# Set to "yes" if using DIR/libNAME\${shared_ext} during linking hardcodes
+# DIR into the resulting binary.
+hardcode_direct=$hardcode_direct
+
+# Set to "yes" if using DIR/libNAME\${shared_ext} during linking hardcodes
+# DIR into the resulting binary and the resulting library dependency is
+# "absolute",i.e impossible to change by setting \${shlibpath_var} if the
+# library is relocated.
+hardcode_direct_absolute=$hardcode_direct_absolute
+
+# Set to "yes" if using the -LDIR flag during linking hardcodes DIR
+# into the resulting binary.
+hardcode_minus_L=$hardcode_minus_L
+
+# Set to "yes" if using SHLIBPATH_VAR=DIR during linking hardcodes DIR
+# into the resulting binary.
+hardcode_shlibpath_var=$hardcode_shlibpath_var
+
+# Set to "yes" if building a shared library automatically hardcodes DIR
+# into the library and all subsequent libraries and executables linked
+# against it.
+hardcode_automatic=$hardcode_automatic
+
+# Set to yes if linker adds runtime paths of dependent libraries
+# to runtime path list.
+inherit_rpath=$inherit_rpath
+
+# Whether libtool must link a program against all its dependency libraries.
+link_all_deplibs=$link_all_deplibs
+
+# Set to "yes" if exported symbols are required.
+always_export_symbols=$always_export_symbols
+
+# The commands to list exported symbols.
+export_symbols_cmds=$lt_export_symbols_cmds
+
+# Symbols that should not be listed in the preloaded symbols.
+exclude_expsyms=$lt_exclude_expsyms
+
+# Symbols that must always be exported.
+include_expsyms=$lt_include_expsyms
+
+# Commands necessary for linking programs (against libraries) with templates.
+prelink_cmds=$lt_prelink_cmds
+
+# Commands necessary for finishing linking programs.
+postlink_cmds=$lt_postlink_cmds
+
+# Specify filename containing input files.
+file_list_spec=$lt_file_list_spec
+
+# How to hardcode a shared library path into an executable.
+hardcode_action=$hardcode_action
+
+# ### END LIBTOOL CONFIG
+
+_LT_EOF
+
+  case $host_os in
+  aix3*)
+    cat <<\_LT_EOF >> "$cfgfile"
+# AIX sometimes has problems with the GCC collect2 program.  For some
+# reason, if we set the COLLECT_NAMES environment variable, the problems
+# vanish in a puff of smoke.
+if test "X${COLLECT_NAMES+set}" != Xset; then
+  COLLECT_NAMES=
+  export COLLECT_NAMES
+fi
+_LT_EOF
+    ;;
+  esac
+
+
+ltmain="$ac_aux_dir/ltmain.sh"
+
+
+  # We use sed instead of cat because bash on DJGPP gets confused if
+  # if finds mixed CR/LF and LF-only lines.  Since sed operates in
+  # text mode, it properly converts lines to CR/LF.  This bash problem
+  # is reportedly fixed, but why not run on old versions too?
+  sed '$q' "$ltmain" >> "$cfgfile" \
+     || (rm -f "$cfgfile"; exit 1)
+
+  if test x"$xsi_shell" = xyes; then
+  sed -e '/^func_dirname ()$/,/^} # func_dirname /c\
+func_dirname ()\
+{\
+\    case ${1} in\
+\      */*) func_dirname_result="${1%/*}${2}" ;;\
+\      *  ) func_dirname_result="${3}" ;;\
+\    esac\
+} # Extended-shell func_dirname implementation' "$cfgfile" > $cfgfile.tmp \
+  && mv -f "$cfgfile.tmp" "$cfgfile" \
+    || (rm -f "$cfgfile" && cp "$cfgfile.tmp" "$cfgfile" && rm -f "$cfgfile.tmp")
+test 0 -eq $? || _lt_function_replace_fail=:
+
+
+  sed -e '/^func_basename ()$/,/^} # func_basename /c\
+func_basename ()\
+{\
+\    func_basename_result="${1##*/}"\
+} # Extended-shell func_basename implementation' "$cfgfile" > $cfgfile.tmp \
+  && mv -f "$cfgfile.tmp" "$cfgfile" \
+    || (rm -f "$cfgfile" && cp "$cfgfile.tmp" "$cfgfile" && rm -f "$cfgfile.tmp")
+test 0 -eq $? || _lt_function_replace_fail=:
+
+
+  sed -e '/^func_dirname_and_basename ()$/,/^} # func_dirname_and_basename /c\
+func_dirname_and_basename ()\
+{\
+\    case ${1} in\
+\      */*) func_dirname_result="${1%/*}${2}" ;;\
+\      *  ) func_dirname_result="${3}" ;;\
+\    esac\
+\    func_basename_result="${1##*/}"\
+} # Extended-shell func_dirname_and_basename implementation' "$cfgfile" > $cfgfile.tmp \
+  && mv -f "$cfgfile.tmp" "$cfgfile" \
+    || (rm -f "$cfgfile" && cp "$cfgfile.tmp" "$cfgfile" && rm -f "$cfgfile.tmp")
+test 0 -eq $? || _lt_function_replace_fail=:
+
+
+  sed -e '/^func_stripname ()$/,/^} # func_stripname /c\
+func_stripname ()\
+{\
+\    # pdksh 5.2.14 does not do ${X%$Y} correctly if both X and Y are\
+\    # positional parameters, so assign one to ordinary parameter first.\
+\    func_stripname_result=${3}\
+\    func_stripname_result=${func_stripname_result#"${1}"}\
+\    func_stripname_result=${func_stripname_result%"${2}"}\
+} # Extended-shell func_stripname implementation' "$cfgfile" > $cfgfile.tmp \
+  && mv -f "$cfgfile.tmp" "$cfgfile" \
+    || (rm -f "$cfgfile" && cp "$cfgfile.tmp" "$cfgfile" && rm -f "$cfgfile.tmp")
+test 0 -eq $? || _lt_function_replace_fail=:
+
+
+  sed -e '/^func_split_long_opt ()$/,/^} # func_split_long_opt /c\
+func_split_long_opt ()\
+{\
+\    func_split_long_opt_name=${1%%=*}\
+\    func_split_long_opt_arg=${1#*=}\
+} # Extended-shell func_split_long_opt implementation' "$cfgfile" > $cfgfile.tmp \
+  && mv -f "$cfgfile.tmp" "$cfgfile" \
+    || (rm -f "$cfgfile" && cp "$cfgfile.tmp" "$cfgfile" && rm -f "$cfgfile.tmp")
+test 0 -eq $? || _lt_function_replace_fail=:
+
+
+  sed -e '/^func_split_short_opt ()$/,/^} # func_split_short_opt /c\
+func_split_short_opt ()\
+{\
+\    func_split_short_opt_arg=${1#??}\
+\    func_split_short_opt_name=${1%"$func_split_short_opt_arg"}\
+} # Extended-shell func_split_short_opt implementation' "$cfgfile" > $cfgfile.tmp \
+  && mv -f "$cfgfile.tmp" "$cfgfile" \
+    || (rm -f "$cfgfile" && cp "$cfgfile.tmp" "$cfgfile" && rm -f "$cfgfile.tmp")
+test 0 -eq $? || _lt_function_replace_fail=:
+
+
+  sed -e '/^func_lo2o ()$/,/^} # func_lo2o /c\
+func_lo2o ()\
+{\
+\    case ${1} in\
+\      *.lo) func_lo2o_result=${1%.lo}.${objext} ;;\
+\      *)    func_lo2o_result=${1} ;;\
+\    esac\
+} # Extended-shell func_lo2o implementation' "$cfgfile" > $cfgfile.tmp \
+  && mv -f "$cfgfile.tmp" "$cfgfile" \
+    || (rm -f "$cfgfile" && cp "$cfgfile.tmp" "$cfgfile" && rm -f "$cfgfile.tmp")
+test 0 -eq $? || _lt_function_replace_fail=:
+
+
+  sed -e '/^func_xform ()$/,/^} # func_xform /c\
+func_xform ()\
+{\
+    func_xform_result=${1%.*}.lo\
+} # Extended-shell func_xform implementation' "$cfgfile" > $cfgfile.tmp \
+  && mv -f "$cfgfile.tmp" "$cfgfile" \
+    || (rm -f "$cfgfile" && cp "$cfgfile.tmp" "$cfgfile" && rm -f "$cfgfile.tmp")
+test 0 -eq $? || _lt_function_replace_fail=:
+
+
+  sed -e '/^func_arith ()$/,/^} # func_arith /c\
+func_arith ()\
+{\
+    func_arith_result=$(( $* ))\
+} # Extended-shell func_arith implementation' "$cfgfile" > $cfgfile.tmp \
+  && mv -f "$cfgfile.tmp" "$cfgfile" \
+    || (rm -f "$cfgfile" && cp "$cfgfile.tmp" "$cfgfile" && rm -f "$cfgfile.tmp")
+test 0 -eq $? || _lt_function_replace_fail=:
+
+
+  sed -e '/^func_len ()$/,/^} # func_len /c\
+func_len ()\
+{\
+    func_len_result=${#1}\
+} # Extended-shell func_len implementation' "$cfgfile" > $cfgfile.tmp \
+  && mv -f "$cfgfile.tmp" "$cfgfile" \
+    || (rm -f "$cfgfile" && cp "$cfgfile.tmp" "$cfgfile" && rm -f "$cfgfile.tmp")
+test 0 -eq $? || _lt_function_replace_fail=:
+
+fi
+
+if test x"$lt_shell_append" = xyes; then
+  sed -e '/^func_append ()$/,/^} # func_append /c\
+func_append ()\
+{\
+    eval "${1}+=\\${2}"\
+} # Extended-shell func_append implementation' "$cfgfile" > $cfgfile.tmp \
+  && mv -f "$cfgfile.tmp" "$cfgfile" \
+    || (rm -f "$cfgfile" && cp "$cfgfile.tmp" "$cfgfile" && rm -f "$cfgfile.tmp")
+test 0 -eq $? || _lt_function_replace_fail=:
+
+
+  sed -e '/^func_append_quoted ()$/,/^} # func_append_quoted /c\
+func_append_quoted ()\
+{\
+\    func_quote_for_eval "${2}"\
+\    eval "${1}+=\\\\ \\$func_quote_for_eval_result"\
+} # Extended-shell func_append_quoted implementation' "$cfgfile" > $cfgfile.tmp \
+  && mv -f "$cfgfile.tmp" "$cfgfile" \
+    || (rm -f "$cfgfile" && cp "$cfgfile.tmp" "$cfgfile" && rm -f "$cfgfile.tmp")
+test 0 -eq $? || _lt_function_replace_fail=:
+
+
+  # Save a `func_append' function call where possible by direct use of '+='
+  sed -e 's%func_append \([a-zA-Z_]\{1,\}\) "%\1+="%g' $cfgfile > $cfgfile.tmp \
+    && mv -f "$cfgfile.tmp" "$cfgfile" \
+      || (rm -f "$cfgfile" && cp "$cfgfile.tmp" "$cfgfile" && rm -f "$cfgfile.tmp")
+  test 0 -eq $? || _lt_function_replace_fail=:
+else
+  # Save a `func_append' function call even when '+=' is not available
+  sed -e 's%func_append \([a-zA-Z_]\{1,\}\) "%\1="$\1%g' $cfgfile > $cfgfile.tmp \
+    && mv -f "$cfgfile.tmp" "$cfgfile" \
+      || (rm -f "$cfgfile" && cp "$cfgfile.tmp" "$cfgfile" && rm -f "$cfgfile.tmp")
+  test 0 -eq $? || _lt_function_replace_fail=:
+fi
+
+if test x"$_lt_function_replace_fail" = x":"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: Unable to substitute extended shell functions in $ofile" >&5
+$as_echo "$as_me: WARNING: Unable to substitute extended shell functions in $ofile" >&2;}
+fi
+
+
+   mv -f "$cfgfile" "$ofile" ||
+    (rm -f "$ofile" && cp "$cfgfile" "$ofile" && rm -f "$cfgfile")
+  chmod +x "$ofile"
+
+ ;;
+
+  esac
+done # for ac_tag
+
+
+as_fn_exit 0
+_ACEOF
+ac_clean_files=$ac_clean_files_save
+
+test $ac_write_fail = 0 ||
+  as_fn_error $? "write failure creating $CONFIG_STATUS" "$LINENO" 5
+
+
+# configure is writing to config.log, and then calls config.status.
+# config.status does its own redirection, appending to config.log.
+# Unfortunately, on DOS this fails, as config.log is still kept open
+# by configure, so config.status won't be able to write to it; its
+# output is simply discarded.  So we exec the FD to /dev/null,
+# effectively closing config.log, so it can be properly (re)opened and
+# appended to by config.status.  When coming back to configure, we
+# need to make the FD available again.
+if test "$no_create" != yes; then
+  ac_cs_success=:
+  ac_config_status_args=
+  test "$silent" = yes &&
+    ac_config_status_args="$ac_config_status_args --quiet"
+  exec 5>/dev/null
+  $SHELL $CONFIG_STATUS $ac_config_status_args || ac_cs_success=false
+  exec 5>>config.log
+  # Use ||, not &&, to avoid exiting from the if with $? = 1, which
+  # would make configure fail if this is the last instruction.
+  $ac_cs_success || as_fn_exit 1
+fi
+if test -n "$ac_unrecognized_opts" && test "$enable_option_checking" != no; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: unrecognized options: $ac_unrecognized_opts" >&5
+$as_echo "$as_me: WARNING: unrecognized options: $ac_unrecognized_opts" >&2;}
+fi
+
diff --git a/clib/expeyes-clib/configure.ac b/clib/expeyes-clib/configure.ac
new file mode 100644
index 0000000..884f0c5
--- /dev/null
+++ b/clib/expeyes-clib/configure.ac
@@ -0,0 +1,26 @@
+AC_INIT([EXPEYES_JR], [3.0.5])
+AC_CONFIG_SRCDIR(src/capdemo.c, src/capdemo4.c, src/ejlib.c, src/basic-io.c, src/capdemo2.c, src/capdemo-hr.c, src/ccs-cap.c, src/capdemo1.c, src/capdemo3.c)
+
+AM_INIT_AUTOMAKE
+
+#### example snippet for dependency on INTI
+#INTI_REQUIRED_VERSION=1.0.7
+#PKG_CHECK_MODULES(INTI, inti-1.0 >= $INTI_REQUIRED_VERSION)
+#AC_SUBST(INTI_CFLAGS)
+#AC_SUBST(INTI_LIBS)
+
+# for C++
+# AC_PROG_CXX
+
+# for C language
+AC_PROG_CC
+LDFLAGS="$LDFLAGS -lm"
+# generate libtool stuff
+AC_PROG_LIBTOOL
+AC_SUBST(LIBTOOL_DEPS)
+AC_CONFIG_MACRO_DIR([m4])
+
+LT_PREREQ([2.2])
+LT_INIT([shared pic-only])
+
+AC_OUTPUT(Makefile src/Makefile)
diff --git a/clib/expeyes-clib/depcomp b/clib/expeyes-clib/depcomp
new file mode 100755
index 0000000..25a39e6
--- /dev/null
+++ b/clib/expeyes-clib/depcomp
@@ -0,0 +1,708 @@
+#! /bin/sh
+# depcomp - compile a program generating dependencies as side-effects
+
+scriptversion=2012-03-27.16; # UTC
+
+# Copyright (C) 1999, 2000, 2003, 2004, 2005, 2006, 2007, 2009, 2010,
+# 2011, 2012 Free Software Foundation, Inc.
+
+# This program is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 2, or (at your option)
+# any later version.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+
+# You should have received a copy of the GNU General Public License
+# along with this program.  If not, see <http://www.gnu.org/licenses/>.
+
+# As a special exception to the GNU General Public License, if you
+# distribute this file as part of a program that contains a
+# configuration script generated by Autoconf, you may include it under
+# the same distribution terms that you use for the rest of that program.
+
+# Originally written by Alexandre Oliva <oliva at dcc.unicamp.br>.
+
+case $1 in
+  '')
+     echo "$0: No command.  Try '$0 --help' for more information." 1>&2
+     exit 1;
+     ;;
+  -h | --h*)
+    cat <<\EOF
+Usage: depcomp [--help] [--version] PROGRAM [ARGS]
+
+Run PROGRAMS ARGS to compile a file, generating dependencies
+as side-effects.
+
+Environment variables:
+  depmode     Dependency tracking mode.
+  source      Source file read by 'PROGRAMS ARGS'.
+  object      Object file output by 'PROGRAMS ARGS'.
+  DEPDIR      directory where to store dependencies.
+  depfile     Dependency file to output.
+  tmpdepfile  Temporary file to use when outputting dependencies.
+  libtool     Whether libtool is used (yes/no).
+
+Report bugs to <bug-automake at gnu.org>.
+EOF
+    exit $?
+    ;;
+  -v | --v*)
+    echo "depcomp $scriptversion"
+    exit $?
+    ;;
+esac
+
+# A tabulation character.
+tab='	'
+# A newline character.
+nl='
+'
+
+if test -z "$depmode" || test -z "$source" || test -z "$object"; then
+  echo "depcomp: Variables source, object and depmode must be set" 1>&2
+  exit 1
+fi
+
+# Dependencies for sub/bar.o or sub/bar.obj go into sub/.deps/bar.Po.
+depfile=${depfile-`echo "$object" |
+  sed 's|[^\\/]*$|'${DEPDIR-.deps}'/&|;s|\.\([^.]*\)$|.P\1|;s|Pobj$|Po|'`}
+tmpdepfile=${tmpdepfile-`echo "$depfile" | sed 's/\.\([^.]*\)$/.T\1/'`}
+
+rm -f "$tmpdepfile"
+
+# Some modes work just like other modes, but use different flags.  We
+# parameterize here, but still list the modes in the big case below,
+# to make depend.m4 easier to write.  Note that we *cannot* use a case
+# here, because this file can only contain one case statement.
+if test "$depmode" = hp; then
+  # HP compiler uses -M and no extra arg.
+  gccflag=-M
+  depmode=gcc
+fi
+
+if test "$depmode" = dashXmstdout; then
+   # This is just like dashmstdout with a different argument.
+   dashmflag=-xM
+   depmode=dashmstdout
+fi
+
+cygpath_u="cygpath -u -f -"
+if test "$depmode" = msvcmsys; then
+   # This is just like msvisualcpp but w/o cygpath translation.
+   # Just convert the backslash-escaped backslashes to single forward
+   # slashes to satisfy depend.m4
+   cygpath_u='sed s,\\\\,/,g'
+   depmode=msvisualcpp
+fi
+
+if test "$depmode" = msvc7msys; then
+   # This is just like msvc7 but w/o cygpath translation.
+   # Just convert the backslash-escaped backslashes to single forward
+   # slashes to satisfy depend.m4
+   cygpath_u='sed s,\\\\,/,g'
+   depmode=msvc7
+fi
+
+if test "$depmode" = xlc; then
+   # IBM C/C++ Compilers xlc/xlC can output gcc-like dependency informations.
+   gccflag=-qmakedep=gcc,-MF
+   depmode=gcc
+fi
+
+case "$depmode" in
+gcc3)
+## gcc 3 implements dependency tracking that does exactly what
+## we want.  Yay!  Note: for some reason libtool 1.4 doesn't like
+## it if -MD -MP comes after the -MF stuff.  Hmm.
+## Unfortunately, FreeBSD c89 acceptance of flags depends upon
+## the command line argument order; so add the flags where they
+## appear in depend2.am.  Note that the slowdown incurred here
+## affects only configure: in makefiles, %FASTDEP% shortcuts this.
+  for arg
+  do
+    case $arg in
+    -c) set fnord "$@" -MT "$object" -MD -MP -MF "$tmpdepfile" "$arg" ;;
+    *)  set fnord "$@" "$arg" ;;
+    esac
+    shift # fnord
+    shift # $arg
+  done
+  "$@"
+  stat=$?
+  if test $stat -eq 0; then :
+  else
+    rm -f "$tmpdepfile"
+    exit $stat
+  fi
+  mv "$tmpdepfile" "$depfile"
+  ;;
+
+gcc)
+## There are various ways to get dependency output from gcc.  Here's
+## why we pick this rather obscure method:
+## - Don't want to use -MD because we'd like the dependencies to end
+##   up in a subdir.  Having to rename by hand is ugly.
+##   (We might end up doing this anyway to support other compilers.)
+## - The DEPENDENCIES_OUTPUT environment variable makes gcc act like
+##   -MM, not -M (despite what the docs say).
+## - Using -M directly means running the compiler twice (even worse
+##   than renaming).
+  if test -z "$gccflag"; then
+    gccflag=-MD,
+  fi
+  "$@" -Wp,"$gccflag$tmpdepfile"
+  stat=$?
+  if test $stat -eq 0; then :
+  else
+    rm -f "$tmpdepfile"
+    exit $stat
+  fi
+  rm -f "$depfile"
+  echo "$object : \\" > "$depfile"
+  alpha=ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz
+## The second -e expression handles DOS-style file names with drive letters.
+  sed -e 's/^[^:]*: / /' \
+      -e 's/^['$alpha']:\/[^:]*: / /' < "$tmpdepfile" >> "$depfile"
+## This next piece of magic avoids the "deleted header file" problem.
+## The problem is that when a header file which appears in a .P file
+## is deleted, the dependency causes make to die (because there is
+## typically no way to rebuild the header).  We avoid this by adding
+## dummy dependencies for each header file.  Too bad gcc doesn't do
+## this for us directly.
+  tr ' ' "$nl" < "$tmpdepfile" |
+## Some versions of gcc put a space before the ':'.  On the theory
+## that the space means something, we add a space to the output as
+## well.  hp depmode also adds that space, but also prefixes the VPATH
+## to the object.  Take care to not repeat it in the output.
+## Some versions of the HPUX 10.20 sed can't process this invocation
+## correctly.  Breaking it into two sed invocations is a workaround.
+    sed -e 's/^\\$//' -e '/^$/d' -e "s|.*$object$||" -e '/:$/d' \
+      | sed -e 's/$/ :/' >> "$depfile"
+  rm -f "$tmpdepfile"
+  ;;
+
+hp)
+  # This case exists only to let depend.m4 do its work.  It works by
+  # looking at the text of this script.  This case will never be run,
+  # since it is checked for above.
+  exit 1
+  ;;
+
+sgi)
+  if test "$libtool" = yes; then
+    "$@" "-Wp,-MDupdate,$tmpdepfile"
+  else
+    "$@" -MDupdate "$tmpdepfile"
+  fi
+  stat=$?
+  if test $stat -eq 0; then :
+  else
+    rm -f "$tmpdepfile"
+    exit $stat
+  fi
+  rm -f "$depfile"
+
+  if test -f "$tmpdepfile"; then  # yes, the sourcefile depend on other files
+    echo "$object : \\" > "$depfile"
+
+    # Clip off the initial element (the dependent).  Don't try to be
+    # clever and replace this with sed code, as IRIX sed won't handle
+    # lines with more than a fixed number of characters (4096 in
+    # IRIX 6.2 sed, 8192 in IRIX 6.5).  We also remove comment lines;
+    # the IRIX cc adds comments like '#:fec' to the end of the
+    # dependency line.
+    tr ' ' "$nl" < "$tmpdepfile" \
+    | sed -e 's/^.*\.o://' -e 's/#.*$//' -e '/^$/ d' | \
+    tr "$nl" ' ' >> "$depfile"
+    echo >> "$depfile"
+
+    # The second pass generates a dummy entry for each header file.
+    tr ' ' "$nl" < "$tmpdepfile" \
+   | sed -e 's/^.*\.o://' -e 's/#.*$//' -e '/^$/ d' -e 's/$/:/' \
+   >> "$depfile"
+  else
+    # The sourcefile does not contain any dependencies, so just
+    # store a dummy comment line, to avoid errors with the Makefile
+    # "include basename.Plo" scheme.
+    echo "#dummy" > "$depfile"
+  fi
+  rm -f "$tmpdepfile"
+  ;;
+
+xlc)
+  # This case exists only to let depend.m4 do its work.  It works by
+  # looking at the text of this script.  This case will never be run,
+  # since it is checked for above.
+  exit 1
+  ;;
+
+aix)
+  # The C for AIX Compiler uses -M and outputs the dependencies
+  # in a .u file.  In older versions, this file always lives in the
+  # current directory.  Also, the AIX compiler puts '$object:' at the
+  # start of each line; $object doesn't have directory information.
+  # Version 6 uses the directory in both cases.
+  dir=`echo "$object" | sed -e 's|/[^/]*$|/|'`
+  test "x$dir" = "x$object" && dir=
+  base=`echo "$object" | sed -e 's|^.*/||' -e 's/\.o$//' -e 's/\.lo$//'`
+  if test "$libtool" = yes; then
+    tmpdepfile1=$dir$base.u
+    tmpdepfile2=$base.u
+    tmpdepfile3=$dir.libs/$base.u
+    "$@" -Wc,-M
+  else
+    tmpdepfile1=$dir$base.u
+    tmpdepfile2=$dir$base.u
+    tmpdepfile3=$dir$base.u
+    "$@" -M
+  fi
+  stat=$?
+
+  if test $stat -eq 0; then :
+  else
+    rm -f "$tmpdepfile1" "$tmpdepfile2" "$tmpdepfile3"
+    exit $stat
+  fi
+
+  for tmpdepfile in "$tmpdepfile1" "$tmpdepfile2" "$tmpdepfile3"
+  do
+    test -f "$tmpdepfile" && break
+  done
+  if test -f "$tmpdepfile"; then
+    # Each line is of the form 'foo.o: dependent.h'.
+    # Do two passes, one to just change these to
+    # '$object: dependent.h' and one to simply 'dependent.h:'.
+    sed -e "s,^.*\.[a-z]*:,$object:," < "$tmpdepfile" > "$depfile"
+    sed -e 's,^.*\.[a-z]*:['"$tab"' ]*,,' -e 's,$,:,' < "$tmpdepfile" >> "$depfile"
+  else
+    # The sourcefile does not contain any dependencies, so just
+    # store a dummy comment line, to avoid errors with the Makefile
+    # "include basename.Plo" scheme.
+    echo "#dummy" > "$depfile"
+  fi
+  rm -f "$tmpdepfile"
+  ;;
+
+icc)
+  # Intel's C compiler anf tcc (Tiny C Compiler) understand '-MD -MF file'.
+  # However on
+  #    $CC -MD -MF foo.d -c -o sub/foo.o sub/foo.c
+  # ICC 7.0 will fill foo.d with something like
+  #    foo.o: sub/foo.c
+  #    foo.o: sub/foo.h
+  # which is wrong.  We want
+  #    sub/foo.o: sub/foo.c
+  #    sub/foo.o: sub/foo.h
+  #    sub/foo.c:
+  #    sub/foo.h:
+  # ICC 7.1 will output
+  #    foo.o: sub/foo.c sub/foo.h
+  # and will wrap long lines using '\':
+  #    foo.o: sub/foo.c ... \
+  #     sub/foo.h ... \
+  #     ...
+  # tcc 0.9.26 (FIXME still under development at the moment of writing)
+  # will emit a similar output, but also prepend the continuation lines
+  # with horizontal tabulation characters.
+  "$@" -MD -MF "$tmpdepfile"
+  stat=$?
+  if test $stat -eq 0; then :
+  else
+    rm -f "$tmpdepfile"
+    exit $stat
+  fi
+  rm -f "$depfile"
+  # Each line is of the form 'foo.o: dependent.h',
+  # or 'foo.o: dep1.h dep2.h \', or ' dep3.h dep4.h \'.
+  # Do two passes, one to just change these to
+  # '$object: dependent.h' and one to simply 'dependent.h:'.
+  sed -e "s/^[ $tab][ $tab]*/  /" -e "s,^[^:]*:,$object :," \
+    < "$tmpdepfile" > "$depfile"
+  sed '
+    s/[ '"$tab"'][ '"$tab"']*/ /g
+    s/^ *//
+    s/ *\\*$//
+    s/^[^:]*: *//
+    /^$/d
+    /:$/d
+    s/$/ :/
+  ' < "$tmpdepfile" >> "$depfile"
+  rm -f "$tmpdepfile"
+  ;;
+
+hp2)
+  # The "hp" stanza above does not work with aCC (C++) and HP's ia64
+  # compilers, which have integrated preprocessors.  The correct option
+  # to use with these is +Maked; it writes dependencies to a file named
+  # 'foo.d', which lands next to the object file, wherever that
+  # happens to be.
+  # Much of this is similar to the tru64 case; see comments there.
+  dir=`echo "$object" | sed -e 's|/[^/]*$|/|'`
+  test "x$dir" = "x$object" && dir=
+  base=`echo "$object" | sed -e 's|^.*/||' -e 's/\.o$//' -e 's/\.lo$//'`
+  if test "$libtool" = yes; then
+    tmpdepfile1=$dir$base.d
+    tmpdepfile2=$dir.libs/$base.d
+    "$@" -Wc,+Maked
+  else
+    tmpdepfile1=$dir$base.d
+    tmpdepfile2=$dir$base.d
+    "$@" +Maked
+  fi
+  stat=$?
+  if test $stat -eq 0; then :
+  else
+     rm -f "$tmpdepfile1" "$tmpdepfile2"
+     exit $stat
+  fi
+
+  for tmpdepfile in "$tmpdepfile1" "$tmpdepfile2"
+  do
+    test -f "$tmpdepfile" && break
+  done
+  if test -f "$tmpdepfile"; then
+    sed -e "s,^.*\.[a-z]*:,$object:," "$tmpdepfile" > "$depfile"
+    # Add 'dependent.h:' lines.
+    sed -ne '2,${
+	       s/^ *//
+	       s/ \\*$//
+	       s/$/:/
+	       p
+	     }' "$tmpdepfile" >> "$depfile"
+  else
+    echo "#dummy" > "$depfile"
+  fi
+  rm -f "$tmpdepfile" "$tmpdepfile2"
+  ;;
+
+tru64)
+   # The Tru64 compiler uses -MD to generate dependencies as a side
+   # effect.  'cc -MD -o foo.o ...' puts the dependencies into 'foo.o.d'.
+   # At least on Alpha/Redhat 6.1, Compaq CCC V6.2-504 seems to put
+   # dependencies in 'foo.d' instead, so we check for that too.
+   # Subdirectories are respected.
+   dir=`echo "$object" | sed -e 's|/[^/]*$|/|'`
+   test "x$dir" = "x$object" && dir=
+   base=`echo "$object" | sed -e 's|^.*/||' -e 's/\.o$//' -e 's/\.lo$//'`
+
+   if test "$libtool" = yes; then
+      # With Tru64 cc, shared objects can also be used to make a
+      # static library.  This mechanism is used in libtool 1.4 series to
+      # handle both shared and static libraries in a single compilation.
+      # With libtool 1.4, dependencies were output in $dir.libs/$base.lo.d.
+      #
+      # With libtool 1.5 this exception was removed, and libtool now
+      # generates 2 separate objects for the 2 libraries.  These two
+      # compilations output dependencies in $dir.libs/$base.o.d and
+      # in $dir$base.o.d.  We have to check for both files, because
+      # one of the two compilations can be disabled.  We should prefer
+      # $dir$base.o.d over $dir.libs/$base.o.d because the latter is
+      # automatically cleaned when .libs/ is deleted, while ignoring
+      # the former would cause a distcleancheck panic.
+      tmpdepfile1=$dir.libs/$base.lo.d   # libtool 1.4
+      tmpdepfile2=$dir$base.o.d          # libtool 1.5
+      tmpdepfile3=$dir.libs/$base.o.d    # libtool 1.5
+      tmpdepfile4=$dir.libs/$base.d      # Compaq CCC V6.2-504
+      "$@" -Wc,-MD
+   else
+      tmpdepfile1=$dir$base.o.d
+      tmpdepfile2=$dir$base.d
+      tmpdepfile3=$dir$base.d
+      tmpdepfile4=$dir$base.d
+      "$@" -MD
+   fi
+
+   stat=$?
+   if test $stat -eq 0; then :
+   else
+      rm -f "$tmpdepfile1" "$tmpdepfile2" "$tmpdepfile3" "$tmpdepfile4"
+      exit $stat
+   fi
+
+   for tmpdepfile in "$tmpdepfile1" "$tmpdepfile2" "$tmpdepfile3" "$tmpdepfile4"
+   do
+     test -f "$tmpdepfile" && break
+   done
+   if test -f "$tmpdepfile"; then
+      sed -e "s,^.*\.[a-z]*:,$object:," < "$tmpdepfile" > "$depfile"
+      sed -e 's,^.*\.[a-z]*:['"$tab"' ]*,,' -e 's,$,:,' < "$tmpdepfile" >> "$depfile"
+   else
+      echo "#dummy" > "$depfile"
+   fi
+   rm -f "$tmpdepfile"
+   ;;
+
+msvc7)
+  if test "$libtool" = yes; then
+    showIncludes=-Wc,-showIncludes
+  else
+    showIncludes=-showIncludes
+  fi
+  "$@" $showIncludes > "$tmpdepfile"
+  stat=$?
+  grep -v '^Note: including file: ' "$tmpdepfile"
+  if test "$stat" = 0; then :
+  else
+    rm -f "$tmpdepfile"
+    exit $stat
+  fi
+  rm -f "$depfile"
+  echo "$object : \\" > "$depfile"
+  # The first sed program below extracts the file names and escapes
+  # backslashes for cygpath.  The second sed program outputs the file
+  # name when reading, but also accumulates all include files in the
+  # hold buffer in order to output them again at the end.  This only
+  # works with sed implementations that can handle large buffers.
+  sed < "$tmpdepfile" -n '
+/^Note: including file:  *\(.*\)/ {
+  s//\1/
+  s/\\/\\\\/g
+  p
+}' | $cygpath_u | sort -u | sed -n '
+s/ /\\ /g
+s/\(.*\)/'"$tab"'\1 \\/p
+s/.\(.*\) \\/\1:/
+H
+$ {
+  s/.*/'"$tab"'/
+  G
+  p
+}' >> "$depfile"
+  rm -f "$tmpdepfile"
+  ;;
+
+msvc7msys)
+  # This case exists only to let depend.m4 do its work.  It works by
+  # looking at the text of this script.  This case will never be run,
+  # since it is checked for above.
+  exit 1
+  ;;
+
+#nosideeffect)
+  # This comment above is used by automake to tell side-effect
+  # dependency tracking mechanisms from slower ones.
+
+dashmstdout)
+  # Important note: in order to support this mode, a compiler *must*
+  # always write the preprocessed file to stdout, regardless of -o.
+  "$@" || exit $?
+
+  # Remove the call to Libtool.
+  if test "$libtool" = yes; then
+    while test "X$1" != 'X--mode=compile'; do
+      shift
+    done
+    shift
+  fi
+
+  # Remove '-o $object'.
+  IFS=" "
+  for arg
+  do
+    case $arg in
+    -o)
+      shift
+      ;;
+    $object)
+      shift
+      ;;
+    *)
+      set fnord "$@" "$arg"
+      shift # fnord
+      shift # $arg
+      ;;
+    esac
+  done
+
+  test -z "$dashmflag" && dashmflag=-M
+  # Require at least two characters before searching for ':'
+  # in the target name.  This is to cope with DOS-style filenames:
+  # a dependency such as 'c:/foo/bar' could be seen as target 'c' otherwise.
+  "$@" $dashmflag |
+    sed 's:^['"$tab"' ]*[^:'"$tab"' ][^:][^:]*\:['"$tab"' ]*:'"$object"'\: :' > "$tmpdepfile"
+  rm -f "$depfile"
+  cat < "$tmpdepfile" > "$depfile"
+  tr ' ' "$nl" < "$tmpdepfile" | \
+## Some versions of the HPUX 10.20 sed can't process this invocation
+## correctly.  Breaking it into two sed invocations is a workaround.
+    sed -e 's/^\\$//' -e '/^$/d' -e '/:$/d' | sed -e 's/$/ :/' >> "$depfile"
+  rm -f "$tmpdepfile"
+  ;;
+
+dashXmstdout)
+  # This case only exists to satisfy depend.m4.  It is never actually
+  # run, as this mode is specially recognized in the preamble.
+  exit 1
+  ;;
+
+makedepend)
+  "$@" || exit $?
+  # Remove any Libtool call
+  if test "$libtool" = yes; then
+    while test "X$1" != 'X--mode=compile'; do
+      shift
+    done
+    shift
+  fi
+  # X makedepend
+  shift
+  cleared=no eat=no
+  for arg
+  do
+    case $cleared in
+    no)
+      set ""; shift
+      cleared=yes ;;
+    esac
+    if test $eat = yes; then
+      eat=no
+      continue
+    fi
+    case "$arg" in
+    -D*|-I*)
+      set fnord "$@" "$arg"; shift ;;
+    # Strip any option that makedepend may not understand.  Remove
+    # the object too, otherwise makedepend will parse it as a source file.
+    -arch)
+      eat=yes ;;
+    -*|$object)
+      ;;
+    *)
+      set fnord "$@" "$arg"; shift ;;
+    esac
+  done
+  obj_suffix=`echo "$object" | sed 's/^.*\././'`
+  touch "$tmpdepfile"
+  ${MAKEDEPEND-makedepend} -o"$obj_suffix" -f"$tmpdepfile" "$@"
+  rm -f "$depfile"
+  # makedepend may prepend the VPATH from the source file name to the object.
+  # No need to regex-escape $object, excess matching of '.' is harmless.
+  sed "s|^.*\($object *:\)|\1|" "$tmpdepfile" > "$depfile"
+  sed '1,2d' "$tmpdepfile" | tr ' ' "$nl" | \
+## Some versions of the HPUX 10.20 sed can't process this invocation
+## correctly.  Breaking it into two sed invocations is a workaround.
+    sed -e 's/^\\$//' -e '/^$/d' -e '/:$/d' | sed -e 's/$/ :/' >> "$depfile"
+  rm -f "$tmpdepfile" "$tmpdepfile".bak
+  ;;
+
+cpp)
+  # Important note: in order to support this mode, a compiler *must*
+  # always write the preprocessed file to stdout.
+  "$@" || exit $?
+
+  # Remove the call to Libtool.
+  if test "$libtool" = yes; then
+    while test "X$1" != 'X--mode=compile'; do
+      shift
+    done
+    shift
+  fi
+
+  # Remove '-o $object'.
+  IFS=" "
+  for arg
+  do
+    case $arg in
+    -o)
+      shift
+      ;;
+    $object)
+      shift
+      ;;
+    *)
+      set fnord "$@" "$arg"
+      shift # fnord
+      shift # $arg
+      ;;
+    esac
+  done
+
+  "$@" -E |
+    sed -n -e '/^# [0-9][0-9]* "\([^"]*\)".*/ s:: \1 \\:p' \
+       -e '/^#line [0-9][0-9]* "\([^"]*\)".*/ s:: \1 \\:p' |
+    sed '$ s: \\$::' > "$tmpdepfile"
+  rm -f "$depfile"
+  echo "$object : \\" > "$depfile"
+  cat < "$tmpdepfile" >> "$depfile"
+  sed < "$tmpdepfile" '/^$/d;s/^ //;s/ \\$//;s/$/ :/' >> "$depfile"
+  rm -f "$tmpdepfile"
+  ;;
+
+msvisualcpp)
+  # Important note: in order to support this mode, a compiler *must*
+  # always write the preprocessed file to stdout.
+  "$@" || exit $?
+
+  # Remove the call to Libtool.
+  if test "$libtool" = yes; then
+    while test "X$1" != 'X--mode=compile'; do
+      shift
+    done
+    shift
+  fi
+
+  IFS=" "
+  for arg
+  do
+    case "$arg" in
+    -o)
+      shift
+      ;;
+    $object)
+      shift
+      ;;
+    "-Gm"|"/Gm"|"-Gi"|"/Gi"|"-ZI"|"/ZI")
+	set fnord "$@"
+	shift
+	shift
+	;;
+    *)
+	set fnord "$@" "$arg"
+	shift
+	shift
+	;;
+    esac
+  done
+  "$@" -E 2>/dev/null |
+  sed -n '/^#line [0-9][0-9]* "\([^"]*\)"/ s::\1:p' | $cygpath_u | sort -u > "$tmpdepfile"
+  rm -f "$depfile"
+  echo "$object : \\" > "$depfile"
+  sed < "$tmpdepfile" -n -e 's% %\\ %g' -e '/^\(.*\)$/ s::'"$tab"'\1 \\:p' >> "$depfile"
+  echo "$tab" >> "$depfile"
+  sed < "$tmpdepfile" -n -e 's% %\\ %g' -e '/^\(.*\)$/ s::\1\::p' >> "$depfile"
+  rm -f "$tmpdepfile"
+  ;;
+
+msvcmsys)
+  # This case exists only to let depend.m4 do its work.  It works by
+  # looking at the text of this script.  This case will never be run,
+  # since it is checked for above.
+  exit 1
+  ;;
+
+none)
+  exec "$@"
+  ;;
+
+*)
+  echo "Unknown depmode $depmode" 1>&2
+  exit 1
+  ;;
+esac
+
+exit 0
+
+# Local Variables:
+# mode: shell-script
+# sh-indentation: 2
+# eval: (add-hook 'write-file-hooks 'time-stamp)
+# time-stamp-start: "scriptversion="
+# time-stamp-format: "%:y-%02m-%02d.%02H"
+# time-stamp-time-zone: "UTC"
+# time-stamp-end: "; # UTC"
+# End:
diff --git a/clib/expeyes-clib/ejlib.c b/clib/expeyes-clib/ejlib.c
new file mode 100644
index 0000000..cb75878
--- /dev/null
+++ b/clib/expeyes-clib/ejlib.c
@@ -0,0 +1,1048 @@
+/*
+EYES for Young Engineers and Scientists -Junior (EYES Junior 1.0)
+C library to communicate to the PIC24FV32KA302 uC running 'eyesj.c'
+Author  : Ajith Kumar B.P, bpajith at gmail.com, ajith at iuac.res.in
+License : GNU GPL version 3
+Started on 25-Jun-2012
+
+The micro-controller pins used are mapped into 13 I/O channels (numbered 0 to 12)
+and act like a kind of logical channels.  The Python function calls refer to them
+using the corresponding number, ie 0 => A0. 
+
+ * 0 : A0, Analog Comaparator(A5) output.
+ * 1 : A1, -5V to +5V range Analog Input 
+ * 2 : A2, -5V to +5V range Analog Input 
+ * 3 : IN1 , Can function as Digital or 0 to 5V Analog Input
+ * 4 : IN2, Can function as Digital or 0 to 5V Analog Input
+ * 5 : SEN, Simial to A3 & A4, but has a 5K external pullup resistor (Comp input)
+ * 6 : SQR1-read, Input wired to SQR1 output
+ * 7 : SQR2-read,  Input wired to SQR2 output
+ * 8 : SQR1 control, 0 to 5V programmable Squarewave. Setting Freq = 0 means 5V, Freq = -1 means 0V
+ * 9 : SQR2 control, 0 to 5V programmable Squarewave
+ * 10: Digital output OD1, 
+ * 11: CCS, Controls the 1mA constant current source. 
+ * A12: Analog Input  AN0 / RA0  (dummy entry for RA0), special case
+*/
+
+#include "ejlib.h"
+
+// The global variable below are an issue, if we want to make a DLL out of this. For the time being compile this file
+//with the main program.
+
+extern int		fd;						// File handle, global variable
+// Conversion factors m and c (y = mx+c) for 12bit and 8bit ADC resolutions. Total 13 channels (some unused)
+// Initialized by open() function.
+float m12[13], m8[13], c[13];
+float dacm = 5.0/4095;		// For DAC
+float tgap = 0.004;			// Time gap between digitization of two channels
+
+//================================= OS dependent code starts here ==================================
+struct 	termios oldtio, newtio;
+
+boolean sendByte(byte data)		// Sends a single byte. Returns TRUE or FALSE
+{
+if(write(fd, &data, 1) != 1)  return FALSE;
+return TRUE;
+}
+
+boolean sendInt(u16 data)		// Sends a 16bit integer. Returns TRUE or FALSE
+{
+byte* buf = (byte*) &data;
+if(write(fd, buf, 1) != 1)  return FALSE;
+usleep(10000);
+if(write(fd, buf+1, 1) != 1)  return FALSE;
+return TRUE;
+}
+
+int ssread(int nb, byte* data)	// Read 'nb' bytes. Returns nb(-1 on error). Result in 'data'
+{
+if(read(fd, data, nb) != nb)
+	{
+	fprintf(stderr,"Read ERR %x\n",fd);
+	return -1;
+	}
+return nb;
+}
+
+int sread(int nb, byte* data)	// Read 'nb' bytes. Returns nb(-1 on error). Result in 'data'
+{
+u16  br, tbr, bal;
+tbr = br = 0;
+bal = nb;
+
+while(tbr < nb)		// Total bytes receibed < nb
+	{
+	tbr += read(fd, data+tbr, nb-tbr);
+//	fprintf(stderr,"Read %d bytes. %d remaining\n", tbr, nb-tbr);
+	}
+return tbr;
+}
+
+int search_eyesj(char *device)	
+// Search for the reply "ejx.x" (version x.x) on the specified port. Returns file handle or -1
+{
+  byte ss[10];
+  
+  fd = open (device, O_RDWR | O_NOCTTY);
+  if (fd < 0)
+	{
+	fprintf(stderr,"ERROR opening %s\n",device); 
+    return -1;
+	}
+
+  //printf("Opened Device %s\n", device);
+  tcgetattr (fd, &oldtio);			// save current port settings 
+  memset (&newtio, 0, sizeof (newtio));
+  newtio.c_cflag = BAUDRATE | CS8 | CLOCAL | CREAD | PARENB;
+  newtio.c_iflag = INPCK;
+  newtio.c_oflag = 0;
+  newtio.c_lflag = 0;				// non-canonical mode
+  newtio.c_cc[VTIME] = 40; //MAXWAIT;		// Timeout for read in deciseconds
+  newtio.c_cc[VMIN] = 0;			// read will return after VTIME for sure
+  tcflush (fd, TCIOFLUSH);
+  tcsetattr (fd, TCSANOW, &newtio);
+
+  sendByte(GETVERSION);
+  sread(1,ss);
+  if(*ss != 'D')
+	{
+	fprintf(stderr,"No expEYES Found : %c:", *ss);
+	return -1;
+	}
+  sread(5,ss);
+  if(!strncmp((char*)ss,"ej",2))			// found proper version of hardware
+		return fd;
+  return -1;
+}
+
+void close_eyesj(void)
+{
+  tcflush (fd, TCIOFLUSH);
+  tcsetattr (fd, TCSANOW, &oldtio);
+}
+//============================== OS dependent code ends here ==========================================
+
+int open_eyesj(void) // Returns file descriptor on success and -1 on error. 
+{
+	int k;
+	
+	for(k=0; k <13; ++k) 			// Initialize the scale factors
+		{
+		m12[k] = 5.0/4095; 
+		m8[k] = 5.0/255;
+		c[k] = 0.0;
+		}
+	m12[1] = m12[2] = 10.0/4095;	// Channels 1 & 2 have -5 to +5 volts range
+	m8[1] = m8[2] = 10.0/255;
+	c[1] = c[2] = -5.0;
+
+#ifdef WINDOWS						// Under MS-Windows, compile with option -DWINDOWS
+	#define MAXPORT		255
+	for(k= 0; k < MAXPORT; ++k)		// to be tested
+		{
+		char ss[10];
+		sprintf(ss,"COM%d",k);
+		fd = search_eyesj(ss);
+		if(fd > 0)
+			return fd;
+		}
+#else
+	#define MAXPORT		2
+	char *devlist[MAXPORT] = {"/dev/ttyACM0","/dev/ttyACM1"}; 
+	for(k= 0; k < MAXPORT; ++k)
+		{
+		fd = search_eyesj(devlist[k]);
+		if(fd > 0)
+			return fd;
+		}
+#endif
+return -1;		
+}
+
+//========= expEYES Junior Functions. All will return zero on success, or some error code.===============
+
+//---------------- Square Wave Generation & Measuring the Frequency ------------------
+byte set_osc(byte osc, float freq, float* fset)
+	{
+	// Sets the output frequency of the SQR1 (osc=0) or SQR2. The actual value set is returned in fset.
+	static	float mtvals[4] = {0.125e-6, 8*0.125e-6, 64*0.125e-6, 256*0.125e-6};	// Possible Timer period values
+	float	per;
+	byte k, res[1], TCKPS = 0;		// TCKPS & OCRS are uC registers
+	u16 OCRS = 0;
+
+	if(freq < 0)	        // Disable Timer and Set Output LOW
+		TCKPS = 254;
+	else if(freq == 0)		// Disable Timer and Set Output HIGH
+		TCKPS = 255;
+	else					// Set the frequency
+		{
+		per = 1.0/freq;		// T requested
+		for(k=0; k < 4; ++k)				// Find the optimum scaling, OCR value
+			if(per < mtvals[k]*50000)
+				{
+				TCKPS = k;
+				OCRS = per/mtvals[k];
+				OCRS = (int)(OCRS+0.5);
+				freq = 1.0/(mtvals[k]*OCRS);
+				break;
+				}
+		if( (TCKPS < 4) && (OCRS == 0) )
+			return INVARG;
+		if(osc == 0)
+			sendByte(SETSQR1);
+		else
+			sendByte(SETSQR2);
+		sendByte(TCKPS);			// prescaling for timer
+		sendInt(OCRS);				// OCRS value
+		*res = COMERR;
+		sread(1, res);
+		if(*res != 'D')
+			return *res;
+		*fset = freq;
+		}
+	return 0;
+	}
+
+byte set_sqr1(float freq, float *fset)
+	{
+	//	Sets the frequency of SQR1 (between .7Hz and 200kHz). All intermediate values are not possible.
+	//	Returns the actual value set.
+	return set_osc(0, freq, fset);
+	}
+
+byte set_sqr2(float freq, float *fset)
+	{
+	//	Sets the frequency of SQR2 (between .7Hz and 200kHz). All intermediate values are not possible.
+	//	Returns the actual value set.
+	return set_osc(1, freq, fset);
+	}
+
+byte set_sqrs(float freq, float diff, float *fset)       // Freq in Hertz, phase difference in % of T
+	{
+	// Sets the output frequency of both SQR1 & SQR2. 'fset' returns actual value set. 
+	// The second argument is the phase difference between them  in percentage.
+	static	float mtvals[4] = {0.125e-6, 8*0.125e-6, 64*0.125e-6, 256*0.125e-6};	// Possible Timer period values
+	float	per;
+	byte k, res[1], TCKPS = 0;		// TCKPS, TG & OCRS are uC registers
+	u16 TG, OCRS = 0;
+
+	if(freq == 0)				// Disable both Square waves
+		{
+		set_sqr1(0, fset);
+		set_sqr2(0, fset);
+		return 0;
+		}
+	else if(freq < 0)			// Disable both Square waves
+		{
+		set_sqr1(-1, fset);
+		set_sqr2(-1, fset);
+		return 0;
+		}
+	if( (diff < 0) || (diff >= 100.0) )
+		{
+		fprintf(stderr,"Invalid phase difference\n");
+		return INVARG;
+		}
+	per = 1.0/freq;						// period T requested
+	for(k=0; k < 4; ++k)				// Find the optimum scaling, OCR value
+		if(per < mtvals[k]*50000)
+			{
+			TCKPS = k;
+			OCRS = per/mtvals[k];
+			OCRS = (int)(OCRS+0.5);
+			freq = 1./(mtvals[k]*OCRS);
+			break;
+			}
+	if( (TCKPS < 4) && (OCRS == 0) )
+		{
+		fprintf(stderr,"Invalid Freqency\n");
+		return INVARG;
+		}
+	TG = (int)(diff*OCRS/100.0 +0.5);
+	if(TG == 0) TG = 1;		// Need to examine this
+	//print 'TCKPS ', TCKPS, 'ocrs ', OCRS, TG
+	sendByte(SETSQRS);
+	sendByte(TCKPS);		// prescaling for timer
+	sendInt(OCRS);			// OCRS value
+	sendInt(TG)	;			// time difference
+	*res = COMERR;
+	sread(1, res);
+	if(*res != 'D')
+		return *res;
+	*fset = freq;
+	return 0;
+	}
+
+
+byte set_pwm(byte osc, float ds, byte resol) // osc#, duty cycle, resolution 
+	{
+	// Sets PWM on SQR1 / SQR2. The frequency is decided by the resolution in bits.
+	byte res[1];
+	u16 ocxrs, ocx;
+	
+	if( (ds > 100) || (resol < 6) || (resol > 16) )
+		return INVARG;
+	ocxrs = pow(2.0, resol);  
+	ocx = (u16)(0.01 * ds * ocxrs + 0.5);
+	if(osc == 0)
+		sendByte(SETPWM1);
+	else
+		sendByte(SETPWM2);
+	sendInt(ocxrs-1);			// ocxrs
+	sendInt(ocx);				//ocx
+	*res = COMERR;
+	sread(1, res);
+	if(*res != 'D')
+		{
+		fprintf(stderr, "SETPWM error\n");
+		return *res;
+		}
+	return 0;
+	}
+	
+byte set_sqr1_pwm(byte dc)
+	{
+	// 	Sets 488 Hz PWM on SQR1. Duty cycle is specified in percentage. The third argument, PWM resolution, is 
+	//	14 bits by default. Decreasing this by one doubles the frequency.
+	return set_pwm(0,dc,14);
+	}
+
+byte set_sqr2_pwm(byte dc)
+	{
+	// Sets 488 Hz PWM on SQR2. Duty cycle is specified in percentage. The third argument, PWM resolution, is 
+	//	14 bits by default. Decreasing this by one doubles the frequency.
+	return set_pwm(1,dc,14);
+	}
+
+byte set_sqr1_dc(float volt)
+	{
+	// PWM DAC on SQR1. Resolution is 10 bits (f = 7.8 kHz) by default. External Filter is required to get the DC
+	// The voltage can be set from 0 to 5 volts.
+	return set_pwm(0, volt * 20.0, 10)/20;   // 100% means 5 volts., 10 bit resolution, 8kHz 
+	}
+
+byte set_sqr2_dc(float volt)
+	{    
+	// PWM DAC on SQR2. Resolution is 10 bits (f = 7.8 kHz) by default. External Filter is required to get the DC
+	// The voltage can be set from 0 to 5 volts.
+	return set_pwm(1, volt * 20.0, 10)/20;   // 5V correspods to 100%
+	}
+
+//------------------------- Digital I/O-----------------------------
+byte set_state(byte pin, byte state)
+	{
+	// Sets the status of Digital outputs SQR1, SQR2, OD1 or CCS. 
+	// It will work on SQR1 & SQR2 only if the frequency is set to zero.
+	byte res[1];
+
+	sendByte(SETSTATE);
+	sendByte(pin);	
+	sendByte(state);	
+	*res = COMERR;
+	sread(1, res);
+	if (*res != 'D')
+		{
+		fprintf(stderr, "SETSTATE error \n");
+		return *res;
+		}
+	return 0;
+	}
+
+byte get_state(byte pin, byte *st)
+	{
+	//	gets the status of the digital input pin. IN1, IN2 & SEN are set to digital mode before sensing input level.
+	byte res[1];
+
+	sendByte(GETSTATE);	
+	sendByte(pin);	
+	*res = COMERR;
+	sread(1, res);
+	if(*res != 'D')
+		{
+		fprintf(stderr,"GETSTATE error\n");
+		return *res;
+		} 
+	if(sread(1,res) != 1) return COMERR;
+	*st = *res;
+	return 0;
+	}
+
+//---------- Time Interval Measurements ----------------------
+byte tim_helper(byte cmd, byte src, byte dst, float* ti)
+	{
+	// Helper function for all Time measurement calls. Command, Source and destination pins are imputs.
+	// Returns time in microseconds, -1 on error.
+	byte res[4];
+	unsigned int* up = (unsigned int*) res;
+
+	if(cmd == MULTIR2R)
+		{
+		if(src > 7)
+			{	
+			fprintf(stderr, "Pin should be digital input capable: 0,3,4,5,6 or 7\n");
+			return INVARG;
+			}
+		if(dst > 249)
+			{	
+			fprintf(stderr, "Skip should be less than 250\n");
+			return INVARG;
+			}
+		}
+
+	if( (cmd == R2RTIME) || (cmd == R2FTIME) || (cmd == F2RTIME) || (cmd == F2FTIME) )
+		{
+		if( (src > 7) || (dst > 7) )
+			{
+			fprintf(stderr,"Both pins should be digital input capable: 0,3,4,5,6 or 7\n");
+			return INVARG;
+			}
+		}
+
+	if( (cmd == SET2RTIME) || (cmd == CLR2RTIME) ||(cmd == SET2FTIME) ||(cmd == CLR2FTIME) ||
+		(cmd == HTPUL2RTIME) ||(cmd == HTPUL2FTIME) ||(cmd == LTPUL2RTIME) || (cmd == LTPUL2FTIME) )
+		{
+		if( (src < 8) || (src > 11) )
+			{
+			fprintf(stderr,"Starting pin should be digital output capable: 8,9,10 or 11\n");
+			return INVARG;
+			}
+		if(dst > 7)
+			{
+			fprintf(stderr,"Destination pin should be digital input capable: 0,3,4,5,6 or 7\n");
+			return INVARG;
+			}
+		}
+	sendByte(cmd);	
+	sendByte(src);	
+	sendByte(dst);	
+	*res = COMERR;
+	sread(1, res);
+	if(*res != 'D')
+		{
+		fprintf(stderr, "Time measurement error = %c\n", *res);
+		return *res;
+		}
+	sread(1, res);				// Read & ingnore this byte, due to word alignment of uC
+	if(sread(4, res) != 4) 
+		{
+		fprintf(stderr, "Time measurement Data read error\n");
+		return COMERR;
+		}
+	//printf("%d\n", *up);
+	*ti = (float)*up *0.125;		 //convert to microseconds
+	return 0;
+	}
+
+byte r2rtime(byte pin1, byte pin2, float *ti)
+	{
+	// Time between a rising edge to a rising edge. The pins must be distinct.
+	return tim_helper(R2RTIME, pin1, pin2, ti);
+	}
+
+byte f2ftime(byte pin1, byte pin2, float *ti)
+	{
+	// Time between a falling edge to a falling edge. The pins must be distinct.
+	return tim_helper(F2FTIME, pin1, pin2, ti);
+	}
+
+byte r2ftime(byte pin1, byte pin2, float *ti)
+	{
+	// Time between a rising edge to a falling edge. The pins could be same or distinct.
+	return tim_helper(R2FTIME, pin1, pin2, ti);
+	}
+
+byte f2rtime(byte pin1, byte pin2, float *ti)
+	{
+	// Time between a falling edge to a rising edge. The pins could be same or distinct.
+	return tim_helper(R2FTIME, pin1, pin2, ti);
+	}
+
+byte multi_r2rtime(byte pin, byte skip, float *ti)
+	{
+	//Time between rising edges, could skip desired number of edges in between. (pin, 9) will give time required for
+	//	10 cycles of a squarewave, increases resolution.
+	return tim_helper(MULTIR2R, pin, skip, ti);
+	}
+
+byte get_frequency(byte pin, float *fr)
+	{
+	// This function measures the frequency of an external 0 to 5V PULSE on digital inputs, by calling multi_r2rtime().
+	float ti;
+	if( multi_r2rtime(pin, 0, &ti)) return COMERR;
+	*fr = 1.0e6 / ti;
+	if(ti < 10000)			// increase accuracy by averaging
+		{
+		if(multi_r2rtime(pin,9, &ti))return COMERR;
+		*fr = 1.0e7/ti;
+		}
+	return 0;
+	}
+
+//======================== Active time interval measurements ==========================
+byte set2rtime(byte pin1, byte pin2, float *ti)
+	{
+	// Time from setting pin1 to a rising edge on pin2.
+	return tim_helper(SET2RTIME, pin1, pin2, ti);
+	}
+
+byte set2ftime(byte pin1, byte pin2, float *ti)
+	{
+	// Time from setting pin1 to a falling edge on pin2.
+	return tim_helper(SET2FTIME, pin1, pin2, ti);
+	}
+
+byte clr2rtime(byte pin1, byte pin2, float *ti)
+	{
+	// Time from clearing pin1 to a rising edge on pin2.
+	return tim_helper(CLR2RTIME, pin1, pin2, ti);
+	}
+
+byte clr2ftime(byte pin1, byte pin2, float *ti)
+	{
+	// Time from clearing pin1 to a falling edge on pin2.
+	return tim_helper(CLR2FTIME, pin1, pin2, ti);
+	}
+
+byte htpulse2rtime(byte pin1, byte pin2, float* ti)
+	{
+	// Time from a HIGH True pulse on pin1 to a rising edge on pin2.
+	return tim_helper(HTPUL2RTIME, pin1, pin2, ti);
+	}
+
+byte htpulse2ftime(byte pin1, byte pin2, float *ti)
+	{
+	// Time from HIGH True pulse on pin1 to a falling edge on pin2.
+	return tim_helper(HTPUL2FTIME, pin1, pin2, ti);
+	}
+
+byte ltpulse2rtime(byte pin1, byte pin2, float *ti)
+	{
+	// Time from a LOW True pulse on pin1 to a rising edge on pin2.
+	return tim_helper(LTPUL2RTIME, pin1, pin2, ti);
+	}
+
+byte ltpulse2ftime(byte pin1, byte pin2, float *ti)
+	{
+	// Time from LOW True pulse on pin1 to a falling edge on pin2.
+	return tim_helper(LTPUL2FTIME, pin1, pin2, ti);
+	}
+
+//=================== Charge Time Measurement Unit related functions ==========================
+byte read_temp(int* temp)
+	{
+//	Reads the temperature of uC, currently of no use. Have to see whether this can be used for correcting
+//	the drift of the 5V regulator with temeperature.
+	byte res[2];
+
+	sendByte(READTEMP);
+	*res = COMERR;		// Assume an error
+	sread(1,res);
+	if( *res != 'D') return *res;
+	if(sread(2,res) != 2) return COMERR;
+	*temp = res[0] | (res[1] << 8);
+	return 0;
+	}
+
+byte measure_cv(int ch, int ctime, float i, float* v)
+//	Using the CTMU of PIC, charges a capacitor connected to IN1, IN2 or SEN, for 'ctime' microseconds
+//		and then mesures the voltage across it.
+//		The value of current can be set to .55uA, 5.5 uA, 55uA or 550 uA
+	{  
+	byte res[2], irange;
+	int  iv;
+
+	if(i > 500)			// 550 uA range
+		irange = 0;
+	else if(i > 50)		//	55 uA
+		irange = 3;
+	else if(i > 5)		// 5.5 uA
+		irange = 2;
+	else				// 0.55 uA
+		irange = 1;
+
+	if( (ch != 3) && ( ch !=4) )
+		{
+		fprintf(stderr, "Current to be set only on IN1 or IN2. %d\n",ch);
+		return INVARG;
+		}
+	sendByte(MEASURECV);
+	sendByte(ch);
+	sendByte(irange);
+	sendInt(ctime);
+	*res = COMERR;
+	sread(1, res);
+	if (*res != 'D') return *res;
+	if(sread(2,res) != 2) return COMERR;
+	iv = res[0] | (res[1] << 8);
+	*v = m12[ch] * iv + c[ch];
+	return 0;
+	}
+
+byte measure_cap(float* pf)
+	{
+// Measures the capacitance (in picoFarads) connected between IN1 and GND. Stray capacitance should be
+// subtracted from the measured value. Measurement is done by charging the capacitor with 5.5 uA
+// for a given time interval. 
+	int ctime;
+	float v;
+	for(ctime= 10; ctime < 10000; ctime +=10)
+		{
+		if(measure_cv(3, ctime, 5.5, &v)) return COMERR;   // 5.5 uA range is chosen
+		if(v > 2.0) break;
+		if(v > 4)
+			{
+			fprintf(stderr,"Error measuring capacitance. V = %5.3f\n", v);
+			return INVARG;
+			}
+		}
+	if(v == 0) return INVSIZE;
+	*pf = 5.5 * ctime / v; 		// microAmp * microSecond makes the result in picoFarads 
+	printf("MC %d %f %f\n", ctime, v, *pf);
+	return 0;
+	}
+
+byte set_current(int ch, float i, float *v)
+	{
+	// Sets CTMU current 'i' on a channel 'ch' and returns the voltage measured across the load. 
+	// Allowed values of current are .55, 5.5, 55 and 550 micro ampleres. ch=0 puts CTMU Off.
+	byte res[2], irange;
+	int  iv;
+
+	if(i > 500)			// 550 uA range
+		irange = 0;
+	else if(i > 50)		//	55 uA
+		irange = 3;
+	else if(i > 5)		// 5.5 uA
+		irange = 2;
+	else				// 0.55 uA
+		irange = 1;
+
+	if( (ch != 0) && (ch != 3) && ( ch !=4) )
+		{
+		fprintf(stderr, "Current to be set only on IN1 or IN2. %d\n",ch);
+		return INVARG;
+		}
+	sendByte(SETCURRENT);
+	sendByte(ch);
+	sendByte(irange);
+	*res = COMERR;
+	sread(1, res);
+	if( *res != 'D') return *res;
+	if(sread(2,res)!= 2) return COMERR;
+	iv = res[0] | (res[1] << 8);
+	*v = m12[ch] * iv + c[ch];
+	return 0;
+	}
+
+//====================== Analog Set, Get & Capture functions =======================================
+byte read_adc(byte ch, u16* iv)  // Read ADC, in SLEEP mode
+	{
+	byte res[2];
+	if ((ch < 0) || (ch > 12))
+		return INVARG;
+	sendByte(READADCSM);
+	sendByte(ch);
+	*res = COMERR;
+	sread(1, res);
+	if (*res != 'D') return *res;
+	if(sread(2, res) != 2) return COMERR;
+	*iv = res[0] | (res[1] << 8);
+	return 0;
+	}
+
+byte read_adcNS(byte ch, u16* iv)	// Read ADC, without entering SLEEP mode
+	{
+	byte res[2];
+	if ((ch < 0) || (ch > 12))
+		return INVARG;
+	sendByte(READADC);
+	sendByte(ch);
+	*res = COMERR;
+	sread(1, res);
+	if (*res != 'D') return *res;
+	if(sread(2, res) != 2) return COMERR;
+	*iv = res[0] | (res[1] << 8);
+	return 0;
+	}
+
+byte get_voltage(byte ch, float* v)
+	{
+	u16 iv;
+	byte res;
+	res = read_adc(ch, &iv);
+	if(res != 0) return res;			// Error return
+	*v = m12[ch] * iv + c[ch];
+	return 0;
+	}
+
+byte get_voltageNS(byte ch, float* v)	// get_voltage, without entering SLEEP mode
+	{
+	u16 iv;
+	byte res;
+	res = read_adcNS(ch, &iv);
+	if(res != 0) return res;			// Error return
+	*v = m12[ch] * iv + c[ch];
+	return 0;
+	}
+
+byte write_dac(int iv)		// Returns zero on success
+	{
+	byte res[1];
+	if(iv < 0) iv = 0;		// Keep within limits
+	else if (iv > 4095) iv = 4095;
+
+	sendByte(SETDAC);
+	sendInt(iv);
+	*res = COMERR;   	// Assume an error
+	sread(1, res);
+	if(*res != 'D')	return *res;
+	return 0;
+	}
+
+byte set_voltage(float v, float* vset)
+	{
+	//	Sets the PVS voltage. Reads it back and applies correction in a loop.
+	u16 k, iv, isv, goal;
+	if ((v < 0) || (v > 5.0))
+		return INVARG;
+	goal = (int)(v / dacm + 0.5);
+	iv = goal;
+	for(k=0; k < 15; ++k)
+		{
+		if(write_dac(iv)) return COMERR;
+		if(read_adc(12, &isv)) return COMERR;	// Read channel 12.
+		if (abs(isv-goal) <= 1) break;
+		if (isv > goal) iv -= 1;
+		else if(isv < goal) iv += 1;
+		}
+	*vset = m12[12] * isv + c[12];		//The voltage actually set
+	return 0;
+	}
+
+/*------------ capture functions (8bit data)--------------------
+Accepts channel numbers (ch, ch2 etc), Number of samples "ns",and Time interval between two samples "tg".
+The return value consists of arrays of Time & Voltage, starting at location 'data'. The first 'ns' floats are the Time, 
+followed by another 'ns' floats of voltage. This repeats for each channel captured.
+*/
+byte capture(int ch, int ns, int tg, float* data) 
+// Returns 2 vectors(of size 'ns'), T1, V1 starting at pointer *data
+	{
+	byte 	res[MAXBUF], *bp=res;
+	u16		k;
+	
+	//	Arguments : channel number , number of samples and timegap. data out is Time (ns*float), Voltage(ns*float)
+	if( (ch < 0) || (ch > 12) || (tg < 4) || (ns > 1800))
+		{
+		fprintf(stderr,"ch= %d ns = %d tg = %d\n", ch, ns, tg);
+		return INVARG;
+		}
+	sendByte(CAPTURE);
+	sendByte(ch);
+	sendInt(ns);
+	sendInt(tg);
+	*res = COMERR;				// fill response with error, call should correct it.
+	sread(1, res);				// get response
+	if(*res != 'D')
+		{
+		fprintf(stderr,"Invalid argument list\n");
+		return *res;
+		}
+	sread(1, res);				// Read & ingnore this byte, due to word alignment of uC
+	k = sread(ns, res);			// Read 'ns' data bytes
+	if(k != ns)
+		{
+		fprintf(stderr, "CAPTURE:Expected %d bytes. Got %d only\n", ns, k);
+		return INVSIZE;
+		}
+	for(k=0; k < ns; ++k) *data++ = 0.001 * k * tg;			// Fill Time, microseconds to milliseconds
+	for(k=0; k < ns; ++k) *data++ = *bp++ * m8[ch] + c[ch]; // Fill voltage
+	return 0;
+	}
+
+byte capture2(int ch1, int ch2, int ns, int tg, float* data) 
+// Returns 4 vectors(of size 'ns'), T1, V1,T2,V2 starting at pointer *data
+	{
+	byte 	res[MAXBUF], *bp=res;
+	u16		k;
+
+	sendByte(CAPTURE2);
+	sendByte(ch1);
+	sendByte(ch2);
+	sendInt(ns);
+	sendInt(tg);
+	*res = COMERR;				// fill response with error, call should correct it.
+	sread(1, res);				// response byte
+	if(*res != 'D')
+		{
+		fprintf(stderr,"Invalid argument list\n");
+		return *res;
+		}
+	sread(1, res);				// Read & ingnore this byte, due to word alignment of uC
+	k = sread(2*ns, res);		// Read '2*ns' data bytes, comes inter leaved, like, a1, b1, a2, b2 ...
+	if(k != 2*ns)
+		{
+		fprintf(stderr, "CAPTURE:Expected %d bytes. Got %d only\n", 2*ns, k);
+		return INVSIZE;
+		}
+	for(k=0; k < ns; ++k)		// Fill the inter-leaved data as T1, V1, T2, V2
+		{
+		data[k] = 0.001 * k * tg;					// Fill T1, microseconds to milliseconds
+		data[k + 2*ns] = 0.001 * k * tg + tgap;		// Fill T2, with offset
+		data[k + ns] = *bp++ * m8[ch1] + c[ch1]; 	// Fill V1
+		data[k + 3*ns] = *bp++ * m8[ch2] + c[ch2]; 	// Fill V2
+		}
+	return 0;
+	}
+
+byte capture3(int ch1, int ch2, int ch3, int ns, int tg, float* data) 
+// Returns 6 vectors(of size 'ns'), T1, V1,T2,V2,T3,V3 starting at pointer *data
+	{
+	byte 	ch12, res[MAXBUF], *bp=res;
+	u16		k;
+	sendByte(CAPTURE3);
+	ch12 = (ch2 << 4) | ch1;		// ch1 & ch2 packed into one byte
+	sendByte(ch12);
+	sendByte(ch3);
+	sendInt(ns);
+	sendInt(tg);
+	*res = COMERR;				// fill response with error, call should correct it.
+	sread(1, res);				// response
+	if(*res != 'D')
+		{
+		fprintf(stderr,"Invalid argument list\n");
+		return *res;
+		}
+	sread(1, res);				// Read & ingnore this byte, due to word alignment of uC
+	k = sread(3*ns, res);			// Read '2*ns' data bytes
+	if(k != 3*ns)
+		{
+		fprintf(stderr, "CAPTURE:Expected %d bytes. Got %d only\n", 3*ns, k);
+		return INVSIZE;
+		}
+	for(k=0; k < ns; ++k)		// Fill the inter-leaved data as T1, V1, T2, V2
+		{
+		data[k] = 0.001 * k * tg;					// Fill T1, microseconds to milliseconds
+		data[k + 2*ns] = 0.001 * k * tg + tgap;		// Fill T2, with 1*offset
+		data[k + 4*ns] = 0.001 * k * tg + 2*tgap;	// Fill T3, with 2*offset
+		data[k + ns] = *bp++ * m8[ch1] + c[ch1]; 	// Fill V1
+		data[k + 3*ns] = *bp++ * m8[ch2] + c[ch2]; 	// Fill V2
+		data[k + 5*ns] = *bp++ * m8[ch3] + c[ch3]; 	// Fill V3
+		}
+	return 0;
+	}
+
+byte capture4(int ch1, int ch2, int ch3, int ch4, int ns, int tg, float* data) 
+// Returns 8 vectors(of size 'ns'), T1, V1,T2,V2,T3,V3,T4,V4 starting at pointer *data
+	{
+	byte 	ch12, ch34, res[MAXBUF], *bp=res;
+	u16		k;
+	sendByte(CAPTURE4);
+	ch12 = (ch2 << 4) | ch1;		// ch1 & ch2 packed into one byte
+	ch34 = (ch4 << 4) | ch3;		// ch3 & ch4 packed into one byte
+	sendByte(ch12);
+	sendByte(ch34);
+	sendInt(ns);
+	sendInt(tg);
+	*res = COMERR;				// fill response with error, call should correct it.
+	sread(1, res);				// response byte
+	if(*res != 'D')
+		{
+		fprintf(stderr,"Invalid argument list\n");
+		return *res;
+		}
+	sread(1, res);				// Read & ingnore this byte, due to word alignment of uC
+	k = sread(4*ns, res);			// Read '2*ns' data bytes
+	if(k != 4*ns)
+		{
+		fprintf(stderr, "CAPTURE:Expected %d bytes. Got %d only\n", 4*ns, k);
+		return INVSIZE;
+		}
+	for(k=0; k < ns; ++k)		// Fill the inter-leaved data as T1, V1, T2, V2
+		{
+		data[k] = 0.001 * k * tg;					// Fill T1, microseconds to milliseconds
+		data[k + 2*ns] = 0.001 * k * tg + tgap;		// Fill T2, with 1*offset
+		data[k + 4*ns] = 0.001 * k * tg + 2*tgap;	// Fill T3, with 2*offset
+		data[k + 6*ns] = 0.001 * k * tg + 3*tgap;	// Fill T4, with 3*offset
+		data[k + ns] = *bp++ * m8[ch1] + c[ch1]; 	// Fill V1
+		data[k + 3*ns] = *bp++ * m8[ch2] + c[ch2]; 	// Fill V2
+		data[k + 5*ns] = *bp++ * m8[ch3] + c[ch3]; 	// Fill V3
+		data[k + 7*ns] = *bp++ * m8[ch4] + c[ch4]; 	// Fill V3
+		}
+	return 0;
+	}
+
+//----------------------- Capture with 12 bit resolution, each item is 2byte in size -----------------
+byte capture_hr(int ch, int ns, int tg, float* data) 
+// Returns two vectors(of size 'ns'), T1, V1 starting at pointer *data
+	{
+	byte 	res[MAXBUF];
+	u16		k, *ip = (u16*)res;
+	
+	sendByte(CAPTURE_HR);
+	sendByte(ch);
+	sendInt(ns);
+	sendInt(tg);
+	sread(1, res);				// response byte
+	if(*res != 'D')
+		return COMERR;
+	sread(1, res);				// Read & ingnore this byte, due to word alignment of uC
+	k = sread(2*ns, res);		// Read 2*ns data bytes
+	if(k != 2*ns)
+		{
+		fprintf(stderr, "CAPTURE_HR:Expected %d bytes. Got %d only\n", 2*ns, k);
+		return INVSIZE;
+		}
+	for(k=0; k < ns; ++k) *data++ = 0.001 * k * tg;				// Fill Time, microseconds to milliseconds
+	ip = (u16*)res;
+	for(k=0; k < ns; ++k) *data++ = *ip++ * m12[ch] + c[ch]; 	// Fill voltage
+	return 0;
+	}
+
+byte capture2_hr(int ch1, int ch2, int ns, int tg, float* data) 
+// Returns four vectors, T1, V1, T2, V2 , starting at pointer *data
+	{
+	byte 	res[MAXBUF];
+	u16		k, *ip = (u16*)res;
+	
+	sendByte(CAPTURE2_HR);
+	sendByte(ch1);
+	sendByte(ch2);
+	sendInt(ns);
+	sendInt(tg);
+	sread(1, res);				// response byte
+	if(*res != 'D')
+		return COMERR;
+	sread(1, res);				// Read & ingnore this byte, due to word alignment of uC
+	k = sread(4*ns, res);		// Read 2*2*ns data bytes, each data 2 bytes
+	if(k != 4*ns)
+		{
+		fprintf(stderr, "CAPTURE:Expected %d bytes. Got %d only\n", 4*ns, k);
+		return INVSIZE;
+		}
+	for(k=0; k < ns; ++k)		// Fill the inter-leaved data as T1, V1, T2, V2
+		{
+		data[k] = 0.001 * k * tg;					// Fill T1, microseconds to milliseconds
+		data[k + 2*ns] = 0.001 * k * tg + tgap;		// Fill T2, with offset
+		data[k + ns] = *ip++ * m12[ch1] + c[ch1]; 	// Fill V1
+		data[k + 3*ns] = *ip++ * m12[ch2] + c[ch2];	// Fill V2
+		}
+	return 0;
+	}
+
+//------------------- Modifiers for Capture ------------------------------
+byte disable_actions()
+	{
+	// Disable all modifiers to the capture call. The capture calls will be set to 
+	// do analog triggering on the first channel captured.
+	byte res[1];
+
+	sendByte(SETACTION);
+	sendByte(AANATRIG);
+	sendByte(0);			//Self trigger on channel zero means the first channel captured
+	*res = COMERR;
+	sread(1,res);
+	if(*res != 'D') return *res;
+	return 0;
+	}
+
+byte enable_action(byte action, byte ch)
+	{
+	byte res[1];
+
+	if( (action < 0) || (action > 8) || (ch < 1) || (ch > 11) )
+		{
+		fprintf(stderr, "Invalid actions or source specified\n");
+		return INVARG;
+		}
+	sendByte(SETACTION);
+	sendByte(action);
+	sendByte(ch);
+	*res = COMERR;
+	sread(1,res);
+	if(*res != 'D') return *res;
+	return 0;
+	}
+
+byte set_trig_source(byte ch)
+	{
+	// Analog Trigger of the desired channel
+	return enable_action(AANATRIG, ch);
+	}
+
+byte enable_wait_high(byte ch)
+	{
+	// Wait for a HIGH on the speciied 'pin' just before every Capture.
+	return enable_action(AWAITHI, ch);
+	}
+
+byte enable_wait_low(byte ch)
+	{
+	// Wait for a LOW on the speciied 'pin' just before every Capture.
+	return enable_action(AWAITLO, ch);
+	}
+
+byte enable_wait_rising(byte ch)
+	{
+	// Wait for a rising EDGE on the speciied 'pin' just before every Capture.
+	return enable_action(AWAITRISE, ch);
+	}
+
+byte enable_wait_falling(byte ch)
+	{
+	// Wait for a falling EDGE on the speciied 'pin' just before every Capture.
+	return enable_action(AWAITFALL, ch);
+	}
+
+byte enable_set_high(byte ch)
+	{
+	// Sets the speciied 'pin' HIGH, just before every Capture.
+	return enable_action(ASET, ch);
+	}
+
+byte enable_set_low(byte ch)
+	{
+	// Sets the speciied 'pin' LOW, just before every Capture.
+	return enable_action(ACLR, ch);
+	}
+
+byte enable_pulse_high(byte ch)
+	{
+	// Generate a HIGH TRUE Pulse on the speciied 'pin', just before every Capture.
+	// width is specified by the set_pulsewidth() function.
+	return enable_action(APULSEHT, ch);
+	}
+
+byte enable_pulse_low(byte ch)
+	{
+	// Generate a LOW TRUE Pulse on the speciied 'pin', just before every Capture.
+	return enable_action(APULSELT, ch);
+	}
+	
+byte set_pulsewidth(u16 width)
+	{
+	// Sets the 'pulse_width' parameter for pulse2rtime() command. 
+	// Also used by usound_time() and the elable_pulse_high/low() functions
+	byte res[1];
+
+	if( (width < 1) || (width > 500) )
+		return INVARG;
+	sendByte(SETPULWIDTH);
+	sendInt(width);
+	*res = COMERR;
+	sread(1,res);
+	if(*res != 'D') return *res;
+	return 0;
+	}
+
+//==================== End Analog I/O ========================
+
+byte get_version(byte* res)
+	{
+	if(sendByte(GETVERSION)== FALSE) return COMERR;
+	*res = COMERR;	// Assume en error
+	sread(1, res);
+	if(*res != 'D') return *res;
+	if(sread(5,res)==5) return 0;
+	return COMERR;
+	}
+
diff --git a/clib/expeyes-clib/install-sh b/clib/expeyes-clib/install-sh
new file mode 100755
index 0000000..a9244eb
--- /dev/null
+++ b/clib/expeyes-clib/install-sh
@@ -0,0 +1,527 @@
+#!/bin/sh
+# install - install a program, script, or datafile
+
+scriptversion=2011-01-19.21; # UTC
+
+# This originates from X11R5 (mit/util/scripts/install.sh), which was
+# later released in X11R6 (xc/config/util/install.sh) with the
+# following copyright and license.
+#
+# Copyright (C) 1994 X Consortium
+#
+# Permission is hereby granted, free of charge, to any person obtaining a copy
+# of this software and associated documentation files (the "Software"), to
+# deal in the Software without restriction, including without limitation the
+# rights to use, copy, modify, merge, publish, distribute, sublicense, and/or
+# sell copies of the Software, and to permit persons to whom the Software is
+# furnished to do so, subject to the following conditions:
+#
+# The above copyright notice and this permission notice shall be included in
+# all copies or substantial portions of the Software.
+#
+# THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
+# IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
+# FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT.  IN NO EVENT SHALL THE
+# X CONSORTIUM BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN
+# AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNEC-
+# TION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE.
+#
+# Except as contained in this notice, the name of the X Consortium shall not
+# be used in advertising or otherwise to promote the sale, use or other deal-
+# ings in this Software without prior written authorization from the X Consor-
+# tium.
+#
+#
+# FSF changes to this file are in the public domain.
+#
+# Calling this script install-sh is preferred over install.sh, to prevent
+# `make' implicit rules from creating a file called install from it
+# when there is no Makefile.
+#
+# This script is compatible with the BSD install script, but was written
+# from scratch.
+
+nl='
+'
+IFS=" ""	$nl"
+
+# set DOITPROG to echo to test this script
+
+# Don't use :- since 4.3BSD and earlier shells don't like it.
+doit=${DOITPROG-}
+if test -z "$doit"; then
+  doit_exec=exec
+else
+  doit_exec=$doit
+fi
+
+# Put in absolute file names if you don't have them in your path;
+# or use environment vars.
+
+chgrpprog=${CHGRPPROG-chgrp}
+chmodprog=${CHMODPROG-chmod}
+chownprog=${CHOWNPROG-chown}
+cmpprog=${CMPPROG-cmp}
+cpprog=${CPPROG-cp}
+mkdirprog=${MKDIRPROG-mkdir}
+mvprog=${MVPROG-mv}
+rmprog=${RMPROG-rm}
+stripprog=${STRIPPROG-strip}
+
+posix_glob='?'
+initialize_posix_glob='
+  test "$posix_glob" != "?" || {
+    if (set -f) 2>/dev/null; then
+      posix_glob=
+    else
+      posix_glob=:
+    fi
+  }
+'
+
+posix_mkdir=
+
+# Desired mode of installed file.
+mode=0755
+
+chgrpcmd=
+chmodcmd=$chmodprog
+chowncmd=
+mvcmd=$mvprog
+rmcmd="$rmprog -f"
+stripcmd=
+
+src=
+dst=
+dir_arg=
+dst_arg=
+
+copy_on_change=false
+no_target_directory=
+
+usage="\
+Usage: $0 [OPTION]... [-T] SRCFILE DSTFILE
+   or: $0 [OPTION]... SRCFILES... DIRECTORY
+   or: $0 [OPTION]... -t DIRECTORY SRCFILES...
+   or: $0 [OPTION]... -d DIRECTORIES...
+
+In the 1st form, copy SRCFILE to DSTFILE.
+In the 2nd and 3rd, copy all SRCFILES to DIRECTORY.
+In the 4th, create DIRECTORIES.
+
+Options:
+     --help     display this help and exit.
+     --version  display version info and exit.
+
+  -c            (ignored)
+  -C            install only if different (preserve the last data modification time)
+  -d            create directories instead of installing files.
+  -g GROUP      $chgrpprog installed files to GROUP.
+  -m MODE       $chmodprog installed files to MODE.
+  -o USER       $chownprog installed files to USER.
+  -s            $stripprog installed files.
+  -t DIRECTORY  install into DIRECTORY.
+  -T            report an error if DSTFILE is a directory.
+
+Environment variables override the default commands:
+  CHGRPPROG CHMODPROG CHOWNPROG CMPPROG CPPROG MKDIRPROG MVPROG
+  RMPROG STRIPPROG
+"
+
+while test $# -ne 0; do
+  case $1 in
+    -c) ;;
+
+    -C) copy_on_change=true;;
+
+    -d) dir_arg=true;;
+
+    -g) chgrpcmd="$chgrpprog $2"
+	shift;;
+
+    --help) echo "$usage"; exit $?;;
+
+    -m) mode=$2
+	case $mode in
+	  *' '* | *'	'* | *'
+'*	  | *'*'* | *'?'* | *'['*)
+	    echo "$0: invalid mode: $mode" >&2
+	    exit 1;;
+	esac
+	shift;;
+
+    -o) chowncmd="$chownprog $2"
+	shift;;
+
+    -s) stripcmd=$stripprog;;
+
+    -t) dst_arg=$2
+	# Protect names problematic for `test' and other utilities.
+	case $dst_arg in
+	  -* | [=\(\)!]) dst_arg=./$dst_arg;;
+	esac
+	shift;;
+
+    -T) no_target_directory=true;;
+
+    --version) echo "$0 $scriptversion"; exit $?;;
+
+    --)	shift
+	break;;
+
+    -*)	echo "$0: invalid option: $1" >&2
+	exit 1;;
+
+    *)  break;;
+  esac
+  shift
+done
+
+if test $# -ne 0 && test -z "$dir_arg$dst_arg"; then
+  # When -d is used, all remaining arguments are directories to create.
+  # When -t is used, the destination is already specified.
+  # Otherwise, the last argument is the destination.  Remove it from $@.
+  for arg
+  do
+    if test -n "$dst_arg"; then
+      # $@ is not empty: it contains at least $arg.
+      set fnord "$@" "$dst_arg"
+      shift # fnord
+    fi
+    shift # arg
+    dst_arg=$arg
+    # Protect names problematic for `test' and other utilities.
+    case $dst_arg in
+      -* | [=\(\)!]) dst_arg=./$dst_arg;;
+    esac
+  done
+fi
+
+if test $# -eq 0; then
+  if test -z "$dir_arg"; then
+    echo "$0: no input file specified." >&2
+    exit 1
+  fi
+  # It's OK to call `install-sh -d' without argument.
+  # This can happen when creating conditional directories.
+  exit 0
+fi
+
+if test -z "$dir_arg"; then
+  do_exit='(exit $ret); exit $ret'
+  trap "ret=129; $do_exit" 1
+  trap "ret=130; $do_exit" 2
+  trap "ret=141; $do_exit" 13
+  trap "ret=143; $do_exit" 15
+
+  # Set umask so as not to create temps with too-generous modes.
+  # However, 'strip' requires both read and write access to temps.
+  case $mode in
+    # Optimize common cases.
+    *644) cp_umask=133;;
+    *755) cp_umask=22;;
+
+    *[0-7])
+      if test -z "$stripcmd"; then
+	u_plus_rw=
+      else
+	u_plus_rw='% 200'
+      fi
+      cp_umask=`expr '(' 777 - $mode % 1000 ')' $u_plus_rw`;;
+    *)
+      if test -z "$stripcmd"; then
+	u_plus_rw=
+      else
+	u_plus_rw=,u+rw
+      fi
+      cp_umask=$mode$u_plus_rw;;
+  esac
+fi
+
+for src
+do
+  # Protect names problematic for `test' and other utilities.
+  case $src in
+    -* | [=\(\)!]) src=./$src;;
+  esac
+
+  if test -n "$dir_arg"; then
+    dst=$src
+    dstdir=$dst
+    test -d "$dstdir"
+    dstdir_status=$?
+  else
+
+    # Waiting for this to be detected by the "$cpprog $src $dsttmp" command
+    # might cause directories to be created, which would be especially bad
+    # if $src (and thus $dsttmp) contains '*'.
+    if test ! -f "$src" && test ! -d "$src"; then
+      echo "$0: $src does not exist." >&2
+      exit 1
+    fi
+
+    if test -z "$dst_arg"; then
+      echo "$0: no destination specified." >&2
+      exit 1
+    fi
+    dst=$dst_arg
+
+    # If destination is a directory, append the input filename; won't work
+    # if double slashes aren't ignored.
+    if test -d "$dst"; then
+      if test -n "$no_target_directory"; then
+	echo "$0: $dst_arg: Is a directory" >&2
+	exit 1
+      fi
+      dstdir=$dst
+      dst=$dstdir/`basename "$src"`
+      dstdir_status=0
+    else
+      # Prefer dirname, but fall back on a substitute if dirname fails.
+      dstdir=`
+	(dirname "$dst") 2>/dev/null ||
+	expr X"$dst" : 'X\(.*[^/]\)//*[^/][^/]*/*$' \| \
+	     X"$dst" : 'X\(//\)[^/]' \| \
+	     X"$dst" : 'X\(//\)$' \| \
+	     X"$dst" : 'X\(/\)' \| . 2>/dev/null ||
+	echo X"$dst" |
+	    sed '/^X\(.*[^/]\)\/\/*[^/][^/]*\/*$/{
+		   s//\1/
+		   q
+		 }
+		 /^X\(\/\/\)[^/].*/{
+		   s//\1/
+		   q
+		 }
+		 /^X\(\/\/\)$/{
+		   s//\1/
+		   q
+		 }
+		 /^X\(\/\).*/{
+		   s//\1/
+		   q
+		 }
+		 s/.*/./; q'
+      `
+
+      test -d "$dstdir"
+      dstdir_status=$?
+    fi
+  fi
+
+  obsolete_mkdir_used=false
+
+  if test $dstdir_status != 0; then
+    case $posix_mkdir in
+      '')
+	# Create intermediate dirs using mode 755 as modified by the umask.
+	# This is like FreeBSD 'install' as of 1997-10-28.
+	umask=`umask`
+	case $stripcmd.$umask in
+	  # Optimize common cases.
+	  *[2367][2367]) mkdir_umask=$umask;;
+	  .*0[02][02] | .[02][02] | .[02]) mkdir_umask=22;;
+
+	  *[0-7])
+	    mkdir_umask=`expr $umask + 22 \
+	      - $umask % 100 % 40 + $umask % 20 \
+	      - $umask % 10 % 4 + $umask % 2
+	    `;;
+	  *) mkdir_umask=$umask,go-w;;
+	esac
+
+	# With -d, create the new directory with the user-specified mode.
+	# Otherwise, rely on $mkdir_umask.
+	if test -n "$dir_arg"; then
+	  mkdir_mode=-m$mode
+	else
+	  mkdir_mode=
+	fi
+
+	posix_mkdir=false
+	case $umask in
+	  *[123567][0-7][0-7])
+	    # POSIX mkdir -p sets u+wx bits regardless of umask, which
+	    # is incompatible with FreeBSD 'install' when (umask & 300) != 0.
+	    ;;
+	  *)
+	    tmpdir=${TMPDIR-/tmp}/ins$RANDOM-$$
+	    trap 'ret=$?; rmdir "$tmpdir/d" "$tmpdir" 2>/dev/null; exit $ret' 0
+
+	    if (umask $mkdir_umask &&
+		exec $mkdirprog $mkdir_mode -p -- "$tmpdir/d") >/dev/null 2>&1
+	    then
+	      if test -z "$dir_arg" || {
+		   # Check for POSIX incompatibilities with -m.
+		   # HP-UX 11.23 and IRIX 6.5 mkdir -m -p sets group- or
+		   # other-writeable bit of parent directory when it shouldn't.
+		   # FreeBSD 6.1 mkdir -m -p sets mode of existing directory.
+		   ls_ld_tmpdir=`ls -ld "$tmpdir"`
+		   case $ls_ld_tmpdir in
+		     d????-?r-*) different_mode=700;;
+		     d????-?--*) different_mode=755;;
+		     *) false;;
+		   esac &&
+		   $mkdirprog -m$different_mode -p -- "$tmpdir" && {
+		     ls_ld_tmpdir_1=`ls -ld "$tmpdir"`
+		     test "$ls_ld_tmpdir" = "$ls_ld_tmpdir_1"
+		   }
+		 }
+	      then posix_mkdir=:
+	      fi
+	      rmdir "$tmpdir/d" "$tmpdir"
+	    else
+	      # Remove any dirs left behind by ancient mkdir implementations.
+	      rmdir ./$mkdir_mode ./-p ./-- 2>/dev/null
+	    fi
+	    trap '' 0;;
+	esac;;
+    esac
+
+    if
+      $posix_mkdir && (
+	umask $mkdir_umask &&
+	$doit_exec $mkdirprog $mkdir_mode -p -- "$dstdir"
+      )
+    then :
+    else
+
+      # The umask is ridiculous, or mkdir does not conform to POSIX,
+      # or it failed possibly due to a race condition.  Create the
+      # directory the slow way, step by step, checking for races as we go.
+
+      case $dstdir in
+	/*) prefix='/';;
+	[-=\(\)!]*) prefix='./';;
+	*)  prefix='';;
+      esac
+
+      eval "$initialize_posix_glob"
+
+      oIFS=$IFS
+      IFS=/
+      $posix_glob set -f
+      set fnord $dstdir
+      shift
+      $posix_glob set +f
+      IFS=$oIFS
+
+      prefixes=
+
+      for d
+      do
+	test X"$d" = X && continue
+
+	prefix=$prefix$d
+	if test -d "$prefix"; then
+	  prefixes=
+	else
+	  if $posix_mkdir; then
+	    (umask=$mkdir_umask &&
+	     $doit_exec $mkdirprog $mkdir_mode -p -- "$dstdir") && break
+	    # Don't fail if two instances are running concurrently.
+	    test -d "$prefix" || exit 1
+	  else
+	    case $prefix in
+	      *\'*) qprefix=`echo "$prefix" | sed "s/'/'\\\\\\\\''/g"`;;
+	      *) qprefix=$prefix;;
+	    esac
+	    prefixes="$prefixes '$qprefix'"
+	  fi
+	fi
+	prefix=$prefix/
+      done
+
+      if test -n "$prefixes"; then
+	# Don't fail if two instances are running concurrently.
+	(umask $mkdir_umask &&
+	 eval "\$doit_exec \$mkdirprog $prefixes") ||
+	  test -d "$dstdir" || exit 1
+	obsolete_mkdir_used=true
+      fi
+    fi
+  fi
+
+  if test -n "$dir_arg"; then
+    { test -z "$chowncmd" || $doit $chowncmd "$dst"; } &&
+    { test -z "$chgrpcmd" || $doit $chgrpcmd "$dst"; } &&
+    { test "$obsolete_mkdir_used$chowncmd$chgrpcmd" = false ||
+      test -z "$chmodcmd" || $doit $chmodcmd $mode "$dst"; } || exit 1
+  else
+
+    # Make a couple of temp file names in the proper directory.
+    dsttmp=$dstdir/_inst.$$_
+    rmtmp=$dstdir/_rm.$$_
+
+    # Trap to clean up those temp files at exit.
+    trap 'ret=$?; rm -f "$dsttmp" "$rmtmp" && exit $ret' 0
+
+    # Copy the file name to the temp name.
+    (umask $cp_umask && $doit_exec $cpprog "$src" "$dsttmp") &&
+
+    # and set any options; do chmod last to preserve setuid bits.
+    #
+    # If any of these fail, we abort the whole thing.  If we want to
+    # ignore errors from any of these, just make sure not to ignore
+    # errors from the above "$doit $cpprog $src $dsttmp" command.
+    #
+    { test -z "$chowncmd" || $doit $chowncmd "$dsttmp"; } &&
+    { test -z "$chgrpcmd" || $doit $chgrpcmd "$dsttmp"; } &&
+    { test -z "$stripcmd" || $doit $stripcmd "$dsttmp"; } &&
+    { test -z "$chmodcmd" || $doit $chmodcmd $mode "$dsttmp"; } &&
+
+    # If -C, don't bother to copy if it wouldn't change the file.
+    if $copy_on_change &&
+       old=`LC_ALL=C ls -dlL "$dst"	2>/dev/null` &&
+       new=`LC_ALL=C ls -dlL "$dsttmp"	2>/dev/null` &&
+
+       eval "$initialize_posix_glob" &&
+       $posix_glob set -f &&
+       set X $old && old=:$2:$4:$5:$6 &&
+       set X $new && new=:$2:$4:$5:$6 &&
+       $posix_glob set +f &&
+
+       test "$old" = "$new" &&
+       $cmpprog "$dst" "$dsttmp" >/dev/null 2>&1
+    then
+      rm -f "$dsttmp"
+    else
+      # Rename the file to the real destination.
+      $doit $mvcmd -f "$dsttmp" "$dst" 2>/dev/null ||
+
+      # The rename failed, perhaps because mv can't rename something else
+      # to itself, or perhaps because mv is so ancient that it does not
+      # support -f.
+      {
+	# Now remove or move aside any old file at destination location.
+	# We try this two ways since rm can't unlink itself on some
+	# systems and the destination file might be busy for other
+	# reasons.  In this case, the final cleanup might fail but the new
+	# file should still install successfully.
+	{
+	  test ! -f "$dst" ||
+	  $doit $rmcmd -f "$dst" 2>/dev/null ||
+	  { $doit $mvcmd -f "$dst" "$rmtmp" 2>/dev/null &&
+	    { $doit $rmcmd -f "$rmtmp" 2>/dev/null; :; }
+	  } ||
+	  { echo "$0: cannot unlink or rename $dst" >&2
+	    (exit 1); exit 1
+	  }
+	} &&
+
+	# Now rename the file to the real destination.
+	$doit $mvcmd "$dsttmp" "$dst"
+      }
+    fi || exit 1
+
+    trap '' 0
+  fi
+done
+
+# Local variables:
+# eval: (add-hook 'write-file-hooks 'time-stamp)
+# time-stamp-start: "scriptversion="
+# time-stamp-format: "%:y-%02m-%02d.%02H"
+# time-stamp-time-zone: "UTC"
+# time-stamp-end: "; # UTC"
+# End:
diff --git a/clib/expeyes-clib/ltmain.sh b/clib/expeyes-clib/ltmain.sh
new file mode 100644
index 0000000..33f642a
--- /dev/null
+++ b/clib/expeyes-clib/ltmain.sh
@@ -0,0 +1,9661 @@
+
+# libtool (GNU libtool) 2.4.2
+# Written by Gordon Matzigkeit <gord at gnu.ai.mit.edu>, 1996
+
+# Copyright (C) 1996, 1997, 1998, 1999, 2000, 2001, 2003, 2004, 2005, 2006,
+# 2007, 2008, 2009, 2010, 2011 Free Software Foundation, Inc.
+# This is free software; see the source for copying conditions.  There is NO
+# warranty; not even for MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.
+
+# GNU Libtool is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 2 of the License, or
+# (at your option) any later version.
+#
+# As a special exception to the GNU General Public License,
+# if you distribute this file as part of a program or library that
+# is built using GNU Libtool, you may include this file under the
+# same distribution terms that you use for the rest of that program.
+#
+# GNU Libtool is distributed in the hope that it will be useful, but
+# WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
+# General Public License for more details.
+#
+# You should have received a copy of the GNU General Public License
+# along with GNU Libtool; see the file COPYING.  If not, a copy
+# can be downloaded from http://www.gnu.org/licenses/gpl.html,
+# or obtained by writing to the Free Software Foundation, Inc.,
+# 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301, USA.
+
+# Usage: $progname [OPTION]... [MODE-ARG]...
+#
+# Provide generalized library-building support services.
+#
+#       --config             show all configuration variables
+#       --debug              enable verbose shell tracing
+#   -n, --dry-run            display commands without modifying any files
+#       --features           display basic configuration information and exit
+#       --mode=MODE          use operation mode MODE
+#       --preserve-dup-deps  don't remove duplicate dependency libraries
+#       --quiet, --silent    don't print informational messages
+#       --no-quiet, --no-silent
+#                            print informational messages (default)
+#       --no-warn            don't display warning messages
+#       --tag=TAG            use configuration variables from tag TAG
+#   -v, --verbose            print more informational messages than default
+#       --no-verbose         don't print the extra informational messages
+#       --version            print version information
+#   -h, --help, --help-all   print short, long, or detailed help message
+#
+# MODE must be one of the following:
+#
+#         clean              remove files from the build directory
+#         compile            compile a source file into a libtool object
+#         execute            automatically set library path, then run a program
+#         finish             complete the installation of libtool libraries
+#         install            install libraries or executables
+#         link               create a library or an executable
+#         uninstall          remove libraries from an installed directory
+#
+# MODE-ARGS vary depending on the MODE.  When passed as first option,
+# `--mode=MODE' may be abbreviated as `MODE' or a unique abbreviation of that.
+# Try `$progname --help --mode=MODE' for a more detailed description of MODE.
+#
+# When reporting a bug, please describe a test case to reproduce it and
+# include the following information:
+#
+#         host-triplet:	$host
+#         shell:		$SHELL
+#         compiler:		$LTCC
+#         compiler flags:		$LTCFLAGS
+#         linker:		$LD (gnu? $with_gnu_ld)
+#         $progname:	(GNU libtool) 2.4.2 Debian-2.4.2-1.1
+#         automake:	$automake_version
+#         autoconf:	$autoconf_version
+#
+# Report bugs to <bug-libtool at gnu.org>.
+# GNU libtool home page: <http://www.gnu.org/software/libtool/>.
+# General help using GNU software: <http://www.gnu.org/gethelp/>.
+
+PROGRAM=libtool
+PACKAGE=libtool
+VERSION="2.4.2 Debian-2.4.2-1.1"
+TIMESTAMP=""
+package_revision=1.3337
+
+# Be Bourne compatible
+if test -n "${ZSH_VERSION+set}" && (emulate sh) >/dev/null 2>&1; then
+  emulate sh
+  NULLCMD=:
+  # Zsh 3.x and 4.x performs word splitting on ${1+"$@"}, which
+  # is contrary to our usage.  Disable this feature.
+  alias -g '${1+"$@"}'='"$@"'
+  setopt NO_GLOB_SUBST
+else
+  case `(set -o) 2>/dev/null` in *posix*) set -o posix;; esac
+fi
+BIN_SH=xpg4; export BIN_SH # for Tru64
+DUALCASE=1; export DUALCASE # for MKS sh
+
+# A function that is used when there is no print builtin or printf.
+func_fallback_echo ()
+{
+  eval 'cat <<_LTECHO_EOF
+$1
+_LTECHO_EOF'
+}
+
+# NLS nuisances: We save the old values to restore during execute mode.
+lt_user_locale=
+lt_safe_locale=
+for lt_var in LANG LANGUAGE LC_ALL LC_CTYPE LC_COLLATE LC_MESSAGES
+do
+  eval "if test \"\${$lt_var+set}\" = set; then
+          save_$lt_var=\$$lt_var
+          $lt_var=C
+	  export $lt_var
+	  lt_user_locale=\"$lt_var=\\\$save_\$lt_var; \$lt_user_locale\"
+	  lt_safe_locale=\"$lt_var=C; \$lt_safe_locale\"
+	fi"
+done
+LC_ALL=C
+LANGUAGE=C
+export LANGUAGE LC_ALL
+
+$lt_unset CDPATH
+
+
+# Work around backward compatibility issue on IRIX 6.5. On IRIX 6.4+, sh
+# is ksh but when the shell is invoked as "sh" and the current value of
+# the _XPG environment variable is not equal to 1 (one), the special
+# positional parameter $0, within a function call, is the name of the
+# function.
+progpath="$0"
+
+
+
+: ${CP="cp -f"}
+test "${ECHO+set}" = set || ECHO=${as_echo-'printf %s\n'}
+: ${MAKE="make"}
+: ${MKDIR="mkdir"}
+: ${MV="mv -f"}
+: ${RM="rm -f"}
+: ${SHELL="${CONFIG_SHELL-/bin/sh}"}
+: ${Xsed="$SED -e 1s/^X//"}
+
+# Global variables:
+EXIT_SUCCESS=0
+EXIT_FAILURE=1
+EXIT_MISMATCH=63  # $? = 63 is used to indicate version mismatch to missing.
+EXIT_SKIP=77	  # $? = 77 is used to indicate a skipped test to automake.
+
+exit_status=$EXIT_SUCCESS
+
+# Make sure IFS has a sensible default
+lt_nl='
+'
+IFS=" 	$lt_nl"
+
+dirname="s,/[^/]*$,,"
+basename="s,^.*/,,"
+
+# func_dirname file append nondir_replacement
+# Compute the dirname of FILE.  If nonempty, add APPEND to the result,
+# otherwise set result to NONDIR_REPLACEMENT.
+func_dirname ()
+{
+    func_dirname_result=`$ECHO "${1}" | $SED "$dirname"`
+    if test "X$func_dirname_result" = "X${1}"; then
+      func_dirname_result="${3}"
+    else
+      func_dirname_result="$func_dirname_result${2}"
+    fi
+} # func_dirname may be replaced by extended shell implementation
+
+
+# func_basename file
+func_basename ()
+{
+    func_basename_result=`$ECHO "${1}" | $SED "$basename"`
+} # func_basename may be replaced by extended shell implementation
+
+
+# func_dirname_and_basename file append nondir_replacement
+# perform func_basename and func_dirname in a single function
+# call:
+#   dirname:  Compute the dirname of FILE.  If nonempty,
+#             add APPEND to the result, otherwise set result
+#             to NONDIR_REPLACEMENT.
+#             value returned in "$func_dirname_result"
+#   basename: Compute filename of FILE.
+#             value retuned in "$func_basename_result"
+# Implementation must be kept synchronized with func_dirname
+# and func_basename. For efficiency, we do not delegate to
+# those functions but instead duplicate the functionality here.
+func_dirname_and_basename ()
+{
+    # Extract subdirectory from the argument.
+    func_dirname_result=`$ECHO "${1}" | $SED -e "$dirname"`
+    if test "X$func_dirname_result" = "X${1}"; then
+      func_dirname_result="${3}"
+    else
+      func_dirname_result="$func_dirname_result${2}"
+    fi
+    func_basename_result=`$ECHO "${1}" | $SED -e "$basename"`
+} # func_dirname_and_basename may be replaced by extended shell implementation
+
+
+# func_stripname prefix suffix name
+# strip PREFIX and SUFFIX off of NAME.
+# PREFIX and SUFFIX must not contain globbing or regex special
+# characters, hashes, percent signs, but SUFFIX may contain a leading
+# dot (in which case that matches only a dot).
+# func_strip_suffix prefix name
+func_stripname ()
+{
+    case ${2} in
+      .*) func_stripname_result=`$ECHO "${3}" | $SED "s%^${1}%%; s%\\\\${2}\$%%"`;;
+      *)  func_stripname_result=`$ECHO "${3}" | $SED "s%^${1}%%; s%${2}\$%%"`;;
+    esac
+} # func_stripname may be replaced by extended shell implementation
+
+
+# These SED scripts presuppose an absolute path with a trailing slash.
+pathcar='s,^/\([^/]*\).*$,\1,'
+pathcdr='s,^/[^/]*,,'
+removedotparts=':dotsl
+		s@/\./@/@g
+		t dotsl
+		s,/\.$,/,'
+collapseslashes='s@/\{1,\}@/@g'
+finalslash='s,/*$,/,'
+
+# func_normal_abspath PATH
+# Remove doubled-up and trailing slashes, "." path components,
+# and cancel out any ".." path components in PATH after making
+# it an absolute path.
+#             value returned in "$func_normal_abspath_result"
+func_normal_abspath ()
+{
+  # Start from root dir and reassemble the path.
+  func_normal_abspath_result=
+  func_normal_abspath_tpath=$1
+  func_normal_abspath_altnamespace=
+  case $func_normal_abspath_tpath in
+    "")
+      # Empty path, that just means $cwd.
+      func_stripname '' '/' "`pwd`"
+      func_normal_abspath_result=$func_stripname_result
+      return
+    ;;
+    # The next three entries are used to spot a run of precisely
+    # two leading slashes without using negated character classes;
+    # we take advantage of case's first-match behaviour.
+    ///*)
+      # Unusual form of absolute path, do nothing.
+    ;;
+    //*)
+      # Not necessarily an ordinary path; POSIX reserves leading '//'
+      # and for example Cygwin uses it to access remote file shares
+      # over CIFS/SMB, so we conserve a leading double slash if found.
+      func_normal_abspath_altnamespace=/
+    ;;
+    /*)
+      # Absolute path, do nothing.
+    ;;
+    *)
+      # Relative path, prepend $cwd.
+      func_normal_abspath_tpath=`pwd`/$func_normal_abspath_tpath
+    ;;
+  esac
+  # Cancel out all the simple stuff to save iterations.  We also want
+  # the path to end with a slash for ease of parsing, so make sure
+  # there is one (and only one) here.
+  func_normal_abspath_tpath=`$ECHO "$func_normal_abspath_tpath" | $SED \
+        -e "$removedotparts" -e "$collapseslashes" -e "$finalslash"`
+  while :; do
+    # Processed it all yet?
+    if test "$func_normal_abspath_tpath" = / ; then
+      # If we ascended to the root using ".." the result may be empty now.
+      if test -z "$func_normal_abspath_result" ; then
+        func_normal_abspath_result=/
+      fi
+      break
+    fi
+    func_normal_abspath_tcomponent=`$ECHO "$func_normal_abspath_tpath" | $SED \
+        -e "$pathcar"`
+    func_normal_abspath_tpath=`$ECHO "$func_normal_abspath_tpath" | $SED \
+        -e "$pathcdr"`
+    # Figure out what to do with it
+    case $func_normal_abspath_tcomponent in
+      "")
+        # Trailing empty path component, ignore it.
+      ;;
+      ..)
+        # Parent dir; strip last assembled component from result.
+        func_dirname "$func_normal_abspath_result"
+        func_normal_abspath_result=$func_dirname_result
+      ;;
+      *)
+        # Actual path component, append it.
+        func_normal_abspath_result=$func_normal_abspath_result/$func_normal_abspath_tcomponent
+      ;;
+    esac
+  done
+  # Restore leading double-slash if one was found on entry.
+  func_normal_abspath_result=$func_normal_abspath_altnamespace$func_normal_abspath_result
+}
+
+# func_relative_path SRCDIR DSTDIR
+# generates a relative path from SRCDIR to DSTDIR, with a trailing
+# slash if non-empty, suitable for immediately appending a filename
+# without needing to append a separator.
+#             value returned in "$func_relative_path_result"
+func_relative_path ()
+{
+  func_relative_path_result=
+  func_normal_abspath "$1"
+  func_relative_path_tlibdir=$func_normal_abspath_result
+  func_normal_abspath "$2"
+  func_relative_path_tbindir=$func_normal_abspath_result
+
+  # Ascend the tree starting from libdir
+  while :; do
+    # check if we have found a prefix of bindir
+    case $func_relative_path_tbindir in
+      $func_relative_path_tlibdir)
+        # found an exact match
+        func_relative_path_tcancelled=
+        break
+        ;;
+      $func_relative_path_tlibdir*)
+        # found a matching prefix
+        func_stripname "$func_relative_path_tlibdir" '' "$func_relative_path_tbindir"
+        func_relative_path_tcancelled=$func_stripname_result
+        if test -z "$func_relative_path_result"; then
+          func_relative_path_result=.
+        fi
+        break
+        ;;
+      *)
+        func_dirname $func_relative_path_tlibdir
+        func_relative_path_tlibdir=${func_dirname_result}
+        if test "x$func_relative_path_tlibdir" = x ; then
+          # Have to descend all the way to the root!
+          func_relative_path_result=../$func_relative_path_result
+          func_relative_path_tcancelled=$func_relative_path_tbindir
+          break
+        fi
+        func_relative_path_result=../$func_relative_path_result
+        ;;
+    esac
+  done
+
+  # Now calculate path; take care to avoid doubling-up slashes.
+  func_stripname '' '/' "$func_relative_path_result"
+  func_relative_path_result=$func_stripname_result
+  func_stripname '/' '/' "$func_relative_path_tcancelled"
+  if test "x$func_stripname_result" != x ; then
+    func_relative_path_result=${func_relative_path_result}/${func_stripname_result}
+  fi
+
+  # Normalisation. If bindir is libdir, return empty string,
+  # else relative path ending with a slash; either way, target
+  # file name can be directly appended.
+  if test ! -z "$func_relative_path_result"; then
+    func_stripname './' '' "$func_relative_path_result/"
+    func_relative_path_result=$func_stripname_result
+  fi
+}
+
+# The name of this program:
+func_dirname_and_basename "$progpath"
+progname=$func_basename_result
+
+# Make sure we have an absolute path for reexecution:
+case $progpath in
+  [\\/]*|[A-Za-z]:\\*) ;;
+  *[\\/]*)
+     progdir=$func_dirname_result
+     progdir=`cd "$progdir" && pwd`
+     progpath="$progdir/$progname"
+     ;;
+  *)
+     save_IFS="$IFS"
+     IFS=${PATH_SEPARATOR-:}
+     for progdir in $PATH; do
+       IFS="$save_IFS"
+       test -x "$progdir/$progname" && break
+     done
+     IFS="$save_IFS"
+     test -n "$progdir" || progdir=`pwd`
+     progpath="$progdir/$progname"
+     ;;
+esac
+
+# Sed substitution that helps us do robust quoting.  It backslashifies
+# metacharacters that are still active within double-quoted strings.
+Xsed="${SED}"' -e 1s/^X//'
+sed_quote_subst='s/\([`"$\\]\)/\\\1/g'
+
+# Same as above, but do not quote variable references.
+double_quote_subst='s/\(["`\\]\)/\\\1/g'
+
+# Sed substitution that turns a string into a regex matching for the
+# string literally.
+sed_make_literal_regex='s,[].[^$\\*\/],\\&,g'
+
+# Sed substitution that converts a w32 file name or path
+# which contains forward slashes, into one that contains
+# (escaped) backslashes.  A very naive implementation.
+lt_sed_naive_backslashify='s|\\\\*|\\|g;s|/|\\|g;s|\\|\\\\|g'
+
+# Re-`\' parameter expansions in output of double_quote_subst that were
+# `\'-ed in input to the same.  If an odd number of `\' preceded a '$'
+# in input to double_quote_subst, that '$' was protected from expansion.
+# Since each input `\' is now two `\'s, look for any number of runs of
+# four `\'s followed by two `\'s and then a '$'.  `\' that '$'.
+bs='\\'
+bs2='\\\\'
+bs4='\\\\\\\\'
+dollar='\$'
+sed_double_backslash="\
+  s/$bs4/&\\
+/g
+  s/^$bs2$dollar/$bs&/
+  s/\\([^$bs]\\)$bs2$dollar/\\1$bs2$bs$dollar/g
+  s/\n//g"
+
+# Standard options:
+opt_dry_run=false
+opt_help=false
+opt_quiet=false
+opt_verbose=false
+opt_warning=:
+
+# func_echo arg...
+# Echo program name prefixed message, along with the current mode
+# name if it has been set yet.
+func_echo ()
+{
+    $ECHO "$progname: ${opt_mode+$opt_mode: }$*"
+}
+
+# func_verbose arg...
+# Echo program name prefixed message in verbose mode only.
+func_verbose ()
+{
+    $opt_verbose && func_echo ${1+"$@"}
+
+    # A bug in bash halts the script if the last line of a function
+    # fails when set -e is in force, so we need another command to
+    # work around that:
+    :
+}
+
+# func_echo_all arg...
+# Invoke $ECHO with all args, space-separated.
+func_echo_all ()
+{
+    $ECHO "$*"
+}
+
+# func_error arg...
+# Echo program name prefixed message to standard error.
+func_error ()
+{
+    $ECHO "$progname: ${opt_mode+$opt_mode: }"${1+"$@"} 1>&2
+}
+
+# func_warning arg...
+# Echo program name prefixed warning message to standard error.
+func_warning ()
+{
+    $opt_warning && $ECHO "$progname: ${opt_mode+$opt_mode: }warning: "${1+"$@"} 1>&2
+
+    # bash bug again:
+    :
+}
+
+# func_fatal_error arg...
+# Echo program name prefixed message to standard error, and exit.
+func_fatal_error ()
+{
+    func_error ${1+"$@"}
+    exit $EXIT_FAILURE
+}
+
+# func_fatal_help arg...
+# Echo program name prefixed message to standard error, followed by
+# a help hint, and exit.
+func_fatal_help ()
+{
+    func_error ${1+"$@"}
+    func_fatal_error "$help"
+}
+help="Try \`$progname --help' for more information."  ## default
+
+
+# func_grep expression filename
+# Check whether EXPRESSION matches any line of FILENAME, without output.
+func_grep ()
+{
+    $GREP "$1" "$2" >/dev/null 2>&1
+}
+
+
+# func_mkdir_p directory-path
+# Make sure the entire path to DIRECTORY-PATH is available.
+func_mkdir_p ()
+{
+    my_directory_path="$1"
+    my_dir_list=
+
+    if test -n "$my_directory_path" && test "$opt_dry_run" != ":"; then
+
+      # Protect directory names starting with `-'
+      case $my_directory_path in
+        -*) my_directory_path="./$my_directory_path" ;;
+      esac
+
+      # While some portion of DIR does not yet exist...
+      while test ! -d "$my_directory_path"; do
+        # ...make a list in topmost first order.  Use a colon delimited
+	# list incase some portion of path contains whitespace.
+        my_dir_list="$my_directory_path:$my_dir_list"
+
+        # If the last portion added has no slash in it, the list is done
+        case $my_directory_path in */*) ;; *) break ;; esac
+
+        # ...otherwise throw away the child directory and loop
+        my_directory_path=`$ECHO "$my_directory_path" | $SED -e "$dirname"`
+      done
+      my_dir_list=`$ECHO "$my_dir_list" | $SED 's,:*$,,'`
+
+      save_mkdir_p_IFS="$IFS"; IFS=':'
+      for my_dir in $my_dir_list; do
+	IFS="$save_mkdir_p_IFS"
+        # mkdir can fail with a `File exist' error if two processes
+        # try to create one of the directories concurrently.  Don't
+        # stop in that case!
+        $MKDIR "$my_dir" 2>/dev/null || :
+      done
+      IFS="$save_mkdir_p_IFS"
+
+      # Bail out if we (or some other process) failed to create a directory.
+      test -d "$my_directory_path" || \
+        func_fatal_error "Failed to create \`$1'"
+    fi
+}
+
+
+# func_mktempdir [string]
+# Make a temporary directory that won't clash with other running
+# libtool processes, and avoids race conditions if possible.  If
+# given, STRING is the basename for that directory.
+func_mktempdir ()
+{
+    my_template="${TMPDIR-/tmp}/${1-$progname}"
+
+    if test "$opt_dry_run" = ":"; then
+      # Return a directory name, but don't create it in dry-run mode
+      my_tmpdir="${my_template}-$$"
+    else
+
+      # If mktemp works, use that first and foremost
+      my_tmpdir=`mktemp -d "${my_template}-XXXXXXXX" 2>/dev/null`
+
+      if test ! -d "$my_tmpdir"; then
+        # Failing that, at least try and use $RANDOM to avoid a race
+        my_tmpdir="${my_template}-${RANDOM-0}$$"
+
+        save_mktempdir_umask=`umask`
+        umask 0077
+        $MKDIR "$my_tmpdir"
+        umask $save_mktempdir_umask
+      fi
+
+      # If we're not in dry-run mode, bomb out on failure
+      test -d "$my_tmpdir" || \
+        func_fatal_error "cannot create temporary directory \`$my_tmpdir'"
+    fi
+
+    $ECHO "$my_tmpdir"
+}
+
+
+# func_quote_for_eval arg
+# Aesthetically quote ARG to be evaled later.
+# This function returns two values: FUNC_QUOTE_FOR_EVAL_RESULT
+# is double-quoted, suitable for a subsequent eval, whereas
+# FUNC_QUOTE_FOR_EVAL_UNQUOTED_RESULT has merely all characters
+# which are still active within double quotes backslashified.
+func_quote_for_eval ()
+{
+    case $1 in
+      *[\\\`\"\$]*)
+	func_quote_for_eval_unquoted_result=`$ECHO "$1" | $SED "$sed_quote_subst"` ;;
+      *)
+        func_quote_for_eval_unquoted_result="$1" ;;
+    esac
+
+    case $func_quote_for_eval_unquoted_result in
+      # Double-quote args containing shell metacharacters to delay
+      # word splitting, command substitution and and variable
+      # expansion for a subsequent eval.
+      # Many Bourne shells cannot handle close brackets correctly
+      # in scan sets, so we specify it separately.
+      *[\[\~\#\^\&\*\(\)\{\}\|\;\<\>\?\'\ \	]*|*]*|"")
+        func_quote_for_eval_result="\"$func_quote_for_eval_unquoted_result\""
+        ;;
+      *)
+        func_quote_for_eval_result="$func_quote_for_eval_unquoted_result"
+    esac
+}
+
+
+# func_quote_for_expand arg
+# Aesthetically quote ARG to be evaled later; same as above,
+# but do not quote variable references.
+func_quote_for_expand ()
+{
+    case $1 in
+      *[\\\`\"]*)
+	my_arg=`$ECHO "$1" | $SED \
+	    -e "$double_quote_subst" -e "$sed_double_backslash"` ;;
+      *)
+        my_arg="$1" ;;
+    esac
+
+    case $my_arg in
+      # Double-quote args containing shell metacharacters to delay
+      # word splitting and command substitution for a subsequent eval.
+      # Many Bourne shells cannot handle close brackets correctly
+      # in scan sets, so we specify it separately.
+      *[\[\~\#\^\&\*\(\)\{\}\|\;\<\>\?\'\ \	]*|*]*|"")
+        my_arg="\"$my_arg\""
+        ;;
+    esac
+
+    func_quote_for_expand_result="$my_arg"
+}
+
+
+# func_show_eval cmd [fail_exp]
+# Unless opt_silent is true, then output CMD.  Then, if opt_dryrun is
+# not true, evaluate CMD.  If the evaluation of CMD fails, and FAIL_EXP
+# is given, then evaluate it.
+func_show_eval ()
+{
+    my_cmd="$1"
+    my_fail_exp="${2-:}"
+
+    ${opt_silent-false} || {
+      func_quote_for_expand "$my_cmd"
+      eval "func_echo $func_quote_for_expand_result"
+    }
+
+    if ${opt_dry_run-false}; then :; else
+      eval "$my_cmd"
+      my_status=$?
+      if test "$my_status" -eq 0; then :; else
+	eval "(exit $my_status); $my_fail_exp"
+      fi
+    fi
+}
+
+
+# func_show_eval_locale cmd [fail_exp]
+# Unless opt_silent is true, then output CMD.  Then, if opt_dryrun is
+# not true, evaluate CMD.  If the evaluation of CMD fails, and FAIL_EXP
+# is given, then evaluate it.  Use the saved locale for evaluation.
+func_show_eval_locale ()
+{
+    my_cmd="$1"
+    my_fail_exp="${2-:}"
+
+    ${opt_silent-false} || {
+      func_quote_for_expand "$my_cmd"
+      eval "func_echo $func_quote_for_expand_result"
+    }
+
+    if ${opt_dry_run-false}; then :; else
+      eval "$lt_user_locale
+	    $my_cmd"
+      my_status=$?
+      eval "$lt_safe_locale"
+      if test "$my_status" -eq 0; then :; else
+	eval "(exit $my_status); $my_fail_exp"
+      fi
+    fi
+}
+
+# func_tr_sh
+# Turn $1 into a string suitable for a shell variable name.
+# Result is stored in $func_tr_sh_result.  All characters
+# not in the set a-zA-Z0-9_ are replaced with '_'. Further,
+# if $1 begins with a digit, a '_' is prepended as well.
+func_tr_sh ()
+{
+  case $1 in
+  [0-9]* | *[!a-zA-Z0-9_]*)
+    func_tr_sh_result=`$ECHO "$1" | $SED 's/^\([0-9]\)/_\1/; s/[^a-zA-Z0-9_]/_/g'`
+    ;;
+  * )
+    func_tr_sh_result=$1
+    ;;
+  esac
+}
+
+
+# func_version
+# Echo version message to standard output and exit.
+func_version ()
+{
+    $opt_debug
+
+    $SED -n '/(C)/!b go
+	:more
+	/\./!{
+	  N
+	  s/\n# / /
+	  b more
+	}
+	:go
+	/^# '$PROGRAM' (GNU /,/# warranty; / {
+        s/^# //
+	s/^# *$//
+        s/\((C)\)[ 0-9,-]*\( [1-9][0-9]*\)/\1\2/
+        p
+     }' < "$progpath"
+     exit $?
+}
+
+# func_usage
+# Echo short help message to standard output and exit.
+func_usage ()
+{
+    $opt_debug
+
+    $SED -n '/^# Usage:/,/^#  *.*--help/ {
+        s/^# //
+	s/^# *$//
+	s/\$progname/'$progname'/
+	p
+    }' < "$progpath"
+    echo
+    $ECHO "run \`$progname --help | more' for full usage"
+    exit $?
+}
+
+# func_help [NOEXIT]
+# Echo long help message to standard output and exit,
+# unless 'noexit' is passed as argument.
+func_help ()
+{
+    $opt_debug
+
+    $SED -n '/^# Usage:/,/# Report bugs to/ {
+	:print
+        s/^# //
+	s/^# *$//
+	s*\$progname*'$progname'*
+	s*\$host*'"$host"'*
+	s*\$SHELL*'"$SHELL"'*
+	s*\$LTCC*'"$LTCC"'*
+	s*\$LTCFLAGS*'"$LTCFLAGS"'*
+	s*\$LD*'"$LD"'*
+	s/\$with_gnu_ld/'"$with_gnu_ld"'/
+	s/\$automake_version/'"`(${AUTOMAKE-automake} --version) 2>/dev/null |$SED 1q`"'/
+	s/\$autoconf_version/'"`(${AUTOCONF-autoconf} --version) 2>/dev/null |$SED 1q`"'/
+	p
+	d
+     }
+     /^# .* home page:/b print
+     /^# General help using/b print
+     ' < "$progpath"
+    ret=$?
+    if test -z "$1"; then
+      exit $ret
+    fi
+}
+
+# func_missing_arg argname
+# Echo program name prefixed message to standard error and set global
+# exit_cmd.
+func_missing_arg ()
+{
+    $opt_debug
+
+    func_error "missing argument for $1."
+    exit_cmd=exit
+}
+
+
+# func_split_short_opt shortopt
+# Set func_split_short_opt_name and func_split_short_opt_arg shell
+# variables after splitting SHORTOPT after the 2nd character.
+func_split_short_opt ()
+{
+    my_sed_short_opt='1s/^\(..\).*$/\1/;q'
+    my_sed_short_rest='1s/^..\(.*\)$/\1/;q'
+
+    func_split_short_opt_name=`$ECHO "$1" | $SED "$my_sed_short_opt"`
+    func_split_short_opt_arg=`$ECHO "$1" | $SED "$my_sed_short_rest"`
+} # func_split_short_opt may be replaced by extended shell implementation
+
+
+# func_split_long_opt longopt
+# Set func_split_long_opt_name and func_split_long_opt_arg shell
+# variables after splitting LONGOPT at the `=' sign.
+func_split_long_opt ()
+{
+    my_sed_long_opt='1s/^\(--[^=]*\)=.*/\1/;q'
+    my_sed_long_arg='1s/^--[^=]*=//'
+
+    func_split_long_opt_name=`$ECHO "$1" | $SED "$my_sed_long_opt"`
+    func_split_long_opt_arg=`$ECHO "$1" | $SED "$my_sed_long_arg"`
+} # func_split_long_opt may be replaced by extended shell implementation
+
+exit_cmd=:
+
+
+
+
+
+magic="%%%MAGIC variable%%%"
+magic_exe="%%%MAGIC EXE variable%%%"
+
+# Global variables.
+nonopt=
+preserve_args=
+lo2o="s/\\.lo\$/.${objext}/"
+o2lo="s/\\.${objext}\$/.lo/"
+extracted_archives=
+extracted_serial=0
+
+# If this variable is set in any of the actions, the command in it
+# will be execed at the end.  This prevents here-documents from being
+# left over by shells.
+exec_cmd=
+
+# func_append var value
+# Append VALUE to the end of shell variable VAR.
+func_append ()
+{
+    eval "${1}=\$${1}\${2}"
+} # func_append may be replaced by extended shell implementation
+
+# func_append_quoted var value
+# Quote VALUE and append to the end of shell variable VAR, separated
+# by a space.
+func_append_quoted ()
+{
+    func_quote_for_eval "${2}"
+    eval "${1}=\$${1}\\ \$func_quote_for_eval_result"
+} # func_append_quoted may be replaced by extended shell implementation
+
+
+# func_arith arithmetic-term...
+func_arith ()
+{
+    func_arith_result=`expr "${@}"`
+} # func_arith may be replaced by extended shell implementation
+
+
+# func_len string
+# STRING may not start with a hyphen.
+func_len ()
+{
+    func_len_result=`expr "${1}" : ".*" 2>/dev/null || echo $max_cmd_len`
+} # func_len may be replaced by extended shell implementation
+
+
+# func_lo2o object
+func_lo2o ()
+{
+    func_lo2o_result=`$ECHO "${1}" | $SED "$lo2o"`
+} # func_lo2o may be replaced by extended shell implementation
+
+
+# func_xform libobj-or-source
+func_xform ()
+{
+    func_xform_result=`$ECHO "${1}" | $SED 's/\.[^.]*$/.lo/'`
+} # func_xform may be replaced by extended shell implementation
+
+
+# func_fatal_configuration arg...
+# Echo program name prefixed message to standard error, followed by
+# a configuration failure hint, and exit.
+func_fatal_configuration ()
+{
+    func_error ${1+"$@"}
+    func_error "See the $PACKAGE documentation for more information."
+    func_fatal_error "Fatal configuration error."
+}
+
+
+# func_config
+# Display the configuration for all the tags in this script.
+func_config ()
+{
+    re_begincf='^# ### BEGIN LIBTOOL'
+    re_endcf='^# ### END LIBTOOL'
+
+    # Default configuration.
+    $SED "1,/$re_begincf CONFIG/d;/$re_endcf CONFIG/,\$d" < "$progpath"
+
+    # Now print the configurations for the tags.
+    for tagname in $taglist; do
+      $SED -n "/$re_begincf TAG CONFIG: $tagname\$/,/$re_endcf TAG CONFIG: $tagname\$/p" < "$progpath"
+    done
+
+    exit $?
+}
+
+# func_features
+# Display the features supported by this script.
+func_features ()
+{
+    echo "host: $host"
+    if test "$build_libtool_libs" = yes; then
+      echo "enable shared libraries"
+    else
+      echo "disable shared libraries"
+    fi
+    if test "$build_old_libs" = yes; then
+      echo "enable static libraries"
+    else
+      echo "disable static libraries"
+    fi
+
+    exit $?
+}
+
+# func_enable_tag tagname
+# Verify that TAGNAME is valid, and either flag an error and exit, or
+# enable the TAGNAME tag.  We also add TAGNAME to the global $taglist
+# variable here.
+func_enable_tag ()
+{
+  # Global variable:
+  tagname="$1"
+
+  re_begincf="^# ### BEGIN LIBTOOL TAG CONFIG: $tagname\$"
+  re_endcf="^# ### END LIBTOOL TAG CONFIG: $tagname\$"
+  sed_extractcf="/$re_begincf/,/$re_endcf/p"
+
+  # Validate tagname.
+  case $tagname in
+    *[!-_A-Za-z0-9,/]*)
+      func_fatal_error "invalid tag name: $tagname"
+      ;;
+  esac
+
+  # Don't test for the "default" C tag, as we know it's
+  # there but not specially marked.
+  case $tagname in
+    CC) ;;
+    *)
+      if $GREP "$re_begincf" "$progpath" >/dev/null 2>&1; then
+	taglist="$taglist $tagname"
+
+	# Evaluate the configuration.  Be careful to quote the path
+	# and the sed script, to avoid splitting on whitespace, but
+	# also don't use non-portable quotes within backquotes within
+	# quotes we have to do it in 2 steps:
+	extractedcf=`$SED -n -e "$sed_extractcf" < "$progpath"`
+	eval "$extractedcf"
+      else
+	func_error "ignoring unknown tag $tagname"
+      fi
+      ;;
+  esac
+}
+
+# func_check_version_match
+# Ensure that we are using m4 macros, and libtool script from the same
+# release of libtool.
+func_check_version_match ()
+{
+  if test "$package_revision" != "$macro_revision"; then
+    if test "$VERSION" != "$macro_version"; then
+      if test -z "$macro_version"; then
+        cat >&2 <<_LT_EOF
+$progname: Version mismatch error.  This is $PACKAGE $VERSION, but the
+$progname: definition of this LT_INIT comes from an older release.
+$progname: You should recreate aclocal.m4 with macros from $PACKAGE $VERSION
+$progname: and run autoconf again.
+_LT_EOF
+      else
+        cat >&2 <<_LT_EOF
+$progname: Version mismatch error.  This is $PACKAGE $VERSION, but the
+$progname: definition of this LT_INIT comes from $PACKAGE $macro_version.
+$progname: You should recreate aclocal.m4 with macros from $PACKAGE $VERSION
+$progname: and run autoconf again.
+_LT_EOF
+      fi
+    else
+      cat >&2 <<_LT_EOF
+$progname: Version mismatch error.  This is $PACKAGE $VERSION, revision $package_revision,
+$progname: but the definition of this LT_INIT comes from revision $macro_revision.
+$progname: You should recreate aclocal.m4 with macros from revision $package_revision
+$progname: of $PACKAGE $VERSION and run autoconf again.
+_LT_EOF
+    fi
+
+    exit $EXIT_MISMATCH
+  fi
+}
+
+
+# Shorthand for --mode=foo, only valid as the first argument
+case $1 in
+clean|clea|cle|cl)
+  shift; set dummy --mode clean ${1+"$@"}; shift
+  ;;
+compile|compil|compi|comp|com|co|c)
+  shift; set dummy --mode compile ${1+"$@"}; shift
+  ;;
+execute|execut|execu|exec|exe|ex|e)
+  shift; set dummy --mode execute ${1+"$@"}; shift
+  ;;
+finish|finis|fini|fin|fi|f)
+  shift; set dummy --mode finish ${1+"$@"}; shift
+  ;;
+install|instal|insta|inst|ins|in|i)
+  shift; set dummy --mode install ${1+"$@"}; shift
+  ;;
+link|lin|li|l)
+  shift; set dummy --mode link ${1+"$@"}; shift
+  ;;
+uninstall|uninstal|uninsta|uninst|unins|unin|uni|un|u)
+  shift; set dummy --mode uninstall ${1+"$@"}; shift
+  ;;
+esac
+
+
+
+# Option defaults:
+opt_debug=:
+opt_dry_run=false
+opt_config=false
+opt_preserve_dup_deps=false
+opt_features=false
+opt_finish=false
+opt_help=false
+opt_help_all=false
+opt_silent=:
+opt_warning=:
+opt_verbose=:
+opt_silent=false
+opt_verbose=false
+
+
+# Parse options once, thoroughly.  This comes as soon as possible in the
+# script to make things like `--version' happen as quickly as we can.
+{
+  # this just eases exit handling
+  while test $# -gt 0; do
+    opt="$1"
+    shift
+    case $opt in
+      --debug|-x)	opt_debug='set -x'
+			func_echo "enabling shell trace mode"
+			$opt_debug
+			;;
+      --dry-run|--dryrun|-n)
+			opt_dry_run=:
+			;;
+      --config)
+			opt_config=:
+func_config
+			;;
+      --dlopen|-dlopen)
+			optarg="$1"
+			opt_dlopen="${opt_dlopen+$opt_dlopen
+}$optarg"
+			shift
+			;;
+      --preserve-dup-deps)
+			opt_preserve_dup_deps=:
+			;;
+      --features)
+			opt_features=:
+func_features
+			;;
+      --finish)
+			opt_finish=:
+set dummy --mode finish ${1+"$@"}; shift
+			;;
+      --help)
+			opt_help=:
+			;;
+      --help-all)
+			opt_help_all=:
+opt_help=': help-all'
+			;;
+      --mode)
+			test $# = 0 && func_missing_arg $opt && break
+			optarg="$1"
+			opt_mode="$optarg"
+case $optarg in
+  # Valid mode arguments:
+  clean|compile|execute|finish|install|link|relink|uninstall) ;;
+
+  # Catch anything else as an error
+  *) func_error "invalid argument for $opt"
+     exit_cmd=exit
+     break
+     ;;
+esac
+			shift
+			;;
+      --no-silent|--no-quiet)
+			opt_silent=false
+func_append preserve_args " $opt"
+			;;
+      --no-warning|--no-warn)
+			opt_warning=false
+func_append preserve_args " $opt"
+			;;
+      --no-verbose)
+			opt_verbose=false
+func_append preserve_args " $opt"
+			;;
+      --silent|--quiet)
+			opt_silent=:
+func_append preserve_args " $opt"
+        opt_verbose=false
+			;;
+      --verbose|-v)
+			opt_verbose=:
+func_append preserve_args " $opt"
+opt_silent=false
+			;;
+      --tag)
+			test $# = 0 && func_missing_arg $opt && break
+			optarg="$1"
+			opt_tag="$optarg"
+func_append preserve_args " $opt $optarg"
+func_enable_tag "$optarg"
+			shift
+			;;
+
+      -\?|-h)		func_usage				;;
+      --help)		func_help				;;
+      --version)	func_version				;;
+
+      # Separate optargs to long options:
+      --*=*)
+			func_split_long_opt "$opt"
+			set dummy "$func_split_long_opt_name" "$func_split_long_opt_arg" ${1+"$@"}
+			shift
+			;;
+
+      # Separate non-argument short options:
+      -\?*|-h*|-n*|-v*)
+			func_split_short_opt "$opt"
+			set dummy "$func_split_short_opt_name" "-$func_split_short_opt_arg" ${1+"$@"}
+			shift
+			;;
+
+      --)		break					;;
+      -*)		func_fatal_help "unrecognized option \`$opt'" ;;
+      *)		set dummy "$opt" ${1+"$@"};	shift; break  ;;
+    esac
+  done
+
+  # Validate options:
+
+  # save first non-option argument
+  if test "$#" -gt 0; then
+    nonopt="$opt"
+    shift
+  fi
+
+  # preserve --debug
+  test "$opt_debug" = : || func_append preserve_args " --debug"
+
+  case $host in
+    *cygwin* | *mingw* | *pw32* | *cegcc*)
+      # don't eliminate duplications in $postdeps and $predeps
+      opt_duplicate_compiler_generated_deps=:
+      ;;
+    *)
+      opt_duplicate_compiler_generated_deps=$opt_preserve_dup_deps
+      ;;
+  esac
+
+  $opt_help || {
+    # Sanity checks first:
+    func_check_version_match
+
+    if test "$build_libtool_libs" != yes && test "$build_old_libs" != yes; then
+      func_fatal_configuration "not configured to build any kind of library"
+    fi
+
+    # Darwin sucks
+    eval std_shrext=\"$shrext_cmds\"
+
+    # Only execute mode is allowed to have -dlopen flags.
+    if test -n "$opt_dlopen" && test "$opt_mode" != execute; then
+      func_error "unrecognized option \`-dlopen'"
+      $ECHO "$help" 1>&2
+      exit $EXIT_FAILURE
+    fi
+
+    # Change the help message to a mode-specific one.
+    generic_help="$help"
+    help="Try \`$progname --help --mode=$opt_mode' for more information."
+  }
+
+
+  # Bail if the options were screwed
+  $exit_cmd $EXIT_FAILURE
+}
+
+
+
+
+## ----------- ##
+##    Main.    ##
+## ----------- ##
+
+# func_lalib_p file
+# True iff FILE is a libtool `.la' library or `.lo' object file.
+# This function is only a basic sanity check; it will hardly flush out
+# determined imposters.
+func_lalib_p ()
+{
+    test -f "$1" &&
+      $SED -e 4q "$1" 2>/dev/null \
+        | $GREP "^# Generated by .*$PACKAGE" > /dev/null 2>&1
+}
+
+# func_lalib_unsafe_p file
+# True iff FILE is a libtool `.la' library or `.lo' object file.
+# This function implements the same check as func_lalib_p without
+# resorting to external programs.  To this end, it redirects stdin and
+# closes it afterwards, without saving the original file descriptor.
+# As a safety measure, use it only where a negative result would be
+# fatal anyway.  Works if `file' does not exist.
+func_lalib_unsafe_p ()
+{
+    lalib_p=no
+    if test -f "$1" && test -r "$1" && exec 5<&0 <"$1"; then
+	for lalib_p_l in 1 2 3 4
+	do
+	    read lalib_p_line
+	    case "$lalib_p_line" in
+		\#\ Generated\ by\ *$PACKAGE* ) lalib_p=yes; break;;
+	    esac
+	done
+	exec 0<&5 5<&-
+    fi
+    test "$lalib_p" = yes
+}
+
+# func_ltwrapper_script_p file
+# True iff FILE is a libtool wrapper script
+# This function is only a basic sanity check; it will hardly flush out
+# determined imposters.
+func_ltwrapper_script_p ()
+{
+    func_lalib_p "$1"
+}
+
+# func_ltwrapper_executable_p file
+# True iff FILE is a libtool wrapper executable
+# This function is only a basic sanity check; it will hardly flush out
+# determined imposters.
+func_ltwrapper_executable_p ()
+{
+    func_ltwrapper_exec_suffix=
+    case $1 in
+    *.exe) ;;
+    *) func_ltwrapper_exec_suffix=.exe ;;
+    esac
+    $GREP "$magic_exe" "$1$func_ltwrapper_exec_suffix" >/dev/null 2>&1
+}
+
+# func_ltwrapper_scriptname file
+# Assumes file is an ltwrapper_executable
+# uses $file to determine the appropriate filename for a
+# temporary ltwrapper_script.
+func_ltwrapper_scriptname ()
+{
+    func_dirname_and_basename "$1" "" "."
+    func_stripname '' '.exe' "$func_basename_result"
+    func_ltwrapper_scriptname_result="$func_dirname_result/$objdir/${func_stripname_result}_ltshwrapper"
+}
+
+# func_ltwrapper_p file
+# True iff FILE is a libtool wrapper script or wrapper executable
+# This function is only a basic sanity check; it will hardly flush out
+# determined imposters.
+func_ltwrapper_p ()
+{
+    func_ltwrapper_script_p "$1" || func_ltwrapper_executable_p "$1"
+}
+
+
+# func_execute_cmds commands fail_cmd
+# Execute tilde-delimited COMMANDS.
+# If FAIL_CMD is given, eval that upon failure.
+# FAIL_CMD may read-access the current command in variable CMD!
+func_execute_cmds ()
+{
+    $opt_debug
+    save_ifs=$IFS; IFS='~'
+    for cmd in $1; do
+      IFS=$save_ifs
+      eval cmd=\"$cmd\"
+      func_show_eval "$cmd" "${2-:}"
+    done
+    IFS=$save_ifs
+}
+
+
+# func_source file
+# Source FILE, adding directory component if necessary.
+# Note that it is not necessary on cygwin/mingw to append a dot to
+# FILE even if both FILE and FILE.exe exist: automatic-append-.exe
+# behavior happens only for exec(3), not for open(2)!  Also, sourcing
+# `FILE.' does not work on cygwin managed mounts.
+func_source ()
+{
+    $opt_debug
+    case $1 in
+    */* | *\\*)	. "$1" ;;
+    *)		. "./$1" ;;
+    esac
+}
+
+
+# func_resolve_sysroot PATH
+# Replace a leading = in PATH with a sysroot.  Store the result into
+# func_resolve_sysroot_result
+func_resolve_sysroot ()
+{
+  func_resolve_sysroot_result=$1
+  case $func_resolve_sysroot_result in
+  =*)
+    func_stripname '=' '' "$func_resolve_sysroot_result"
+    func_resolve_sysroot_result=$lt_sysroot$func_stripname_result
+    ;;
+  esac
+}
+
+# func_replace_sysroot PATH
+# If PATH begins with the sysroot, replace it with = and
+# store the result into func_replace_sysroot_result.
+func_replace_sysroot ()
+{
+  case "$lt_sysroot:$1" in
+  ?*:"$lt_sysroot"*)
+    func_stripname "$lt_sysroot" '' "$1"
+    func_replace_sysroot_result="=$func_stripname_result"
+    ;;
+  *)
+    # Including no sysroot.
+    func_replace_sysroot_result=$1
+    ;;
+  esac
+}
+
+# func_infer_tag arg
+# Infer tagged configuration to use if any are available and
+# if one wasn't chosen via the "--tag" command line option.
+# Only attempt this if the compiler in the base compile
+# command doesn't match the default compiler.
+# arg is usually of the form 'gcc ...'
+func_infer_tag ()
+{
+    $opt_debug
+    if test -n "$available_tags" && test -z "$tagname"; then
+      CC_quoted=
+      for arg in $CC; do
+	func_append_quoted CC_quoted "$arg"
+      done
+      CC_expanded=`func_echo_all $CC`
+      CC_quoted_expanded=`func_echo_all $CC_quoted`
+      case $@ in
+      # Blanks in the command may have been stripped by the calling shell,
+      # but not from the CC environment variable when configure was run.
+      " $CC "* | "$CC "* | " $CC_expanded "* | "$CC_expanded "* | \
+      " $CC_quoted"* | "$CC_quoted "* | " $CC_quoted_expanded "* | "$CC_quoted_expanded "*) ;;
+      # Blanks at the start of $base_compile will cause this to fail
+      # if we don't check for them as well.
+      *)
+	for z in $available_tags; do
+	  if $GREP "^# ### BEGIN LIBTOOL TAG CONFIG: $z$" < "$progpath" > /dev/null; then
+	    # Evaluate the configuration.
+	    eval "`${SED} -n -e '/^# ### BEGIN LIBTOOL TAG CONFIG: '$z'$/,/^# ### END LIBTOOL TAG CONFIG: '$z'$/p' < $progpath`"
+	    CC_quoted=
+	    for arg in $CC; do
+	      # Double-quote args containing other shell metacharacters.
+	      func_append_quoted CC_quoted "$arg"
+	    done
+	    CC_expanded=`func_echo_all $CC`
+	    CC_quoted_expanded=`func_echo_all $CC_quoted`
+	    case "$@ " in
+	    " $CC "* | "$CC "* | " $CC_expanded "* | "$CC_expanded "* | \
+	    " $CC_quoted"* | "$CC_quoted "* | " $CC_quoted_expanded "* | "$CC_quoted_expanded "*)
+	      # The compiler in the base compile command matches
+	      # the one in the tagged configuration.
+	      # Assume this is the tagged configuration we want.
+	      tagname=$z
+	      break
+	      ;;
+	    esac
+	  fi
+	done
+	# If $tagname still isn't set, then no tagged configuration
+	# was found and let the user know that the "--tag" command
+	# line option must be used.
+	if test -z "$tagname"; then
+	  func_echo "unable to infer tagged configuration"
+	  func_fatal_error "specify a tag with \`--tag'"
+#	else
+#	  func_verbose "using $tagname tagged configuration"
+	fi
+	;;
+      esac
+    fi
+}
+
+
+
+# func_write_libtool_object output_name pic_name nonpic_name
+# Create a libtool object file (analogous to a ".la" file),
+# but don't create it if we're doing a dry run.
+func_write_libtool_object ()
+{
+    write_libobj=${1}
+    if test "$build_libtool_libs" = yes; then
+      write_lobj=\'${2}\'
+    else
+      write_lobj=none
+    fi
+
+    if test "$build_old_libs" = yes; then
+      write_oldobj=\'${3}\'
+    else
+      write_oldobj=none
+    fi
+
+    $opt_dry_run || {
+      cat >${write_libobj}T <<EOF
+# $write_libobj - a libtool object file
+# Generated by $PROGRAM (GNU $PACKAGE$TIMESTAMP) $VERSION
+#
+# Please DO NOT delete this file!
+# It is necessary for linking the library.
+
+# Name of the PIC object.
+pic_object=$write_lobj
+
+# Name of the non-PIC object
+non_pic_object=$write_oldobj
+
+EOF
+      $MV "${write_libobj}T" "${write_libobj}"
+    }
+}
+
+
+##################################################
+# FILE NAME AND PATH CONVERSION HELPER FUNCTIONS #
+##################################################
+
+# func_convert_core_file_wine_to_w32 ARG
+# Helper function used by file name conversion functions when $build is *nix,
+# and $host is mingw, cygwin, or some other w32 environment. Relies on a
+# correctly configured wine environment available, with the winepath program
+# in $build's $PATH.
+#
+# ARG is the $build file name to be converted to w32 format.
+# Result is available in $func_convert_core_file_wine_to_w32_result, and will
+# be empty on error (or when ARG is empty)
+func_convert_core_file_wine_to_w32 ()
+{
+  $opt_debug
+  func_convert_core_file_wine_to_w32_result="$1"
+  if test -n "$1"; then
+    # Unfortunately, winepath does not exit with a non-zero error code, so we
+    # are forced to check the contents of stdout. On the other hand, if the
+    # command is not found, the shell will set an exit code of 127 and print
+    # *an error message* to stdout. So we must check for both error code of
+    # zero AND non-empty stdout, which explains the odd construction:
+    func_convert_core_file_wine_to_w32_tmp=`winepath -w "$1" 2>/dev/null`
+    if test "$?" -eq 0 && test -n "${func_convert_core_file_wine_to_w32_tmp}"; then
+      func_convert_core_file_wine_to_w32_result=`$ECHO "$func_convert_core_file_wine_to_w32_tmp" |
+        $SED -e "$lt_sed_naive_backslashify"`
+    else
+      func_convert_core_file_wine_to_w32_result=
+    fi
+  fi
+}
+# end: func_convert_core_file_wine_to_w32
+
+
+# func_convert_core_path_wine_to_w32 ARG
+# Helper function used by path conversion functions when $build is *nix, and
+# $host is mingw, cygwin, or some other w32 environment. Relies on a correctly
+# configured wine environment available, with the winepath program in $build's
+# $PATH. Assumes ARG has no leading or trailing path separator characters.
+#
+# ARG is path to be converted from $build format to win32.
+# Result is available in $func_convert_core_path_wine_to_w32_result.
+# Unconvertible file (directory) names in ARG are skipped; if no directory names
+# are convertible, then the result may be empty.
+func_convert_core_path_wine_to_w32 ()
+{
+  $opt_debug
+  # unfortunately, winepath doesn't convert paths, only file names
+  func_convert_core_path_wine_to_w32_result=""
+  if test -n "$1"; then
+    oldIFS=$IFS
+    IFS=:
+    for func_convert_core_path_wine_to_w32_f in $1; do
+      IFS=$oldIFS
+      func_convert_core_file_wine_to_w32 "$func_convert_core_path_wine_to_w32_f"
+      if test -n "$func_convert_core_file_wine_to_w32_result" ; then
+        if test -z "$func_convert_core_path_wine_to_w32_result"; then
+          func_convert_core_path_wine_to_w32_result="$func_convert_core_file_wine_to_w32_result"
+        else
+          func_append func_convert_core_path_wine_to_w32_result ";$func_convert_core_file_wine_to_w32_result"
+        fi
+      fi
+    done
+    IFS=$oldIFS
+  fi
+}
+# end: func_convert_core_path_wine_to_w32
+
+
+# func_cygpath ARGS...
+# Wrapper around calling the cygpath program via LT_CYGPATH. This is used when
+# when (1) $build is *nix and Cygwin is hosted via a wine environment; or (2)
+# $build is MSYS and $host is Cygwin, or (3) $build is Cygwin. In case (1) or
+# (2), returns the Cygwin file name or path in func_cygpath_result (input
+# file name or path is assumed to be in w32 format, as previously converted
+# from $build's *nix or MSYS format). In case (3), returns the w32 file name
+# or path in func_cygpath_result (input file name or path is assumed to be in
+# Cygwin format). Returns an empty string on error.
+#
+# ARGS are passed to cygpath, with the last one being the file name or path to
+# be converted.
+#
+# Specify the absolute *nix (or w32) name to cygpath in the LT_CYGPATH
+# environment variable; do not put it in $PATH.
+func_cygpath ()
+{
+  $opt_debug
+  if test -n "$LT_CYGPATH" && test -f "$LT_CYGPATH"; then
+    func_cygpath_result=`$LT_CYGPATH "$@" 2>/dev/null`
+    if test "$?" -ne 0; then
+      # on failure, ensure result is empty
+      func_cygpath_result=
+    fi
+  else
+    func_cygpath_result=
+    func_error "LT_CYGPATH is empty or specifies non-existent file: \`$LT_CYGPATH'"
+  fi
+}
+#end: func_cygpath
+
+
+# func_convert_core_msys_to_w32 ARG
+# Convert file name or path ARG from MSYS format to w32 format.  Return
+# result in func_convert_core_msys_to_w32_result.
+func_convert_core_msys_to_w32 ()
+{
+  $opt_debug
+  # awkward: cmd appends spaces to result
+  func_convert_core_msys_to_w32_result=`( cmd //c echo "$1" ) 2>/dev/null |
+    $SED -e 's/[ ]*$//' -e "$lt_sed_naive_backslashify"`
+}
+#end: func_convert_core_msys_to_w32
+
+
+# func_convert_file_check ARG1 ARG2
+# Verify that ARG1 (a file name in $build format) was converted to $host
+# format in ARG2. Otherwise, emit an error message, but continue (resetting
+# func_to_host_file_result to ARG1).
+func_convert_file_check ()
+{
+  $opt_debug
+  if test -z "$2" && test -n "$1" ; then
+    func_error "Could not determine host file name corresponding to"
+    func_error "  \`$1'"
+    func_error "Continuing, but uninstalled executables may not work."
+    # Fallback:
+    func_to_host_file_result="$1"
+  fi
+}
+# end func_convert_file_check
+
+
+# func_convert_path_check FROM_PATHSEP TO_PATHSEP FROM_PATH TO_PATH
+# Verify that FROM_PATH (a path in $build format) was converted to $host
+# format in TO_PATH. Otherwise, emit an error message, but continue, resetting
+# func_to_host_file_result to a simplistic fallback value (see below).
+func_convert_path_check ()
+{
+  $opt_debug
+  if test -z "$4" && test -n "$3"; then
+    func_error "Could not determine the host path corresponding to"
+    func_error "  \`$3'"
+    func_error "Continuing, but uninstalled executables may not work."
+    # Fallback.  This is a deliberately simplistic "conversion" and
+    # should not be "improved".  See libtool.info.
+    if test "x$1" != "x$2"; then
+      lt_replace_pathsep_chars="s|$1|$2|g"
+      func_to_host_path_result=`echo "$3" |
+        $SED -e "$lt_replace_pathsep_chars"`
+    else
+      func_to_host_path_result="$3"
+    fi
+  fi
+}
+# end func_convert_path_check
+
+
+# func_convert_path_front_back_pathsep FRONTPAT BACKPAT REPL ORIG
+# Modifies func_to_host_path_result by prepending REPL if ORIG matches FRONTPAT
+# and appending REPL if ORIG matches BACKPAT.
+func_convert_path_front_back_pathsep ()
+{
+  $opt_debug
+  case $4 in
+  $1 ) func_to_host_path_result="$3$func_to_host_path_result"
+    ;;
+  esac
+  case $4 in
+  $2 ) func_append func_to_host_path_result "$3"
+    ;;
+  esac
+}
+# end func_convert_path_front_back_pathsep
+
+
+##################################################
+# $build to $host FILE NAME CONVERSION FUNCTIONS #
+##################################################
+# invoked via `$to_host_file_cmd ARG'
+#
+# In each case, ARG is the path to be converted from $build to $host format.
+# Result will be available in $func_to_host_file_result.
+
+
+# func_to_host_file ARG
+# Converts the file name ARG from $build format to $host format. Return result
+# in func_to_host_file_result.
+func_to_host_file ()
+{
+  $opt_debug
+  $to_host_file_cmd "$1"
+}
+# end func_to_host_file
+
+
+# func_to_tool_file ARG LAZY
+# converts the file name ARG from $build format to toolchain format. Return
+# result in func_to_tool_file_result.  If the conversion in use is listed
+# in (the comma separated) LAZY, no conversion takes place.
+func_to_tool_file ()
+{
+  $opt_debug
+  case ,$2, in
+    *,"$to_tool_file_cmd",*)
+      func_to_tool_file_result=$1
+      ;;
+    *)
+      $to_tool_file_cmd "$1"
+      func_to_tool_file_result=$func_to_host_file_result
+      ;;
+  esac
+}
+# end func_to_tool_file
+
+
+# func_convert_file_noop ARG
+# Copy ARG to func_to_host_file_result.
+func_convert_file_noop ()
+{
+  func_to_host_file_result="$1"
+}
+# end func_convert_file_noop
+
+
+# func_convert_file_msys_to_w32 ARG
+# Convert file name ARG from (mingw) MSYS to (mingw) w32 format; automatic
+# conversion to w32 is not available inside the cwrapper.  Returns result in
+# func_to_host_file_result.
+func_convert_file_msys_to_w32 ()
+{
+  $opt_debug
+  func_to_host_file_result="$1"
+  if test -n "$1"; then
+    func_convert_core_msys_to_w32 "$1"
+    func_to_host_file_result="$func_convert_core_msys_to_w32_result"
+  fi
+  func_convert_file_check "$1" "$func_to_host_file_result"
+}
+# end func_convert_file_msys_to_w32
+
+
+# func_convert_file_cygwin_to_w32 ARG
+# Convert file name ARG from Cygwin to w32 format.  Returns result in
+# func_to_host_file_result.
+func_convert_file_cygwin_to_w32 ()
+{
+  $opt_debug
+  func_to_host_file_result="$1"
+  if test -n "$1"; then
+    # because $build is cygwin, we call "the" cygpath in $PATH; no need to use
+    # LT_CYGPATH in this case.
+    func_to_host_file_result=`cygpath -m "$1"`
+  fi
+  func_convert_file_check "$1" "$func_to_host_file_result"
+}
+# end func_convert_file_cygwin_to_w32
+
+
+# func_convert_file_nix_to_w32 ARG
+# Convert file name ARG from *nix to w32 format.  Requires a wine environment
+# and a working winepath. Returns result in func_to_host_file_result.
+func_convert_file_nix_to_w32 ()
+{
+  $opt_debug
+  func_to_host_file_result="$1"
+  if test -n "$1"; then
+    func_convert_core_file_wine_to_w32 "$1"
+    func_to_host_file_result="$func_convert_core_file_wine_to_w32_result"
+  fi
+  func_convert_file_check "$1" "$func_to_host_file_result"
+}
+# end func_convert_file_nix_to_w32
+
+
+# func_convert_file_msys_to_cygwin ARG
+# Convert file name ARG from MSYS to Cygwin format.  Requires LT_CYGPATH set.
+# Returns result in func_to_host_file_result.
+func_convert_file_msys_to_cygwin ()
+{
+  $opt_debug
+  func_to_host_file_result="$1"
+  if test -n "$1"; then
+    func_convert_core_msys_to_w32 "$1"
+    func_cygpath -u "$func_convert_core_msys_to_w32_result"
+    func_to_host_file_result="$func_cygpath_result"
+  fi
+  func_convert_file_check "$1" "$func_to_host_file_result"
+}
+# end func_convert_file_msys_to_cygwin
+
+
+# func_convert_file_nix_to_cygwin ARG
+# Convert file name ARG from *nix to Cygwin format.  Requires Cygwin installed
+# in a wine environment, working winepath, and LT_CYGPATH set.  Returns result
+# in func_to_host_file_result.
+func_convert_file_nix_to_cygwin ()
+{
+  $opt_debug
+  func_to_host_file_result="$1"
+  if test -n "$1"; then
+    # convert from *nix to w32, then use cygpath to convert from w32 to cygwin.
+    func_convert_core_file_wine_to_w32 "$1"
+    func_cygpath -u "$func_convert_core_file_wine_to_w32_result"
+    func_to_host_file_result="$func_cygpath_result"
+  fi
+  func_convert_file_check "$1" "$func_to_host_file_result"
+}
+# end func_convert_file_nix_to_cygwin
+
+
+#############################################
+# $build to $host PATH CONVERSION FUNCTIONS #
+#############################################
+# invoked via `$to_host_path_cmd ARG'
+#
+# In each case, ARG is the path to be converted from $build to $host format.
+# The result will be available in $func_to_host_path_result.
+#
+# Path separators are also converted from $build format to $host format.  If
+# ARG begins or ends with a path separator character, it is preserved (but
+# converted to $host format) on output.
+#
+# All path conversion functions are named using the following convention:
+#   file name conversion function    : func_convert_file_X_to_Y ()
+#   path conversion function         : func_convert_path_X_to_Y ()
+# where, for any given $build/$host combination the 'X_to_Y' value is the
+# same.  If conversion functions are added for new $build/$host combinations,
+# the two new functions must follow this pattern, or func_init_to_host_path_cmd
+# will break.
+
+
+# func_init_to_host_path_cmd
+# Ensures that function "pointer" variable $to_host_path_cmd is set to the
+# appropriate value, based on the value of $to_host_file_cmd.
+to_host_path_cmd=
+func_init_to_host_path_cmd ()
+{
+  $opt_debug
+  if test -z "$to_host_path_cmd"; then
+    func_stripname 'func_convert_file_' '' "$to_host_file_cmd"
+    to_host_path_cmd="func_convert_path_${func_stripname_result}"
+  fi
+}
+
+
+# func_to_host_path ARG
+# Converts the path ARG from $build format to $host format. Return result
+# in func_to_host_path_result.
+func_to_host_path ()
+{
+  $opt_debug
+  func_init_to_host_path_cmd
+  $to_host_path_cmd "$1"
+}
+# end func_to_host_path
+
+
+# func_convert_path_noop ARG
+# Copy ARG to func_to_host_path_result.
+func_convert_path_noop ()
+{
+  func_to_host_path_result="$1"
+}
+# end func_convert_path_noop
+
+
+# func_convert_path_msys_to_w32 ARG
+# Convert path ARG from (mingw) MSYS to (mingw) w32 format; automatic
+# conversion to w32 is not available inside the cwrapper.  Returns result in
+# func_to_host_path_result.
+func_convert_path_msys_to_w32 ()
+{
+  $opt_debug
+  func_to_host_path_result="$1"
+  if test -n "$1"; then
+    # Remove leading and trailing path separator characters from ARG.  MSYS
+    # behavior is inconsistent here; cygpath turns them into '.;' and ';.';
+    # and winepath ignores them completely.
+    func_stripname : : "$1"
+    func_to_host_path_tmp1=$func_stripname_result
+    func_convert_core_msys_to_w32 "$func_to_host_path_tmp1"
+    func_to_host_path_result="$func_convert_core_msys_to_w32_result"
+    func_convert_path_check : ";" \
+      "$func_to_host_path_tmp1" "$func_to_host_path_result"
+    func_convert_path_front_back_pathsep ":*" "*:" ";" "$1"
+  fi
+}
+# end func_convert_path_msys_to_w32
+
+
+# func_convert_path_cygwin_to_w32 ARG
+# Convert path ARG from Cygwin to w32 format.  Returns result in
+# func_to_host_file_result.
+func_convert_path_cygwin_to_w32 ()
+{
+  $opt_debug
+  func_to_host_path_result="$1"
+  if test -n "$1"; then
+    # See func_convert_path_msys_to_w32:
+    func_stripname : : "$1"
+    func_to_host_path_tmp1=$func_stripname_result
+    func_to_host_path_result=`cygpath -m -p "$func_to_host_path_tmp1"`
+    func_convert_path_check : ";" \
+      "$func_to_host_path_tmp1" "$func_to_host_path_result"
+    func_convert_path_front_back_pathsep ":*" "*:" ";" "$1"
+  fi
+}
+# end func_convert_path_cygwin_to_w32
+
+
+# func_convert_path_nix_to_w32 ARG
+# Convert path ARG from *nix to w32 format.  Requires a wine environment and
+# a working winepath.  Returns result in func_to_host_file_result.
+func_convert_path_nix_to_w32 ()
+{
+  $opt_debug
+  func_to_host_path_result="$1"
+  if test -n "$1"; then
+    # See func_convert_path_msys_to_w32:
+    func_stripname : : "$1"
+    func_to_host_path_tmp1=$func_stripname_result
+    func_convert_core_path_wine_to_w32 "$func_to_host_path_tmp1"
+    func_to_host_path_result="$func_convert_core_path_wine_to_w32_result"
+    func_convert_path_check : ";" \
+      "$func_to_host_path_tmp1" "$func_to_host_path_result"
+    func_convert_path_front_back_pathsep ":*" "*:" ";" "$1"
+  fi
+}
+# end func_convert_path_nix_to_w32
+
+
+# func_convert_path_msys_to_cygwin ARG
+# Convert path ARG from MSYS to Cygwin format.  Requires LT_CYGPATH set.
+# Returns result in func_to_host_file_result.
+func_convert_path_msys_to_cygwin ()
+{
+  $opt_debug
+  func_to_host_path_result="$1"
+  if test -n "$1"; then
+    # See func_convert_path_msys_to_w32:
+    func_stripname : : "$1"
+    func_to_host_path_tmp1=$func_stripname_result
+    func_convert_core_msys_to_w32 "$func_to_host_path_tmp1"
+    func_cygpath -u -p "$func_convert_core_msys_to_w32_result"
+    func_to_host_path_result="$func_cygpath_result"
+    func_convert_path_check : : \
+      "$func_to_host_path_tmp1" "$func_to_host_path_result"
+    func_convert_path_front_back_pathsep ":*" "*:" : "$1"
+  fi
+}
+# end func_convert_path_msys_to_cygwin
+
+
+# func_convert_path_nix_to_cygwin ARG
+# Convert path ARG from *nix to Cygwin format.  Requires Cygwin installed in a
+# a wine environment, working winepath, and LT_CYGPATH set.  Returns result in
+# func_to_host_file_result.
+func_convert_path_nix_to_cygwin ()
+{
+  $opt_debug
+  func_to_host_path_result="$1"
+  if test -n "$1"; then
+    # Remove leading and trailing path separator characters from
+    # ARG. msys behavior is inconsistent here, cygpath turns them
+    # into '.;' and ';.', and winepath ignores them completely.
+    func_stripname : : "$1"
+    func_to_host_path_tmp1=$func_stripname_result
+    func_convert_core_path_wine_to_w32 "$func_to_host_path_tmp1"
+    func_cygpath -u -p "$func_convert_core_path_wine_to_w32_result"
+    func_to_host_path_result="$func_cygpath_result"
+    func_convert_path_check : : \
+      "$func_to_host_path_tmp1" "$func_to_host_path_result"
+    func_convert_path_front_back_pathsep ":*" "*:" : "$1"
+  fi
+}
+# end func_convert_path_nix_to_cygwin
+
+
+# func_mode_compile arg...
+func_mode_compile ()
+{
+    $opt_debug
+    # Get the compilation command and the source file.
+    base_compile=
+    srcfile="$nonopt"  #  always keep a non-empty value in "srcfile"
+    suppress_opt=yes
+    suppress_output=
+    arg_mode=normal
+    libobj=
+    later=
+    pie_flag=
+
+    for arg
+    do
+      case $arg_mode in
+      arg  )
+	# do not "continue".  Instead, add this to base_compile
+	lastarg="$arg"
+	arg_mode=normal
+	;;
+
+      target )
+	libobj="$arg"
+	arg_mode=normal
+	continue
+	;;
+
+      normal )
+	# Accept any command-line options.
+	case $arg in
+	-o)
+	  test -n "$libobj" && \
+	    func_fatal_error "you cannot specify \`-o' more than once"
+	  arg_mode=target
+	  continue
+	  ;;
+
+	-pie | -fpie | -fPIE)
+          func_append pie_flag " $arg"
+	  continue
+	  ;;
+
+	-shared | -static | -prefer-pic | -prefer-non-pic)
+	  func_append later " $arg"
+	  continue
+	  ;;
+
+	-no-suppress)
+	  suppress_opt=no
+	  continue
+	  ;;
+
+	-Xcompiler)
+	  arg_mode=arg  #  the next one goes into the "base_compile" arg list
+	  continue      #  The current "srcfile" will either be retained or
+	  ;;            #  replaced later.  I would guess that would be a bug.
+
+	-Wc,*)
+	  func_stripname '-Wc,' '' "$arg"
+	  args=$func_stripname_result
+	  lastarg=
+	  save_ifs="$IFS"; IFS=','
+	  for arg in $args; do
+	    IFS="$save_ifs"
+	    func_append_quoted lastarg "$arg"
+	  done
+	  IFS="$save_ifs"
+	  func_stripname ' ' '' "$lastarg"
+	  lastarg=$func_stripname_result
+
+	  # Add the arguments to base_compile.
+	  func_append base_compile " $lastarg"
+	  continue
+	  ;;
+
+	*)
+	  # Accept the current argument as the source file.
+	  # The previous "srcfile" becomes the current argument.
+	  #
+	  lastarg="$srcfile"
+	  srcfile="$arg"
+	  ;;
+	esac  #  case $arg
+	;;
+      esac    #  case $arg_mode
+
+      # Aesthetically quote the previous argument.
+      func_append_quoted base_compile "$lastarg"
+    done # for arg
+
+    case $arg_mode in
+    arg)
+      func_fatal_error "you must specify an argument for -Xcompile"
+      ;;
+    target)
+      func_fatal_error "you must specify a target with \`-o'"
+      ;;
+    *)
+      # Get the name of the library object.
+      test -z "$libobj" && {
+	func_basename "$srcfile"
+	libobj="$func_basename_result"
+      }
+      ;;
+    esac
+
+    # Recognize several different file suffixes.
+    # If the user specifies -o file.o, it is replaced with file.lo
+    case $libobj in
+    *.[cCFSifmso] | \
+    *.ada | *.adb | *.ads | *.asm | \
+    *.c++ | *.cc | *.ii | *.class | *.cpp | *.cxx | \
+    *.[fF][09]? | *.for | *.java | *.go | *.obj | *.sx | *.cu | *.cup)
+      func_xform "$libobj"
+      libobj=$func_xform_result
+      ;;
+    esac
+
+    case $libobj in
+    *.lo) func_lo2o "$libobj"; obj=$func_lo2o_result ;;
+    *)
+      func_fatal_error "cannot determine name of library object from \`$libobj'"
+      ;;
+    esac
+
+    func_infer_tag $base_compile
+
+    for arg in $later; do
+      case $arg in
+      -shared)
+	test "$build_libtool_libs" != yes && \
+	  func_fatal_configuration "can not build a shared library"
+	build_old_libs=no
+	continue
+	;;
+
+      -static)
+	build_libtool_libs=no
+	build_old_libs=yes
+	continue
+	;;
+
+      -prefer-pic)
+	pic_mode=yes
+	continue
+	;;
+
+      -prefer-non-pic)
+	pic_mode=no
+	continue
+	;;
+      esac
+    done
+
+    func_quote_for_eval "$libobj"
+    test "X$libobj" != "X$func_quote_for_eval_result" \
+      && $ECHO "X$libobj" | $GREP '[]~#^*{};<>?"'"'"'	 &()|`$[]' \
+      && func_warning "libobj name \`$libobj' may not contain shell special characters."
+    func_dirname_and_basename "$obj" "/" ""
+    objname="$func_basename_result"
+    xdir="$func_dirname_result"
+    lobj=${xdir}$objdir/$objname
+
+    test -z "$base_compile" && \
+      func_fatal_help "you must specify a compilation command"
+
+    # Delete any leftover library objects.
+    if test "$build_old_libs" = yes; then
+      removelist="$obj $lobj $libobj ${libobj}T"
+    else
+      removelist="$lobj $libobj ${libobj}T"
+    fi
+
+    # On Cygwin there's no "real" PIC flag so we must build both object types
+    case $host_os in
+    cygwin* | mingw* | pw32* | os2* | cegcc*)
+      pic_mode=default
+      ;;
+    esac
+    if test "$pic_mode" = no && test "$deplibs_check_method" != pass_all; then
+      # non-PIC code in shared libraries is not supported
+      pic_mode=default
+    fi
+
+    # Calculate the filename of the output object if compiler does
+    # not support -o with -c
+    if test "$compiler_c_o" = no; then
+      output_obj=`$ECHO "$srcfile" | $SED 's%^.*/%%; s%\.[^.]*$%%'`.${objext}
+      lockfile="$output_obj.lock"
+    else
+      output_obj=
+      need_locks=no
+      lockfile=
+    fi
+
+    # Lock this critical section if it is needed
+    # We use this script file to make the link, it avoids creating a new file
+    if test "$need_locks" = yes; then
+      until $opt_dry_run || ln "$progpath" "$lockfile" 2>/dev/null; do
+	func_echo "Waiting for $lockfile to be removed"
+	sleep 2
+      done
+    elif test "$need_locks" = warn; then
+      if test -f "$lockfile"; then
+	$ECHO "\
+*** ERROR, $lockfile exists and contains:
+`cat $lockfile 2>/dev/null`
+
+This indicates that another process is trying to use the same
+temporary object file, and libtool could not work around it because
+your compiler does not support \`-c' and \`-o' together.  If you
+repeat this compilation, it may succeed, by chance, but you had better
+avoid parallel builds (make -j) in this platform, or get a better
+compiler."
+
+	$opt_dry_run || $RM $removelist
+	exit $EXIT_FAILURE
+      fi
+      func_append removelist " $output_obj"
+      $ECHO "$srcfile" > "$lockfile"
+    fi
+
+    $opt_dry_run || $RM $removelist
+    func_append removelist " $lockfile"
+    trap '$opt_dry_run || $RM $removelist; exit $EXIT_FAILURE' 1 2 15
+
+    func_to_tool_file "$srcfile" func_convert_file_msys_to_w32
+    srcfile=$func_to_tool_file_result
+    func_quote_for_eval "$srcfile"
+    qsrcfile=$func_quote_for_eval_result
+
+    # Only build a PIC object if we are building libtool libraries.
+    if test "$build_libtool_libs" = yes; then
+      # Without this assignment, base_compile gets emptied.
+      fbsd_hideous_sh_bug=$base_compile
+
+      if test "$pic_mode" != no; then
+	command="$base_compile $qsrcfile $pic_flag"
+      else
+	# Don't build PIC code
+	command="$base_compile $qsrcfile"
+      fi
+
+      func_mkdir_p "$xdir$objdir"
+
+      if test -z "$output_obj"; then
+	# Place PIC objects in $objdir
+	func_append command " -o $lobj"
+      fi
+
+      func_show_eval_locale "$command"	\
+          'test -n "$output_obj" && $RM $removelist; exit $EXIT_FAILURE'
+
+      if test "$need_locks" = warn &&
+	 test "X`cat $lockfile 2>/dev/null`" != "X$srcfile"; then
+	$ECHO "\
+*** ERROR, $lockfile contains:
+`cat $lockfile 2>/dev/null`
+
+but it should contain:
+$srcfile
+
+This indicates that another process is trying to use the same
+temporary object file, and libtool could not work around it because
+your compiler does not support \`-c' and \`-o' together.  If you
+repeat this compilation, it may succeed, by chance, but you had better
+avoid parallel builds (make -j) in this platform, or get a better
+compiler."
+
+	$opt_dry_run || $RM $removelist
+	exit $EXIT_FAILURE
+      fi
+
+      # Just move the object if needed, then go on to compile the next one
+      if test -n "$output_obj" && test "X$output_obj" != "X$lobj"; then
+	func_show_eval '$MV "$output_obj" "$lobj"' \
+	  'error=$?; $opt_dry_run || $RM $removelist; exit $error'
+      fi
+
+      # Allow error messages only from the first compilation.
+      if test "$suppress_opt" = yes; then
+	suppress_output=' >/dev/null 2>&1'
+      fi
+    fi
+
+    # Only build a position-dependent object if we build old libraries.
+    if test "$build_old_libs" = yes; then
+      if test "$pic_mode" != yes; then
+	# Don't build PIC code
+	command="$base_compile $qsrcfile$pie_flag"
+      else
+	command="$base_compile $qsrcfile $pic_flag"
+      fi
+      if test "$compiler_c_o" = yes; then
+	func_append command " -o $obj"
+      fi
+
+      # Suppress compiler output if we already did a PIC compilation.
+      func_append command "$suppress_output"
+      func_show_eval_locale "$command" \
+        '$opt_dry_run || $RM $removelist; exit $EXIT_FAILURE'
+
+      if test "$need_locks" = warn &&
+	 test "X`cat $lockfile 2>/dev/null`" != "X$srcfile"; then
+	$ECHO "\
+*** ERROR, $lockfile contains:
+`cat $lockfile 2>/dev/null`
+
+but it should contain:
+$srcfile
+
+This indicates that another process is trying to use the same
+temporary object file, and libtool could not work around it because
+your compiler does not support \`-c' and \`-o' together.  If you
+repeat this compilation, it may succeed, by chance, but you had better
+avoid parallel builds (make -j) in this platform, or get a better
+compiler."
+
+	$opt_dry_run || $RM $removelist
+	exit $EXIT_FAILURE
+      fi
+
+      # Just move the object if needed
+      if test -n "$output_obj" && test "X$output_obj" != "X$obj"; then
+	func_show_eval '$MV "$output_obj" "$obj"' \
+	  'error=$?; $opt_dry_run || $RM $removelist; exit $error'
+      fi
+    fi
+
+    $opt_dry_run || {
+      func_write_libtool_object "$libobj" "$objdir/$objname" "$objname"
+
+      # Unlock the critical section if it was locked
+      if test "$need_locks" != no; then
+	removelist=$lockfile
+        $RM "$lockfile"
+      fi
+    }
+
+    exit $EXIT_SUCCESS
+}
+
+$opt_help || {
+  test "$opt_mode" = compile && func_mode_compile ${1+"$@"}
+}
+
+func_mode_help ()
+{
+    # We need to display help for each of the modes.
+    case $opt_mode in
+      "")
+        # Generic help is extracted from the usage comments
+        # at the start of this file.
+        func_help
+        ;;
+
+      clean)
+        $ECHO \
+"Usage: $progname [OPTION]... --mode=clean RM [RM-OPTION]... FILE...
+
+Remove files from the build directory.
+
+RM is the name of the program to use to delete files associated with each FILE
+(typically \`/bin/rm').  RM-OPTIONS are options (such as \`-f') to be passed
+to RM.
+
+If FILE is a libtool library, object or program, all the files associated
+with it are deleted. Otherwise, only FILE itself is deleted using RM."
+        ;;
+
+      compile)
+      $ECHO \
+"Usage: $progname [OPTION]... --mode=compile COMPILE-COMMAND... SOURCEFILE
+
+Compile a source file into a libtool library object.
+
+This mode accepts the following additional options:
+
+  -o OUTPUT-FILE    set the output file name to OUTPUT-FILE
+  -no-suppress      do not suppress compiler output for multiple passes
+  -prefer-pic       try to build PIC objects only
+  -prefer-non-pic   try to build non-PIC objects only
+  -shared           do not build a \`.o' file suitable for static linking
+  -static           only build a \`.o' file suitable for static linking
+  -Wc,FLAG          pass FLAG directly to the compiler
+
+COMPILE-COMMAND is a command to be used in creating a \`standard' object file
+from the given SOURCEFILE.
+
+The output file name is determined by removing the directory component from
+SOURCEFILE, then substituting the C source code suffix \`.c' with the
+library object suffix, \`.lo'."
+        ;;
+
+      execute)
+        $ECHO \
+"Usage: $progname [OPTION]... --mode=execute COMMAND [ARGS]...
+
+Automatically set library path, then run a program.
+
+This mode accepts the following additional options:
+
+  -dlopen FILE      add the directory containing FILE to the library path
+
+This mode sets the library path environment variable according to \`-dlopen'
+flags.
+
+If any of the ARGS are libtool executable wrappers, then they are translated
+into their corresponding uninstalled binary, and any of their required library
+directories are added to the library path.
+
+Then, COMMAND is executed, with ARGS as arguments."
+        ;;
+
+      finish)
+        $ECHO \
+"Usage: $progname [OPTION]... --mode=finish [LIBDIR]...
+
+Complete the installation of libtool libraries.
+
+Each LIBDIR is a directory that contains libtool libraries.
+
+The commands that this mode executes may require superuser privileges.  Use
+the \`--dry-run' option if you just want to see what would be executed."
+        ;;
+
+      install)
+        $ECHO \
+"Usage: $progname [OPTION]... --mode=install INSTALL-COMMAND...
+
+Install executables or libraries.
+
+INSTALL-COMMAND is the installation command.  The first component should be
+either the \`install' or \`cp' program.
+
+The following components of INSTALL-COMMAND are treated specially:
+
+  -inst-prefix-dir PREFIX-DIR  Use PREFIX-DIR as a staging area for installation
+
+The rest of the components are interpreted as arguments to that command (only
+BSD-compatible install options are recognized)."
+        ;;
+
+      link)
+        $ECHO \
+"Usage: $progname [OPTION]... --mode=link LINK-COMMAND...
+
+Link object files or libraries together to form another library, or to
+create an executable program.
+
+LINK-COMMAND is a command using the C compiler that you would use to create
+a program from several object files.
+
+The following components of LINK-COMMAND are treated specially:
+
+  -all-static       do not do any dynamic linking at all
+  -avoid-version    do not add a version suffix if possible
+  -bindir BINDIR    specify path to binaries directory (for systems where
+                    libraries must be found in the PATH setting at runtime)
+  -dlopen FILE      \`-dlpreopen' FILE if it cannot be dlopened at runtime
+  -dlpreopen FILE   link in FILE and add its symbols to lt_preloaded_symbols
+  -export-dynamic   allow symbols from OUTPUT-FILE to be resolved with dlsym(3)
+  -export-symbols SYMFILE
+                    try to export only the symbols listed in SYMFILE
+  -export-symbols-regex REGEX
+                    try to export only the symbols matching REGEX
+  -LLIBDIR          search LIBDIR for required installed libraries
+  -lNAME            OUTPUT-FILE requires the installed library libNAME
+  -module           build a library that can dlopened
+  -no-fast-install  disable the fast-install mode
+  -no-install       link a not-installable executable
+  -no-undefined     declare that a library does not refer to external symbols
+  -o OUTPUT-FILE    create OUTPUT-FILE from the specified objects
+  -objectlist FILE  Use a list of object files found in FILE to specify objects
+  -precious-files-regex REGEX
+                    don't remove output files matching REGEX
+  -release RELEASE  specify package release information
+  -rpath LIBDIR     the created library will eventually be installed in LIBDIR
+  -R[ ]LIBDIR       add LIBDIR to the runtime path of programs and libraries
+  -shared           only do dynamic linking of libtool libraries
+  -shrext SUFFIX    override the standard shared library file extension
+  -static           do not do any dynamic linking of uninstalled libtool libraries
+  -static-libtool-libs
+                    do not do any dynamic linking of libtool libraries
+  -version-info CURRENT[:REVISION[:AGE]]
+                    specify library version info [each variable defaults to 0]
+  -weak LIBNAME     declare that the target provides the LIBNAME interface
+  -Wc,FLAG
+  -Xcompiler FLAG   pass linker-specific FLAG directly to the compiler
+  -Wl,FLAG
+  -Xlinker FLAG     pass linker-specific FLAG directly to the linker
+  -XCClinker FLAG   pass link-specific FLAG to the compiler driver (CC)
+
+All other options (arguments beginning with \`-') are ignored.
+
+Every other argument is treated as a filename.  Files ending in \`.la' are
+treated as uninstalled libtool libraries, other files are standard or library
+object files.
+
+If the OUTPUT-FILE ends in \`.la', then a libtool library is created,
+only library objects (\`.lo' files) may be specified, and \`-rpath' is
+required, except when creating a convenience library.
+
+If OUTPUT-FILE ends in \`.a' or \`.lib', then a standard library is created
+using \`ar' and \`ranlib', or on Windows using \`lib'.
+
+If OUTPUT-FILE ends in \`.lo' or \`.${objext}', then a reloadable object file
+is created, otherwise an executable program is created."
+        ;;
+
+      uninstall)
+        $ECHO \
+"Usage: $progname [OPTION]... --mode=uninstall RM [RM-OPTION]... FILE...
+
+Remove libraries from an installation directory.
+
+RM is the name of the program to use to delete files associated with each FILE
+(typically \`/bin/rm').  RM-OPTIONS are options (such as \`-f') to be passed
+to RM.
+
+If FILE is a libtool library, all the files associated with it are deleted.
+Otherwise, only FILE itself is deleted using RM."
+        ;;
+
+      *)
+        func_fatal_help "invalid operation mode \`$opt_mode'"
+        ;;
+    esac
+
+    echo
+    $ECHO "Try \`$progname --help' for more information about other modes."
+}
+
+# Now that we've collected a possible --mode arg, show help if necessary
+if $opt_help; then
+  if test "$opt_help" = :; then
+    func_mode_help
+  else
+    {
+      func_help noexit
+      for opt_mode in compile link execute install finish uninstall clean; do
+	func_mode_help
+      done
+    } | sed -n '1p; 2,$s/^Usage:/  or: /p'
+    {
+      func_help noexit
+      for opt_mode in compile link execute install finish uninstall clean; do
+	echo
+	func_mode_help
+      done
+    } |
+    sed '1d
+      /^When reporting/,/^Report/{
+	H
+	d
+      }
+      $x
+      /information about other modes/d
+      /more detailed .*MODE/d
+      s/^Usage:.*--mode=\([^ ]*\) .*/Description of \1 mode:/'
+  fi
+  exit $?
+fi
+
+
+# func_mode_execute arg...
+func_mode_execute ()
+{
+    $opt_debug
+    # The first argument is the command name.
+    cmd="$nonopt"
+    test -z "$cmd" && \
+      func_fatal_help "you must specify a COMMAND"
+
+    # Handle -dlopen flags immediately.
+    for file in $opt_dlopen; do
+      test -f "$file" \
+	|| func_fatal_help "\`$file' is not a file"
+
+      dir=
+      case $file in
+      *.la)
+	func_resolve_sysroot "$file"
+	file=$func_resolve_sysroot_result
+
+	# Check to see that this really is a libtool archive.
+	func_lalib_unsafe_p "$file" \
+	  || func_fatal_help "\`$lib' is not a valid libtool archive"
+
+	# Read the libtool library.
+	dlname=
+	library_names=
+	func_source "$file"
+
+	# Skip this library if it cannot be dlopened.
+	if test -z "$dlname"; then
+	  # Warn if it was a shared library.
+	  test -n "$library_names" && \
+	    func_warning "\`$file' was not linked with \`-export-dynamic'"
+	  continue
+	fi
+
+	func_dirname "$file" "" "."
+	dir="$func_dirname_result"
+
+	if test -f "$dir/$objdir/$dlname"; then
+	  func_append dir "/$objdir"
+	else
+	  if test ! -f "$dir/$dlname"; then
+	    func_fatal_error "cannot find \`$dlname' in \`$dir' or \`$dir/$objdir'"
+	  fi
+	fi
+	;;
+
+      *.lo)
+	# Just add the directory containing the .lo file.
+	func_dirname "$file" "" "."
+	dir="$func_dirname_result"
+	;;
+
+      *)
+	func_warning "\`-dlopen' is ignored for non-libtool libraries and objects"
+	continue
+	;;
+      esac
+
+      # Get the absolute pathname.
+      absdir=`cd "$dir" && pwd`
+      test -n "$absdir" && dir="$absdir"
+
+      # Now add the directory to shlibpath_var.
+      if eval "test -z \"\$$shlibpath_var\""; then
+	eval "$shlibpath_var=\"\$dir\""
+      else
+	eval "$shlibpath_var=\"\$dir:\$$shlibpath_var\""
+      fi
+    done
+
+    # This variable tells wrapper scripts just to set shlibpath_var
+    # rather than running their programs.
+    libtool_execute_magic="$magic"
+
+    # Check if any of the arguments is a wrapper script.
+    args=
+    for file
+    do
+      case $file in
+      -* | *.la | *.lo ) ;;
+      *)
+	# Do a test to see if this is really a libtool program.
+	if func_ltwrapper_script_p "$file"; then
+	  func_source "$file"
+	  # Transform arg to wrapped name.
+	  file="$progdir/$program"
+	elif func_ltwrapper_executable_p "$file"; then
+	  func_ltwrapper_scriptname "$file"
+	  func_source "$func_ltwrapper_scriptname_result"
+	  # Transform arg to wrapped name.
+	  file="$progdir/$program"
+	fi
+	;;
+      esac
+      # Quote arguments (to preserve shell metacharacters).
+      func_append_quoted args "$file"
+    done
+
+    if test "X$opt_dry_run" = Xfalse; then
+      if test -n "$shlibpath_var"; then
+	# Export the shlibpath_var.
+	eval "export $shlibpath_var"
+      fi
+
+      # Restore saved environment variables
+      for lt_var in LANG LANGUAGE LC_ALL LC_CTYPE LC_COLLATE LC_MESSAGES
+      do
+	eval "if test \"\${save_$lt_var+set}\" = set; then
+                $lt_var=\$save_$lt_var; export $lt_var
+	      else
+		$lt_unset $lt_var
+	      fi"
+      done
+
+      # Now prepare to actually exec the command.
+      exec_cmd="\$cmd$args"
+    else
+      # Display what would be done.
+      if test -n "$shlibpath_var"; then
+	eval "\$ECHO \"\$shlibpath_var=\$$shlibpath_var\""
+	echo "export $shlibpath_var"
+      fi
+      $ECHO "$cmd$args"
+      exit $EXIT_SUCCESS
+    fi
+}
+
+test "$opt_mode" = execute && func_mode_execute ${1+"$@"}
+
+
+# func_mode_finish arg...
+func_mode_finish ()
+{
+    $opt_debug
+    libs=
+    libdirs=
+    admincmds=
+
+    for opt in "$nonopt" ${1+"$@"}
+    do
+      if test -d "$opt"; then
+	func_append libdirs " $opt"
+
+      elif test -f "$opt"; then
+	if func_lalib_unsafe_p "$opt"; then
+	  func_append libs " $opt"
+	else
+	  func_warning "\`$opt' is not a valid libtool archive"
+	fi
+
+      else
+	func_fatal_error "invalid argument \`$opt'"
+      fi
+    done
+
+    if test -n "$libs"; then
+      if test -n "$lt_sysroot"; then
+        sysroot_regex=`$ECHO "$lt_sysroot" | $SED "$sed_make_literal_regex"`
+        sysroot_cmd="s/\([ ']\)$sysroot_regex/\1/g;"
+      else
+        sysroot_cmd=
+      fi
+
+      # Remove sysroot references
+      if $opt_dry_run; then
+        for lib in $libs; do
+          echo "removing references to $lt_sysroot and \`=' prefixes from $lib"
+        done
+      else
+        tmpdir=`func_mktempdir`
+        for lib in $libs; do
+	  sed -e "${sysroot_cmd} s/\([ ']-[LR]\)=/\1/g; s/\([ ']\)=/\1/g" $lib \
+	    > $tmpdir/tmp-la
+	  mv -f $tmpdir/tmp-la $lib
+	done
+        ${RM}r "$tmpdir"
+      fi
+    fi
+
+    if test -n "$finish_cmds$finish_eval" && test -n "$libdirs"; then
+      for libdir in $libdirs; do
+	if test -n "$finish_cmds"; then
+	  # Do each command in the finish commands.
+	  func_execute_cmds "$finish_cmds" 'admincmds="$admincmds
+'"$cmd"'"'
+	fi
+	if test -n "$finish_eval"; then
+	  # Do the single finish_eval.
+	  eval cmds=\"$finish_eval\"
+	  $opt_dry_run || eval "$cmds" || func_append admincmds "
+       $cmds"
+	fi
+      done
+    fi
+
+    # Exit here if they wanted silent mode.
+    $opt_silent && exit $EXIT_SUCCESS
+
+    if test -n "$finish_cmds$finish_eval" && test -n "$libdirs"; then
+      echo "----------------------------------------------------------------------"
+      echo "Libraries have been installed in:"
+      for libdir in $libdirs; do
+	$ECHO "   $libdir"
+      done
+      echo
+      echo "If you ever happen to want to link against installed libraries"
+      echo "in a given directory, LIBDIR, you must either use libtool, and"
+      echo "specify the full pathname of the library, or use the \`-LLIBDIR'"
+      echo "flag during linking and do at least one of the following:"
+      if test -n "$shlibpath_var"; then
+	echo "   - add LIBDIR to the \`$shlibpath_var' environment variable"
+	echo "     during execution"
+      fi
+      if test -n "$runpath_var"; then
+	echo "   - add LIBDIR to the \`$runpath_var' environment variable"
+	echo "     during linking"
+      fi
+      if test -n "$hardcode_libdir_flag_spec"; then
+	libdir=LIBDIR
+	eval flag=\"$hardcode_libdir_flag_spec\"
+
+	$ECHO "   - use the \`$flag' linker flag"
+      fi
+      if test -n "$admincmds"; then
+	$ECHO "   - have your system administrator run these commands:$admincmds"
+      fi
+      if test -f /etc/ld.so.conf; then
+	echo "   - have your system administrator add LIBDIR to \`/etc/ld.so.conf'"
+      fi
+      echo
+
+      echo "See any operating system documentation about shared libraries for"
+      case $host in
+	solaris2.[6789]|solaris2.1[0-9])
+	  echo "more information, such as the ld(1), crle(1) and ld.so(8) manual"
+	  echo "pages."
+	  ;;
+	*)
+	  echo "more information, such as the ld(1) and ld.so(8) manual pages."
+	  ;;
+      esac
+      echo "----------------------------------------------------------------------"
+    fi
+    exit $EXIT_SUCCESS
+}
+
+test "$opt_mode" = finish && func_mode_finish ${1+"$@"}
+
+
+# func_mode_install arg...
+func_mode_install ()
+{
+    $opt_debug
+    # There may be an optional sh(1) argument at the beginning of
+    # install_prog (especially on Windows NT).
+    if test "$nonopt" = "$SHELL" || test "$nonopt" = /bin/sh ||
+       # Allow the use of GNU shtool's install command.
+       case $nonopt in *shtool*) :;; *) false;; esac; then
+      # Aesthetically quote it.
+      func_quote_for_eval "$nonopt"
+      install_prog="$func_quote_for_eval_result "
+      arg=$1
+      shift
+    else
+      install_prog=
+      arg=$nonopt
+    fi
+
+    # The real first argument should be the name of the installation program.
+    # Aesthetically quote it.
+    func_quote_for_eval "$arg"
+    func_append install_prog "$func_quote_for_eval_result"
+    install_shared_prog=$install_prog
+    case " $install_prog " in
+      *[\\\ /]cp\ *) install_cp=: ;;
+      *) install_cp=false ;;
+    esac
+
+    # We need to accept at least all the BSD install flags.
+    dest=
+    files=
+    opts=
+    prev=
+    install_type=
+    isdir=no
+    stripme=
+    no_mode=:
+    for arg
+    do
+      arg2=
+      if test -n "$dest"; then
+	func_append files " $dest"
+	dest=$arg
+	continue
+      fi
+
+      case $arg in
+      -d) isdir=yes ;;
+      -f)
+	if $install_cp; then :; else
+	  prev=$arg
+	fi
+	;;
+      -g | -m | -o)
+	prev=$arg
+	;;
+      -s)
+	stripme=" -s"
+	continue
+	;;
+      -*)
+	;;
+      *)
+	# If the previous option needed an argument, then skip it.
+	if test -n "$prev"; then
+	  if test "x$prev" = x-m && test -n "$install_override_mode"; then
+	    arg2=$install_override_mode
+	    no_mode=false
+	  fi
+	  prev=
+	else
+	  dest=$arg
+	  continue
+	fi
+	;;
+      esac
+
+      # Aesthetically quote the argument.
+      func_quote_for_eval "$arg"
+      func_append install_prog " $func_quote_for_eval_result"
+      if test -n "$arg2"; then
+	func_quote_for_eval "$arg2"
+      fi
+      func_append install_shared_prog " $func_quote_for_eval_result"
+    done
+
+    test -z "$install_prog" && \
+      func_fatal_help "you must specify an install program"
+
+    test -n "$prev" && \
+      func_fatal_help "the \`$prev' option requires an argument"
+
+    if test -n "$install_override_mode" && $no_mode; then
+      if $install_cp; then :; else
+	func_quote_for_eval "$install_override_mode"
+	func_append install_shared_prog " -m $func_quote_for_eval_result"
+      fi
+    fi
+
+    if test -z "$files"; then
+      if test -z "$dest"; then
+	func_fatal_help "no file or destination specified"
+      else
+	func_fatal_help "you must specify a destination"
+      fi
+    fi
+
+    # Strip any trailing slash from the destination.
+    func_stripname '' '/' "$dest"
+    dest=$func_stripname_result
+
+    # Check to see that the destination is a directory.
+    test -d "$dest" && isdir=yes
+    if test "$isdir" = yes; then
+      destdir="$dest"
+      destname=
+    else
+      func_dirname_and_basename "$dest" "" "."
+      destdir="$func_dirname_result"
+      destname="$func_basename_result"
+
+      # Not a directory, so check to see that there is only one file specified.
+      set dummy $files; shift
+      test "$#" -gt 1 && \
+	func_fatal_help "\`$dest' is not a directory"
+    fi
+    case $destdir in
+    [\\/]* | [A-Za-z]:[\\/]*) ;;
+    *)
+      for file in $files; do
+	case $file in
+	*.lo) ;;
+	*)
+	  func_fatal_help "\`$destdir' must be an absolute directory name"
+	  ;;
+	esac
+      done
+      ;;
+    esac
+
+    # This variable tells wrapper scripts just to set variables rather
+    # than running their programs.
+    libtool_install_magic="$magic"
+
+    staticlibs=
+    future_libdirs=
+    current_libdirs=
+    for file in $files; do
+
+      # Do each installation.
+      case $file in
+      *.$libext)
+	# Do the static libraries later.
+	func_append staticlibs " $file"
+	;;
+
+      *.la)
+	func_resolve_sysroot "$file"
+	file=$func_resolve_sysroot_result
+
+	# Check to see that this really is a libtool archive.
+	func_lalib_unsafe_p "$file" \
+	  || func_fatal_help "\`$file' is not a valid libtool archive"
+
+	library_names=
+	old_library=
+	relink_command=
+	func_source "$file"
+
+	# Add the libdir to current_libdirs if it is the destination.
+	if test "X$destdir" = "X$libdir"; then
+	  case "$current_libdirs " in
+	  *" $libdir "*) ;;
+	  *) func_append current_libdirs " $libdir" ;;
+	  esac
+	else
+	  # Note the libdir as a future libdir.
+	  case "$future_libdirs " in
+	  *" $libdir "*) ;;
+	  *) func_append future_libdirs " $libdir" ;;
+	  esac
+	fi
+
+	func_dirname "$file" "/" ""
+	dir="$func_dirname_result"
+	func_append dir "$objdir"
+
+	if test -n "$relink_command"; then
+	  # Determine the prefix the user has applied to our future dir.
+	  inst_prefix_dir=`$ECHO "$destdir" | $SED -e "s%$libdir\$%%"`
+
+	  # Don't allow the user to place us outside of our expected
+	  # location b/c this prevents finding dependent libraries that
+	  # are installed to the same prefix.
+	  # At present, this check doesn't affect windows .dll's that
+	  # are installed into $libdir/../bin (currently, that works fine)
+	  # but it's something to keep an eye on.
+	  test "$inst_prefix_dir" = "$destdir" && \
+	    func_fatal_error "error: cannot install \`$file' to a directory not ending in $libdir"
+
+	  if test -n "$inst_prefix_dir"; then
+	    # Stick the inst_prefix_dir data into the link command.
+	    relink_command=`$ECHO "$relink_command" | $SED "s%@inst_prefix_dir@%-inst-prefix-dir $inst_prefix_dir%"`
+	  else
+	    relink_command=`$ECHO "$relink_command" | $SED "s%@inst_prefix_dir@%%"`
+	  fi
+
+	  func_warning "relinking \`$file'"
+	  func_show_eval "$relink_command" \
+	    'func_fatal_error "error: relink \`$file'\'' with the above command before installing it"'
+	fi
+
+	# See the names of the shared library.
+	set dummy $library_names; shift
+	if test -n "$1"; then
+	  realname="$1"
+	  shift
+
+	  srcname="$realname"
+	  test -n "$relink_command" && srcname="$realname"T
+
+	  # Install the shared library and build the symlinks.
+	  func_show_eval "$install_shared_prog $dir/$srcname $destdir/$realname" \
+	      'exit $?'
+	  tstripme="$stripme"
+	  case $host_os in
+	  cygwin* | mingw* | pw32* | cegcc*)
+	    case $realname in
+	    *.dll.a)
+	      tstripme=""
+	      ;;
+	    esac
+	    ;;
+	  esac
+	  if test -n "$tstripme" && test -n "$striplib"; then
+	    func_show_eval "$striplib $destdir/$realname" 'exit $?'
+	  fi
+
+	  if test "$#" -gt 0; then
+	    # Delete the old symlinks, and create new ones.
+	    # Try `ln -sf' first, because the `ln' binary might depend on
+	    # the symlink we replace!  Solaris /bin/ln does not understand -f,
+	    # so we also need to try rm && ln -s.
+	    for linkname
+	    do
+	      test "$linkname" != "$realname" \
+		&& func_show_eval "(cd $destdir && { $LN_S -f $realname $linkname || { $RM $linkname && $LN_S $realname $linkname; }; })"
+	    done
+	  fi
+
+	  # Do each command in the postinstall commands.
+	  lib="$destdir/$realname"
+	  func_execute_cmds "$postinstall_cmds" 'exit $?'
+	fi
+
+	# Install the pseudo-library for information purposes.
+	func_basename "$file"
+	name="$func_basename_result"
+	instname="$dir/$name"i
+	func_show_eval "$install_prog $instname $destdir/$name" 'exit $?'
+
+	# Maybe install the static library, too.
+	test -n "$old_library" && func_append staticlibs " $dir/$old_library"
+	;;
+
+      *.lo)
+	# Install (i.e. copy) a libtool object.
+
+	# Figure out destination file name, if it wasn't already specified.
+	if test -n "$destname"; then
+	  destfile="$destdir/$destname"
+	else
+	  func_basename "$file"
+	  destfile="$func_basename_result"
+	  destfile="$destdir/$destfile"
+	fi
+
+	# Deduce the name of the destination old-style object file.
+	case $destfile in
+	*.lo)
+	  func_lo2o "$destfile"
+	  staticdest=$func_lo2o_result
+	  ;;
+	*.$objext)
+	  staticdest="$destfile"
+	  destfile=
+	  ;;
+	*)
+	  func_fatal_help "cannot copy a libtool object to \`$destfile'"
+	  ;;
+	esac
+
+	# Install the libtool object if requested.
+	test -n "$destfile" && \
+	  func_show_eval "$install_prog $file $destfile" 'exit $?'
+
+	# Install the old object if enabled.
+	if test "$build_old_libs" = yes; then
+	  # Deduce the name of the old-style object file.
+	  func_lo2o "$file"
+	  staticobj=$func_lo2o_result
+	  func_show_eval "$install_prog \$staticobj \$staticdest" 'exit $?'
+	fi
+	exit $EXIT_SUCCESS
+	;;
+
+      *)
+	# Figure out destination file name, if it wasn't already specified.
+	if test -n "$destname"; then
+	  destfile="$destdir/$destname"
+	else
+	  func_basename "$file"
+	  destfile="$func_basename_result"
+	  destfile="$destdir/$destfile"
+	fi
+
+	# If the file is missing, and there is a .exe on the end, strip it
+	# because it is most likely a libtool script we actually want to
+	# install
+	stripped_ext=""
+	case $file in
+	  *.exe)
+	    if test ! -f "$file"; then
+	      func_stripname '' '.exe' "$file"
+	      file=$func_stripname_result
+	      stripped_ext=".exe"
+	    fi
+	    ;;
+	esac
+
+	# Do a test to see if this is really a libtool program.
+	case $host in
+	*cygwin* | *mingw*)
+	    if func_ltwrapper_executable_p "$file"; then
+	      func_ltwrapper_scriptname "$file"
+	      wrapper=$func_ltwrapper_scriptname_result
+	    else
+	      func_stripname '' '.exe' "$file"
+	      wrapper=$func_stripname_result
+	    fi
+	    ;;
+	*)
+	    wrapper=$file
+	    ;;
+	esac
+	if func_ltwrapper_script_p "$wrapper"; then
+	  notinst_deplibs=
+	  relink_command=
+
+	  func_source "$wrapper"
+
+	  # Check the variables that should have been set.
+	  test -z "$generated_by_libtool_version" && \
+	    func_fatal_error "invalid libtool wrapper script \`$wrapper'"
+
+	  finalize=yes
+	  for lib in $notinst_deplibs; do
+	    # Check to see that each library is installed.
+	    libdir=
+	    if test -f "$lib"; then
+	      func_source "$lib"
+	    fi
+	    libfile="$libdir/"`$ECHO "$lib" | $SED 's%^.*/%%g'` ### testsuite: skip nested quoting test
+	    if test -n "$libdir" && test ! -f "$libfile"; then
+	      func_warning "\`$lib' has not been installed in \`$libdir'"
+	      finalize=no
+	    fi
+	  done
+
+	  relink_command=
+	  func_source "$wrapper"
+
+	  outputname=
+	  if test "$fast_install" = no && test -n "$relink_command"; then
+	    $opt_dry_run || {
+	      if test "$finalize" = yes; then
+	        tmpdir=`func_mktempdir`
+		func_basename "$file$stripped_ext"
+		file="$func_basename_result"
+	        outputname="$tmpdir/$file"
+	        # Replace the output file specification.
+	        relink_command=`$ECHO "$relink_command" | $SED 's%@OUTPUT@%'"$outputname"'%g'`
+
+	        $opt_silent || {
+	          func_quote_for_expand "$relink_command"
+		  eval "func_echo $func_quote_for_expand_result"
+	        }
+	        if eval "$relink_command"; then :
+	          else
+		  func_error "error: relink \`$file' with the above command before installing it"
+		  $opt_dry_run || ${RM}r "$tmpdir"
+		  continue
+	        fi
+	        file="$outputname"
+	      else
+	        func_warning "cannot relink \`$file'"
+	      fi
+	    }
+	  else
+	    # Install the binary that we compiled earlier.
+	    file=`$ECHO "$file$stripped_ext" | $SED "s%\([^/]*\)$%$objdir/\1%"`
+	  fi
+	fi
+
+	# remove .exe since cygwin /usr/bin/install will append another
+	# one anyway
+	case $install_prog,$host in
+	*/usr/bin/install*,*cygwin*)
+	  case $file:$destfile in
+	  *.exe:*.exe)
+	    # this is ok
+	    ;;
+	  *.exe:*)
+	    destfile=$destfile.exe
+	    ;;
+	  *:*.exe)
+	    func_stripname '' '.exe' "$destfile"
+	    destfile=$func_stripname_result
+	    ;;
+	  esac
+	  ;;
+	esac
+	func_show_eval "$install_prog\$stripme \$file \$destfile" 'exit $?'
+	$opt_dry_run || if test -n "$outputname"; then
+	  ${RM}r "$tmpdir"
+	fi
+	;;
+      esac
+    done
+
+    for file in $staticlibs; do
+      func_basename "$file"
+      name="$func_basename_result"
+
+      # Set up the ranlib parameters.
+      oldlib="$destdir/$name"
+      func_to_tool_file "$oldlib" func_convert_file_msys_to_w32
+      tool_oldlib=$func_to_tool_file_result
+
+      func_show_eval "$install_prog \$file \$oldlib" 'exit $?'
+
+      if test -n "$stripme" && test -n "$old_striplib"; then
+	func_show_eval "$old_striplib $tool_oldlib" 'exit $?'
+      fi
+
+      # Do each command in the postinstall commands.
+      func_execute_cmds "$old_postinstall_cmds" 'exit $?'
+    done
+
+    test -n "$future_libdirs" && \
+      func_warning "remember to run \`$progname --finish$future_libdirs'"
+
+    if test -n "$current_libdirs"; then
+      # Maybe just do a dry run.
+      $opt_dry_run && current_libdirs=" -n$current_libdirs"
+      exec_cmd='$SHELL $progpath $preserve_args --finish$current_libdirs'
+    else
+      exit $EXIT_SUCCESS
+    fi
+}
+
+test "$opt_mode" = install && func_mode_install ${1+"$@"}
+
+
+# func_generate_dlsyms outputname originator pic_p
+# Extract symbols from dlprefiles and create ${outputname}S.o with
+# a dlpreopen symbol table.
+func_generate_dlsyms ()
+{
+    $opt_debug
+    my_outputname="$1"
+    my_originator="$2"
+    my_pic_p="${3-no}"
+    my_prefix=`$ECHO "$my_originator" | sed 's%[^a-zA-Z0-9]%_%g'`
+    my_dlsyms=
+
+    if test -n "$dlfiles$dlprefiles" || test "$dlself" != no; then
+      if test -n "$NM" && test -n "$global_symbol_pipe"; then
+	my_dlsyms="${my_outputname}S.c"
+      else
+	func_error "not configured to extract global symbols from dlpreopened files"
+      fi
+    fi
+
+    if test -n "$my_dlsyms"; then
+      case $my_dlsyms in
+      "") ;;
+      *.c)
+	# Discover the nlist of each of the dlfiles.
+	nlist="$output_objdir/${my_outputname}.nm"
+
+	func_show_eval "$RM $nlist ${nlist}S ${nlist}T"
+
+	# Parse the name list into a source file.
+	func_verbose "creating $output_objdir/$my_dlsyms"
+
+	$opt_dry_run || $ECHO > "$output_objdir/$my_dlsyms" "\
+/* $my_dlsyms - symbol resolution table for \`$my_outputname' dlsym emulation. */
+/* Generated by $PROGRAM (GNU $PACKAGE$TIMESTAMP) $VERSION */
+
+#ifdef __cplusplus
+extern \"C\" {
+#endif
+
+#if defined(__GNUC__) && (((__GNUC__ == 4) && (__GNUC_MINOR__ >= 4)) || (__GNUC__ > 4))
+#pragma GCC diagnostic ignored \"-Wstrict-prototypes\"
+#endif
+
+/* Keep this code in sync between libtool.m4, ltmain, lt_system.h, and tests.  */
+#if defined(_WIN32) || defined(__CYGWIN__) || defined(_WIN32_WCE)
+/* DATA imports from DLLs on WIN32 con't be const, because runtime
+   relocations are performed -- see ld's documentation on pseudo-relocs.  */
+# define LT_DLSYM_CONST
+#elif defined(__osf__)
+/* This system does not cope well with relocations in const data.  */
+# define LT_DLSYM_CONST
+#else
+# define LT_DLSYM_CONST const
+#endif
+
+/* External symbol declarations for the compiler. */\
+"
+
+	if test "$dlself" = yes; then
+	  func_verbose "generating symbol list for \`$output'"
+
+	  $opt_dry_run || echo ': @PROGRAM@ ' > "$nlist"
+
+	  # Add our own program objects to the symbol list.
+	  progfiles=`$ECHO "$objs$old_deplibs" | $SP2NL | $SED "$lo2o" | $NL2SP`
+	  for progfile in $progfiles; do
+	    func_to_tool_file "$progfile" func_convert_file_msys_to_w32
+	    func_verbose "extracting global C symbols from \`$func_to_tool_file_result'"
+	    $opt_dry_run || eval "$NM $func_to_tool_file_result | $global_symbol_pipe >> '$nlist'"
+	  done
+
+	  if test -n "$exclude_expsyms"; then
+	    $opt_dry_run || {
+	      eval '$EGREP -v " ($exclude_expsyms)$" "$nlist" > "$nlist"T'
+	      eval '$MV "$nlist"T "$nlist"'
+	    }
+	  fi
+
+	  if test -n "$export_symbols_regex"; then
+	    $opt_dry_run || {
+	      eval '$EGREP -e "$export_symbols_regex" "$nlist" > "$nlist"T'
+	      eval '$MV "$nlist"T "$nlist"'
+	    }
+	  fi
+
+	  # Prepare the list of exported symbols
+	  if test -z "$export_symbols"; then
+	    export_symbols="$output_objdir/$outputname.exp"
+	    $opt_dry_run || {
+	      $RM $export_symbols
+	      eval "${SED} -n -e '/^: @PROGRAM@ $/d' -e 's/^.* \(.*\)$/\1/p' "'< "$nlist" > "$export_symbols"'
+	      case $host in
+	      *cygwin* | *mingw* | *cegcc* )
+                eval "echo EXPORTS "'> "$output_objdir/$outputname.def"'
+                eval 'cat "$export_symbols" >> "$output_objdir/$outputname.def"'
+	        ;;
+	      esac
+	    }
+	  else
+	    $opt_dry_run || {
+	      eval "${SED} -e 's/\([].[*^$]\)/\\\\\1/g' -e 's/^/ /' -e 's/$/$/'"' < "$export_symbols" > "$output_objdir/$outputname.exp"'
+	      eval '$GREP -f "$output_objdir/$outputname.exp" < "$nlist" > "$nlist"T'
+	      eval '$MV "$nlist"T "$nlist"'
+	      case $host in
+	        *cygwin* | *mingw* | *cegcc* )
+	          eval "echo EXPORTS "'> "$output_objdir/$outputname.def"'
+	          eval 'cat "$nlist" >> "$output_objdir/$outputname.def"'
+	          ;;
+	      esac
+	    }
+	  fi
+	fi
+
+	for dlprefile in $dlprefiles; do
+	  func_verbose "extracting global C symbols from \`$dlprefile'"
+	  func_basename "$dlprefile"
+	  name="$func_basename_result"
+          case $host in
+	    *cygwin* | *mingw* | *cegcc* )
+	      # if an import library, we need to obtain dlname
+	      if func_win32_import_lib_p "$dlprefile"; then
+	        func_tr_sh "$dlprefile"
+	        eval "curr_lafile=\$libfile_$func_tr_sh_result"
+	        dlprefile_dlbasename=""
+	        if test -n "$curr_lafile" && func_lalib_p "$curr_lafile"; then
+	          # Use subshell, to avoid clobbering current variable values
+	          dlprefile_dlname=`source "$curr_lafile" && echo "$dlname"`
+	          if test -n "$dlprefile_dlname" ; then
+	            func_basename "$dlprefile_dlname"
+	            dlprefile_dlbasename="$func_basename_result"
+	          else
+	            # no lafile. user explicitly requested -dlpreopen <import library>.
+	            $sharedlib_from_linklib_cmd "$dlprefile"
+	            dlprefile_dlbasename=$sharedlib_from_linklib_result
+	          fi
+	        fi
+	        $opt_dry_run || {
+	          if test -n "$dlprefile_dlbasename" ; then
+	            eval '$ECHO ": $dlprefile_dlbasename" >> "$nlist"'
+	          else
+	            func_warning "Could not compute DLL name from $name"
+	            eval '$ECHO ": $name " >> "$nlist"'
+	          fi
+	          func_to_tool_file "$dlprefile" func_convert_file_msys_to_w32
+	          eval "$NM \"$func_to_tool_file_result\" 2>/dev/null | $global_symbol_pipe |
+	            $SED -e '/I __imp/d' -e 's/I __nm_/D /;s/_nm__//' >> '$nlist'"
+	        }
+	      else # not an import lib
+	        $opt_dry_run || {
+	          eval '$ECHO ": $name " >> "$nlist"'
+	          func_to_tool_file "$dlprefile" func_convert_file_msys_to_w32
+	          eval "$NM \"$func_to_tool_file_result\" 2>/dev/null | $global_symbol_pipe >> '$nlist'"
+	        }
+	      fi
+	    ;;
+	    *)
+	      $opt_dry_run || {
+	        eval '$ECHO ": $name " >> "$nlist"'
+	        func_to_tool_file "$dlprefile" func_convert_file_msys_to_w32
+	        eval "$NM \"$func_to_tool_file_result\" 2>/dev/null | $global_symbol_pipe >> '$nlist'"
+	      }
+	    ;;
+          esac
+	done
+
+	$opt_dry_run || {
+	  # Make sure we have at least an empty file.
+	  test -f "$nlist" || : > "$nlist"
+
+	  if test -n "$exclude_expsyms"; then
+	    $EGREP -v " ($exclude_expsyms)$" "$nlist" > "$nlist"T
+	    $MV "$nlist"T "$nlist"
+	  fi
+
+	  # Try sorting and uniquifying the output.
+	  if $GREP -v "^: " < "$nlist" |
+	      if sort -k 3 </dev/null >/dev/null 2>&1; then
+		sort -k 3
+	      else
+		sort +2
+	      fi |
+	      uniq > "$nlist"S; then
+	    :
+	  else
+	    $GREP -v "^: " < "$nlist" > "$nlist"S
+	  fi
+
+	  if test -f "$nlist"S; then
+	    eval "$global_symbol_to_cdecl"' < "$nlist"S >> "$output_objdir/$my_dlsyms"'
+	  else
+	    echo '/* NONE */' >> "$output_objdir/$my_dlsyms"
+	  fi
+
+	  echo >> "$output_objdir/$my_dlsyms" "\
+
+/* The mapping between symbol names and symbols.  */
+typedef struct {
+  const char *name;
+  void *address;
+} lt_dlsymlist;
+extern LT_DLSYM_CONST lt_dlsymlist
+lt_${my_prefix}_LTX_preloaded_symbols[];
+LT_DLSYM_CONST lt_dlsymlist
+lt_${my_prefix}_LTX_preloaded_symbols[] =
+{\
+  { \"$my_originator\", (void *) 0 },"
+
+	  case $need_lib_prefix in
+	  no)
+	    eval "$global_symbol_to_c_name_address" < "$nlist" >> "$output_objdir/$my_dlsyms"
+	    ;;
+	  *)
+	    eval "$global_symbol_to_c_name_address_lib_prefix" < "$nlist" >> "$output_objdir/$my_dlsyms"
+	    ;;
+	  esac
+	  echo >> "$output_objdir/$my_dlsyms" "\
+  {0, (void *) 0}
+};
+
+/* This works around a problem in FreeBSD linker */
+#ifdef FREEBSD_WORKAROUND
+static const void *lt_preloaded_setup() {
+  return lt_${my_prefix}_LTX_preloaded_symbols;
+}
+#endif
+
+#ifdef __cplusplus
+}
+#endif\
+"
+	} # !$opt_dry_run
+
+	pic_flag_for_symtable=
+	case "$compile_command " in
+	*" -static "*) ;;
+	*)
+	  case $host in
+	  # compiling the symbol table file with pic_flag works around
+	  # a FreeBSD bug that causes programs to crash when -lm is
+	  # linked before any other PIC object.  But we must not use
+	  # pic_flag when linking with -static.  The problem exists in
+	  # FreeBSD 2.2.6 and is fixed in FreeBSD 3.1.
+	  *-*-freebsd2.*|*-*-freebsd3.0*|*-*-freebsdelf3.0*)
+	    pic_flag_for_symtable=" $pic_flag -DFREEBSD_WORKAROUND" ;;
+	  *-*-hpux*)
+	    pic_flag_for_symtable=" $pic_flag"  ;;
+	  *)
+	    if test "X$my_pic_p" != Xno; then
+	      pic_flag_for_symtable=" $pic_flag"
+	    fi
+	    ;;
+	  esac
+	  ;;
+	esac
+	symtab_cflags=
+	for arg in $LTCFLAGS; do
+	  case $arg in
+	  -pie | -fpie | -fPIE) ;;
+	  *) func_append symtab_cflags " $arg" ;;
+	  esac
+	done
+
+	# Now compile the dynamic symbol file.
+	func_show_eval '(cd $output_objdir && $LTCC$symtab_cflags -c$no_builtin_flag$pic_flag_for_symtable "$my_dlsyms")' 'exit $?'
+
+	# Clean up the generated files.
+	func_show_eval '$RM "$output_objdir/$my_dlsyms" "$nlist" "${nlist}S" "${nlist}T"'
+
+	# Transform the symbol file into the correct name.
+	symfileobj="$output_objdir/${my_outputname}S.$objext"
+	case $host in
+	*cygwin* | *mingw* | *cegcc* )
+	  if test -f "$output_objdir/$my_outputname.def"; then
+	    compile_command=`$ECHO "$compile_command" | $SED "s%@SYMFILE@%$output_objdir/$my_outputname.def $symfileobj%"`
+	    finalize_command=`$ECHO "$finalize_command" | $SED "s%@SYMFILE@%$output_objdir/$my_outputname.def $symfileobj%"`
+	  else
+	    compile_command=`$ECHO "$compile_command" | $SED "s%@SYMFILE@%$symfileobj%"`
+	    finalize_command=`$ECHO "$finalize_command" | $SED "s%@SYMFILE@%$symfileobj%"`
+	  fi
+	  ;;
+	*)
+	  compile_command=`$ECHO "$compile_command" | $SED "s%@SYMFILE@%$symfileobj%"`
+	  finalize_command=`$ECHO "$finalize_command" | $SED "s%@SYMFILE@%$symfileobj%"`
+	  ;;
+	esac
+	;;
+      *)
+	func_fatal_error "unknown suffix for \`$my_dlsyms'"
+	;;
+      esac
+    else
+      # We keep going just in case the user didn't refer to
+      # lt_preloaded_symbols.  The linker will fail if global_symbol_pipe
+      # really was required.
+
+      # Nullify the symbol file.
+      compile_command=`$ECHO "$compile_command" | $SED "s% @SYMFILE@%%"`
+      finalize_command=`$ECHO "$finalize_command" | $SED "s% @SYMFILE@%%"`
+    fi
+}
+
+# func_win32_libid arg
+# return the library type of file 'arg'
+#
+# Need a lot of goo to handle *both* DLLs and import libs
+# Has to be a shell function in order to 'eat' the argument
+# that is supplied when $file_magic_command is called.
+# Despite the name, also deal with 64 bit binaries.
+func_win32_libid ()
+{
+  $opt_debug
+  win32_libid_type="unknown"
+  win32_fileres=`file -L $1 2>/dev/null`
+  case $win32_fileres in
+  *ar\ archive\ import\ library*) # definitely import
+    win32_libid_type="x86 archive import"
+    ;;
+  *ar\ archive*) # could be an import, or static
+    # Keep the egrep pattern in sync with the one in _LT_CHECK_MAGIC_METHOD.
+    if eval $OBJDUMP -f $1 | $SED -e '10q' 2>/dev/null |
+       $EGREP 'file format (pei*-i386(.*architecture: i386)?|pe-arm-wince|pe-x86-64)' >/dev/null; then
+      func_to_tool_file "$1" func_convert_file_msys_to_w32
+      win32_nmres=`eval $NM -f posix -A \"$func_to_tool_file_result\" |
+	$SED -n -e '
+	    1,100{
+		/ I /{
+		    s,.*,import,
+		    p
+		    q
+		}
+	    }'`
+      case $win32_nmres in
+      import*)  win32_libid_type="x86 archive import";;
+      *)        win32_libid_type="x86 archive static";;
+      esac
+    fi
+    ;;
+  *DLL*)
+    win32_libid_type="x86 DLL"
+    ;;
+  *executable*) # but shell scripts are "executable" too...
+    case $win32_fileres in
+    *MS\ Windows\ PE\ Intel*)
+      win32_libid_type="x86 DLL"
+      ;;
+    esac
+    ;;
+  esac
+  $ECHO "$win32_libid_type"
+}
+
+# func_cygming_dll_for_implib ARG
+#
+# Platform-specific function to extract the
+# name of the DLL associated with the specified
+# import library ARG.
+# Invoked by eval'ing the libtool variable
+#    $sharedlib_from_linklib_cmd
+# Result is available in the variable
+#    $sharedlib_from_linklib_result
+func_cygming_dll_for_implib ()
+{
+  $opt_debug
+  sharedlib_from_linklib_result=`$DLLTOOL --identify-strict --identify "$1"`
+}
+
+# func_cygming_dll_for_implib_fallback_core SECTION_NAME LIBNAMEs
+#
+# The is the core of a fallback implementation of a
+# platform-specific function to extract the name of the
+# DLL associated with the specified import library LIBNAME.
+#
+# SECTION_NAME is either .idata$6 or .idata$7, depending
+# on the platform and compiler that created the implib.
+#
+# Echos the name of the DLL associated with the
+# specified import library.
+func_cygming_dll_for_implib_fallback_core ()
+{
+  $opt_debug
+  match_literal=`$ECHO "$1" | $SED "$sed_make_literal_regex"`
+  $OBJDUMP -s --section "$1" "$2" 2>/dev/null |
+    $SED '/^Contents of section '"$match_literal"':/{
+      # Place marker at beginning of archive member dllname section
+      s/.*/====MARK====/
+      p
+      d
+    }
+    # These lines can sometimes be longer than 43 characters, but
+    # are always uninteresting
+    /:[	 ]*file format pe[i]\{,1\}-/d
+    /^In archive [^:]*:/d
+    # Ensure marker is printed
+    /^====MARK====/p
+    # Remove all lines with less than 43 characters
+    /^.\{43\}/!d
+    # From remaining lines, remove first 43 characters
+    s/^.\{43\}//' |
+    $SED -n '
+      # Join marker and all lines until next marker into a single line
+      /^====MARK====/ b para
+      H
+      $ b para
+      b
+      :para
+      x
+      s/\n//g
+      # Remove the marker
+      s/^====MARK====//
+      # Remove trailing dots and whitespace
+      s/[\. \t]*$//
+      # Print
+      /./p' |
+    # we now have a list, one entry per line, of the stringified
+    # contents of the appropriate section of all members of the
+    # archive which possess that section. Heuristic: eliminate
+    # all those which have a first or second character that is
+    # a '.' (that is, objdump's representation of an unprintable
+    # character.) This should work for all archives with less than
+    # 0x302f exports -- but will fail for DLLs whose name actually
+    # begins with a literal '.' or a single character followed by
+    # a '.'.
+    #
+    # Of those that remain, print the first one.
+    $SED -e '/^\./d;/^.\./d;q'
+}
+
+# func_cygming_gnu_implib_p ARG
+# This predicate returns with zero status (TRUE) if
+# ARG is a GNU/binutils-style import library. Returns
+# with nonzero status (FALSE) otherwise.
+func_cygming_gnu_implib_p ()
+{
+  $opt_debug
+  func_to_tool_file "$1" func_convert_file_msys_to_w32
+  func_cygming_gnu_implib_tmp=`$NM "$func_to_tool_file_result" | eval "$global_symbol_pipe" | $EGREP ' (_head_[A-Za-z0-9_]+_[ad]l*|[A-Za-z0-9_]+_[ad]l*_iname)$'`
+  test -n "$func_cygming_gnu_implib_tmp"
+}
+
+# func_cygming_ms_implib_p ARG
+# This predicate returns with zero status (TRUE) if
+# ARG is an MS-style import library. Returns
+# with nonzero status (FALSE) otherwise.
+func_cygming_ms_implib_p ()
+{
+  $opt_debug
+  func_to_tool_file "$1" func_convert_file_msys_to_w32
+  func_cygming_ms_implib_tmp=`$NM "$func_to_tool_file_result" | eval "$global_symbol_pipe" | $GREP '_NULL_IMPORT_DESCRIPTOR'`
+  test -n "$func_cygming_ms_implib_tmp"
+}
+
+# func_cygming_dll_for_implib_fallback ARG
+# Platform-specific function to extract the
+# name of the DLL associated with the specified
+# import library ARG.
+#
+# This fallback implementation is for use when $DLLTOOL
+# does not support the --identify-strict option.
+# Invoked by eval'ing the libtool variable
+#    $sharedlib_from_linklib_cmd
+# Result is available in the variable
+#    $sharedlib_from_linklib_result
+func_cygming_dll_for_implib_fallback ()
+{
+  $opt_debug
+  if func_cygming_gnu_implib_p "$1" ; then
+    # binutils import library
+    sharedlib_from_linklib_result=`func_cygming_dll_for_implib_fallback_core '.idata$7' "$1"`
+  elif func_cygming_ms_implib_p "$1" ; then
+    # ms-generated import library
+    sharedlib_from_linklib_result=`func_cygming_dll_for_implib_fallback_core '.idata$6' "$1"`
+  else
+    # unknown
+    sharedlib_from_linklib_result=""
+  fi
+}
+
+
+# func_extract_an_archive dir oldlib
+func_extract_an_archive ()
+{
+    $opt_debug
+    f_ex_an_ar_dir="$1"; shift
+    f_ex_an_ar_oldlib="$1"
+    if test "$lock_old_archive_extraction" = yes; then
+      lockfile=$f_ex_an_ar_oldlib.lock
+      until $opt_dry_run || ln "$progpath" "$lockfile" 2>/dev/null; do
+	func_echo "Waiting for $lockfile to be removed"
+	sleep 2
+      done
+    fi
+    func_show_eval "(cd \$f_ex_an_ar_dir && $AR x \"\$f_ex_an_ar_oldlib\")" \
+		   'stat=$?; rm -f "$lockfile"; exit $stat'
+    if test "$lock_old_archive_extraction" = yes; then
+      $opt_dry_run || rm -f "$lockfile"
+    fi
+    if ($AR t "$f_ex_an_ar_oldlib" | sort | sort -uc >/dev/null 2>&1); then
+     :
+    else
+      func_fatal_error "object name conflicts in archive: $f_ex_an_ar_dir/$f_ex_an_ar_oldlib"
+    fi
+}
+
+
+# func_extract_archives gentop oldlib ...
+func_extract_archives ()
+{
+    $opt_debug
+    my_gentop="$1"; shift
+    my_oldlibs=${1+"$@"}
+    my_oldobjs=""
+    my_xlib=""
+    my_xabs=""
+    my_xdir=""
+
+    for my_xlib in $my_oldlibs; do
+      # Extract the objects.
+      case $my_xlib in
+	[\\/]* | [A-Za-z]:[\\/]*) my_xabs="$my_xlib" ;;
+	*) my_xabs=`pwd`"/$my_xlib" ;;
+      esac
+      func_basename "$my_xlib"
+      my_xlib="$func_basename_result"
+      my_xlib_u=$my_xlib
+      while :; do
+        case " $extracted_archives " in
+	*" $my_xlib_u "*)
+	  func_arith $extracted_serial + 1
+	  extracted_serial=$func_arith_result
+	  my_xlib_u=lt$extracted_serial-$my_xlib ;;
+	*) break ;;
+	esac
+      done
+      extracted_archives="$extracted_archives $my_xlib_u"
+      my_xdir="$my_gentop/$my_xlib_u"
+
+      func_mkdir_p "$my_xdir"
+
+      case $host in
+      *-darwin*)
+	func_verbose "Extracting $my_xabs"
+	# Do not bother doing anything if just a dry run
+	$opt_dry_run || {
+	  darwin_orig_dir=`pwd`
+	  cd $my_xdir || exit $?
+	  darwin_archive=$my_xabs
+	  darwin_curdir=`pwd`
+	  darwin_base_archive=`basename "$darwin_archive"`
+	  darwin_arches=`$LIPO -info "$darwin_archive" 2>/dev/null | $GREP Architectures 2>/dev/null || true`
+	  if test -n "$darwin_arches"; then
+	    darwin_arches=`$ECHO "$darwin_arches" | $SED -e 's/.*are://'`
+	    darwin_arch=
+	    func_verbose "$darwin_base_archive has multiple architectures $darwin_arches"
+	    for darwin_arch in  $darwin_arches ; do
+	      func_mkdir_p "unfat-$$/${darwin_base_archive}-${darwin_arch}"
+	      $LIPO -thin $darwin_arch -output "unfat-$$/${darwin_base_archive}-${darwin_arch}/${darwin_base_archive}" "${darwin_archive}"
+	      cd "unfat-$$/${darwin_base_archive}-${darwin_arch}"
+	      func_extract_an_archive "`pwd`" "${darwin_base_archive}"
+	      cd "$darwin_curdir"
+	      $RM "unfat-$$/${darwin_base_archive}-${darwin_arch}/${darwin_base_archive}"
+	    done # $darwin_arches
+            ## Okay now we've a bunch of thin objects, gotta fatten them up :)
+	    darwin_filelist=`find unfat-$$ -type f -name \*.o -print -o -name \*.lo -print | $SED -e "$basename" | sort -u`
+	    darwin_file=
+	    darwin_files=
+	    for darwin_file in $darwin_filelist; do
+	      darwin_files=`find unfat-$$ -name $darwin_file -print | sort | $NL2SP`
+	      $LIPO -create -output "$darwin_file" $darwin_files
+	    done # $darwin_filelist
+	    $RM -rf unfat-$$
+	    cd "$darwin_orig_dir"
+	  else
+	    cd $darwin_orig_dir
+	    func_extract_an_archive "$my_xdir" "$my_xabs"
+	  fi # $darwin_arches
+	} # !$opt_dry_run
+	;;
+      *)
+        func_extract_an_archive "$my_xdir" "$my_xabs"
+	;;
+      esac
+      my_oldobjs="$my_oldobjs "`find $my_xdir -name \*.$objext -print -o -name \*.lo -print | sort | $NL2SP`
+    done
+
+    func_extract_archives_result="$my_oldobjs"
+}
+
+
+# func_emit_wrapper [arg=no]
+#
+# Emit a libtool wrapper script on stdout.
+# Don't directly open a file because we may want to
+# incorporate the script contents within a cygwin/mingw
+# wrapper executable.  Must ONLY be called from within
+# func_mode_link because it depends on a number of variables
+# set therein.
+#
+# ARG is the value that the WRAPPER_SCRIPT_BELONGS_IN_OBJDIR
+# variable will take.  If 'yes', then the emitted script
+# will assume that the directory in which it is stored is
+# the $objdir directory.  This is a cygwin/mingw-specific
+# behavior.
+func_emit_wrapper ()
+{
+	func_emit_wrapper_arg1=${1-no}
+
+	$ECHO "\
+#! $SHELL
+
+# $output - temporary wrapper script for $objdir/$outputname
+# Generated by $PROGRAM (GNU $PACKAGE$TIMESTAMP) $VERSION
+#
+# The $output program cannot be directly executed until all the libtool
+# libraries that it depends on are installed.
+#
+# This wrapper script should never be moved out of the build directory.
+# If it is, it will not operate correctly.
+
+# Sed substitution that helps us do robust quoting.  It backslashifies
+# metacharacters that are still active within double-quoted strings.
+sed_quote_subst='$sed_quote_subst'
+
+# Be Bourne compatible
+if test -n \"\${ZSH_VERSION+set}\" && (emulate sh) >/dev/null 2>&1; then
+  emulate sh
+  NULLCMD=:
+  # Zsh 3.x and 4.x performs word splitting on \${1+\"\$@\"}, which
+  # is contrary to our usage.  Disable this feature.
+  alias -g '\${1+\"\$@\"}'='\"\$@\"'
+  setopt NO_GLOB_SUBST
+else
+  case \`(set -o) 2>/dev/null\` in *posix*) set -o posix;; esac
+fi
+BIN_SH=xpg4; export BIN_SH # for Tru64
+DUALCASE=1; export DUALCASE # for MKS sh
+
+# The HP-UX ksh and POSIX shell print the target directory to stdout
+# if CDPATH is set.
+(unset CDPATH) >/dev/null 2>&1 && unset CDPATH
+
+relink_command=\"$relink_command\"
+
+# This environment variable determines our operation mode.
+if test \"\$libtool_install_magic\" = \"$magic\"; then
+  # install mode needs the following variables:
+  generated_by_libtool_version='$macro_version'
+  notinst_deplibs='$notinst_deplibs'
+else
+  # When we are sourced in execute mode, \$file and \$ECHO are already set.
+  if test \"\$libtool_execute_magic\" != \"$magic\"; then
+    file=\"\$0\""
+
+    qECHO=`$ECHO "$ECHO" | $SED "$sed_quote_subst"`
+    $ECHO "\
+
+# A function that is used when there is no print builtin or printf.
+func_fallback_echo ()
+{
+  eval 'cat <<_LTECHO_EOF
+\$1
+_LTECHO_EOF'
+}
+    ECHO=\"$qECHO\"
+  fi
+
+# Very basic option parsing. These options are (a) specific to
+# the libtool wrapper, (b) are identical between the wrapper
+# /script/ and the wrapper /executable/ which is used only on
+# windows platforms, and (c) all begin with the string "--lt-"
+# (application programs are unlikely to have options which match
+# this pattern).
+#
+# There are only two supported options: --lt-debug and
+# --lt-dump-script. There is, deliberately, no --lt-help.
+#
+# The first argument to this parsing function should be the
+# script's $0 value, followed by "$@".
+lt_option_debug=
+func_parse_lt_options ()
+{
+  lt_script_arg0=\$0
+  shift
+  for lt_opt
+  do
+    case \"\$lt_opt\" in
+    --lt-debug) lt_option_debug=1 ;;
+    --lt-dump-script)
+        lt_dump_D=\`\$ECHO \"X\$lt_script_arg0\" | $SED -e 's/^X//' -e 's%/[^/]*$%%'\`
+        test \"X\$lt_dump_D\" = \"X\$lt_script_arg0\" && lt_dump_D=.
+        lt_dump_F=\`\$ECHO \"X\$lt_script_arg0\" | $SED -e 's/^X//' -e 's%^.*/%%'\`
+        cat \"\$lt_dump_D/\$lt_dump_F\"
+        exit 0
+      ;;
+    --lt-*)
+        \$ECHO \"Unrecognized --lt- option: '\$lt_opt'\" 1>&2
+        exit 1
+      ;;
+    esac
+  done
+
+  # Print the debug banner immediately:
+  if test -n \"\$lt_option_debug\"; then
+    echo \"${outputname}:${output}:\${LINENO}: libtool wrapper (GNU $PACKAGE$TIMESTAMP) $VERSION\" 1>&2
+  fi
+}
+
+# Used when --lt-debug. Prints its arguments to stdout
+# (redirection is the responsibility of the caller)
+func_lt_dump_args ()
+{
+  lt_dump_args_N=1;
+  for lt_arg
+  do
+    \$ECHO \"${outputname}:${output}:\${LINENO}: newargv[\$lt_dump_args_N]: \$lt_arg\"
+    lt_dump_args_N=\`expr \$lt_dump_args_N + 1\`
+  done
+}
+
+# Core function for launching the target application
+func_exec_program_core ()
+{
+"
+  case $host in
+  # Backslashes separate directories on plain windows
+  *-*-mingw | *-*-os2* | *-cegcc*)
+    $ECHO "\
+      if test -n \"\$lt_option_debug\"; then
+        \$ECHO \"${outputname}:${output}:\${LINENO}: newargv[0]: \$progdir\\\\\$program\" 1>&2
+        func_lt_dump_args \${1+\"\$@\"} 1>&2
+      fi
+      exec \"\$progdir\\\\\$program\" \${1+\"\$@\"}
+"
+    ;;
+
+  *)
+    $ECHO "\
+      if test -n \"\$lt_option_debug\"; then
+        \$ECHO \"${outputname}:${output}:\${LINENO}: newargv[0]: \$progdir/\$program\" 1>&2
+        func_lt_dump_args \${1+\"\$@\"} 1>&2
+      fi
+      exec \"\$progdir/\$program\" \${1+\"\$@\"}
+"
+    ;;
+  esac
+  $ECHO "\
+      \$ECHO \"\$0: cannot exec \$program \$*\" 1>&2
+      exit 1
+}
+
+# A function to encapsulate launching the target application
+# Strips options in the --lt-* namespace from \$@ and
+# launches target application with the remaining arguments.
+func_exec_program ()
+{
+  case \" \$* \" in
+  *\\ --lt-*)
+    for lt_wr_arg
+    do
+      case \$lt_wr_arg in
+      --lt-*) ;;
+      *) set x \"\$@\" \"\$lt_wr_arg\"; shift;;
+      esac
+      shift
+    done ;;
+  esac
+  func_exec_program_core \${1+\"\$@\"}
+}
+
+  # Parse options
+  func_parse_lt_options \"\$0\" \${1+\"\$@\"}
+
+  # Find the directory that this script lives in.
+  thisdir=\`\$ECHO \"\$file\" | $SED 's%/[^/]*$%%'\`
+  test \"x\$thisdir\" = \"x\$file\" && thisdir=.
+
+  # Follow symbolic links until we get to the real thisdir.
+  file=\`ls -ld \"\$file\" | $SED -n 's/.*-> //p'\`
+  while test -n \"\$file\"; do
+    destdir=\`\$ECHO \"\$file\" | $SED 's%/[^/]*\$%%'\`
+
+    # If there was a directory component, then change thisdir.
+    if test \"x\$destdir\" != \"x\$file\"; then
+      case \"\$destdir\" in
+      [\\\\/]* | [A-Za-z]:[\\\\/]*) thisdir=\"\$destdir\" ;;
+      *) thisdir=\"\$thisdir/\$destdir\" ;;
+      esac
+    fi
+
+    file=\`\$ECHO \"\$file\" | $SED 's%^.*/%%'\`
+    file=\`ls -ld \"\$thisdir/\$file\" | $SED -n 's/.*-> //p'\`
+  done
+
+  # Usually 'no', except on cygwin/mingw when embedded into
+  # the cwrapper.
+  WRAPPER_SCRIPT_BELONGS_IN_OBJDIR=$func_emit_wrapper_arg1
+  if test \"\$WRAPPER_SCRIPT_BELONGS_IN_OBJDIR\" = \"yes\"; then
+    # special case for '.'
+    if test \"\$thisdir\" = \".\"; then
+      thisdir=\`pwd\`
+    fi
+    # remove .libs from thisdir
+    case \"\$thisdir\" in
+    *[\\\\/]$objdir ) thisdir=\`\$ECHO \"\$thisdir\" | $SED 's%[\\\\/][^\\\\/]*$%%'\` ;;
+    $objdir )   thisdir=. ;;
+    esac
+  fi
+
+  # Try to get the absolute directory name.
+  absdir=\`cd \"\$thisdir\" && pwd\`
+  test -n \"\$absdir\" && thisdir=\"\$absdir\"
+"
+
+	if test "$fast_install" = yes; then
+	  $ECHO "\
+  program=lt-'$outputname'$exeext
+  progdir=\"\$thisdir/$objdir\"
+
+  if test ! -f \"\$progdir/\$program\" ||
+     { file=\`ls -1dt \"\$progdir/\$program\" \"\$progdir/../\$program\" 2>/dev/null | ${SED} 1q\`; \\
+       test \"X\$file\" != \"X\$progdir/\$program\"; }; then
+
+    file=\"\$\$-\$program\"
+
+    if test ! -d \"\$progdir\"; then
+      $MKDIR \"\$progdir\"
+    else
+      $RM \"\$progdir/\$file\"
+    fi"
+
+	  $ECHO "\
+
+    # relink executable if necessary
+    if test -n \"\$relink_command\"; then
+      if relink_command_output=\`eval \$relink_command 2>&1\`; then :
+      else
+	$ECHO \"\$relink_command_output\" >&2
+	$RM \"\$progdir/\$file\"
+	exit 1
+      fi
+    fi
+
+    $MV \"\$progdir/\$file\" \"\$progdir/\$program\" 2>/dev/null ||
+    { $RM \"\$progdir/\$program\";
+      $MV \"\$progdir/\$file\" \"\$progdir/\$program\"; }
+    $RM \"\$progdir/\$file\"
+  fi"
+	else
+	  $ECHO "\
+  program='$outputname'
+  progdir=\"\$thisdir/$objdir\"
+"
+	fi
+
+	$ECHO "\
+
+  if test -f \"\$progdir/\$program\"; then"
+
+	# fixup the dll searchpath if we need to.
+	#
+	# Fix the DLL searchpath if we need to.  Do this before prepending
+	# to shlibpath, because on Windows, both are PATH and uninstalled
+	# libraries must come first.
+	if test -n "$dllsearchpath"; then
+	  $ECHO "\
+    # Add the dll search path components to the executable PATH
+    PATH=$dllsearchpath:\$PATH
+"
+	fi
+
+	# Export our shlibpath_var if we have one.
+	if test "$shlibpath_overrides_runpath" = yes && test -n "$shlibpath_var" && test -n "$temp_rpath"; then
+	  $ECHO "\
+    # Add our own library path to $shlibpath_var
+    $shlibpath_var=\"$temp_rpath\$$shlibpath_var\"
+
+    # Some systems cannot cope with colon-terminated $shlibpath_var
+    # The second colon is a workaround for a bug in BeOS R4 sed
+    $shlibpath_var=\`\$ECHO \"\$$shlibpath_var\" | $SED 's/::*\$//'\`
+
+    export $shlibpath_var
+"
+	fi
+
+	$ECHO "\
+    if test \"\$libtool_execute_magic\" != \"$magic\"; then
+      # Run the actual program with our arguments.
+      func_exec_program \${1+\"\$@\"}
+    fi
+  else
+    # The program doesn't exist.
+    \$ECHO \"\$0: error: \\\`\$progdir/\$program' does not exist\" 1>&2
+    \$ECHO \"This script is just a wrapper for \$program.\" 1>&2
+    \$ECHO \"See the $PACKAGE documentation for more information.\" 1>&2
+    exit 1
+  fi
+fi\
+"
+}
+
+
+# func_emit_cwrapperexe_src
+# emit the source code for a wrapper executable on stdout
+# Must ONLY be called from within func_mode_link because
+# it depends on a number of variable set therein.
+func_emit_cwrapperexe_src ()
+{
+	cat <<EOF
+
+/* $cwrappersource - temporary wrapper executable for $objdir/$outputname
+   Generated by $PROGRAM (GNU $PACKAGE$TIMESTAMP) $VERSION
+
+   The $output program cannot be directly executed until all the libtool
+   libraries that it depends on are installed.
+
+   This wrapper executable should never be moved out of the build directory.
+   If it is, it will not operate correctly.
+*/
+EOF
+	    cat <<"EOF"
+#ifdef _MSC_VER
+# define _CRT_SECURE_NO_DEPRECATE 1
+#endif
+#include <stdio.h>
+#include <stdlib.h>
+#ifdef _MSC_VER
+# include <direct.h>
+# include <process.h>
+# include <io.h>
+#else
+# include <unistd.h>
+# include <stdint.h>
+# ifdef __CYGWIN__
+#  include <io.h>
+# endif
+#endif
+#include <malloc.h>
+#include <stdarg.h>
+#include <assert.h>
+#include <string.h>
+#include <ctype.h>
+#include <errno.h>
+#include <fcntl.h>
+#include <sys/stat.h>
+
+/* declarations of non-ANSI functions */
+#if defined(__MINGW32__)
+# ifdef __STRICT_ANSI__
+int _putenv (const char *);
+# endif
+#elif defined(__CYGWIN__)
+# ifdef __STRICT_ANSI__
+char *realpath (const char *, char *);
+int putenv (char *);
+int setenv (const char *, const char *, int);
+# endif
+/* #elif defined (other platforms) ... */
+#endif
+
+/* portability defines, excluding path handling macros */
+#if defined(_MSC_VER)
+# define setmode _setmode
+# define stat    _stat
+# define chmod   _chmod
+# define getcwd  _getcwd
+# define putenv  _putenv
+# define S_IXUSR _S_IEXEC
+# ifndef _INTPTR_T_DEFINED
+#  define _INTPTR_T_DEFINED
+#  define intptr_t int
+# endif
+#elif defined(__MINGW32__)
+# define setmode _setmode
+# define stat    _stat
+# define chmod   _chmod
+# define getcwd  _getcwd
+# define putenv  _putenv
+#elif defined(__CYGWIN__)
+# define HAVE_SETENV
+# define FOPEN_WB "wb"
+/* #elif defined (other platforms) ... */
+#endif
+
+#if defined(PATH_MAX)
+# define LT_PATHMAX PATH_MAX
+#elif defined(MAXPATHLEN)
+# define LT_PATHMAX MAXPATHLEN
+#else
+# define LT_PATHMAX 1024
+#endif
+
+#ifndef S_IXOTH
+# define S_IXOTH 0
+#endif
+#ifndef S_IXGRP
+# define S_IXGRP 0
+#endif
+
+/* path handling portability macros */
+#ifndef DIR_SEPARATOR
+# define DIR_SEPARATOR '/'
+# define PATH_SEPARATOR ':'
+#endif
+
+#if defined (_WIN32) || defined (__MSDOS__) || defined (__DJGPP__) || \
+  defined (__OS2__)
+# define HAVE_DOS_BASED_FILE_SYSTEM
+# define FOPEN_WB "wb"
+# ifndef DIR_SEPARATOR_2
+#  define DIR_SEPARATOR_2 '\\'
+# endif
+# ifndef PATH_SEPARATOR_2
+#  define PATH_SEPARATOR_2 ';'
+# endif
+#endif
+
+#ifndef DIR_SEPARATOR_2
+# define IS_DIR_SEPARATOR(ch) ((ch) == DIR_SEPARATOR)
+#else /* DIR_SEPARATOR_2 */
+# define IS_DIR_SEPARATOR(ch) \
+	(((ch) == DIR_SEPARATOR) || ((ch) == DIR_SEPARATOR_2))
+#endif /* DIR_SEPARATOR_2 */
+
+#ifndef PATH_SEPARATOR_2
+# define IS_PATH_SEPARATOR(ch) ((ch) == PATH_SEPARATOR)
+#else /* PATH_SEPARATOR_2 */
+# define IS_PATH_SEPARATOR(ch) ((ch) == PATH_SEPARATOR_2)
+#endif /* PATH_SEPARATOR_2 */
+
+#ifndef FOPEN_WB
+# define FOPEN_WB "w"
+#endif
+#ifndef _O_BINARY
+# define _O_BINARY 0
+#endif
+
+#define XMALLOC(type, num)      ((type *) xmalloc ((num) * sizeof(type)))
+#define XFREE(stale) do { \
+  if (stale) { free ((void *) stale); stale = 0; } \
+} while (0)
+
+#if defined(LT_DEBUGWRAPPER)
+static int lt_debug = 1;
+#else
+static int lt_debug = 0;
+#endif
+
+const char *program_name = "libtool-wrapper"; /* in case xstrdup fails */
+
+void *xmalloc (size_t num);
+char *xstrdup (const char *string);
+const char *base_name (const char *name);
+char *find_executable (const char *wrapper);
+char *chase_symlinks (const char *pathspec);
+int make_executable (const char *path);
+int check_executable (const char *path);
+char *strendzap (char *str, const char *pat);
+void lt_debugprintf (const char *file, int line, const char *fmt, ...);
+void lt_fatal (const char *file, int line, const char *message, ...);
+static const char *nonnull (const char *s);
+static const char *nonempty (const char *s);
+void lt_setenv (const char *name, const char *value);
+char *lt_extend_str (const char *orig_value, const char *add, int to_end);
+void lt_update_exe_path (const char *name, const char *value);
+void lt_update_lib_path (const char *name, const char *value);
+char **prepare_spawn (char **argv);
+void lt_dump_script (FILE *f);
+EOF
+
+	    cat <<EOF
+volatile const char * MAGIC_EXE = "$magic_exe";
+const char * LIB_PATH_VARNAME = "$shlibpath_var";
+EOF
+
+	    if test "$shlibpath_overrides_runpath" = yes && test -n "$shlibpath_var" && test -n "$temp_rpath"; then
+              func_to_host_path "$temp_rpath"
+	      cat <<EOF
+const char * LIB_PATH_VALUE   = "$func_to_host_path_result";
+EOF
+	    else
+	      cat <<"EOF"
+const char * LIB_PATH_VALUE   = "";
+EOF
+	    fi
+
+	    if test -n "$dllsearchpath"; then
+              func_to_host_path "$dllsearchpath:"
+	      cat <<EOF
+const char * EXE_PATH_VARNAME = "PATH";
+const char * EXE_PATH_VALUE   = "$func_to_host_path_result";
+EOF
+	    else
+	      cat <<"EOF"
+const char * EXE_PATH_VARNAME = "";
+const char * EXE_PATH_VALUE   = "";
+EOF
+	    fi
+
+	    if test "$fast_install" = yes; then
+	      cat <<EOF
+const char * TARGET_PROGRAM_NAME = "lt-$outputname"; /* hopefully, no .exe */
+EOF
+	    else
+	      cat <<EOF
+const char * TARGET_PROGRAM_NAME = "$outputname"; /* hopefully, no .exe */
+EOF
+	    fi
+
+
+	    cat <<"EOF"
+
+#define LTWRAPPER_OPTION_PREFIX         "--lt-"
+
+static const char *ltwrapper_option_prefix = LTWRAPPER_OPTION_PREFIX;
+static const char *dumpscript_opt       = LTWRAPPER_OPTION_PREFIX "dump-script";
+static const char *debug_opt            = LTWRAPPER_OPTION_PREFIX "debug";
+
+int
+main (int argc, char *argv[])
+{
+  char **newargz;
+  int  newargc;
+  char *tmp_pathspec;
+  char *actual_cwrapper_path;
+  char *actual_cwrapper_name;
+  char *target_name;
+  char *lt_argv_zero;
+  intptr_t rval = 127;
+
+  int i;
+
+  program_name = (char *) xstrdup (base_name (argv[0]));
+  newargz = XMALLOC (char *, argc + 1);
+
+  /* very simple arg parsing; don't want to rely on getopt
+   * also, copy all non cwrapper options to newargz, except
+   * argz[0], which is handled differently
+   */
+  newargc=0;
+  for (i = 1; i < argc; i++)
+    {
+      if (strcmp (argv[i], dumpscript_opt) == 0)
+	{
+EOF
+	    case "$host" in
+	      *mingw* | *cygwin* )
+		# make stdout use "unix" line endings
+		echo "          setmode(1,_O_BINARY);"
+		;;
+	      esac
+
+	    cat <<"EOF"
+	  lt_dump_script (stdout);
+	  return 0;
+	}
+      if (strcmp (argv[i], debug_opt) == 0)
+	{
+          lt_debug = 1;
+          continue;
+	}
+      if (strcmp (argv[i], ltwrapper_option_prefix) == 0)
+        {
+          /* however, if there is an option in the LTWRAPPER_OPTION_PREFIX
+             namespace, but it is not one of the ones we know about and
+             have already dealt with, above (inluding dump-script), then
+             report an error. Otherwise, targets might begin to believe
+             they are allowed to use options in the LTWRAPPER_OPTION_PREFIX
+             namespace. The first time any user complains about this, we'll
+             need to make LTWRAPPER_OPTION_PREFIX a configure-time option
+             or a configure.ac-settable value.
+           */
+          lt_fatal (__FILE__, __LINE__,
+		    "unrecognized %s option: '%s'",
+                    ltwrapper_option_prefix, argv[i]);
+        }
+      /* otherwise ... */
+      newargz[++newargc] = xstrdup (argv[i]);
+    }
+  newargz[++newargc] = NULL;
+
+EOF
+	    cat <<EOF
+  /* The GNU banner must be the first non-error debug message */
+  lt_debugprintf (__FILE__, __LINE__, "libtool wrapper (GNU $PACKAGE$TIMESTAMP) $VERSION\n");
+EOF
+	    cat <<"EOF"
+  lt_debugprintf (__FILE__, __LINE__, "(main) argv[0]: %s\n", argv[0]);
+  lt_debugprintf (__FILE__, __LINE__, "(main) program_name: %s\n", program_name);
+
+  tmp_pathspec = find_executable (argv[0]);
+  if (tmp_pathspec == NULL)
+    lt_fatal (__FILE__, __LINE__, "couldn't find %s", argv[0]);
+  lt_debugprintf (__FILE__, __LINE__,
+                  "(main) found exe (before symlink chase) at: %s\n",
+		  tmp_pathspec);
+
+  actual_cwrapper_path = chase_symlinks (tmp_pathspec);
+  lt_debugprintf (__FILE__, __LINE__,
+                  "(main) found exe (after symlink chase) at: %s\n",
+		  actual_cwrapper_path);
+  XFREE (tmp_pathspec);
+
+  actual_cwrapper_name = xstrdup (base_name (actual_cwrapper_path));
+  strendzap (actual_cwrapper_path, actual_cwrapper_name);
+
+  /* wrapper name transforms */
+  strendzap (actual_cwrapper_name, ".exe");
+  tmp_pathspec = lt_extend_str (actual_cwrapper_name, ".exe", 1);
+  XFREE (actual_cwrapper_name);
+  actual_cwrapper_name = tmp_pathspec;
+  tmp_pathspec = 0;
+
+  /* target_name transforms -- use actual target program name; might have lt- prefix */
+  target_name = xstrdup (base_name (TARGET_PROGRAM_NAME));
+  strendzap (target_name, ".exe");
+  tmp_pathspec = lt_extend_str (target_name, ".exe", 1);
+  XFREE (target_name);
+  target_name = tmp_pathspec;
+  tmp_pathspec = 0;
+
+  lt_debugprintf (__FILE__, __LINE__,
+		  "(main) libtool target name: %s\n",
+		  target_name);
+EOF
+
+	    cat <<EOF
+  newargz[0] =
+    XMALLOC (char, (strlen (actual_cwrapper_path) +
+		    strlen ("$objdir") + 1 + strlen (actual_cwrapper_name) + 1));
+  strcpy (newargz[0], actual_cwrapper_path);
+  strcat (newargz[0], "$objdir");
+  strcat (newargz[0], "/");
+EOF
+
+	    cat <<"EOF"
+  /* stop here, and copy so we don't have to do this twice */
+  tmp_pathspec = xstrdup (newargz[0]);
+
+  /* do NOT want the lt- prefix here, so use actual_cwrapper_name */
+  strcat (newargz[0], actual_cwrapper_name);
+
+  /* DO want the lt- prefix here if it exists, so use target_name */
+  lt_argv_zero = lt_extend_str (tmp_pathspec, target_name, 1);
+  XFREE (tmp_pathspec);
+  tmp_pathspec = NULL;
+EOF
+
+	    case $host_os in
+	      mingw*)
+	    cat <<"EOF"
+  {
+    char* p;
+    while ((p = strchr (newargz[0], '\\')) != NULL)
+      {
+	*p = '/';
+      }
+    while ((p = strchr (lt_argv_zero, '\\')) != NULL)
+      {
+	*p = '/';
+      }
+  }
+EOF
+	    ;;
+	    esac
+
+	    cat <<"EOF"
+  XFREE (target_name);
+  XFREE (actual_cwrapper_path);
+  XFREE (actual_cwrapper_name);
+
+  lt_setenv ("BIN_SH", "xpg4"); /* for Tru64 */
+  lt_setenv ("DUALCASE", "1");  /* for MSK sh */
+  /* Update the DLL searchpath.  EXE_PATH_VALUE ($dllsearchpath) must
+     be prepended before (that is, appear after) LIB_PATH_VALUE ($temp_rpath)
+     because on Windows, both *_VARNAMEs are PATH but uninstalled
+     libraries must come first. */
+  lt_update_exe_path (EXE_PATH_VARNAME, EXE_PATH_VALUE);
+  lt_update_lib_path (LIB_PATH_VARNAME, LIB_PATH_VALUE);
+
+  lt_debugprintf (__FILE__, __LINE__, "(main) lt_argv_zero: %s\n",
+		  nonnull (lt_argv_zero));
+  for (i = 0; i < newargc; i++)
+    {
+      lt_debugprintf (__FILE__, __LINE__, "(main) newargz[%d]: %s\n",
+		      i, nonnull (newargz[i]));
+    }
+
+EOF
+
+	    case $host_os in
+	      mingw*)
+		cat <<"EOF"
+  /* execv doesn't actually work on mingw as expected on unix */
+  newargz = prepare_spawn (newargz);
+  rval = _spawnv (_P_WAIT, lt_argv_zero, (const char * const *) newargz);
+  if (rval == -1)
+    {
+      /* failed to start process */
+      lt_debugprintf (__FILE__, __LINE__,
+		      "(main) failed to launch target \"%s\": %s\n",
+		      lt_argv_zero, nonnull (strerror (errno)));
+      return 127;
+    }
+  return rval;
+EOF
+		;;
+	      *)
+		cat <<"EOF"
+  execv (lt_argv_zero, newargz);
+  return rval; /* =127, but avoids unused variable warning */
+EOF
+		;;
+	    esac
+
+	    cat <<"EOF"
+}
+
+void *
+xmalloc (size_t num)
+{
+  void *p = (void *) malloc (num);
+  if (!p)
+    lt_fatal (__FILE__, __LINE__, "memory exhausted");
+
+  return p;
+}
+
+char *
+xstrdup (const char *string)
+{
+  return string ? strcpy ((char *) xmalloc (strlen (string) + 1),
+			  string) : NULL;
+}
+
+const char *
+base_name (const char *name)
+{
+  const char *base;
+
+#if defined (HAVE_DOS_BASED_FILE_SYSTEM)
+  /* Skip over the disk name in MSDOS pathnames. */
+  if (isalpha ((unsigned char) name[0]) && name[1] == ':')
+    name += 2;
+#endif
+
+  for (base = name; *name; name++)
+    if (IS_DIR_SEPARATOR (*name))
+      base = name + 1;
+  return base;
+}
+
+int
+check_executable (const char *path)
+{
+  struct stat st;
+
+  lt_debugprintf (__FILE__, __LINE__, "(check_executable): %s\n",
+                  nonempty (path));
+  if ((!path) || (!*path))
+    return 0;
+
+  if ((stat (path, &st) >= 0)
+      && (st.st_mode & (S_IXUSR | S_IXGRP | S_IXOTH)))
+    return 1;
+  else
+    return 0;
+}
+
+int
+make_executable (const char *path)
+{
+  int rval = 0;
+  struct stat st;
+
+  lt_debugprintf (__FILE__, __LINE__, "(make_executable): %s\n",
+                  nonempty (path));
+  if ((!path) || (!*path))
+    return 0;
+
+  if (stat (path, &st) >= 0)
+    {
+      rval = chmod (path, st.st_mode | S_IXOTH | S_IXGRP | S_IXUSR);
+    }
+  return rval;
+}
+
+/* Searches for the full path of the wrapper.  Returns
+   newly allocated full path name if found, NULL otherwise
+   Does not chase symlinks, even on platforms that support them.
+*/
+char *
+find_executable (const char *wrapper)
+{
+  int has_slash = 0;
+  const char *p;
+  const char *p_next;
+  /* static buffer for getcwd */
+  char tmp[LT_PATHMAX + 1];
+  int tmp_len;
+  char *concat_name;
+
+  lt_debugprintf (__FILE__, __LINE__, "(find_executable): %s\n",
+                  nonempty (wrapper));
+
+  if ((wrapper == NULL) || (*wrapper == '\0'))
+    return NULL;
+
+  /* Absolute path? */
+#if defined (HAVE_DOS_BASED_FILE_SYSTEM)
+  if (isalpha ((unsigned char) wrapper[0]) && wrapper[1] == ':')
+    {
+      concat_name = xstrdup (wrapper);
+      if (check_executable (concat_name))
+	return concat_name;
+      XFREE (concat_name);
+    }
+  else
+    {
+#endif
+      if (IS_DIR_SEPARATOR (wrapper[0]))
+	{
+	  concat_name = xstrdup (wrapper);
+	  if (check_executable (concat_name))
+	    return concat_name;
+	  XFREE (concat_name);
+	}
+#if defined (HAVE_DOS_BASED_FILE_SYSTEM)
+    }
+#endif
+
+  for (p = wrapper; *p; p++)
+    if (*p == '/')
+      {
+	has_slash = 1;
+	break;
+      }
+  if (!has_slash)
+    {
+      /* no slashes; search PATH */
+      const char *path = getenv ("PATH");
+      if (path != NULL)
+	{
+	  for (p = path; *p; p = p_next)
+	    {
+	      const char *q;
+	      size_t p_len;
+	      for (q = p; *q; q++)
+		if (IS_PATH_SEPARATOR (*q))
+		  break;
+	      p_len = q - p;
+	      p_next = (*q == '\0' ? q : q + 1);
+	      if (p_len == 0)
+		{
+		  /* empty path: current directory */
+		  if (getcwd (tmp, LT_PATHMAX) == NULL)
+		    lt_fatal (__FILE__, __LINE__, "getcwd failed: %s",
+                              nonnull (strerror (errno)));
+		  tmp_len = strlen (tmp);
+		  concat_name =
+		    XMALLOC (char, tmp_len + 1 + strlen (wrapper) + 1);
+		  memcpy (concat_name, tmp, tmp_len);
+		  concat_name[tmp_len] = '/';
+		  strcpy (concat_name + tmp_len + 1, wrapper);
+		}
+	      else
+		{
+		  concat_name =
+		    XMALLOC (char, p_len + 1 + strlen (wrapper) + 1);
+		  memcpy (concat_name, p, p_len);
+		  concat_name[p_len] = '/';
+		  strcpy (concat_name + p_len + 1, wrapper);
+		}
+	      if (check_executable (concat_name))
+		return concat_name;
+	      XFREE (concat_name);
+	    }
+	}
+      /* not found in PATH; assume curdir */
+    }
+  /* Relative path | not found in path: prepend cwd */
+  if (getcwd (tmp, LT_PATHMAX) == NULL)
+    lt_fatal (__FILE__, __LINE__, "getcwd failed: %s",
+              nonnull (strerror (errno)));
+  tmp_len = strlen (tmp);
+  concat_name = XMALLOC (char, tmp_len + 1 + strlen (wrapper) + 1);
+  memcpy (concat_name, tmp, tmp_len);
+  concat_name[tmp_len] = '/';
+  strcpy (concat_name + tmp_len + 1, wrapper);
+
+  if (check_executable (concat_name))
+    return concat_name;
+  XFREE (concat_name);
+  return NULL;
+}
+
+char *
+chase_symlinks (const char *pathspec)
+{
+#ifndef S_ISLNK
+  return xstrdup (pathspec);
+#else
+  char buf[LT_PATHMAX];
+  struct stat s;
+  char *tmp_pathspec = xstrdup (pathspec);
+  char *p;
+  int has_symlinks = 0;
+  while (strlen (tmp_pathspec) && !has_symlinks)
+    {
+      lt_debugprintf (__FILE__, __LINE__,
+		      "checking path component for symlinks: %s\n",
+		      tmp_pathspec);
+      if (lstat (tmp_pathspec, &s) == 0)
+	{
+	  if (S_ISLNK (s.st_mode) != 0)
+	    {
+	      has_symlinks = 1;
+	      break;
+	    }
+
+	  /* search backwards for last DIR_SEPARATOR */
+	  p = tmp_pathspec + strlen (tmp_pathspec) - 1;
+	  while ((p > tmp_pathspec) && (!IS_DIR_SEPARATOR (*p)))
+	    p--;
+	  if ((p == tmp_pathspec) && (!IS_DIR_SEPARATOR (*p)))
+	    {
+	      /* no more DIR_SEPARATORS left */
+	      break;
+	    }
+	  *p = '\0';
+	}
+      else
+	{
+	  lt_fatal (__FILE__, __LINE__,
+		    "error accessing file \"%s\": %s",
+		    tmp_pathspec, nonnull (strerror (errno)));
+	}
+    }
+  XFREE (tmp_pathspec);
+
+  if (!has_symlinks)
+    {
+      return xstrdup (pathspec);
+    }
+
+  tmp_pathspec = realpath (pathspec, buf);
+  if (tmp_pathspec == 0)
+    {
+      lt_fatal (__FILE__, __LINE__,
+		"could not follow symlinks for %s", pathspec);
+    }
+  return xstrdup (tmp_pathspec);
+#endif
+}
+
+char *
+strendzap (char *str, const char *pat)
+{
+  size_t len, patlen;
+
+  assert (str != NULL);
+  assert (pat != NULL);
+
+  len = strlen (str);
+  patlen = strlen (pat);
+
+  if (patlen <= len)
+    {
+      str += len - patlen;
+      if (strcmp (str, pat) == 0)
+	*str = '\0';
+    }
+  return str;
+}
+
+void
+lt_debugprintf (const char *file, int line, const char *fmt, ...)
+{
+  va_list args;
+  if (lt_debug)
+    {
+      (void) fprintf (stderr, "%s:%s:%d: ", program_name, file, line);
+      va_start (args, fmt);
+      (void) vfprintf (stderr, fmt, args);
+      va_end (args);
+    }
+}
+
+static void
+lt_error_core (int exit_status, const char *file,
+	       int line, const char *mode,
+	       const char *message, va_list ap)
+{
+  fprintf (stderr, "%s:%s:%d: %s: ", program_name, file, line, mode);
+  vfprintf (stderr, message, ap);
+  fprintf (stderr, ".\n");
+
+  if (exit_status >= 0)
+    exit (exit_status);
+}
+
+void
+lt_fatal (const char *file, int line, const char *message, ...)
+{
+  va_list ap;
+  va_start (ap, message);
+  lt_error_core (EXIT_FAILURE, file, line, "FATAL", message, ap);
+  va_end (ap);
+}
+
+static const char *
+nonnull (const char *s)
+{
+  return s ? s : "(null)";
+}
+
+static const char *
+nonempty (const char *s)
+{
+  return (s && !*s) ? "(empty)" : nonnull (s);
+}
+
+void
+lt_setenv (const char *name, const char *value)
+{
+  lt_debugprintf (__FILE__, __LINE__,
+		  "(lt_setenv) setting '%s' to '%s'\n",
+                  nonnull (name), nonnull (value));
+  {
+#ifdef HAVE_SETENV
+    /* always make a copy, for consistency with !HAVE_SETENV */
+    char *str = xstrdup (value);
+    setenv (name, str, 1);
+#else
+    int len = strlen (name) + 1 + strlen (value) + 1;
+    char *str = XMALLOC (char, len);
+    sprintf (str, "%s=%s", name, value);
+    if (putenv (str) != EXIT_SUCCESS)
+      {
+        XFREE (str);
+      }
+#endif
+  }
+}
+
+char *
+lt_extend_str (const char *orig_value, const char *add, int to_end)
+{
+  char *new_value;
+  if (orig_value && *orig_value)
+    {
+      int orig_value_len = strlen (orig_value);
+      int add_len = strlen (add);
+      new_value = XMALLOC (char, add_len + orig_value_len + 1);
+      if (to_end)
+        {
+          strcpy (new_value, orig_value);
+          strcpy (new_value + orig_value_len, add);
+        }
+      else
+        {
+          strcpy (new_value, add);
+          strcpy (new_value + add_len, orig_value);
+        }
+    }
+  else
+    {
+      new_value = xstrdup (add);
+    }
+  return new_value;
+}
+
+void
+lt_update_exe_path (const char *name, const char *value)
+{
+  lt_debugprintf (__FILE__, __LINE__,
+		  "(lt_update_exe_path) modifying '%s' by prepending '%s'\n",
+                  nonnull (name), nonnull (value));
+
+  if (name && *name && value && *value)
+    {
+      char *new_value = lt_extend_str (getenv (name), value, 0);
+      /* some systems can't cope with a ':'-terminated path #' */
+      int len = strlen (new_value);
+      while (((len = strlen (new_value)) > 0) && IS_PATH_SEPARATOR (new_value[len-1]))
+        {
+          new_value[len-1] = '\0';
+        }
+      lt_setenv (name, new_value);
+      XFREE (new_value);
+    }
+}
+
+void
+lt_update_lib_path (const char *name, const char *value)
+{
+  lt_debugprintf (__FILE__, __LINE__,
+		  "(lt_update_lib_path) modifying '%s' by prepending '%s'\n",
+                  nonnull (name), nonnull (value));
+
+  if (name && *name && value && *value)
+    {
+      char *new_value = lt_extend_str (getenv (name), value, 0);
+      lt_setenv (name, new_value);
+      XFREE (new_value);
+    }
+}
+
+EOF
+	    case $host_os in
+	      mingw*)
+		cat <<"EOF"
+
+/* Prepares an argument vector before calling spawn().
+   Note that spawn() does not by itself call the command interpreter
+     (getenv ("COMSPEC") != NULL ? getenv ("COMSPEC") :
+      ({ OSVERSIONINFO v; v.dwOSVersionInfoSize = sizeof(OSVERSIONINFO);
+         GetVersionEx(&v);
+         v.dwPlatformId == VER_PLATFORM_WIN32_NT;
+      }) ? "cmd.exe" : "command.com").
+   Instead it simply concatenates the arguments, separated by ' ', and calls
+   CreateProcess().  We must quote the arguments since Win32 CreateProcess()
+   interprets characters like ' ', '\t', '\\', '"' (but not '<' and '>') in a
+   special way:
+   - Space and tab are interpreted as delimiters. They are not treated as
+     delimiters if they are surrounded by double quotes: "...".
+   - Unescaped double quotes are removed from the input. Their only effect is
+     that within double quotes, space and tab are treated like normal
+     characters.
+   - Backslashes not followed by double quotes are not special.
+   - But 2*n+1 backslashes followed by a double quote become
+     n backslashes followed by a double quote (n >= 0):
+       \" -> "
+       \\\" -> \"
+       \\\\\" -> \\"
+ */
+#define SHELL_SPECIAL_CHARS "\"\\ \001\002\003\004\005\006\007\010\011\012\013\014\015\016\017\020\021\022\023\024\025\026\027\030\031\032\033\034\035\036\037"
+#define SHELL_SPACE_CHARS " \001\002\003\004\005\006\007\010\011\012\013\014\015\016\017\020\021\022\023\024\025\026\027\030\031\032\033\034\035\036\037"
+char **
+prepare_spawn (char **argv)
+{
+  size_t argc;
+  char **new_argv;
+  size_t i;
+
+  /* Count number of arguments.  */
+  for (argc = 0; argv[argc] != NULL; argc++)
+    ;
+
+  /* Allocate new argument vector.  */
+  new_argv = XMALLOC (char *, argc + 1);
+
+  /* Put quoted arguments into the new argument vector.  */
+  for (i = 0; i < argc; i++)
+    {
+      const char *string = argv[i];
+
+      if (string[0] == '\0')
+	new_argv[i] = xstrdup ("\"\"");
+      else if (strpbrk (string, SHELL_SPECIAL_CHARS) != NULL)
+	{
+	  int quote_around = (strpbrk (string, SHELL_SPACE_CHARS) != NULL);
+	  size_t length;
+	  unsigned int backslashes;
+	  const char *s;
+	  char *quoted_string;
+	  char *p;
+
+	  length = 0;
+	  backslashes = 0;
+	  if (quote_around)
+	    length++;
+	  for (s = string; *s != '\0'; s++)
+	    {
+	      char c = *s;
+	      if (c == '"')
+		length += backslashes + 1;
+	      length++;
+	      if (c == '\\')
+		backslashes++;
+	      else
+		backslashes = 0;
+	    }
+	  if (quote_around)
+	    length += backslashes + 1;
+
+	  quoted_string = XMALLOC (char, length + 1);
+
+	  p = quoted_string;
+	  backslashes = 0;
+	  if (quote_around)
+	    *p++ = '"';
+	  for (s = string; *s != '\0'; s++)
+	    {
+	      char c = *s;
+	      if (c == '"')
+		{
+		  unsigned int j;
+		  for (j = backslashes + 1; j > 0; j--)
+		    *p++ = '\\';
+		}
+	      *p++ = c;
+	      if (c == '\\')
+		backslashes++;
+	      else
+		backslashes = 0;
+	    }
+	  if (quote_around)
+	    {
+	      unsigned int j;
+	      for (j = backslashes; j > 0; j--)
+		*p++ = '\\';
+	      *p++ = '"';
+	    }
+	  *p = '\0';
+
+	  new_argv[i] = quoted_string;
+	}
+      else
+	new_argv[i] = (char *) string;
+    }
+  new_argv[argc] = NULL;
+
+  return new_argv;
+}
+EOF
+		;;
+	    esac
+
+            cat <<"EOF"
+void lt_dump_script (FILE* f)
+{
+EOF
+	    func_emit_wrapper yes |
+	      $SED -n -e '
+s/^\(.\{79\}\)\(..*\)/\1\
+\2/
+h
+s/\([\\"]\)/\\\1/g
+s/$/\\n/
+s/\([^\n]*\).*/  fputs ("\1", f);/p
+g
+D'
+            cat <<"EOF"
+}
+EOF
+}
+# end: func_emit_cwrapperexe_src
+
+# func_win32_import_lib_p ARG
+# True if ARG is an import lib, as indicated by $file_magic_cmd
+func_win32_import_lib_p ()
+{
+    $opt_debug
+    case `eval $file_magic_cmd \"\$1\" 2>/dev/null | $SED -e 10q` in
+    *import*) : ;;
+    *) false ;;
+    esac
+}
+
+# func_mode_link arg...
+func_mode_link ()
+{
+    $opt_debug
+    case $host in
+    *-*-cygwin* | *-*-mingw* | *-*-pw32* | *-*-os2* | *-cegcc*)
+      # It is impossible to link a dll without this setting, and
+      # we shouldn't force the makefile maintainer to figure out
+      # which system we are compiling for in order to pass an extra
+      # flag for every libtool invocation.
+      # allow_undefined=no
+
+      # FIXME: Unfortunately, there are problems with the above when trying
+      # to make a dll which has undefined symbols, in which case not
+      # even a static library is built.  For now, we need to specify
+      # -no-undefined on the libtool link line when we can be certain
+      # that all symbols are satisfied, otherwise we get a static library.
+      allow_undefined=yes
+      ;;
+    *)
+      allow_undefined=yes
+      ;;
+    esac
+    libtool_args=$nonopt
+    base_compile="$nonopt $@"
+    compile_command=$nonopt
+    finalize_command=$nonopt
+
+    compile_rpath=
+    finalize_rpath=
+    compile_shlibpath=
+    finalize_shlibpath=
+    convenience=
+    old_convenience=
+    deplibs=
+    old_deplibs=
+    compiler_flags=
+    linker_flags=
+    dllsearchpath=
+    lib_search_path=`pwd`
+    inst_prefix_dir=
+    new_inherited_linker_flags=
+
+    avoid_version=no
+    bindir=
+    dlfiles=
+    dlprefiles=
+    dlself=no
+    export_dynamic=no
+    export_symbols=
+    export_symbols_regex=
+    generated=
+    libobjs=
+    ltlibs=
+    module=no
+    no_install=no
+    objs=
+    non_pic_objects=
+    precious_files_regex=
+    prefer_static_libs=no
+    preload=no
+    prev=
+    prevarg=
+    release=
+    rpath=
+    xrpath=
+    perm_rpath=
+    temp_rpath=
+    thread_safe=no
+    vinfo=
+    vinfo_number=no
+    weak_libs=
+    single_module="${wl}-single_module"
+    func_infer_tag $base_compile
+
+    # We need to know -static, to get the right output filenames.
+    for arg
+    do
+      case $arg in
+      -shared)
+	test "$build_libtool_libs" != yes && \
+	  func_fatal_configuration "can not build a shared library"
+	build_old_libs=no
+	break
+	;;
+      -all-static | -static | -static-libtool-libs)
+	case $arg in
+	-all-static)
+	  if test "$build_libtool_libs" = yes && test -z "$link_static_flag"; then
+	    func_warning "complete static linking is impossible in this configuration"
+	  fi
+	  if test -n "$link_static_flag"; then
+	    dlopen_self=$dlopen_self_static
+	  fi
+	  prefer_static_libs=yes
+	  ;;
+	-static)
+	  if test -z "$pic_flag" && test -n "$link_static_flag"; then
+	    dlopen_self=$dlopen_self_static
+	  fi
+	  prefer_static_libs=built
+	  ;;
+	-static-libtool-libs)
+	  if test -z "$pic_flag" && test -n "$link_static_flag"; then
+	    dlopen_self=$dlopen_self_static
+	  fi
+	  prefer_static_libs=yes
+	  ;;
+	esac
+	build_libtool_libs=no
+	build_old_libs=yes
+	break
+	;;
+      esac
+    done
+
+    # See if our shared archives depend on static archives.
+    test -n "$old_archive_from_new_cmds" && build_old_libs=yes
+
+    # Go through the arguments, transforming them on the way.
+    while test "$#" -gt 0; do
+      arg="$1"
+      shift
+      func_quote_for_eval "$arg"
+      qarg=$func_quote_for_eval_unquoted_result
+      func_append libtool_args " $func_quote_for_eval_result"
+
+      # If the previous option needs an argument, assign it.
+      if test -n "$prev"; then
+	case $prev in
+	output)
+	  func_append compile_command " @OUTPUT@"
+	  func_append finalize_command " @OUTPUT@"
+	  ;;
+	esac
+
+	case $prev in
+	bindir)
+	  bindir="$arg"
+	  prev=
+	  continue
+	  ;;
+	dlfiles|dlprefiles)
+	  if test "$preload" = no; then
+	    # Add the symbol object into the linking commands.
+	    func_append compile_command " @SYMFILE@"
+	    func_append finalize_command " @SYMFILE@"
+	    preload=yes
+	  fi
+	  case $arg in
+	  *.la | *.lo) ;;  # We handle these cases below.
+	  force)
+	    if test "$dlself" = no; then
+	      dlself=needless
+	      export_dynamic=yes
+	    fi
+	    prev=
+	    continue
+	    ;;
+	  self)
+	    if test "$prev" = dlprefiles; then
+	      dlself=yes
+	    elif test "$prev" = dlfiles && test "$dlopen_self" != yes; then
+	      dlself=yes
+	    else
+	      dlself=needless
+	      export_dynamic=yes
+	    fi
+	    prev=
+	    continue
+	    ;;
+	  *)
+	    if test "$prev" = dlfiles; then
+	      func_append dlfiles " $arg"
+	    else
+	      func_append dlprefiles " $arg"
+	    fi
+	    prev=
+	    continue
+	    ;;
+	  esac
+	  ;;
+	expsyms)
+	  export_symbols="$arg"
+	  test -f "$arg" \
+	    || func_fatal_error "symbol file \`$arg' does not exist"
+	  prev=
+	  continue
+	  ;;
+	expsyms_regex)
+	  export_symbols_regex="$arg"
+	  prev=
+	  continue
+	  ;;
+	framework)
+	  case $host in
+	    *-*-darwin*)
+	      case "$deplibs " in
+		*" $qarg.ltframework "*) ;;
+		*) func_append deplibs " $qarg.ltframework" # this is fixed later
+		   ;;
+	      esac
+	      ;;
+	  esac
+	  prev=
+	  continue
+	  ;;
+	inst_prefix)
+	  inst_prefix_dir="$arg"
+	  prev=
+	  continue
+	  ;;
+	objectlist)
+	  if test -f "$arg"; then
+	    save_arg=$arg
+	    moreargs=
+	    for fil in `cat "$save_arg"`
+	    do
+#	      func_append moreargs " $fil"
+	      arg=$fil
+	      # A libtool-controlled object.
+
+	      # Check to see that this really is a libtool object.
+	      if func_lalib_unsafe_p "$arg"; then
+		pic_object=
+		non_pic_object=
+
+		# Read the .lo file
+		func_source "$arg"
+
+		if test -z "$pic_object" ||
+		   test -z "$non_pic_object" ||
+		   test "$pic_object" = none &&
+		   test "$non_pic_object" = none; then
+		  func_fatal_error "cannot find name of object for \`$arg'"
+		fi
+
+		# Extract subdirectory from the argument.
+		func_dirname "$arg" "/" ""
+		xdir="$func_dirname_result"
+
+		if test "$pic_object" != none; then
+		  # Prepend the subdirectory the object is found in.
+		  pic_object="$xdir$pic_object"
+
+		  if test "$prev" = dlfiles; then
+		    if test "$build_libtool_libs" = yes && test "$dlopen_support" = yes; then
+		      func_append dlfiles " $pic_object"
+		      prev=
+		      continue
+		    else
+		      # If libtool objects are unsupported, then we need to preload.
+		      prev=dlprefiles
+		    fi
+		  fi
+
+		  # CHECK ME:  I think I busted this.  -Ossama
+		  if test "$prev" = dlprefiles; then
+		    # Preload the old-style object.
+		    func_append dlprefiles " $pic_object"
+		    prev=
+		  fi
+
+		  # A PIC object.
+		  func_append libobjs " $pic_object"
+		  arg="$pic_object"
+		fi
+
+		# Non-PIC object.
+		if test "$non_pic_object" != none; then
+		  # Prepend the subdirectory the object is found in.
+		  non_pic_object="$xdir$non_pic_object"
+
+		  # A standard non-PIC object
+		  func_append non_pic_objects " $non_pic_object"
+		  if test -z "$pic_object" || test "$pic_object" = none ; then
+		    arg="$non_pic_object"
+		  fi
+		else
+		  # If the PIC object exists, use it instead.
+		  # $xdir was prepended to $pic_object above.
+		  non_pic_object="$pic_object"
+		  func_append non_pic_objects " $non_pic_object"
+		fi
+	      else
+		# Only an error if not doing a dry-run.
+		if $opt_dry_run; then
+		  # Extract subdirectory from the argument.
+		  func_dirname "$arg" "/" ""
+		  xdir="$func_dirname_result"
+
+		  func_lo2o "$arg"
+		  pic_object=$xdir$objdir/$func_lo2o_result
+		  non_pic_object=$xdir$func_lo2o_result
+		  func_append libobjs " $pic_object"
+		  func_append non_pic_objects " $non_pic_object"
+	        else
+		  func_fatal_error "\`$arg' is not a valid libtool object"
+		fi
+	      fi
+	    done
+	  else
+	    func_fatal_error "link input file \`$arg' does not exist"
+	  fi
+	  arg=$save_arg
+	  prev=
+	  continue
+	  ;;
+	precious_regex)
+	  precious_files_regex="$arg"
+	  prev=
+	  continue
+	  ;;
+	release)
+	  release="-$arg"
+	  prev=
+	  continue
+	  ;;
+	rpath | xrpath)
+	  # We need an absolute path.
+	  case $arg in
+	  [\\/]* | [A-Za-z]:[\\/]*) ;;
+	  *)
+	    func_fatal_error "only absolute run-paths are allowed"
+	    ;;
+	  esac
+	  if test "$prev" = rpath; then
+	    case "$rpath " in
+	    *" $arg "*) ;;
+	    *) func_append rpath " $arg" ;;
+	    esac
+	  else
+	    case "$xrpath " in
+	    *" $arg "*) ;;
+	    *) func_append xrpath " $arg" ;;
+	    esac
+	  fi
+	  prev=
+	  continue
+	  ;;
+	shrext)
+	  shrext_cmds="$arg"
+	  prev=
+	  continue
+	  ;;
+	weak)
+	  func_append weak_libs " $arg"
+	  prev=
+	  continue
+	  ;;
+	xcclinker)
+	  func_append linker_flags " $qarg"
+	  func_append compiler_flags " $qarg"
+	  prev=
+	  func_append compile_command " $qarg"
+	  func_append finalize_command " $qarg"
+	  continue
+	  ;;
+	xcompiler)
+	  func_append compiler_flags " $qarg"
+	  prev=
+	  func_append compile_command " $qarg"
+	  func_append finalize_command " $qarg"
+	  continue
+	  ;;
+	xlinker)
+	  func_append linker_flags " $qarg"
+	  func_append compiler_flags " $wl$qarg"
+	  prev=
+	  func_append compile_command " $wl$qarg"
+	  func_append finalize_command " $wl$qarg"
+	  continue
+	  ;;
+	*)
+	  eval "$prev=\"\$arg\""
+	  prev=
+	  continue
+	  ;;
+	esac
+      fi # test -n "$prev"
+
+      prevarg="$arg"
+
+      case $arg in
+      -all-static)
+	if test -n "$link_static_flag"; then
+	  # See comment for -static flag below, for more details.
+	  func_append compile_command " $link_static_flag"
+	  func_append finalize_command " $link_static_flag"
+	fi
+	continue
+	;;
+
+      -allow-undefined)
+	# FIXME: remove this flag sometime in the future.
+	func_fatal_error "\`-allow-undefined' must not be used because it is the default"
+	;;
+
+      -avoid-version)
+	avoid_version=yes
+	continue
+	;;
+
+      -bindir)
+	prev=bindir
+	continue
+	;;
+
+      -dlopen)
+	prev=dlfiles
+	continue
+	;;
+
+      -dlpreopen)
+	prev=dlprefiles
+	continue
+	;;
+
+      -export-dynamic)
+	export_dynamic=yes
+	continue
+	;;
+
+      -export-symbols | -export-symbols-regex)
+	if test -n "$export_symbols" || test -n "$export_symbols_regex"; then
+	  func_fatal_error "more than one -exported-symbols argument is not allowed"
+	fi
+	if test "X$arg" = "X-export-symbols"; then
+	  prev=expsyms
+	else
+	  prev=expsyms_regex
+	fi
+	continue
+	;;
+
+      -framework)
+	prev=framework
+	continue
+	;;
+
+      -inst-prefix-dir)
+	prev=inst_prefix
+	continue
+	;;
+
+      # The native IRIX linker understands -LANG:*, -LIST:* and -LNO:*
+      # so, if we see these flags be careful not to treat them like -L
+      -L[A-Z][A-Z]*:*)
+	case $with_gcc/$host in
+	no/*-*-irix* | /*-*-irix*)
+	  func_append compile_command " $arg"
+	  func_append finalize_command " $arg"
+	  ;;
+	esac
+	continue
+	;;
+
+      -L*)
+	func_stripname "-L" '' "$arg"
+	if test -z "$func_stripname_result"; then
+	  if test "$#" -gt 0; then
+	    func_fatal_error "require no space between \`-L' and \`$1'"
+	  else
+	    func_fatal_error "need path for \`-L' option"
+	  fi
+	fi
+	func_resolve_sysroot "$func_stripname_result"
+	dir=$func_resolve_sysroot_result
+	# We need an absolute path.
+	case $dir in
+	[\\/]* | [A-Za-z]:[\\/]*) ;;
+	*)
+	  absdir=`cd "$dir" && pwd`
+	  test -z "$absdir" && \
+	    func_fatal_error "cannot determine absolute directory name of \`$dir'"
+	  dir="$absdir"
+	  ;;
+	esac
+	case "$deplibs " in
+	*" -L$dir "* | *" $arg "*)
+	  # Will only happen for absolute or sysroot arguments
+	  ;;
+	*)
+	  # Preserve sysroot, but never include relative directories
+	  case $dir in
+	    [\\/]* | [A-Za-z]:[\\/]* | =*) func_append deplibs " $arg" ;;
+	    *) func_append deplibs " -L$dir" ;;
+	  esac
+	  func_append lib_search_path " $dir"
+	  ;;
+	esac
+	case $host in
+	*-*-cygwin* | *-*-mingw* | *-*-pw32* | *-*-os2* | *-cegcc*)
+	  testbindir=`$ECHO "$dir" | $SED 's*/lib$*/bin*'`
+	  case :$dllsearchpath: in
+	  *":$dir:"*) ;;
+	  ::) dllsearchpath=$dir;;
+	  *) func_append dllsearchpath ":$dir";;
+	  esac
+	  case :$dllsearchpath: in
+	  *":$testbindir:"*) ;;
+	  ::) dllsearchpath=$testbindir;;
+	  *) func_append dllsearchpath ":$testbindir";;
+	  esac
+	  ;;
+	esac
+	continue
+	;;
+
+      -l*)
+	if test "X$arg" = "X-lc" || test "X$arg" = "X-lm"; then
+	  case $host in
+	  *-*-cygwin* | *-*-mingw* | *-*-pw32* | *-*-beos* | *-cegcc* | *-*-haiku*)
+	    # These systems don't actually have a C or math library (as such)
+	    continue
+	    ;;
+	  *-*-os2*)
+	    # These systems don't actually have a C library (as such)
+	    test "X$arg" = "X-lc" && continue
+	    ;;
+	  *-*-openbsd* | *-*-freebsd* | *-*-dragonfly*)
+	    # Do not include libc due to us having libc/libc_r.
+	    test "X$arg" = "X-lc" && continue
+	    ;;
+	  *-*-rhapsody* | *-*-darwin1.[012])
+	    # Rhapsody C and math libraries are in the System framework
+	    func_append deplibs " System.ltframework"
+	    continue
+	    ;;
+	  *-*-sco3.2v5* | *-*-sco5v6*)
+	    # Causes problems with __ctype
+	    test "X$arg" = "X-lc" && continue
+	    ;;
+	  *-*-sysv4.2uw2* | *-*-sysv5* | *-*-unixware* | *-*-OpenUNIX*)
+	    # Compiler inserts libc in the correct place for threads to work
+	    test "X$arg" = "X-lc" && continue
+	    ;;
+	  esac
+	elif test "X$arg" = "X-lc_r"; then
+	 case $host in
+	 *-*-openbsd* | *-*-freebsd* | *-*-dragonfly*)
+	   # Do not include libc_r directly, use -pthread flag.
+	   continue
+	   ;;
+	 esac
+	fi
+	func_append deplibs " $arg"
+	continue
+	;;
+
+      -module)
+	module=yes
+	continue
+	;;
+
+      # Tru64 UNIX uses -model [arg] to determine the layout of C++
+      # classes, name mangling, and exception handling.
+      # Darwin uses the -arch flag to determine output architecture.
+      -model|-arch|-isysroot|--sysroot)
+	func_append compiler_flags " $arg"
+	func_append compile_command " $arg"
+	func_append finalize_command " $arg"
+	prev=xcompiler
+	continue
+	;;
+
+      -mt|-mthreads|-kthread|-Kthread|-pthread|-pthreads|--thread-safe \
+      |-threads|-fopenmp|-openmp|-mp|-xopenmp|-omp|-qsmp=*)
+	func_append compiler_flags " $arg"
+	func_append compile_command " $arg"
+	func_append finalize_command " $arg"
+	case "$new_inherited_linker_flags " in
+	    *" $arg "*) ;;
+	    * ) func_append new_inherited_linker_flags " $arg" ;;
+	esac
+	continue
+	;;
+
+      -multi_module)
+	single_module="${wl}-multi_module"
+	continue
+	;;
+
+      -no-fast-install)
+	fast_install=no
+	continue
+	;;
+
+      -no-install)
+	case $host in
+	*-*-cygwin* | *-*-mingw* | *-*-pw32* | *-*-os2* | *-*-darwin* | *-cegcc*)
+	  # The PATH hackery in wrapper scripts is required on Windows
+	  # and Darwin in order for the loader to find any dlls it needs.
+	  func_warning "\`-no-install' is ignored for $host"
+	  func_warning "assuming \`-no-fast-install' instead"
+	  fast_install=no
+	  ;;
+	*) no_install=yes ;;
+	esac
+	continue
+	;;
+
+      -no-undefined)
+	allow_undefined=no
+	continue
+	;;
+
+      -objectlist)
+	prev=objectlist
+	continue
+	;;
+
+      -o) prev=output ;;
+
+      -precious-files-regex)
+	prev=precious_regex
+	continue
+	;;
+
+      -release)
+	prev=release
+	continue
+	;;
+
+      -rpath)
+	prev=rpath
+	continue
+	;;
+
+      -R)
+	prev=xrpath
+	continue
+	;;
+
+      -R*)
+	func_stripname '-R' '' "$arg"
+	dir=$func_stripname_result
+	# We need an absolute path.
+	case $dir in
+	[\\/]* | [A-Za-z]:[\\/]*) ;;
+	=*)
+	  func_stripname '=' '' "$dir"
+	  dir=$lt_sysroot$func_stripname_result
+	  ;;
+	*)
+	  func_fatal_error "only absolute run-paths are allowed"
+	  ;;
+	esac
+	case "$xrpath " in
+	*" $dir "*) ;;
+	*) func_append xrpath " $dir" ;;
+	esac
+	continue
+	;;
+
+      -shared)
+	# The effects of -shared are defined in a previous loop.
+	continue
+	;;
+
+      -shrext)
+	prev=shrext
+	continue
+	;;
+
+      -static | -static-libtool-libs)
+	# The effects of -static are defined in a previous loop.
+	# We used to do the same as -all-static on platforms that
+	# didn't have a PIC flag, but the assumption that the effects
+	# would be equivalent was wrong.  It would break on at least
+	# Digital Unix and AIX.
+	continue
+	;;
+
+      -thread-safe)
+	thread_safe=yes
+	continue
+	;;
+
+      -version-info)
+	prev=vinfo
+	continue
+	;;
+
+      -version-number)
+	prev=vinfo
+	vinfo_number=yes
+	continue
+	;;
+
+      -weak)
+        prev=weak
+	continue
+	;;
+
+      -Wc,*)
+	func_stripname '-Wc,' '' "$arg"
+	args=$func_stripname_result
+	arg=
+	save_ifs="$IFS"; IFS=','
+	for flag in $args; do
+	  IFS="$save_ifs"
+          func_quote_for_eval "$flag"
+	  func_append arg " $func_quote_for_eval_result"
+	  func_append compiler_flags " $func_quote_for_eval_result"
+	done
+	IFS="$save_ifs"
+	func_stripname ' ' '' "$arg"
+	arg=$func_stripname_result
+	;;
+
+      -Wl,*)
+	func_stripname '-Wl,' '' "$arg"
+	args=$func_stripname_result
+	arg=
+	save_ifs="$IFS"; IFS=','
+	for flag in $args; do
+	  IFS="$save_ifs"
+          func_quote_for_eval "$flag"
+	  func_append arg " $wl$func_quote_for_eval_result"
+	  func_append compiler_flags " $wl$func_quote_for_eval_result"
+	  func_append linker_flags " $func_quote_for_eval_result"
+	done
+	IFS="$save_ifs"
+	func_stripname ' ' '' "$arg"
+	arg=$func_stripname_result
+	;;
+
+      -Xcompiler)
+	prev=xcompiler
+	continue
+	;;
+
+      -Xlinker)
+	prev=xlinker
+	continue
+	;;
+
+      -XCClinker)
+	prev=xcclinker
+	continue
+	;;
+
+      # -msg_* for osf cc
+      -msg_*)
+	func_quote_for_eval "$arg"
+	arg="$func_quote_for_eval_result"
+	;;
+
+      # Flags to be passed through unchanged, with rationale:
+      # -64, -mips[0-9]      enable 64-bit mode for the SGI compiler
+      # -r[0-9][0-9]*        specify processor for the SGI compiler
+      # -xarch=*, -xtarget=* enable 64-bit mode for the Sun compiler
+      # +DA*, +DD*           enable 64-bit mode for the HP compiler
+      # -q*                  compiler args for the IBM compiler
+      # -m*, -t[45]*, -txscale* architecture-specific flags for GCC
+      # -F/path              path to uninstalled frameworks, gcc on darwin
+      # -p, -pg, --coverage, -fprofile-*  profiling flags for GCC
+      # @file                GCC response files
+      # -tp=*                Portland pgcc target processor selection
+      # --sysroot=*          for sysroot support
+      # -O*, -flto*, -fwhopr*, -fuse-linker-plugin GCC link-time optimization
+      -64|-mips[0-9]|-r[0-9][0-9]*|-xarch=*|-xtarget=*|+DA*|+DD*|-q*|-m*| \
+      -t[45]*|-txscale*|-p|-pg|--coverage|-fprofile-*|-F*|@*|-tp=*|--sysroot=*| \
+      -O*|-flto*|-fwhopr*|-fuse-linker-plugin)
+        func_quote_for_eval "$arg"
+	arg="$func_quote_for_eval_result"
+        func_append compile_command " $arg"
+        func_append finalize_command " $arg"
+        func_append compiler_flags " $arg"
+        continue
+        ;;
+
+      # Some other compiler flag.
+      -* | +*)
+        func_quote_for_eval "$arg"
+	arg="$func_quote_for_eval_result"
+	;;
+
+      *.$objext)
+	# A standard object.
+	func_append objs " $arg"
+	;;
+
+      *.lo)
+	# A libtool-controlled object.
+
+	# Check to see that this really is a libtool object.
+	if func_lalib_unsafe_p "$arg"; then
+	  pic_object=
+	  non_pic_object=
+
+	  # Read the .lo file
+	  func_source "$arg"
+
+	  if test -z "$pic_object" ||
+	     test -z "$non_pic_object" ||
+	     test "$pic_object" = none &&
+	     test "$non_pic_object" = none; then
+	    func_fatal_error "cannot find name of object for \`$arg'"
+	  fi
+
+	  # Extract subdirectory from the argument.
+	  func_dirname "$arg" "/" ""
+	  xdir="$func_dirname_result"
+
+	  if test "$pic_object" != none; then
+	    # Prepend the subdirectory the object is found in.
+	    pic_object="$xdir$pic_object"
+
+	    if test "$prev" = dlfiles; then
+	      if test "$build_libtool_libs" = yes && test "$dlopen_support" = yes; then
+		func_append dlfiles " $pic_object"
+		prev=
+		continue
+	      else
+		# If libtool objects are unsupported, then we need to preload.
+		prev=dlprefiles
+	      fi
+	    fi
+
+	    # CHECK ME:  I think I busted this.  -Ossama
+	    if test "$prev" = dlprefiles; then
+	      # Preload the old-style object.
+	      func_append dlprefiles " $pic_object"
+	      prev=
+	    fi
+
+	    # A PIC object.
+	    func_append libobjs " $pic_object"
+	    arg="$pic_object"
+	  fi
+
+	  # Non-PIC object.
+	  if test "$non_pic_object" != none; then
+	    # Prepend the subdirectory the object is found in.
+	    non_pic_object="$xdir$non_pic_object"
+
+	    # A standard non-PIC object
+	    func_append non_pic_objects " $non_pic_object"
+	    if test -z "$pic_object" || test "$pic_object" = none ; then
+	      arg="$non_pic_object"
+	    fi
+	  else
+	    # If the PIC object exists, use it instead.
+	    # $xdir was prepended to $pic_object above.
+	    non_pic_object="$pic_object"
+	    func_append non_pic_objects " $non_pic_object"
+	  fi
+	else
+	  # Only an error if not doing a dry-run.
+	  if $opt_dry_run; then
+	    # Extract subdirectory from the argument.
+	    func_dirname "$arg" "/" ""
+	    xdir="$func_dirname_result"
+
+	    func_lo2o "$arg"
+	    pic_object=$xdir$objdir/$func_lo2o_result
+	    non_pic_object=$xdir$func_lo2o_result
+	    func_append libobjs " $pic_object"
+	    func_append non_pic_objects " $non_pic_object"
+	  else
+	    func_fatal_error "\`$arg' is not a valid libtool object"
+	  fi
+	fi
+	;;
+
+      *.$libext)
+	# An archive.
+	func_append deplibs " $arg"
+	func_append old_deplibs " $arg"
+	continue
+	;;
+
+      *.la)
+	# A libtool-controlled library.
+
+	func_resolve_sysroot "$arg"
+	if test "$prev" = dlfiles; then
+	  # This library was specified with -dlopen.
+	  func_append dlfiles " $func_resolve_sysroot_result"
+	  prev=
+	elif test "$prev" = dlprefiles; then
+	  # The library was specified with -dlpreopen.
+	  func_append dlprefiles " $func_resolve_sysroot_result"
+	  prev=
+	else
+	  func_append deplibs " $func_resolve_sysroot_result"
+	fi
+	continue
+	;;
+
+      # Some other compiler argument.
+      *)
+	# Unknown arguments in both finalize_command and compile_command need
+	# to be aesthetically quoted because they are evaled later.
+	func_quote_for_eval "$arg"
+	arg="$func_quote_for_eval_result"
+	;;
+      esac # arg
+
+      # Now actually substitute the argument into the commands.
+      if test -n "$arg"; then
+	func_append compile_command " $arg"
+	func_append finalize_command " $arg"
+      fi
+    done # argument parsing loop
+
+    test -n "$prev" && \
+      func_fatal_help "the \`$prevarg' option requires an argument"
+
+    if test "$export_dynamic" = yes && test -n "$export_dynamic_flag_spec"; then
+      eval arg=\"$export_dynamic_flag_spec\"
+      func_append compile_command " $arg"
+      func_append finalize_command " $arg"
+    fi
+
+    oldlibs=
+    # calculate the name of the file, without its directory
+    func_basename "$output"
+    outputname="$func_basename_result"
+    libobjs_save="$libobjs"
+
+    if test -n "$shlibpath_var"; then
+      # get the directories listed in $shlibpath_var
+      eval shlib_search_path=\`\$ECHO \"\${$shlibpath_var}\" \| \$SED \'s/:/ /g\'\`
+    else
+      shlib_search_path=
+    fi
+    eval sys_lib_search_path=\"$sys_lib_search_path_spec\"
+    eval sys_lib_dlsearch_path=\"$sys_lib_dlsearch_path_spec\"
+
+    func_dirname "$output" "/" ""
+    output_objdir="$func_dirname_result$objdir"
+    func_to_tool_file "$output_objdir/"
+    tool_output_objdir=$func_to_tool_file_result
+    # Create the object directory.
+    func_mkdir_p "$output_objdir"
+
+    # Determine the type of output
+    case $output in
+    "")
+      func_fatal_help "you must specify an output file"
+      ;;
+    *.$libext) linkmode=oldlib ;;
+    *.lo | *.$objext) linkmode=obj ;;
+    *.la) linkmode=lib ;;
+    *) linkmode=prog ;; # Anything else should be a program.
+    esac
+
+    specialdeplibs=
+
+    libs=
+    # Find all interdependent deplibs by searching for libraries
+    # that are linked more than once (e.g. -la -lb -la)
+    for deplib in $deplibs; do
+      if $opt_preserve_dup_deps ; then
+	case "$libs " in
+	*" $deplib "*) func_append specialdeplibs " $deplib" ;;
+	esac
+      fi
+      func_append libs " $deplib"
+    done
+
+    if test "$linkmode" = lib; then
+      libs="$predeps $libs $compiler_lib_search_path $postdeps"
+
+      # Compute libraries that are listed more than once in $predeps
+      # $postdeps and mark them as special (i.e., whose duplicates are
+      # not to be eliminated).
+      pre_post_deps=
+      if $opt_duplicate_compiler_generated_deps; then
+	for pre_post_dep in $predeps $postdeps; do
+	  case "$pre_post_deps " in
+	  *" $pre_post_dep "*) func_append specialdeplibs " $pre_post_deps" ;;
+	  esac
+	  func_append pre_post_deps " $pre_post_dep"
+	done
+      fi
+      pre_post_deps=
+    fi
+
+    deplibs=
+    newdependency_libs=
+    newlib_search_path=
+    need_relink=no # whether we're linking any uninstalled libtool libraries
+    notinst_deplibs= # not-installed libtool libraries
+    notinst_path= # paths that contain not-installed libtool libraries
+
+    case $linkmode in
+    lib)
+	passes="conv dlpreopen link"
+	for file in $dlfiles $dlprefiles; do
+	  case $file in
+	  *.la) ;;
+	  *)
+	    func_fatal_help "libraries can \`-dlopen' only libtool libraries: $file"
+	    ;;
+	  esac
+	done
+	;;
+    prog)
+	compile_deplibs=
+	finalize_deplibs=
+	alldeplibs=no
+	newdlfiles=
+	newdlprefiles=
+	passes="conv scan dlopen dlpreopen link"
+	;;
+    *)  passes="conv"
+	;;
+    esac
+
+    for pass in $passes; do
+      # The preopen pass in lib mode reverses $deplibs; put it back here
+      # so that -L comes before libs that need it for instance...
+      if test "$linkmode,$pass" = "lib,link"; then
+	## FIXME: Find the place where the list is rebuilt in the wrong
+	##        order, and fix it there properly
+        tmp_deplibs=
+	for deplib in $deplibs; do
+	  tmp_deplibs="$deplib $tmp_deplibs"
+	done
+	deplibs="$tmp_deplibs"
+      fi
+
+      if test "$linkmode,$pass" = "lib,link" ||
+	 test "$linkmode,$pass" = "prog,scan"; then
+	libs="$deplibs"
+	deplibs=
+      fi
+      if test "$linkmode" = prog; then
+	case $pass in
+	dlopen) libs="$dlfiles" ;;
+	dlpreopen) libs="$dlprefiles" ;;
+	link)
+	  libs="$deplibs %DEPLIBS%"
+	  test "X$link_all_deplibs" != Xno && libs="$libs $dependency_libs"
+	  ;;
+	esac
+      fi
+      if test "$linkmode,$pass" = "lib,dlpreopen"; then
+	# Collect and forward deplibs of preopened libtool libs
+	for lib in $dlprefiles; do
+	  # Ignore non-libtool-libs
+	  dependency_libs=
+	  func_resolve_sysroot "$lib"
+	  case $lib in
+	  *.la)	func_source "$func_resolve_sysroot_result" ;;
+	  esac
+
+	  # Collect preopened libtool deplibs, except any this library
+	  # has declared as weak libs
+	  for deplib in $dependency_libs; do
+	    func_basename "$deplib"
+            deplib_base=$func_basename_result
+	    case " $weak_libs " in
+	    *" $deplib_base "*) ;;
+	    *) func_append deplibs " $deplib" ;;
+	    esac
+	  done
+	done
+	libs="$dlprefiles"
+      fi
+      if test "$pass" = dlopen; then
+	# Collect dlpreopened libraries
+	save_deplibs="$deplibs"
+	deplibs=
+      fi
+
+      for deplib in $libs; do
+	lib=
+	found=no
+	case $deplib in
+	-mt|-mthreads|-kthread|-Kthread|-pthread|-pthreads|--thread-safe \
+        |-threads|-fopenmp|-openmp|-mp|-xopenmp|-omp|-qsmp=*)
+	  if test "$linkmode,$pass" = "prog,link"; then
+	    compile_deplibs="$deplib $compile_deplibs"
+	    finalize_deplibs="$deplib $finalize_deplibs"
+	  else
+	    func_append compiler_flags " $deplib"
+	    if test "$linkmode" = lib ; then
+		case "$new_inherited_linker_flags " in
+		    *" $deplib "*) ;;
+		    * ) func_append new_inherited_linker_flags " $deplib" ;;
+		esac
+	    fi
+	  fi
+	  continue
+	  ;;
+	-l*)
+	  if test "$linkmode" != lib && test "$linkmode" != prog; then
+	    func_warning "\`-l' is ignored for archives/objects"
+	    continue
+	  fi
+	  func_stripname '-l' '' "$deplib"
+	  name=$func_stripname_result
+	  if test "$linkmode" = lib; then
+	    searchdirs="$newlib_search_path $lib_search_path $compiler_lib_search_dirs $sys_lib_search_path $shlib_search_path"
+	  else
+	    searchdirs="$newlib_search_path $lib_search_path $sys_lib_search_path $shlib_search_path"
+	  fi
+	  for searchdir in $searchdirs; do
+	    for search_ext in .la $std_shrext .so .a; do
+	      # Search the libtool library
+	      lib="$searchdir/lib${name}${search_ext}"
+	      if test -f "$lib"; then
+		if test "$search_ext" = ".la"; then
+		  found=yes
+		else
+		  found=no
+		fi
+		break 2
+	      fi
+	    done
+	  done
+	  if test "$found" != yes; then
+	    # deplib doesn't seem to be a libtool library
+	    if test "$linkmode,$pass" = "prog,link"; then
+	      compile_deplibs="$deplib $compile_deplibs"
+	      finalize_deplibs="$deplib $finalize_deplibs"
+	    else
+	      deplibs="$deplib $deplibs"
+	      test "$linkmode" = lib && newdependency_libs="$deplib $newdependency_libs"
+	    fi
+	    continue
+	  else # deplib is a libtool library
+	    # If $allow_libtool_libs_with_static_runtimes && $deplib is a stdlib,
+	    # We need to do some special things here, and not later.
+	    if test "X$allow_libtool_libs_with_static_runtimes" = "Xyes" ; then
+	      case " $predeps $postdeps " in
+	      *" $deplib "*)
+		if func_lalib_p "$lib"; then
+		  library_names=
+		  old_library=
+		  func_source "$lib"
+		  for l in $old_library $library_names; do
+		    ll="$l"
+		  done
+		  if test "X$ll" = "X$old_library" ; then # only static version available
+		    found=no
+		    func_dirname "$lib" "" "."
+		    ladir="$func_dirname_result"
+		    lib=$ladir/$old_library
+		    if test "$linkmode,$pass" = "prog,link"; then
+		      compile_deplibs="$deplib $compile_deplibs"
+		      finalize_deplibs="$deplib $finalize_deplibs"
+		    else
+		      deplibs="$deplib $deplibs"
+		      test "$linkmode" = lib && newdependency_libs="$deplib $newdependency_libs"
+		    fi
+		    continue
+		  fi
+		fi
+		;;
+	      *) ;;
+	      esac
+	    fi
+	  fi
+	  ;; # -l
+	*.ltframework)
+	  if test "$linkmode,$pass" = "prog,link"; then
+	    compile_deplibs="$deplib $compile_deplibs"
+	    finalize_deplibs="$deplib $finalize_deplibs"
+	  else
+	    deplibs="$deplib $deplibs"
+	    if test "$linkmode" = lib ; then
+		case "$new_inherited_linker_flags " in
+		    *" $deplib "*) ;;
+		    * ) func_append new_inherited_linker_flags " $deplib" ;;
+		esac
+	    fi
+	  fi
+	  continue
+	  ;;
+	-L*)
+	  case $linkmode in
+	  lib)
+	    deplibs="$deplib $deplibs"
+	    test "$pass" = conv && continue
+	    newdependency_libs="$deplib $newdependency_libs"
+	    func_stripname '-L' '' "$deplib"
+	    func_resolve_sysroot "$func_stripname_result"
+	    func_append newlib_search_path " $func_resolve_sysroot_result"
+	    ;;
+	  prog)
+	    if test "$pass" = conv; then
+	      deplibs="$deplib $deplibs"
+	      continue
+	    fi
+	    if test "$pass" = scan; then
+	      deplibs="$deplib $deplibs"
+	    else
+	      compile_deplibs="$deplib $compile_deplibs"
+	      finalize_deplibs="$deplib $finalize_deplibs"
+	    fi
+	    func_stripname '-L' '' "$deplib"
+	    func_resolve_sysroot "$func_stripname_result"
+	    func_append newlib_search_path " $func_resolve_sysroot_result"
+	    ;;
+	  *)
+	    func_warning "\`-L' is ignored for archives/objects"
+	    ;;
+	  esac # linkmode
+	  continue
+	  ;; # -L
+	-R*)
+	  if test "$pass" = link; then
+	    func_stripname '-R' '' "$deplib"
+	    func_resolve_sysroot "$func_stripname_result"
+	    dir=$func_resolve_sysroot_result
+	    # Make sure the xrpath contains only unique directories.
+	    case "$xrpath " in
+	    *" $dir "*) ;;
+	    *) func_append xrpath " $dir" ;;
+	    esac
+	  fi
+	  deplibs="$deplib $deplibs"
+	  continue
+	  ;;
+	*.la)
+	  func_resolve_sysroot "$deplib"
+	  lib=$func_resolve_sysroot_result
+	  ;;
+	*.$libext)
+	  if test "$pass" = conv; then
+	    deplibs="$deplib $deplibs"
+	    continue
+	  fi
+	  case $linkmode in
+	  lib)
+	    # Linking convenience modules into shared libraries is allowed,
+	    # but linking other static libraries is non-portable.
+	    case " $dlpreconveniencelibs " in
+	    *" $deplib "*) ;;
+	    *)
+	      valid_a_lib=no
+	      case $deplibs_check_method in
+		match_pattern*)
+		  set dummy $deplibs_check_method; shift
+		  match_pattern_regex=`expr "$deplibs_check_method" : "$1 \(.*\)"`
+		  if eval "\$ECHO \"$deplib\"" 2>/dev/null | $SED 10q \
+		    | $EGREP "$match_pattern_regex" > /dev/null; then
+		    valid_a_lib=yes
+		  fi
+		;;
+		pass_all)
+		  valid_a_lib=yes
+		;;
+	      esac
+	      if test "$valid_a_lib" != yes; then
+		echo
+		$ECHO "*** Warning: Trying to link with static lib archive $deplib."
+		echo "*** I have the capability to make that library automatically link in when"
+		echo "*** you link to this library.  But I can only do this if you have a"
+		echo "*** shared version of the library, which you do not appear to have"
+		echo "*** because the file extensions .$libext of this argument makes me believe"
+		echo "*** that it is just a static archive that I should not use here."
+	      else
+		echo
+		$ECHO "*** Warning: Linking the shared library $output against the"
+		$ECHO "*** static library $deplib is not portable!"
+		deplibs="$deplib $deplibs"
+	      fi
+	      ;;
+	    esac
+	    continue
+	    ;;
+	  prog)
+	    if test "$pass" != link; then
+	      deplibs="$deplib $deplibs"
+	    else
+	      compile_deplibs="$deplib $compile_deplibs"
+	      finalize_deplibs="$deplib $finalize_deplibs"
+	    fi
+	    continue
+	    ;;
+	  esac # linkmode
+	  ;; # *.$libext
+	*.lo | *.$objext)
+	  if test "$pass" = conv; then
+	    deplibs="$deplib $deplibs"
+	  elif test "$linkmode" = prog; then
+	    if test "$pass" = dlpreopen || test "$dlopen_support" != yes || test "$build_libtool_libs" = no; then
+	      # If there is no dlopen support or we're linking statically,
+	      # we need to preload.
+	      func_append newdlprefiles " $deplib"
+	      compile_deplibs="$deplib $compile_deplibs"
+	      finalize_deplibs="$deplib $finalize_deplibs"
+	    else
+	      func_append newdlfiles " $deplib"
+	    fi
+	  fi
+	  continue
+	  ;;
+	%DEPLIBS%)
+	  alldeplibs=yes
+	  continue
+	  ;;
+	esac # case $deplib
+
+	if test "$found" = yes || test -f "$lib"; then :
+	else
+	  func_fatal_error "cannot find the library \`$lib' or unhandled argument \`$deplib'"
+	fi
+
+	# Check to see that this really is a libtool archive.
+	func_lalib_unsafe_p "$lib" \
+	  || func_fatal_error "\`$lib' is not a valid libtool archive"
+
+	func_dirname "$lib" "" "."
+	ladir="$func_dirname_result"
+
+	dlname=
+	dlopen=
+	dlpreopen=
+	libdir=
+	library_names=
+	old_library=
+	inherited_linker_flags=
+	# If the library was installed with an old release of libtool,
+	# it will not redefine variables installed, or shouldnotlink
+	installed=yes
+	shouldnotlink=no
+	avoidtemprpath=
+
+
+	# Read the .la file
+	func_source "$lib"
+
+	# Convert "-framework foo" to "foo.ltframework"
+	if test -n "$inherited_linker_flags"; then
+	  tmp_inherited_linker_flags=`$ECHO "$inherited_linker_flags" | $SED 's/-framework \([^ $]*\)/\1.ltframework/g'`
+	  for tmp_inherited_linker_flag in $tmp_inherited_linker_flags; do
+	    case " $new_inherited_linker_flags " in
+	      *" $tmp_inherited_linker_flag "*) ;;
+	      *) func_append new_inherited_linker_flags " $tmp_inherited_linker_flag";;
+	    esac
+	  done
+	fi
+	dependency_libs=`$ECHO " $dependency_libs" | $SED 's% \([^ $]*\).ltframework% -framework \1%g'`
+	if test "$linkmode,$pass" = "lib,link" ||
+	   test "$linkmode,$pass" = "prog,scan" ||
+	   { test "$linkmode" != prog && test "$linkmode" != lib; }; then
+	  test -n "$dlopen" && func_append dlfiles " $dlopen"
+	  test -n "$dlpreopen" && func_append dlprefiles " $dlpreopen"
+	fi
+
+	if test "$pass" = conv; then
+	  # Only check for convenience libraries
+	  deplibs="$lib $deplibs"
+	  if test -z "$libdir"; then
+	    if test -z "$old_library"; then
+	      func_fatal_error "cannot find name of link library for \`$lib'"
+	    fi
+	    # It is a libtool convenience library, so add in its objects.
+	    func_append convenience " $ladir/$objdir/$old_library"
+	    func_append old_convenience " $ladir/$objdir/$old_library"
+	    tmp_libs=
+	    for deplib in $dependency_libs; do
+	      deplibs="$deplib $deplibs"
+	      if $opt_preserve_dup_deps ; then
+		case "$tmp_libs " in
+		*" $deplib "*) func_append specialdeplibs " $deplib" ;;
+		esac
+	      fi
+	      func_append tmp_libs " $deplib"
+	    done
+	  elif test "$linkmode" != prog && test "$linkmode" != lib; then
+	    func_fatal_error "\`$lib' is not a convenience library"
+	  fi
+	  continue
+	fi # $pass = conv
+
+
+	# Get the name of the library we link against.
+	linklib=
+	if test -n "$old_library" &&
+	   { test "$prefer_static_libs" = yes ||
+	     test "$prefer_static_libs,$installed" = "built,no"; }; then
+	  linklib=$old_library
+	else
+	  for l in $old_library $library_names; do
+	    linklib="$l"
+	  done
+	fi
+	if test -z "$linklib"; then
+	  func_fatal_error "cannot find name of link library for \`$lib'"
+	fi
+
+	# This library was specified with -dlopen.
+	if test "$pass" = dlopen; then
+	  if test -z "$libdir"; then
+	    func_fatal_error "cannot -dlopen a convenience library: \`$lib'"
+	  fi
+	  if test -z "$dlname" ||
+	     test "$dlopen_support" != yes ||
+	     test "$build_libtool_libs" = no; then
+	    # If there is no dlname, no dlopen support or we're linking
+	    # statically, we need to preload.  We also need to preload any
+	    # dependent libraries so libltdl's deplib preloader doesn't
+	    # bomb out in the load deplibs phase.
+	    func_append dlprefiles " $lib $dependency_libs"
+	  else
+	    func_append newdlfiles " $lib"
+	  fi
+	  continue
+	fi # $pass = dlopen
+
+	# We need an absolute path.
+	case $ladir in
+	[\\/]* | [A-Za-z]:[\\/]*) abs_ladir="$ladir" ;;
+	*)
+	  abs_ladir=`cd "$ladir" && pwd`
+	  if test -z "$abs_ladir"; then
+	    func_warning "cannot determine absolute directory name of \`$ladir'"
+	    func_warning "passing it literally to the linker, although it might fail"
+	    abs_ladir="$ladir"
+	  fi
+	  ;;
+	esac
+	func_basename "$lib"
+	laname="$func_basename_result"
+
+	# Find the relevant object directory and library name.
+	if test "X$installed" = Xyes; then
+	  if test ! -f "$lt_sysroot$libdir/$linklib" && test -f "$abs_ladir/$linklib"; then
+	    func_warning "library \`$lib' was moved."
+	    dir="$ladir"
+	    absdir="$abs_ladir"
+	    libdir="$abs_ladir"
+	  else
+	    dir="$lt_sysroot$libdir"
+	    absdir="$lt_sysroot$libdir"
+	  fi
+	  test "X$hardcode_automatic" = Xyes && avoidtemprpath=yes
+	else
+	  if test ! -f "$ladir/$objdir/$linklib" && test -f "$abs_ladir/$linklib"; then
+	    dir="$ladir"
+	    absdir="$abs_ladir"
+	    # Remove this search path later
+	    func_append notinst_path " $abs_ladir"
+	  else
+	    dir="$ladir/$objdir"
+	    absdir="$abs_ladir/$objdir"
+	    # Remove this search path later
+	    func_append notinst_path " $abs_ladir"
+	  fi
+	fi # $installed = yes
+	func_stripname 'lib' '.la' "$laname"
+	name=$func_stripname_result
+
+	# This library was specified with -dlpreopen.
+	if test "$pass" = dlpreopen; then
+	  if test -z "$libdir" && test "$linkmode" = prog; then
+	    func_fatal_error "only libraries may -dlpreopen a convenience library: \`$lib'"
+	  fi
+	  case "$host" in
+	    # special handling for platforms with PE-DLLs.
+	    *cygwin* | *mingw* | *cegcc* )
+	      # Linker will automatically link against shared library if both
+	      # static and shared are present.  Therefore, ensure we extract
+	      # symbols from the import library if a shared library is present
+	      # (otherwise, the dlopen module name will be incorrect).  We do
+	      # this by putting the import library name into $newdlprefiles.
+	      # We recover the dlopen module name by 'saving' the la file
+	      # name in a special purpose variable, and (later) extracting the
+	      # dlname from the la file.
+	      if test -n "$dlname"; then
+	        func_tr_sh "$dir/$linklib"
+	        eval "libfile_$func_tr_sh_result=\$abs_ladir/\$laname"
+	        func_append newdlprefiles " $dir/$linklib"
+	      else
+	        func_append newdlprefiles " $dir/$old_library"
+	        # Keep a list of preopened convenience libraries to check
+	        # that they are being used correctly in the link pass.
+	        test -z "$libdir" && \
+	          func_append dlpreconveniencelibs " $dir/$old_library"
+	      fi
+	    ;;
+	    * )
+	      # Prefer using a static library (so that no silly _DYNAMIC symbols
+	      # are required to link).
+	      if test -n "$old_library"; then
+	        func_append newdlprefiles " $dir/$old_library"
+	        # Keep a list of preopened convenience libraries to check
+	        # that they are being used correctly in the link pass.
+	        test -z "$libdir" && \
+	          func_append dlpreconveniencelibs " $dir/$old_library"
+	      # Otherwise, use the dlname, so that lt_dlopen finds it.
+	      elif test -n "$dlname"; then
+	        func_append newdlprefiles " $dir/$dlname"
+	      else
+	        func_append newdlprefiles " $dir/$linklib"
+	      fi
+	    ;;
+	  esac
+	fi # $pass = dlpreopen
+
+	if test -z "$libdir"; then
+	  # Link the convenience library
+	  if test "$linkmode" = lib; then
+	    deplibs="$dir/$old_library $deplibs"
+	  elif test "$linkmode,$pass" = "prog,link"; then
+	    compile_deplibs="$dir/$old_library $compile_deplibs"
+	    finalize_deplibs="$dir/$old_library $finalize_deplibs"
+	  else
+	    deplibs="$lib $deplibs" # used for prog,scan pass
+	  fi
+	  continue
+	fi
+
+
+	if test "$linkmode" = prog && test "$pass" != link; then
+	  func_append newlib_search_path " $ladir"
+	  deplibs="$lib $deplibs"
+
+	  linkalldeplibs=no
+	  if test "$link_all_deplibs" != no || test -z "$library_names" ||
+	     test "$build_libtool_libs" = no; then
+	    linkalldeplibs=yes
+	  fi
+
+	  tmp_libs=
+	  for deplib in $dependency_libs; do
+	    case $deplib in
+	    -L*) func_stripname '-L' '' "$deplib"
+	         func_resolve_sysroot "$func_stripname_result"
+	         func_append newlib_search_path " $func_resolve_sysroot_result"
+		 ;;
+	    esac
+	    # Need to link against all dependency_libs?
+	    if test "$linkalldeplibs" = yes; then
+	      deplibs="$deplib $deplibs"
+	    else
+	      # Need to hardcode shared library paths
+	      # or/and link against static libraries
+	      newdependency_libs="$deplib $newdependency_libs"
+	    fi
+	    if $opt_preserve_dup_deps ; then
+	      case "$tmp_libs " in
+	      *" $deplib "*) func_append specialdeplibs " $deplib" ;;
+	      esac
+	    fi
+	    func_append tmp_libs " $deplib"
+	  done # for deplib
+	  continue
+	fi # $linkmode = prog...
+
+	if test "$linkmode,$pass" = "prog,link"; then
+	  if test -n "$library_names" &&
+	     { { test "$prefer_static_libs" = no ||
+	         test "$prefer_static_libs,$installed" = "built,yes"; } ||
+	       test -z "$old_library"; }; then
+	    # We need to hardcode the library path
+	    if test -n "$shlibpath_var" && test -z "$avoidtemprpath" ; then
+	      # Make sure the rpath contains only unique directories.
+	      case "$temp_rpath:" in
+	      *"$absdir:"*) ;;
+	      *) func_append temp_rpath "$absdir:" ;;
+	      esac
+	    fi
+
+	    # Hardcode the library path.
+	    # Skip directories that are in the system default run-time
+	    # search path.
+	    case " $sys_lib_dlsearch_path " in
+	    *" $absdir "*) ;;
+	    *)
+	      case "$compile_rpath " in
+	      *" $absdir "*) ;;
+	      *) func_append compile_rpath " $absdir" ;;
+	      esac
+	      ;;
+	    esac
+	    case " $sys_lib_dlsearch_path " in
+	    *" $libdir "*) ;;
+	    *)
+	      case "$finalize_rpath " in
+	      *" $libdir "*) ;;
+	      *) func_append finalize_rpath " $libdir" ;;
+	      esac
+	      ;;
+	    esac
+	  fi # $linkmode,$pass = prog,link...
+
+	  if test "$alldeplibs" = yes &&
+	     { test "$deplibs_check_method" = pass_all ||
+	       { test "$build_libtool_libs" = yes &&
+		 test -n "$library_names"; }; }; then
+	    # We only need to search for static libraries
+	    continue
+	  fi
+	fi
+
+	link_static=no # Whether the deplib will be linked statically
+	use_static_libs=$prefer_static_libs
+	if test "$use_static_libs" = built && test "$installed" = yes; then
+	  use_static_libs=no
+	fi
+	if test -n "$library_names" &&
+	   { test "$use_static_libs" = no || test -z "$old_library"; }; then
+	  case $host in
+	  *cygwin* | *mingw* | *cegcc*)
+	      # No point in relinking DLLs because paths are not encoded
+	      func_append notinst_deplibs " $lib"
+	      need_relink=no
+	    ;;
+	  *)
+	    if test "$installed" = no; then
+	      func_append notinst_deplibs " $lib"
+	      need_relink=yes
+	    fi
+	    ;;
+	  esac
+	  # This is a shared library
+
+	  # Warn about portability, can't link against -module's on some
+	  # systems (darwin).  Don't bleat about dlopened modules though!
+	  dlopenmodule=""
+	  for dlpremoduletest in $dlprefiles; do
+	    if test "X$dlpremoduletest" = "X$lib"; then
+	      dlopenmodule="$dlpremoduletest"
+	      break
+	    fi
+	  done
+	  if test -z "$dlopenmodule" && test "$shouldnotlink" = yes && test "$pass" = link; then
+	    echo
+	    if test "$linkmode" = prog; then
+	      $ECHO "*** Warning: Linking the executable $output against the loadable module"
+	    else
+	      $ECHO "*** Warning: Linking the shared library $output against the loadable module"
+	    fi
+	    $ECHO "*** $linklib is not portable!"
+	  fi
+	  if test "$linkmode" = lib &&
+	     test "$hardcode_into_libs" = yes; then
+	    # Hardcode the library path.
+	    # Skip directories that are in the system default run-time
+	    # search path.
+	    case " $sys_lib_dlsearch_path " in
+	    *" $absdir "*) ;;
+	    *)
+	      case "$compile_rpath " in
+	      *" $absdir "*) ;;
+	      *) func_append compile_rpath " $absdir" ;;
+	      esac
+	      ;;
+	    esac
+	    case " $sys_lib_dlsearch_path " in
+	    *" $libdir "*) ;;
+	    *)
+	      case "$finalize_rpath " in
+	      *" $libdir "*) ;;
+	      *) func_append finalize_rpath " $libdir" ;;
+	      esac
+	      ;;
+	    esac
+	  fi
+
+	  if test -n "$old_archive_from_expsyms_cmds"; then
+	    # figure out the soname
+	    set dummy $library_names
+	    shift
+	    realname="$1"
+	    shift
+	    libname=`eval "\\$ECHO \"$libname_spec\""`
+	    # use dlname if we got it. it's perfectly good, no?
+	    if test -n "$dlname"; then
+	      soname="$dlname"
+	    elif test -n "$soname_spec"; then
+	      # bleh windows
+	      case $host in
+	      *cygwin* | mingw* | *cegcc*)
+	        func_arith $current - $age
+		major=$func_arith_result
+		versuffix="-$major"
+		;;
+	      esac
+	      eval soname=\"$soname_spec\"
+	    else
+	      soname="$realname"
+	    fi
+
+	    # Make a new name for the extract_expsyms_cmds to use
+	    soroot="$soname"
+	    func_basename "$soroot"
+	    soname="$func_basename_result"
+	    func_stripname 'lib' '.dll' "$soname"
+	    newlib=libimp-$func_stripname_result.a
+
+	    # If the library has no export list, then create one now
+	    if test -f "$output_objdir/$soname-def"; then :
+	    else
+	      func_verbose "extracting exported symbol list from \`$soname'"
+	      func_execute_cmds "$extract_expsyms_cmds" 'exit $?'
+	    fi
+
+	    # Create $newlib
+	    if test -f "$output_objdir/$newlib"; then :; else
+	      func_verbose "generating import library for \`$soname'"
+	      func_execute_cmds "$old_archive_from_expsyms_cmds" 'exit $?'
+	    fi
+	    # make sure the library variables are pointing to the new library
+	    dir=$output_objdir
+	    linklib=$newlib
+	  fi # test -n "$old_archive_from_expsyms_cmds"
+
+	  if test "$linkmode" = prog || test "$opt_mode" != relink; then
+	    add_shlibpath=
+	    add_dir=
+	    add=
+	    lib_linked=yes
+	    case $hardcode_action in
+	    immediate | unsupported)
+	      if test "$hardcode_direct" = no; then
+		add="$dir/$linklib"
+		case $host in
+		  *-*-sco3.2v5.0.[024]*) add_dir="-L$dir" ;;
+		  *-*-sysv4*uw2*) add_dir="-L$dir" ;;
+		  *-*-sysv5OpenUNIX* | *-*-sysv5UnixWare7.[01].[10]* | \
+		    *-*-unixware7*) add_dir="-L$dir" ;;
+		  *-*-darwin* )
+		    # if the lib is a (non-dlopened) module then we can not
+		    # link against it, someone is ignoring the earlier warnings
+		    if /usr/bin/file -L $add 2> /dev/null |
+			 $GREP ": [^:]* bundle" >/dev/null ; then
+		      if test "X$dlopenmodule" != "X$lib"; then
+			$ECHO "*** Warning: lib $linklib is a module, not a shared library"
+			if test -z "$old_library" ; then
+			  echo
+			  echo "*** And there doesn't seem to be a static archive available"
+			  echo "*** The link will probably fail, sorry"
+			else
+			  add="$dir/$old_library"
+			fi
+		      elif test -n "$old_library"; then
+			add="$dir/$old_library"
+		      fi
+		    fi
+		esac
+	      elif test "$hardcode_minus_L" = no; then
+		case $host in
+		*-*-sunos*) add_shlibpath="$dir" ;;
+		esac
+		add_dir="-L$dir"
+		add="-l$name"
+	      elif test "$hardcode_shlibpath_var" = no; then
+		add_shlibpath="$dir"
+		add="-l$name"
+	      else
+		lib_linked=no
+	      fi
+	      ;;
+	    relink)
+	      if test "$hardcode_direct" = yes &&
+	         test "$hardcode_direct_absolute" = no; then
+		add="$dir/$linklib"
+	      elif test "$hardcode_minus_L" = yes; then
+		add_dir="-L$absdir"
+		# Try looking first in the location we're being installed to.
+		if test -n "$inst_prefix_dir"; then
+		  case $libdir in
+		    [\\/]*)
+		      func_append add_dir " -L$inst_prefix_dir$libdir"
+		      ;;
+		  esac
+		fi
+		add="-l$name"
+	      elif test "$hardcode_shlibpath_var" = yes; then
+		add_shlibpath="$dir"
+		add="-l$name"
+	      else
+		lib_linked=no
+	      fi
+	      ;;
+	    *) lib_linked=no ;;
+	    esac
+
+	    if test "$lib_linked" != yes; then
+	      func_fatal_configuration "unsupported hardcode properties"
+	    fi
+
+	    if test -n "$add_shlibpath"; then
+	      case :$compile_shlibpath: in
+	      *":$add_shlibpath:"*) ;;
+	      *) func_append compile_shlibpath "$add_shlibpath:" ;;
+	      esac
+	    fi
+	    if test "$linkmode" = prog; then
+	      test -n "$add_dir" && compile_deplibs="$add_dir $compile_deplibs"
+	      test -n "$add" && compile_deplibs="$add $compile_deplibs"
+	    else
+	      test -n "$add_dir" && deplibs="$add_dir $deplibs"
+	      test -n "$add" && deplibs="$add $deplibs"
+	      if test "$hardcode_direct" != yes &&
+		 test "$hardcode_minus_L" != yes &&
+		 test "$hardcode_shlibpath_var" = yes; then
+		case :$finalize_shlibpath: in
+		*":$libdir:"*) ;;
+		*) func_append finalize_shlibpath "$libdir:" ;;
+		esac
+	      fi
+	    fi
+	  fi
+
+	  if test "$linkmode" = prog || test "$opt_mode" = relink; then
+	    add_shlibpath=
+	    add_dir=
+	    add=
+	    # Finalize command for both is simple: just hardcode it.
+	    if test "$hardcode_direct" = yes &&
+	       test "$hardcode_direct_absolute" = no; then
+	      add="$libdir/$linklib"
+	    elif test "$hardcode_minus_L" = yes; then
+	      add_dir="-L$libdir"
+	      add="-l$name"
+	    elif test "$hardcode_shlibpath_var" = yes; then
+	      case :$finalize_shlibpath: in
+	      *":$libdir:"*) ;;
+	      *) func_append finalize_shlibpath "$libdir:" ;;
+	      esac
+	      add="-l$name"
+	    elif test "$hardcode_automatic" = yes; then
+	      if test -n "$inst_prefix_dir" &&
+		 test -f "$inst_prefix_dir$libdir/$linklib" ; then
+		add="$inst_prefix_dir$libdir/$linklib"
+	      else
+		add="$libdir/$linklib"
+	      fi
+	    else
+	      # We cannot seem to hardcode it, guess we'll fake it.
+	      add_dir="-L$libdir"
+	      # Try looking first in the location we're being installed to.
+	      if test -n "$inst_prefix_dir"; then
+		case $libdir in
+		  [\\/]*)
+		    func_append add_dir " -L$inst_prefix_dir$libdir"
+		    ;;
+		esac
+	      fi
+	      add="-l$name"
+	    fi
+
+	    if test "$linkmode" = prog; then
+	      test -n "$add_dir" && finalize_deplibs="$add_dir $finalize_deplibs"
+	      test -n "$add" && finalize_deplibs="$add $finalize_deplibs"
+	    else
+	      test -n "$add_dir" && deplibs="$add_dir $deplibs"
+	      test -n "$add" && deplibs="$add $deplibs"
+	    fi
+	  fi
+	elif test "$linkmode" = prog; then
+	  # Here we assume that one of hardcode_direct or hardcode_minus_L
+	  # is not unsupported.  This is valid on all known static and
+	  # shared platforms.
+	  if test "$hardcode_direct" != unsupported; then
+	    test -n "$old_library" && linklib="$old_library"
+	    compile_deplibs="$dir/$linklib $compile_deplibs"
+	    finalize_deplibs="$dir/$linklib $finalize_deplibs"
+	  else
+	    compile_deplibs="-l$name -L$dir $compile_deplibs"
+	    finalize_deplibs="-l$name -L$dir $finalize_deplibs"
+	  fi
+	elif test "$build_libtool_libs" = yes; then
+	  # Not a shared library
+	  if test "$deplibs_check_method" != pass_all; then
+	    # We're trying link a shared library against a static one
+	    # but the system doesn't support it.
+
+	    # Just print a warning and add the library to dependency_libs so
+	    # that the program can be linked against the static library.
+	    echo
+	    $ECHO "*** Warning: This system can not link to static lib archive $lib."
+	    echo "*** I have the capability to make that library automatically link in when"
+	    echo "*** you link to this library.  But I can only do this if you have a"
+	    echo "*** shared version of the library, which you do not appear to have."
+	    if test "$module" = yes; then
+	      echo "*** But as you try to build a module library, libtool will still create "
+	      echo "*** a static module, that should work as long as the dlopening application"
+	      echo "*** is linked with the -dlopen flag to resolve symbols at runtime."
+	      if test -z "$global_symbol_pipe"; then
+		echo
+		echo "*** However, this would only work if libtool was able to extract symbol"
+		echo "*** lists from a program, using \`nm' or equivalent, but libtool could"
+		echo "*** not find such a program.  So, this module is probably useless."
+		echo "*** \`nm' from GNU binutils and a full rebuild may help."
+	      fi
+	      if test "$build_old_libs" = no; then
+		build_libtool_libs=module
+		build_old_libs=yes
+	      else
+		build_libtool_libs=no
+	      fi
+	    fi
+	  else
+	    deplibs="$dir/$old_library $deplibs"
+	    link_static=yes
+	  fi
+	fi # link shared/static library?
+
+	if test "$linkmode" = lib; then
+	  if test -n "$dependency_libs" &&
+	     { test "$hardcode_into_libs" != yes ||
+	       test "$build_old_libs" = yes ||
+	       test "$link_static" = yes; }; then
+	    # Extract -R from dependency_libs
+	    temp_deplibs=
+	    for libdir in $dependency_libs; do
+	      case $libdir in
+	      -R*) func_stripname '-R' '' "$libdir"
+	           temp_xrpath=$func_stripname_result
+		   case " $xrpath " in
+		   *" $temp_xrpath "*) ;;
+		   *) func_append xrpath " $temp_xrpath";;
+		   esac;;
+	      *) func_append temp_deplibs " $libdir";;
+	      esac
+	    done
+	    dependency_libs="$temp_deplibs"
+	  fi
+
+	  func_append newlib_search_path " $absdir"
+	  # Link against this library
+	  test "$link_static" = no && newdependency_libs="$abs_ladir/$laname $newdependency_libs"
+	  # ... and its dependency_libs
+	  tmp_libs=
+	  for deplib in $dependency_libs; do
+	    newdependency_libs="$deplib $newdependency_libs"
+	    case $deplib in
+              -L*) func_stripname '-L' '' "$deplib"
+                   func_resolve_sysroot "$func_stripname_result";;
+              *) func_resolve_sysroot "$deplib" ;;
+            esac
+	    if $opt_preserve_dup_deps ; then
+	      case "$tmp_libs " in
+	      *" $func_resolve_sysroot_result "*)
+                func_append specialdeplibs " $func_resolve_sysroot_result" ;;
+	      esac
+	    fi
+	    func_append tmp_libs " $func_resolve_sysroot_result"
+	  done
+
+	  if test "$link_all_deplibs" != no; then
+	    # Add the search paths of all dependency libraries
+	    for deplib in $dependency_libs; do
+	      path=
+	      case $deplib in
+	      -L*) path="$deplib" ;;
+	      *.la)
+	        func_resolve_sysroot "$deplib"
+	        deplib=$func_resolve_sysroot_result
+	        func_dirname "$deplib" "" "."
+		dir=$func_dirname_result
+		# We need an absolute path.
+		case $dir in
+		[\\/]* | [A-Za-z]:[\\/]*) absdir="$dir" ;;
+		*)
+		  absdir=`cd "$dir" && pwd`
+		  if test -z "$absdir"; then
+		    func_warning "cannot determine absolute directory name of \`$dir'"
+		    absdir="$dir"
+		  fi
+		  ;;
+		esac
+		if $GREP "^installed=no" $deplib > /dev/null; then
+		case $host in
+		*-*-darwin*)
+		  depdepl=
+		  eval deplibrary_names=`${SED} -n -e 's/^library_names=\(.*\)$/\1/p' $deplib`
+		  if test -n "$deplibrary_names" ; then
+		    for tmp in $deplibrary_names ; do
+		      depdepl=$tmp
+		    done
+		    if test -f "$absdir/$objdir/$depdepl" ; then
+		      depdepl="$absdir/$objdir/$depdepl"
+		      darwin_install_name=`${OTOOL} -L $depdepl | awk '{if (NR == 2) {print $1;exit}}'`
+                      if test -z "$darwin_install_name"; then
+                          darwin_install_name=`${OTOOL64} -L $depdepl  | awk '{if (NR == 2) {print $1;exit}}'`
+                      fi
+		      func_append compiler_flags " ${wl}-dylib_file ${wl}${darwin_install_name}:${depdepl}"
+		      func_append linker_flags " -dylib_file ${darwin_install_name}:${depdepl}"
+		      path=
+		    fi
+		  fi
+		  ;;
+		*)
+		  path="-L$absdir/$objdir"
+		  ;;
+		esac
+		else
+		  eval libdir=`${SED} -n -e 's/^libdir=\(.*\)$/\1/p' $deplib`
+		  test -z "$libdir" && \
+		    func_fatal_error "\`$deplib' is not a valid libtool archive"
+		  test "$absdir" != "$libdir" && \
+		    func_warning "\`$deplib' seems to be moved"
+
+		  path="-L$absdir"
+		fi
+		;;
+	      esac
+	      case " $deplibs " in
+	      *" $path "*) ;;
+	      *) deplibs="$path $deplibs" ;;
+	      esac
+	    done
+	  fi # link_all_deplibs != no
+	fi # linkmode = lib
+      done # for deplib in $libs
+      if test "$pass" = link; then
+	if test "$linkmode" = "prog"; then
+	  compile_deplibs="$new_inherited_linker_flags $compile_deplibs"
+	  finalize_deplibs="$new_inherited_linker_flags $finalize_deplibs"
+	else
+	  compiler_flags="$compiler_flags "`$ECHO " $new_inherited_linker_flags" | $SED 's% \([^ $]*\).ltframework% -framework \1%g'`
+	fi
+      fi
+      dependency_libs="$newdependency_libs"
+      if test "$pass" = dlpreopen; then
+	# Link the dlpreopened libraries before other libraries
+	for deplib in $save_deplibs; do
+	  deplibs="$deplib $deplibs"
+	done
+      fi
+      if test "$pass" != dlopen; then
+	if test "$pass" != conv; then
+	  # Make sure lib_search_path contains only unique directories.
+	  lib_search_path=
+	  for dir in $newlib_search_path; do
+	    case "$lib_search_path " in
+	    *" $dir "*) ;;
+	    *) func_append lib_search_path " $dir" ;;
+	    esac
+	  done
+	  newlib_search_path=
+	fi
+
+	if test "$linkmode,$pass" != "prog,link"; then
+	  vars="deplibs"
+	else
+	  vars="compile_deplibs finalize_deplibs"
+	fi
+	for var in $vars dependency_libs; do
+	  # Add libraries to $var in reverse order
+	  eval tmp_libs=\"\$$var\"
+	  new_libs=
+	  for deplib in $tmp_libs; do
+	    # FIXME: Pedantically, this is the right thing to do, so
+	    #        that some nasty dependency loop isn't accidentally
+	    #        broken:
+	    #new_libs="$deplib $new_libs"
+	    # Pragmatically, this seems to cause very few problems in
+	    # practice:
+	    case $deplib in
+	    -L*) new_libs="$deplib $new_libs" ;;
+	    -R*) ;;
+	    *)
+	      # And here is the reason: when a library appears more
+	      # than once as an explicit dependence of a library, or
+	      # is implicitly linked in more than once by the
+	      # compiler, it is considered special, and multiple
+	      # occurrences thereof are not removed.  Compare this
+	      # with having the same library being listed as a
+	      # dependency of multiple other libraries: in this case,
+	      # we know (pedantically, we assume) the library does not
+	      # need to be listed more than once, so we keep only the
+	      # last copy.  This is not always right, but it is rare
+	      # enough that we require users that really mean to play
+	      # such unportable linking tricks to link the library
+	      # using -Wl,-lname, so that libtool does not consider it
+	      # for duplicate removal.
+	      case " $specialdeplibs " in
+	      *" $deplib "*) new_libs="$deplib $new_libs" ;;
+	      *)
+		case " $new_libs " in
+		*" $deplib "*) ;;
+		*) new_libs="$deplib $new_libs" ;;
+		esac
+		;;
+	      esac
+	      ;;
+	    esac
+	  done
+	  tmp_libs=
+	  for deplib in $new_libs; do
+	    case $deplib in
+	    -L*)
+	      case " $tmp_libs " in
+	      *" $deplib "*) ;;
+	      *) func_append tmp_libs " $deplib" ;;
+	      esac
+	      ;;
+	    *) func_append tmp_libs " $deplib" ;;
+	    esac
+	  done
+	  eval $var=\"$tmp_libs\"
+	done # for var
+      fi
+      # Last step: remove runtime libs from dependency_libs
+      # (they stay in deplibs)
+      tmp_libs=
+      for i in $dependency_libs ; do
+	case " $predeps $postdeps $compiler_lib_search_path " in
+	*" $i "*)
+	  i=""
+	  ;;
+	esac
+	if test -n "$i" ; then
+	  func_append tmp_libs " $i"
+	fi
+      done
+      dependency_libs=$tmp_libs
+    done # for pass
+    if test "$linkmode" = prog; then
+      dlfiles="$newdlfiles"
+    fi
+    if test "$linkmode" = prog || test "$linkmode" = lib; then
+      dlprefiles="$newdlprefiles"
+    fi
+
+    case $linkmode in
+    oldlib)
+      if test -n "$dlfiles$dlprefiles" || test "$dlself" != no; then
+	func_warning "\`-dlopen' is ignored for archives"
+      fi
+
+      case " $deplibs" in
+      *\ -l* | *\ -L*)
+	func_warning "\`-l' and \`-L' are ignored for archives" ;;
+      esac
+
+      test -n "$rpath" && \
+	func_warning "\`-rpath' is ignored for archives"
+
+      test -n "$xrpath" && \
+	func_warning "\`-R' is ignored for archives"
+
+      test -n "$vinfo" && \
+	func_warning "\`-version-info/-version-number' is ignored for archives"
+
+      test -n "$release" && \
+	func_warning "\`-release' is ignored for archives"
+
+      test -n "$export_symbols$export_symbols_regex" && \
+	func_warning "\`-export-symbols' is ignored for archives"
+
+      # Now set the variables for building old libraries.
+      build_libtool_libs=no
+      oldlibs="$output"
+      func_append objs "$old_deplibs"
+      ;;
+
+    lib)
+      # Make sure we only generate libraries of the form `libNAME.la'.
+      case $outputname in
+      lib*)
+	func_stripname 'lib' '.la' "$outputname"
+	name=$func_stripname_result
+	eval shared_ext=\"$shrext_cmds\"
+	eval libname=\"$libname_spec\"
+	;;
+      *)
+	test "$module" = no && \
+	  func_fatal_help "libtool library \`$output' must begin with \`lib'"
+
+	if test "$need_lib_prefix" != no; then
+	  # Add the "lib" prefix for modules if required
+	  func_stripname '' '.la' "$outputname"
+	  name=$func_stripname_result
+	  eval shared_ext=\"$shrext_cmds\"
+	  eval libname=\"$libname_spec\"
+	else
+	  func_stripname '' '.la' "$outputname"
+	  libname=$func_stripname_result
+	fi
+	;;
+      esac
+
+      if test -n "$objs"; then
+	if test "$deplibs_check_method" != pass_all; then
+	  func_fatal_error "cannot build libtool library \`$output' from non-libtool objects on this host:$objs"
+	else
+	  echo
+	  $ECHO "*** Warning: Linking the shared library $output against the non-libtool"
+	  $ECHO "*** objects $objs is not portable!"
+	  func_append libobjs " $objs"
+	fi
+      fi
+
+      test "$dlself" != no && \
+	func_warning "\`-dlopen self' is ignored for libtool libraries"
+
+      set dummy $rpath
+      shift
+      test "$#" -gt 1 && \
+	func_warning "ignoring multiple \`-rpath's for a libtool library"
+
+      install_libdir="$1"
+
+      oldlibs=
+      if test -z "$rpath"; then
+	if test "$build_libtool_libs" = yes; then
+	  # Building a libtool convenience library.
+	  # Some compilers have problems with a `.al' extension so
+	  # convenience libraries should have the same extension an
+	  # archive normally would.
+	  oldlibs="$output_objdir/$libname.$libext $oldlibs"
+	  build_libtool_libs=convenience
+	  build_old_libs=yes
+	fi
+
+	test -n "$vinfo" && \
+	  func_warning "\`-version-info/-version-number' is ignored for convenience libraries"
+
+	test -n "$release" && \
+	  func_warning "\`-release' is ignored for convenience libraries"
+      else
+
+	# Parse the version information argument.
+	save_ifs="$IFS"; IFS=':'
+	set dummy $vinfo 0 0 0
+	shift
+	IFS="$save_ifs"
+
+	test -n "$7" && \
+	  func_fatal_help "too many parameters to \`-version-info'"
+
+	# convert absolute version numbers to libtool ages
+	# this retains compatibility with .la files and attempts
+	# to make the code below a bit more comprehensible
+
+	case $vinfo_number in
+	yes)
+	  number_major="$1"
+	  number_minor="$2"
+	  number_revision="$3"
+	  #
+	  # There are really only two kinds -- those that
+	  # use the current revision as the major version
+	  # and those that subtract age and use age as
+	  # a minor version.  But, then there is irix
+	  # which has an extra 1 added just for fun
+	  #
+	  case $version_type in
+	  # correct linux to gnu/linux during the next big refactor
+	  darwin|linux|osf|windows|none)
+	    func_arith $number_major + $number_minor
+	    current=$func_arith_result
+	    age="$number_minor"
+	    revision="$number_revision"
+	    ;;
+	  freebsd-aout|freebsd-elf|qnx|sunos)
+	    current="$number_major"
+	    revision="$number_minor"
+	    age="0"
+	    ;;
+	  irix|nonstopux)
+	    func_arith $number_major + $number_minor
+	    current=$func_arith_result
+	    age="$number_minor"
+	    revision="$number_minor"
+	    lt_irix_increment=no
+	    ;;
+	  *)
+	    func_fatal_configuration "$modename: unknown library version type \`$version_type'"
+	    ;;
+	  esac
+	  ;;
+	no)
+	  current="$1"
+	  revision="$2"
+	  age="$3"
+	  ;;
+	esac
+
+	# Check that each of the things are valid numbers.
+	case $current in
+	0|[1-9]|[1-9][0-9]|[1-9][0-9][0-9]|[1-9][0-9][0-9][0-9]|[1-9][0-9][0-9][0-9][0-9]) ;;
+	*)
+	  func_error "CURRENT \`$current' must be a nonnegative integer"
+	  func_fatal_error "\`$vinfo' is not valid version information"
+	  ;;
+	esac
+
+	case $revision in
+	0|[1-9]|[1-9][0-9]|[1-9][0-9][0-9]|[1-9][0-9][0-9][0-9]|[1-9][0-9][0-9][0-9][0-9]) ;;
+	*)
+	  func_error "REVISION \`$revision' must be a nonnegative integer"
+	  func_fatal_error "\`$vinfo' is not valid version information"
+	  ;;
+	esac
+
+	case $age in
+	0|[1-9]|[1-9][0-9]|[1-9][0-9][0-9]|[1-9][0-9][0-9][0-9]|[1-9][0-9][0-9][0-9][0-9]) ;;
+	*)
+	  func_error "AGE \`$age' must be a nonnegative integer"
+	  func_fatal_error "\`$vinfo' is not valid version information"
+	  ;;
+	esac
+
+	if test "$age" -gt "$current"; then
+	  func_error "AGE \`$age' is greater than the current interface number \`$current'"
+	  func_fatal_error "\`$vinfo' is not valid version information"
+	fi
+
+	# Calculate the version variables.
+	major=
+	versuffix=
+	verstring=
+	case $version_type in
+	none) ;;
+
+	darwin)
+	  # Like Linux, but with the current version available in
+	  # verstring for coding it into the library header
+	  func_arith $current - $age
+	  major=.$func_arith_result
+	  versuffix="$major.$age.$revision"
+	  # Darwin ld doesn't like 0 for these options...
+	  func_arith $current + 1
+	  minor_current=$func_arith_result
+	  xlcverstring="${wl}-compatibility_version ${wl}$minor_current ${wl}-current_version ${wl}$minor_current.$revision"
+	  verstring="-compatibility_version $minor_current -current_version $minor_current.$revision"
+	  ;;
+
+	freebsd-aout)
+	  major=".$current"
+	  versuffix=".$current.$revision";
+	  ;;
+
+	freebsd-elf)
+	  major=".$current"
+	  versuffix=".$current"
+	  ;;
+
+	irix | nonstopux)
+	  if test "X$lt_irix_increment" = "Xno"; then
+	    func_arith $current - $age
+	  else
+	    func_arith $current - $age + 1
+	  fi
+	  major=$func_arith_result
+
+	  case $version_type in
+	    nonstopux) verstring_prefix=nonstopux ;;
+	    *)         verstring_prefix=sgi ;;
+	  esac
+	  verstring="$verstring_prefix$major.$revision"
+
+	  # Add in all the interfaces that we are compatible with.
+	  loop=$revision
+	  while test "$loop" -ne 0; do
+	    func_arith $revision - $loop
+	    iface=$func_arith_result
+	    func_arith $loop - 1
+	    loop=$func_arith_result
+	    verstring="$verstring_prefix$major.$iface:$verstring"
+	  done
+
+	  # Before this point, $major must not contain `.'.
+	  major=.$major
+	  versuffix="$major.$revision"
+	  ;;
+
+	linux) # correct to gnu/linux during the next big refactor
+	  func_arith $current - $age
+	  major=.$func_arith_result
+	  versuffix="$major.$age.$revision"
+	  ;;
+
+	osf)
+	  func_arith $current - $age
+	  major=.$func_arith_result
+	  versuffix=".$current.$age.$revision"
+	  verstring="$current.$age.$revision"
+
+	  # Add in all the interfaces that we are compatible with.
+	  loop=$age
+	  while test "$loop" -ne 0; do
+	    func_arith $current - $loop
+	    iface=$func_arith_result
+	    func_arith $loop - 1
+	    loop=$func_arith_result
+	    verstring="$verstring:${iface}.0"
+	  done
+
+	  # Make executables depend on our current version.
+	  func_append verstring ":${current}.0"
+	  ;;
+
+	qnx)
+	  major=".$current"
+	  versuffix=".$current"
+	  ;;
+
+	sunos)
+	  major=".$current"
+	  versuffix=".$current.$revision"
+	  ;;
+
+	windows)
+	  # Use '-' rather than '.', since we only want one
+	  # extension on DOS 8.3 filesystems.
+	  func_arith $current - $age
+	  major=$func_arith_result
+	  versuffix="-$major"
+	  ;;
+
+	*)
+	  func_fatal_configuration "unknown library version type \`$version_type'"
+	  ;;
+	esac
+
+	# Clear the version info if we defaulted, and they specified a release.
+	if test -z "$vinfo" && test -n "$release"; then
+	  major=
+	  case $version_type in
+	  darwin)
+	    # we can't check for "0.0" in archive_cmds due to quoting
+	    # problems, so we reset it completely
+	    verstring=
+	    ;;
+	  *)
+	    verstring="0.0"
+	    ;;
+	  esac
+	  if test "$need_version" = no; then
+	    versuffix=
+	  else
+	    versuffix=".0.0"
+	  fi
+	fi
+
+	# Remove version info from name if versioning should be avoided
+	if test "$avoid_version" = yes && test "$need_version" = no; then
+	  major=
+	  versuffix=
+	  verstring=""
+	fi
+
+	# Check to see if the archive will have undefined symbols.
+	if test "$allow_undefined" = yes; then
+	  if test "$allow_undefined_flag" = unsupported; then
+	    func_warning "undefined symbols not allowed in $host shared libraries"
+	    build_libtool_libs=no
+	    build_old_libs=yes
+	  fi
+	else
+	  # Don't allow undefined symbols.
+	  allow_undefined_flag="$no_undefined_flag"
+	fi
+
+      fi
+
+      func_generate_dlsyms "$libname" "$libname" "yes"
+      func_append libobjs " $symfileobj"
+      test "X$libobjs" = "X " && libobjs=
+
+      if test "$opt_mode" != relink; then
+	# Remove our outputs, but don't remove object files since they
+	# may have been created when compiling PIC objects.
+	removelist=
+	tempremovelist=`$ECHO "$output_objdir/*"`
+	for p in $tempremovelist; do
+	  case $p in
+	    *.$objext | *.gcno)
+	       ;;
+	    $output_objdir/$outputname | $output_objdir/$libname.* | $output_objdir/${libname}${release}.*)
+	       if test "X$precious_files_regex" != "X"; then
+		 if $ECHO "$p" | $EGREP -e "$precious_files_regex" >/dev/null 2>&1
+		 then
+		   continue
+		 fi
+	       fi
+	       func_append removelist " $p"
+	       ;;
+	    *) ;;
+	  esac
+	done
+	test -n "$removelist" && \
+	  func_show_eval "${RM}r \$removelist"
+      fi
+
+      # Now set the variables for building old libraries.
+      if test "$build_old_libs" = yes && test "$build_libtool_libs" != convenience ; then
+	func_append oldlibs " $output_objdir/$libname.$libext"
+
+	# Transform .lo files to .o files.
+	oldobjs="$objs "`$ECHO "$libobjs" | $SP2NL | $SED "/\.${libext}$/d; $lo2o" | $NL2SP`
+      fi
+
+      # Eliminate all temporary directories.
+      #for path in $notinst_path; do
+      #	lib_search_path=`$ECHO "$lib_search_path " | $SED "s% $path % %g"`
+      #	deplibs=`$ECHO "$deplibs " | $SED "s% -L$path % %g"`
+      #	dependency_libs=`$ECHO "$dependency_libs " | $SED "s% -L$path % %g"`
+      #done
+
+      if test -n "$xrpath"; then
+	# If the user specified any rpath flags, then add them.
+	temp_xrpath=
+	for libdir in $xrpath; do
+	  func_replace_sysroot "$libdir"
+	  func_append temp_xrpath " -R$func_replace_sysroot_result"
+	  case "$finalize_rpath " in
+	  *" $libdir "*) ;;
+	  *) func_append finalize_rpath " $libdir" ;;
+	  esac
+	done
+	if test "$hardcode_into_libs" != yes || test "$build_old_libs" = yes; then
+	  dependency_libs="$temp_xrpath $dependency_libs"
+	fi
+      fi
+
+      # Make sure dlfiles contains only unique files that won't be dlpreopened
+      old_dlfiles="$dlfiles"
+      dlfiles=
+      for lib in $old_dlfiles; do
+	case " $dlprefiles $dlfiles " in
+	*" $lib "*) ;;
+	*) func_append dlfiles " $lib" ;;
+	esac
+      done
+
+      # Make sure dlprefiles contains only unique files
+      old_dlprefiles="$dlprefiles"
+      dlprefiles=
+      for lib in $old_dlprefiles; do
+	case "$dlprefiles " in
+	*" $lib "*) ;;
+	*) func_append dlprefiles " $lib" ;;
+	esac
+      done
+
+      if test "$build_libtool_libs" = yes; then
+	if test -n "$rpath"; then
+	  case $host in
+	  *-*-cygwin* | *-*-mingw* | *-*-pw32* | *-*-os2* | *-*-beos* | *-cegcc* | *-*-haiku*)
+	    # these systems don't actually have a c library (as such)!
+	    ;;
+	  *-*-rhapsody* | *-*-darwin1.[012])
+	    # Rhapsody C library is in the System framework
+	    func_append deplibs " System.ltframework"
+	    ;;
+	  *-*-netbsd*)
+	    # Don't link with libc until the a.out ld.so is fixed.
+	    ;;
+	  *-*-openbsd* | *-*-freebsd* | *-*-dragonfly*)
+	    # Do not include libc due to us having libc/libc_r.
+	    ;;
+	  *-*-sco3.2v5* | *-*-sco5v6*)
+	    # Causes problems with __ctype
+	    ;;
+	  *-*-sysv4.2uw2* | *-*-sysv5* | *-*-unixware* | *-*-OpenUNIX*)
+	    # Compiler inserts libc in the correct place for threads to work
+	    ;;
+	  *)
+	    # Add libc to deplibs on all other systems if necessary.
+	    if test "$build_libtool_need_lc" = "yes"; then
+	      func_append deplibs " -lc"
+	    fi
+	    ;;
+	  esac
+	fi
+
+	# Transform deplibs into only deplibs that can be linked in shared.
+	name_save=$name
+	libname_save=$libname
+	release_save=$release
+	versuffix_save=$versuffix
+	major_save=$major
+	# I'm not sure if I'm treating the release correctly.  I think
+	# release should show up in the -l (ie -lgmp5) so we don't want to
+	# add it in twice.  Is that correct?
+	release=""
+	versuffix=""
+	major=""
+	newdeplibs=
+	droppeddeps=no
+	case $deplibs_check_method in
+	pass_all)
+	  # Don't check for shared/static.  Everything works.
+	  # This might be a little naive.  We might want to check
+	  # whether the library exists or not.  But this is on
+	  # osf3 & osf4 and I'm not really sure... Just
+	  # implementing what was already the behavior.
+	  newdeplibs=$deplibs
+	  ;;
+	test_compile)
+	  # This code stresses the "libraries are programs" paradigm to its
+	  # limits. Maybe even breaks it.  We compile a program, linking it
+	  # against the deplibs as a proxy for the library.  Then we can check
+	  # whether they linked in statically or dynamically with ldd.
+	  $opt_dry_run || $RM conftest.c
+	  cat > conftest.c <<EOF
+	  int main() { return 0; }
+EOF
+	  $opt_dry_run || $RM conftest
+	  if $LTCC $LTCFLAGS -o conftest conftest.c $deplibs; then
+	    ldd_output=`ldd conftest`
+	    for i in $deplibs; do
+	      case $i in
+	      -l*)
+		func_stripname -l '' "$i"
+		name=$func_stripname_result
+		if test "X$allow_libtool_libs_with_static_runtimes" = "Xyes" ; then
+		  case " $predeps $postdeps " in
+		  *" $i "*)
+		    func_append newdeplibs " $i"
+		    i=""
+		    ;;
+		  esac
+		fi
+		if test -n "$i" ; then
+		  libname=`eval "\\$ECHO \"$libname_spec\""`
+		  deplib_matches=`eval "\\$ECHO \"$library_names_spec\""`
+		  set dummy $deplib_matches; shift
+		  deplib_match=$1
+		  if test `expr "$ldd_output" : ".*$deplib_match"` -ne 0 ; then
+		    func_append newdeplibs " $i"
+		  else
+		    droppeddeps=yes
+		    echo
+		    $ECHO "*** Warning: dynamic linker does not accept needed library $i."
+		    echo "*** I have the capability to make that library automatically link in when"
+		    echo "*** you link to this library.  But I can only do this if you have a"
+		    echo "*** shared version of the library, which I believe you do not have"
+		    echo "*** because a test_compile did reveal that the linker did not use it for"
+		    echo "*** its dynamic dependency list that programs get resolved with at runtime."
+		  fi
+		fi
+		;;
+	      *)
+		func_append newdeplibs " $i"
+		;;
+	      esac
+	    done
+	  else
+	    # Error occurred in the first compile.  Let's try to salvage
+	    # the situation: Compile a separate program for each library.
+	    for i in $deplibs; do
+	      case $i in
+	      -l*)
+		func_stripname -l '' "$i"
+		name=$func_stripname_result
+		$opt_dry_run || $RM conftest
+		if $LTCC $LTCFLAGS -o conftest conftest.c $i; then
+		  ldd_output=`ldd conftest`
+		  if test "X$allow_libtool_libs_with_static_runtimes" = "Xyes" ; then
+		    case " $predeps $postdeps " in
+		    *" $i "*)
+		      func_append newdeplibs " $i"
+		      i=""
+		      ;;
+		    esac
+		  fi
+		  if test -n "$i" ; then
+		    libname=`eval "\\$ECHO \"$libname_spec\""`
+		    deplib_matches=`eval "\\$ECHO \"$library_names_spec\""`
+		    set dummy $deplib_matches; shift
+		    deplib_match=$1
+		    if test `expr "$ldd_output" : ".*$deplib_match"` -ne 0 ; then
+		      func_append newdeplibs " $i"
+		    else
+		      droppeddeps=yes
+		      echo
+		      $ECHO "*** Warning: dynamic linker does not accept needed library $i."
+		      echo "*** I have the capability to make that library automatically link in when"
+		      echo "*** you link to this library.  But I can only do this if you have a"
+		      echo "*** shared version of the library, which you do not appear to have"
+		      echo "*** because a test_compile did reveal that the linker did not use this one"
+		      echo "*** as a dynamic dependency that programs can get resolved with at runtime."
+		    fi
+		  fi
+		else
+		  droppeddeps=yes
+		  echo
+		  $ECHO "*** Warning!  Library $i is needed by this library but I was not able to"
+		  echo "*** make it link in!  You will probably need to install it or some"
+		  echo "*** library that it depends on before this library will be fully"
+		  echo "*** functional.  Installing it before continuing would be even better."
+		fi
+		;;
+	      *)
+		func_append newdeplibs " $i"
+		;;
+	      esac
+	    done
+	  fi
+	  ;;
+	file_magic*)
+	  set dummy $deplibs_check_method; shift
+	  file_magic_regex=`expr "$deplibs_check_method" : "$1 \(.*\)"`
+	  for a_deplib in $deplibs; do
+	    case $a_deplib in
+	    -l*)
+	      func_stripname -l '' "$a_deplib"
+	      name=$func_stripname_result
+	      if test "X$allow_libtool_libs_with_static_runtimes" = "Xyes" ; then
+		case " $predeps $postdeps " in
+		*" $a_deplib "*)
+		  func_append newdeplibs " $a_deplib"
+		  a_deplib=""
+		  ;;
+		esac
+	      fi
+	      if test -n "$a_deplib" ; then
+		libname=`eval "\\$ECHO \"$libname_spec\""`
+		if test -n "$file_magic_glob"; then
+		  libnameglob=`func_echo_all "$libname" | $SED -e $file_magic_glob`
+		else
+		  libnameglob=$libname
+		fi
+		test "$want_nocaseglob" = yes && nocaseglob=`shopt -p nocaseglob`
+		for i in $lib_search_path $sys_lib_search_path $shlib_search_path; do
+		  if test "$want_nocaseglob" = yes; then
+		    shopt -s nocaseglob
+		    potential_libs=`ls $i/$libnameglob[.-]* 2>/dev/null`
+		    $nocaseglob
+		  else
+		    potential_libs=`ls $i/$libnameglob[.-]* 2>/dev/null`
+		  fi
+		  for potent_lib in $potential_libs; do
+		      # Follow soft links.
+		      if ls -lLd "$potent_lib" 2>/dev/null |
+			 $GREP " -> " >/dev/null; then
+			continue
+		      fi
+		      # The statement above tries to avoid entering an
+		      # endless loop below, in case of cyclic links.
+		      # We might still enter an endless loop, since a link
+		      # loop can be closed while we follow links,
+		      # but so what?
+		      potlib="$potent_lib"
+		      while test -h "$potlib" 2>/dev/null; do
+			potliblink=`ls -ld $potlib | ${SED} 's/.* -> //'`
+			case $potliblink in
+			[\\/]* | [A-Za-z]:[\\/]*) potlib="$potliblink";;
+			*) potlib=`$ECHO "$potlib" | $SED 's,[^/]*$,,'`"$potliblink";;
+			esac
+		      done
+		      if eval $file_magic_cmd \"\$potlib\" 2>/dev/null |
+			 $SED -e 10q |
+			 $EGREP "$file_magic_regex" > /dev/null; then
+			func_append newdeplibs " $a_deplib"
+			a_deplib=""
+			break 2
+		      fi
+		  done
+		done
+	      fi
+	      if test -n "$a_deplib" ; then
+		droppeddeps=yes
+		echo
+		$ECHO "*** Warning: linker path does not have real file for library $a_deplib."
+		echo "*** I have the capability to make that library automatically link in when"
+		echo "*** you link to this library.  But I can only do this if you have a"
+		echo "*** shared version of the library, which you do not appear to have"
+		echo "*** because I did check the linker path looking for a file starting"
+		if test -z "$potlib" ; then
+		  $ECHO "*** with $libname but no candidates were found. (...for file magic test)"
+		else
+		  $ECHO "*** with $libname and none of the candidates passed a file format test"
+		  $ECHO "*** using a file magic. Last file checked: $potlib"
+		fi
+	      fi
+	      ;;
+	    *)
+	      # Add a -L argument.
+	      func_append newdeplibs " $a_deplib"
+	      ;;
+	    esac
+	  done # Gone through all deplibs.
+	  ;;
+	match_pattern*)
+	  set dummy $deplibs_check_method; shift
+	  match_pattern_regex=`expr "$deplibs_check_method" : "$1 \(.*\)"`
+	  for a_deplib in $deplibs; do
+	    case $a_deplib in
+	    -l*)
+	      func_stripname -l '' "$a_deplib"
+	      name=$func_stripname_result
+	      if test "X$allow_libtool_libs_with_static_runtimes" = "Xyes" ; then
+		case " $predeps $postdeps " in
+		*" $a_deplib "*)
+		  func_append newdeplibs " $a_deplib"
+		  a_deplib=""
+		  ;;
+		esac
+	      fi
+	      if test -n "$a_deplib" ; then
+		libname=`eval "\\$ECHO \"$libname_spec\""`
+		for i in $lib_search_path $sys_lib_search_path $shlib_search_path; do
+		  potential_libs=`ls $i/$libname[.-]* 2>/dev/null`
+		  for potent_lib in $potential_libs; do
+		    potlib="$potent_lib" # see symlink-check above in file_magic test
+		    if eval "\$ECHO \"$potent_lib\"" 2>/dev/null | $SED 10q | \
+		       $EGREP "$match_pattern_regex" > /dev/null; then
+		      func_append newdeplibs " $a_deplib"
+		      a_deplib=""
+		      break 2
+		    fi
+		  done
+		done
+	      fi
+	      if test -n "$a_deplib" ; then
+		droppeddeps=yes
+		echo
+		$ECHO "*** Warning: linker path does not have real file for library $a_deplib."
+		echo "*** I have the capability to make that library automatically link in when"
+		echo "*** you link to this library.  But I can only do this if you have a"
+		echo "*** shared version of the library, which you do not appear to have"
+		echo "*** because I did check the linker path looking for a file starting"
+		if test -z "$potlib" ; then
+		  $ECHO "*** with $libname but no candidates were found. (...for regex pattern test)"
+		else
+		  $ECHO "*** with $libname and none of the candidates passed a file format test"
+		  $ECHO "*** using a regex pattern. Last file checked: $potlib"
+		fi
+	      fi
+	      ;;
+	    *)
+	      # Add a -L argument.
+	      func_append newdeplibs " $a_deplib"
+	      ;;
+	    esac
+	  done # Gone through all deplibs.
+	  ;;
+	none | unknown | *)
+	  newdeplibs=""
+	  tmp_deplibs=`$ECHO " $deplibs" | $SED 's/ -lc$//; s/ -[LR][^ ]*//g'`
+	  if test "X$allow_libtool_libs_with_static_runtimes" = "Xyes" ; then
+	    for i in $predeps $postdeps ; do
+	      # can't use Xsed below, because $i might contain '/'
+	      tmp_deplibs=`$ECHO " $tmp_deplibs" | $SED "s,$i,,"`
+	    done
+	  fi
+	  case $tmp_deplibs in
+	  *[!\	\ ]*)
+	    echo
+	    if test "X$deplibs_check_method" = "Xnone"; then
+	      echo "*** Warning: inter-library dependencies are not supported in this platform."
+	    else
+	      echo "*** Warning: inter-library dependencies are not known to be supported."
+	    fi
+	    echo "*** All declared inter-library dependencies are being dropped."
+	    droppeddeps=yes
+	    ;;
+	  esac
+	  ;;
+	esac
+	versuffix=$versuffix_save
+	major=$major_save
+	release=$release_save
+	libname=$libname_save
+	name=$name_save
+
+	case $host in
+	*-*-rhapsody* | *-*-darwin1.[012])
+	  # On Rhapsody replace the C library with the System framework
+	  newdeplibs=`$ECHO " $newdeplibs" | $SED 's/ -lc / System.ltframework /'`
+	  ;;
+	esac
+
+	if test "$droppeddeps" = yes; then
+	  if test "$module" = yes; then
+	    echo
+	    echo "*** Warning: libtool could not satisfy all declared inter-library"
+	    $ECHO "*** dependencies of module $libname.  Therefore, libtool will create"
+	    echo "*** a static module, that should work as long as the dlopening"
+	    echo "*** application is linked with the -dlopen flag."
+	    if test -z "$global_symbol_pipe"; then
+	      echo
+	      echo "*** However, this would only work if libtool was able to extract symbol"
+	      echo "*** lists from a program, using \`nm' or equivalent, but libtool could"
+	      echo "*** not find such a program.  So, this module is probably useless."
+	      echo "*** \`nm' from GNU binutils and a full rebuild may help."
+	    fi
+	    if test "$build_old_libs" = no; then
+	      oldlibs="$output_objdir/$libname.$libext"
+	      build_libtool_libs=module
+	      build_old_libs=yes
+	    else
+	      build_libtool_libs=no
+	    fi
+	  else
+	    echo "*** The inter-library dependencies that have been dropped here will be"
+	    echo "*** automatically added whenever a program is linked with this library"
+	    echo "*** or is declared to -dlopen it."
+
+	    if test "$allow_undefined" = no; then
+	      echo
+	      echo "*** Since this library must not contain undefined symbols,"
+	      echo "*** because either the platform does not support them or"
+	      echo "*** it was explicitly requested with -no-undefined,"
+	      echo "*** libtool will only create a static version of it."
+	      if test "$build_old_libs" = no; then
+		oldlibs="$output_objdir/$libname.$libext"
+		build_libtool_libs=module
+		build_old_libs=yes
+	      else
+		build_libtool_libs=no
+	      fi
+	    fi
+	  fi
+	fi
+	# Done checking deplibs!
+	deplibs=$newdeplibs
+      fi
+      # Time to change all our "foo.ltframework" stuff back to "-framework foo"
+      case $host in
+	*-*-darwin*)
+	  newdeplibs=`$ECHO " $newdeplibs" | $SED 's% \([^ $]*\).ltframework% -framework \1%g'`
+	  new_inherited_linker_flags=`$ECHO " $new_inherited_linker_flags" | $SED 's% \([^ $]*\).ltframework% -framework \1%g'`
+	  deplibs=`$ECHO " $deplibs" | $SED 's% \([^ $]*\).ltframework% -framework \1%g'`
+	  ;;
+      esac
+
+      # move library search paths that coincide with paths to not yet
+      # installed libraries to the beginning of the library search list
+      new_libs=
+      for path in $notinst_path; do
+	case " $new_libs " in
+	*" -L$path/$objdir "*) ;;
+	*)
+	  case " $deplibs " in
+	  *" -L$path/$objdir "*)
+	    func_append new_libs " -L$path/$objdir" ;;
+	  esac
+	  ;;
+	esac
+      done
+      for deplib in $deplibs; do
+	case $deplib in
+	-L*)
+	  case " $new_libs " in
+	  *" $deplib "*) ;;
+	  *) func_append new_libs " $deplib" ;;
+	  esac
+	  ;;
+	*) func_append new_libs " $deplib" ;;
+	esac
+      done
+      deplibs="$new_libs"
+
+      # All the library-specific variables (install_libdir is set above).
+      library_names=
+      old_library=
+      dlname=
+
+      # Test again, we may have decided not to build it any more
+      if test "$build_libtool_libs" = yes; then
+	# Remove ${wl} instances when linking with ld.
+	# FIXME: should test the right _cmds variable.
+	case $archive_cmds in
+	  *\$LD\ *) wl= ;;
+        esac
+	if test "$hardcode_into_libs" = yes; then
+	  # Hardcode the library paths
+	  hardcode_libdirs=
+	  dep_rpath=
+	  rpath="$finalize_rpath"
+	  test "$opt_mode" != relink && rpath="$compile_rpath$rpath"
+	  for libdir in $rpath; do
+	    if test -n "$hardcode_libdir_flag_spec"; then
+	      if test -n "$hardcode_libdir_separator"; then
+		func_replace_sysroot "$libdir"
+		libdir=$func_replace_sysroot_result
+		if test -z "$hardcode_libdirs"; then
+		  hardcode_libdirs="$libdir"
+		else
+		  # Just accumulate the unique libdirs.
+		  case $hardcode_libdir_separator$hardcode_libdirs$hardcode_libdir_separator in
+		  *"$hardcode_libdir_separator$libdir$hardcode_libdir_separator"*)
+		    ;;
+		  *)
+		    func_append hardcode_libdirs "$hardcode_libdir_separator$libdir"
+		    ;;
+		  esac
+		fi
+	      else
+		eval flag=\"$hardcode_libdir_flag_spec\"
+		func_append dep_rpath " $flag"
+	      fi
+	    elif test -n "$runpath_var"; then
+	      case "$perm_rpath " in
+	      *" $libdir "*) ;;
+	      *) func_append perm_rpath " $libdir" ;;
+	      esac
+	    fi
+	  done
+	  # Substitute the hardcoded libdirs into the rpath.
+	  if test -n "$hardcode_libdir_separator" &&
+	     test -n "$hardcode_libdirs"; then
+	    libdir="$hardcode_libdirs"
+	    eval "dep_rpath=\"$hardcode_libdir_flag_spec\""
+	  fi
+	  if test -n "$runpath_var" && test -n "$perm_rpath"; then
+	    # We should set the runpath_var.
+	    rpath=
+	    for dir in $perm_rpath; do
+	      func_append rpath "$dir:"
+	    done
+	    eval "$runpath_var='$rpath\$$runpath_var'; export $runpath_var"
+	  fi
+	  test -n "$dep_rpath" && deplibs="$dep_rpath $deplibs"
+	fi
+
+	shlibpath="$finalize_shlibpath"
+	test "$opt_mode" != relink && shlibpath="$compile_shlibpath$shlibpath"
+	if test -n "$shlibpath"; then
+	  eval "$shlibpath_var='$shlibpath\$$shlibpath_var'; export $shlibpath_var"
+	fi
+
+	# Get the real and link names of the library.
+	eval shared_ext=\"$shrext_cmds\"
+	eval library_names=\"$library_names_spec\"
+	set dummy $library_names
+	shift
+	realname="$1"
+	shift
+
+	if test -n "$soname_spec"; then
+	  eval soname=\"$soname_spec\"
+	else
+	  soname="$realname"
+	fi
+	if test -z "$dlname"; then
+	  dlname=$soname
+	fi
+
+	lib="$output_objdir/$realname"
+	linknames=
+	for link
+	do
+	  func_append linknames " $link"
+	done
+
+	# Use standard objects if they are pic
+	test -z "$pic_flag" && libobjs=`$ECHO "$libobjs" | $SP2NL | $SED "$lo2o" | $NL2SP`
+	test "X$libobjs" = "X " && libobjs=
+
+	delfiles=
+	if test -n "$export_symbols" && test -n "$include_expsyms"; then
+	  $opt_dry_run || cp "$export_symbols" "$output_objdir/$libname.uexp"
+	  export_symbols="$output_objdir/$libname.uexp"
+	  func_append delfiles " $export_symbols"
+	fi
+
+	orig_export_symbols=
+	case $host_os in
+	cygwin* | mingw* | cegcc*)
+	  if test -n "$export_symbols" && test -z "$export_symbols_regex"; then
+	    # exporting using user supplied symfile
+	    if test "x`$SED 1q $export_symbols`" != xEXPORTS; then
+	      # and it's NOT already a .def file. Must figure out
+	      # which of the given symbols are data symbols and tag
+	      # them as such. So, trigger use of export_symbols_cmds.
+	      # export_symbols gets reassigned inside the "prepare
+	      # the list of exported symbols" if statement, so the
+	      # include_expsyms logic still works.
+	      orig_export_symbols="$export_symbols"
+	      export_symbols=
+	      always_export_symbols=yes
+	    fi
+	  fi
+	  ;;
+	esac
+
+	# Prepare the list of exported symbols
+	if test -z "$export_symbols"; then
+	  if test "$always_export_symbols" = yes || test -n "$export_symbols_regex"; then
+	    func_verbose "generating symbol list for \`$libname.la'"
+	    export_symbols="$output_objdir/$libname.exp"
+	    $opt_dry_run || $RM $export_symbols
+	    cmds=$export_symbols_cmds
+	    save_ifs="$IFS"; IFS='~'
+	    for cmd1 in $cmds; do
+	      IFS="$save_ifs"
+	      # Take the normal branch if the nm_file_list_spec branch
+	      # doesn't work or if tool conversion is not needed.
+	      case $nm_file_list_spec~$to_tool_file_cmd in
+		*~func_convert_file_noop | *~func_convert_file_msys_to_w32 | ~*)
+		  try_normal_branch=yes
+		  eval cmd=\"$cmd1\"
+		  func_len " $cmd"
+		  len=$func_len_result
+		  ;;
+		*)
+		  try_normal_branch=no
+		  ;;
+	      esac
+	      if test "$try_normal_branch" = yes \
+		 && { test "$len" -lt "$max_cmd_len" \
+		      || test "$max_cmd_len" -le -1; }
+	      then
+		func_show_eval "$cmd" 'exit $?'
+		skipped_export=false
+	      elif test -n "$nm_file_list_spec"; then
+		func_basename "$output"
+		output_la=$func_basename_result
+		save_libobjs=$libobjs
+		save_output=$output
+		output=${output_objdir}/${output_la}.nm
+		func_to_tool_file "$output"
+		libobjs=$nm_file_list_spec$func_to_tool_file_result
+		func_append delfiles " $output"
+		func_verbose "creating $NM input file list: $output"
+		for obj in $save_libobjs; do
+		  func_to_tool_file "$obj"
+		  $ECHO "$func_to_tool_file_result"
+		done > "$output"
+		eval cmd=\"$cmd1\"
+		func_show_eval "$cmd" 'exit $?'
+		output=$save_output
+		libobjs=$save_libobjs
+		skipped_export=false
+	      else
+		# The command line is too long to execute in one step.
+		func_verbose "using reloadable object file for export list..."
+		skipped_export=:
+		# Break out early, otherwise skipped_export may be
+		# set to false by a later but shorter cmd.
+		break
+	      fi
+	    done
+	    IFS="$save_ifs"
+	    if test -n "$export_symbols_regex" && test "X$skipped_export" != "X:"; then
+	      func_show_eval '$EGREP -e "$export_symbols_regex" "$export_symbols" > "${export_symbols}T"'
+	      func_show_eval '$MV "${export_symbols}T" "$export_symbols"'
+	    fi
+	  fi
+	fi
+
+	if test -n "$export_symbols" && test -n "$include_expsyms"; then
+	  tmp_export_symbols="$export_symbols"
+	  test -n "$orig_export_symbols" && tmp_export_symbols="$orig_export_symbols"
+	  $opt_dry_run || eval '$ECHO "$include_expsyms" | $SP2NL >> "$tmp_export_symbols"'
+	fi
+
+	if test "X$skipped_export" != "X:" && test -n "$orig_export_symbols"; then
+	  # The given exports_symbols file has to be filtered, so filter it.
+	  func_verbose "filter symbol list for \`$libname.la' to tag DATA exports"
+	  # FIXME: $output_objdir/$libname.filter potentially contains lots of
+	  # 's' commands which not all seds can handle. GNU sed should be fine
+	  # though. Also, the filter scales superlinearly with the number of
+	  # global variables. join(1) would be nice here, but unfortunately
+	  # isn't a blessed tool.
+	  $opt_dry_run || $SED -e '/[ ,]DATA/!d;s,\(.*\)\([ \,].*\),s|^\1$|\1\2|,' < $export_symbols > $output_objdir/$libname.filter
+	  func_append delfiles " $export_symbols $output_objdir/$libname.filter"
+	  export_symbols=$output_objdir/$libname.def
+	  $opt_dry_run || $SED -f $output_objdir/$libname.filter < $orig_export_symbols > $export_symbols
+	fi
+
+	tmp_deplibs=
+	for test_deplib in $deplibs; do
+	  case " $convenience " in
+	  *" $test_deplib "*) ;;
+	  *)
+	    func_append tmp_deplibs " $test_deplib"
+	    ;;
+	  esac
+	done
+	deplibs="$tmp_deplibs"
+
+	if test -n "$convenience"; then
+	  if test -n "$whole_archive_flag_spec" &&
+	    test "$compiler_needs_object" = yes &&
+	    test -z "$libobjs"; then
+	    # extract the archives, so we have objects to list.
+	    # TODO: could optimize this to just extract one archive.
+	    whole_archive_flag_spec=
+	  fi
+	  if test -n "$whole_archive_flag_spec"; then
+	    save_libobjs=$libobjs
+	    eval libobjs=\"\$libobjs $whole_archive_flag_spec\"
+	    test "X$libobjs" = "X " && libobjs=
+	  else
+	    gentop="$output_objdir/${outputname}x"
+	    func_append generated " $gentop"
+
+	    func_extract_archives $gentop $convenience
+	    func_append libobjs " $func_extract_archives_result"
+	    test "X$libobjs" = "X " && libobjs=
+	  fi
+	fi
+
+	if test "$thread_safe" = yes && test -n "$thread_safe_flag_spec"; then
+	  eval flag=\"$thread_safe_flag_spec\"
+	  func_append linker_flags " $flag"
+	fi
+
+	# Make a backup of the uninstalled library when relinking
+	if test "$opt_mode" = relink; then
+	  $opt_dry_run || eval '(cd $output_objdir && $RM ${realname}U && $MV $realname ${realname}U)' || exit $?
+	fi
+
+	# Do each of the archive commands.
+	if test "$module" = yes && test -n "$module_cmds" ; then
+	  if test -n "$export_symbols" && test -n "$module_expsym_cmds"; then
+	    eval test_cmds=\"$module_expsym_cmds\"
+	    cmds=$module_expsym_cmds
+	  else
+	    eval test_cmds=\"$module_cmds\"
+	    cmds=$module_cmds
+	  fi
+	else
+	  if test -n "$export_symbols" && test -n "$archive_expsym_cmds"; then
+	    eval test_cmds=\"$archive_expsym_cmds\"
+	    cmds=$archive_expsym_cmds
+	  else
+	    eval test_cmds=\"$archive_cmds\"
+	    cmds=$archive_cmds
+	  fi
+	fi
+
+	if test "X$skipped_export" != "X:" &&
+	   func_len " $test_cmds" &&
+	   len=$func_len_result &&
+	   test "$len" -lt "$max_cmd_len" || test "$max_cmd_len" -le -1; then
+	  :
+	else
+	  # The command line is too long to link in one step, link piecewise
+	  # or, if using GNU ld and skipped_export is not :, use a linker
+	  # script.
+
+	  # Save the value of $output and $libobjs because we want to
+	  # use them later.  If we have whole_archive_flag_spec, we
+	  # want to use save_libobjs as it was before
+	  # whole_archive_flag_spec was expanded, because we can't
+	  # assume the linker understands whole_archive_flag_spec.
+	  # This may have to be revisited, in case too many
+	  # convenience libraries get linked in and end up exceeding
+	  # the spec.
+	  if test -z "$convenience" || test -z "$whole_archive_flag_spec"; then
+	    save_libobjs=$libobjs
+	  fi
+	  save_output=$output
+	  func_basename "$output"
+	  output_la=$func_basename_result
+
+	  # Clear the reloadable object creation command queue and
+	  # initialize k to one.
+	  test_cmds=
+	  concat_cmds=
+	  objlist=
+	  last_robj=
+	  k=1
+
+	  if test -n "$save_libobjs" && test "X$skipped_export" != "X:" && test "$with_gnu_ld" = yes; then
+	    output=${output_objdir}/${output_la}.lnkscript
+	    func_verbose "creating GNU ld script: $output"
+	    echo 'INPUT (' > $output
+	    for obj in $save_libobjs
+	    do
+	      func_to_tool_file "$obj"
+	      $ECHO "$func_to_tool_file_result" >> $output
+	    done
+	    echo ')' >> $output
+	    func_append delfiles " $output"
+	    func_to_tool_file "$output"
+	    output=$func_to_tool_file_result
+	  elif test -n "$save_libobjs" && test "X$skipped_export" != "X:" && test "X$file_list_spec" != X; then
+	    output=${output_objdir}/${output_la}.lnk
+	    func_verbose "creating linker input file list: $output"
+	    : > $output
+	    set x $save_libobjs
+	    shift
+	    firstobj=
+	    if test "$compiler_needs_object" = yes; then
+	      firstobj="$1 "
+	      shift
+	    fi
+	    for obj
+	    do
+	      func_to_tool_file "$obj"
+	      $ECHO "$func_to_tool_file_result" >> $output
+	    done
+	    func_append delfiles " $output"
+	    func_to_tool_file "$output"
+	    output=$firstobj\"$file_list_spec$func_to_tool_file_result\"
+	  else
+	    if test -n "$save_libobjs"; then
+	      func_verbose "creating reloadable object files..."
+	      output=$output_objdir/$output_la-${k}.$objext
+	      eval test_cmds=\"$reload_cmds\"
+	      func_len " $test_cmds"
+	      len0=$func_len_result
+	      len=$len0
+
+	      # Loop over the list of objects to be linked.
+	      for obj in $save_libobjs
+	      do
+		func_len " $obj"
+		func_arith $len + $func_len_result
+		len=$func_arith_result
+		if test "X$objlist" = X ||
+		   test "$len" -lt "$max_cmd_len"; then
+		  func_append objlist " $obj"
+		else
+		  # The command $test_cmds is almost too long, add a
+		  # command to the queue.
+		  if test "$k" -eq 1 ; then
+		    # The first file doesn't have a previous command to add.
+		    reload_objs=$objlist
+		    eval concat_cmds=\"$reload_cmds\"
+		  else
+		    # All subsequent reloadable object files will link in
+		    # the last one created.
+		    reload_objs="$objlist $last_robj"
+		    eval concat_cmds=\"\$concat_cmds~$reload_cmds~\$RM $last_robj\"
+		  fi
+		  last_robj=$output_objdir/$output_la-${k}.$objext
+		  func_arith $k + 1
+		  k=$func_arith_result
+		  output=$output_objdir/$output_la-${k}.$objext
+		  objlist=" $obj"
+		  func_len " $last_robj"
+		  func_arith $len0 + $func_len_result
+		  len=$func_arith_result
+		fi
+	      done
+	      # Handle the remaining objects by creating one last
+	      # reloadable object file.  All subsequent reloadable object
+	      # files will link in the last one created.
+	      test -z "$concat_cmds" || concat_cmds=$concat_cmds~
+	      reload_objs="$objlist $last_robj"
+	      eval concat_cmds=\"\${concat_cmds}$reload_cmds\"
+	      if test -n "$last_robj"; then
+	        eval concat_cmds=\"\${concat_cmds}~\$RM $last_robj\"
+	      fi
+	      func_append delfiles " $output"
+
+	    else
+	      output=
+	    fi
+
+	    if ${skipped_export-false}; then
+	      func_verbose "generating symbol list for \`$libname.la'"
+	      export_symbols="$output_objdir/$libname.exp"
+	      $opt_dry_run || $RM $export_symbols
+	      libobjs=$output
+	      # Append the command to create the export file.
+	      test -z "$concat_cmds" || concat_cmds=$concat_cmds~
+	      eval concat_cmds=\"\$concat_cmds$export_symbols_cmds\"
+	      if test -n "$last_robj"; then
+		eval concat_cmds=\"\$concat_cmds~\$RM $last_robj\"
+	      fi
+	    fi
+
+	    test -n "$save_libobjs" &&
+	      func_verbose "creating a temporary reloadable object file: $output"
+
+	    # Loop through the commands generated above and execute them.
+	    save_ifs="$IFS"; IFS='~'
+	    for cmd in $concat_cmds; do
+	      IFS="$save_ifs"
+	      $opt_silent || {
+		  func_quote_for_expand "$cmd"
+		  eval "func_echo $func_quote_for_expand_result"
+	      }
+	      $opt_dry_run || eval "$cmd" || {
+		lt_exit=$?
+
+		# Restore the uninstalled library and exit
+		if test "$opt_mode" = relink; then
+		  ( cd "$output_objdir" && \
+		    $RM "${realname}T" && \
+		    $MV "${realname}U" "$realname" )
+		fi
+
+		exit $lt_exit
+	      }
+	    done
+	    IFS="$save_ifs"
+
+	    if test -n "$export_symbols_regex" && ${skipped_export-false}; then
+	      func_show_eval '$EGREP -e "$export_symbols_regex" "$export_symbols" > "${export_symbols}T"'
+	      func_show_eval '$MV "${export_symbols}T" "$export_symbols"'
+	    fi
+	  fi
+
+          if ${skipped_export-false}; then
+	    if test -n "$export_symbols" && test -n "$include_expsyms"; then
+	      tmp_export_symbols="$export_symbols"
+	      test -n "$orig_export_symbols" && tmp_export_symbols="$orig_export_symbols"
+	      $opt_dry_run || eval '$ECHO "$include_expsyms" | $SP2NL >> "$tmp_export_symbols"'
+	    fi
+
+	    if test -n "$orig_export_symbols"; then
+	      # The given exports_symbols file has to be filtered, so filter it.
+	      func_verbose "filter symbol list for \`$libname.la' to tag DATA exports"
+	      # FIXME: $output_objdir/$libname.filter potentially contains lots of
+	      # 's' commands which not all seds can handle. GNU sed should be fine
+	      # though. Also, the filter scales superlinearly with the number of
+	      # global variables. join(1) would be nice here, but unfortunately
+	      # isn't a blessed tool.
+	      $opt_dry_run || $SED -e '/[ ,]DATA/!d;s,\(.*\)\([ \,].*\),s|^\1$|\1\2|,' < $export_symbols > $output_objdir/$libname.filter
+	      func_append delfiles " $export_symbols $output_objdir/$libname.filter"
+	      export_symbols=$output_objdir/$libname.def
+	      $opt_dry_run || $SED -f $output_objdir/$libname.filter < $orig_export_symbols > $export_symbols
+	    fi
+	  fi
+
+	  libobjs=$output
+	  # Restore the value of output.
+	  output=$save_output
+
+	  if test -n "$convenience" && test -n "$whole_archive_flag_spec"; then
+	    eval libobjs=\"\$libobjs $whole_archive_flag_spec\"
+	    test "X$libobjs" = "X " && libobjs=
+	  fi
+	  # Expand the library linking commands again to reset the
+	  # value of $libobjs for piecewise linking.
+
+	  # Do each of the archive commands.
+	  if test "$module" = yes && test -n "$module_cmds" ; then
+	    if test -n "$export_symbols" && test -n "$module_expsym_cmds"; then
+	      cmds=$module_expsym_cmds
+	    else
+	      cmds=$module_cmds
+	    fi
+	  else
+	    if test -n "$export_symbols" && test -n "$archive_expsym_cmds"; then
+	      cmds=$archive_expsym_cmds
+	    else
+	      cmds=$archive_cmds
+	    fi
+	  fi
+	fi
+
+	if test -n "$delfiles"; then
+	  # Append the command to remove temporary files to $cmds.
+	  eval cmds=\"\$cmds~\$RM $delfiles\"
+	fi
+
+	# Add any objects from preloaded convenience libraries
+	if test -n "$dlprefiles"; then
+	  gentop="$output_objdir/${outputname}x"
+	  func_append generated " $gentop"
+
+	  func_extract_archives $gentop $dlprefiles
+	  func_append libobjs " $func_extract_archives_result"
+	  test "X$libobjs" = "X " && libobjs=
+	fi
+
+	save_ifs="$IFS"; IFS='~'
+	for cmd in $cmds; do
+	  IFS="$save_ifs"
+	  eval cmd=\"$cmd\"
+	  $opt_silent || {
+	    func_quote_for_expand "$cmd"
+	    eval "func_echo $func_quote_for_expand_result"
+	  }
+	  $opt_dry_run || eval "$cmd" || {
+	    lt_exit=$?
+
+	    # Restore the uninstalled library and exit
+	    if test "$opt_mode" = relink; then
+	      ( cd "$output_objdir" && \
+	        $RM "${realname}T" && \
+		$MV "${realname}U" "$realname" )
+	    fi
+
+	    exit $lt_exit
+	  }
+	done
+	IFS="$save_ifs"
+
+	# Restore the uninstalled library and exit
+	if test "$opt_mode" = relink; then
+	  $opt_dry_run || eval '(cd $output_objdir && $RM ${realname}T && $MV $realname ${realname}T && $MV ${realname}U $realname)' || exit $?
+
+	  if test -n "$convenience"; then
+	    if test -z "$whole_archive_flag_spec"; then
+	      func_show_eval '${RM}r "$gentop"'
+	    fi
+	  fi
+
+	  exit $EXIT_SUCCESS
+	fi
+
+	# Create links to the real library.
+	for linkname in $linknames; do
+	  if test "$realname" != "$linkname"; then
+	    func_show_eval '(cd "$output_objdir" && $RM "$linkname" && $LN_S "$realname" "$linkname")' 'exit $?'
+	  fi
+	done
+
+	# If -module or -export-dynamic was specified, set the dlname.
+	if test "$module" = yes || test "$export_dynamic" = yes; then
+	  # On all known operating systems, these are identical.
+	  dlname="$soname"
+	fi
+      fi
+      ;;
+
+    obj)
+      if test -n "$dlfiles$dlprefiles" || test "$dlself" != no; then
+	func_warning "\`-dlopen' is ignored for objects"
+      fi
+
+      case " $deplibs" in
+      *\ -l* | *\ -L*)
+	func_warning "\`-l' and \`-L' are ignored for objects" ;;
+      esac
+
+      test -n "$rpath" && \
+	func_warning "\`-rpath' is ignored for objects"
+
+      test -n "$xrpath" && \
+	func_warning "\`-R' is ignored for objects"
+
+      test -n "$vinfo" && \
+	func_warning "\`-version-info' is ignored for objects"
+
+      test -n "$release" && \
+	func_warning "\`-release' is ignored for objects"
+
+      case $output in
+      *.lo)
+	test -n "$objs$old_deplibs" && \
+	  func_fatal_error "cannot build library object \`$output' from non-libtool objects"
+
+	libobj=$output
+	func_lo2o "$libobj"
+	obj=$func_lo2o_result
+	;;
+      *)
+	libobj=
+	obj="$output"
+	;;
+      esac
+
+      # Delete the old objects.
+      $opt_dry_run || $RM $obj $libobj
+
+      # Objects from convenience libraries.  This assumes
+      # single-version convenience libraries.  Whenever we create
+      # different ones for PIC/non-PIC, this we'll have to duplicate
+      # the extraction.
+      reload_conv_objs=
+      gentop=
+      # reload_cmds runs $LD directly, so let us get rid of
+      # -Wl from whole_archive_flag_spec and hope we can get by with
+      # turning comma into space..
+      wl=
+
+      if test -n "$convenience"; then
+	if test -n "$whole_archive_flag_spec"; then
+	  eval tmp_whole_archive_flags=\"$whole_archive_flag_spec\"
+	  reload_conv_objs=$reload_objs\ `$ECHO "$tmp_whole_archive_flags" | $SED 's|,| |g'`
+	else
+	  gentop="$output_objdir/${obj}x"
+	  func_append generated " $gentop"
+
+	  func_extract_archives $gentop $convenience
+	  reload_conv_objs="$reload_objs $func_extract_archives_result"
+	fi
+      fi
+
+      # If we're not building shared, we need to use non_pic_objs
+      test "$build_libtool_libs" != yes && libobjs="$non_pic_objects"
+
+      # Create the old-style object.
+      reload_objs="$objs$old_deplibs "`$ECHO "$libobjs" | $SP2NL | $SED "/\.${libext}$/d; /\.lib$/d; $lo2o" | $NL2SP`" $reload_conv_objs" ### testsuite: skip nested quoting test
+
+      output="$obj"
+      func_execute_cmds "$reload_cmds" 'exit $?'
+
+      # Exit if we aren't doing a library object file.
+      if test -z "$libobj"; then
+	if test -n "$gentop"; then
+	  func_show_eval '${RM}r "$gentop"'
+	fi
+
+	exit $EXIT_SUCCESS
+      fi
+
+      if test "$build_libtool_libs" != yes; then
+	if test -n "$gentop"; then
+	  func_show_eval '${RM}r "$gentop"'
+	fi
+
+	# Create an invalid libtool object if no PIC, so that we don't
+	# accidentally link it into a program.
+	# $show "echo timestamp > $libobj"
+	# $opt_dry_run || eval "echo timestamp > $libobj" || exit $?
+	exit $EXIT_SUCCESS
+      fi
+
+      if test -n "$pic_flag" || test "$pic_mode" != default; then
+	# Only do commands if we really have different PIC objects.
+	reload_objs="$libobjs $reload_conv_objs"
+	output="$libobj"
+	func_execute_cmds "$reload_cmds" 'exit $?'
+      fi
+
+      if test -n "$gentop"; then
+	func_show_eval '${RM}r "$gentop"'
+      fi
+
+      exit $EXIT_SUCCESS
+      ;;
+
+    prog)
+      case $host in
+	*cygwin*) func_stripname '' '.exe' "$output"
+	          output=$func_stripname_result.exe;;
+      esac
+      test -n "$vinfo" && \
+	func_warning "\`-version-info' is ignored for programs"
+
+      test -n "$release" && \
+	func_warning "\`-release' is ignored for programs"
+
+      test "$preload" = yes \
+        && test "$dlopen_support" = unknown \
+	&& test "$dlopen_self" = unknown \
+	&& test "$dlopen_self_static" = unknown && \
+	  func_warning "\`LT_INIT([dlopen])' not used. Assuming no dlopen support."
+
+      case $host in
+      *-*-rhapsody* | *-*-darwin1.[012])
+	# On Rhapsody replace the C library is the System framework
+	compile_deplibs=`$ECHO " $compile_deplibs" | $SED 's/ -lc / System.ltframework /'`
+	finalize_deplibs=`$ECHO " $finalize_deplibs" | $SED 's/ -lc / System.ltframework /'`
+	;;
+      esac
+
+      case $host in
+      *-*-darwin*)
+	# Don't allow lazy linking, it breaks C++ global constructors
+	# But is supposedly fixed on 10.4 or later (yay!).
+	if test "$tagname" = CXX ; then
+	  case ${MACOSX_DEPLOYMENT_TARGET-10.0} in
+	    10.[0123])
+	      func_append compile_command " ${wl}-bind_at_load"
+	      func_append finalize_command " ${wl}-bind_at_load"
+	    ;;
+	  esac
+	fi
+	# Time to change all our "foo.ltframework" stuff back to "-framework foo"
+	compile_deplibs=`$ECHO " $compile_deplibs" | $SED 's% \([^ $]*\).ltframework% -framework \1%g'`
+	finalize_deplibs=`$ECHO " $finalize_deplibs" | $SED 's% \([^ $]*\).ltframework% -framework \1%g'`
+	;;
+      esac
+
+
+      # move library search paths that coincide with paths to not yet
+      # installed libraries to the beginning of the library search list
+      new_libs=
+      for path in $notinst_path; do
+	case " $new_libs " in
+	*" -L$path/$objdir "*) ;;
+	*)
+	  case " $compile_deplibs " in
+	  *" -L$path/$objdir "*)
+	    func_append new_libs " -L$path/$objdir" ;;
+	  esac
+	  ;;
+	esac
+      done
+      for deplib in $compile_deplibs; do
+	case $deplib in
+	-L*)
+	  case " $new_libs " in
+	  *" $deplib "*) ;;
+	  *) func_append new_libs " $deplib" ;;
+	  esac
+	  ;;
+	*) func_append new_libs " $deplib" ;;
+	esac
+      done
+      compile_deplibs="$new_libs"
+
+
+      func_append compile_command " $compile_deplibs"
+      func_append finalize_command " $finalize_deplibs"
+
+      if test -n "$rpath$xrpath"; then
+	# If the user specified any rpath flags, then add them.
+	for libdir in $rpath $xrpath; do
+	  # This is the magic to use -rpath.
+	  case "$finalize_rpath " in
+	  *" $libdir "*) ;;
+	  *) func_append finalize_rpath " $libdir" ;;
+	  esac
+	done
+      fi
+
+      # Now hardcode the library paths
+      rpath=
+      hardcode_libdirs=
+      for libdir in $compile_rpath $finalize_rpath; do
+	if test -n "$hardcode_libdir_flag_spec"; then
+	  if test -n "$hardcode_libdir_separator"; then
+	    if test -z "$hardcode_libdirs"; then
+	      hardcode_libdirs="$libdir"
+	    else
+	      # Just accumulate the unique libdirs.
+	      case $hardcode_libdir_separator$hardcode_libdirs$hardcode_libdir_separator in
+	      *"$hardcode_libdir_separator$libdir$hardcode_libdir_separator"*)
+		;;
+	      *)
+		func_append hardcode_libdirs "$hardcode_libdir_separator$libdir"
+		;;
+	      esac
+	    fi
+	  else
+	    eval flag=\"$hardcode_libdir_flag_spec\"
+	    func_append rpath " $flag"
+	  fi
+	elif test -n "$runpath_var"; then
+	  case "$perm_rpath " in
+	  *" $libdir "*) ;;
+	  *) func_append perm_rpath " $libdir" ;;
+	  esac
+	fi
+	case $host in
+	*-*-cygwin* | *-*-mingw* | *-*-pw32* | *-*-os2* | *-cegcc*)
+	  testbindir=`${ECHO} "$libdir" | ${SED} -e 's*/lib$*/bin*'`
+	  case :$dllsearchpath: in
+	  *":$libdir:"*) ;;
+	  ::) dllsearchpath=$libdir;;
+	  *) func_append dllsearchpath ":$libdir";;
+	  esac
+	  case :$dllsearchpath: in
+	  *":$testbindir:"*) ;;
+	  ::) dllsearchpath=$testbindir;;
+	  *) func_append dllsearchpath ":$testbindir";;
+	  esac
+	  ;;
+	esac
+      done
+      # Substitute the hardcoded libdirs into the rpath.
+      if test -n "$hardcode_libdir_separator" &&
+	 test -n "$hardcode_libdirs"; then
+	libdir="$hardcode_libdirs"
+	eval rpath=\" $hardcode_libdir_flag_spec\"
+      fi
+      compile_rpath="$rpath"
+
+      rpath=
+      hardcode_libdirs=
+      for libdir in $finalize_rpath; do
+	if test -n "$hardcode_libdir_flag_spec"; then
+	  if test -n "$hardcode_libdir_separator"; then
+	    if test -z "$hardcode_libdirs"; then
+	      hardcode_libdirs="$libdir"
+	    else
+	      # Just accumulate the unique libdirs.
+	      case $hardcode_libdir_separator$hardcode_libdirs$hardcode_libdir_separator in
+	      *"$hardcode_libdir_separator$libdir$hardcode_libdir_separator"*)
+		;;
+	      *)
+		func_append hardcode_libdirs "$hardcode_libdir_separator$libdir"
+		;;
+	      esac
+	    fi
+	  else
+	    eval flag=\"$hardcode_libdir_flag_spec\"
+	    func_append rpath " $flag"
+	  fi
+	elif test -n "$runpath_var"; then
+	  case "$finalize_perm_rpath " in
+	  *" $libdir "*) ;;
+	  *) func_append finalize_perm_rpath " $libdir" ;;
+	  esac
+	fi
+      done
+      # Substitute the hardcoded libdirs into the rpath.
+      if test -n "$hardcode_libdir_separator" &&
+	 test -n "$hardcode_libdirs"; then
+	libdir="$hardcode_libdirs"
+	eval rpath=\" $hardcode_libdir_flag_spec\"
+      fi
+      finalize_rpath="$rpath"
+
+      if test -n "$libobjs" && test "$build_old_libs" = yes; then
+	# Transform all the library objects into standard objects.
+	compile_command=`$ECHO "$compile_command" | $SP2NL | $SED "$lo2o" | $NL2SP`
+	finalize_command=`$ECHO "$finalize_command" | $SP2NL | $SED "$lo2o" | $NL2SP`
+      fi
+
+      func_generate_dlsyms "$outputname" "@PROGRAM@" "no"
+
+      # template prelinking step
+      if test -n "$prelink_cmds"; then
+	func_execute_cmds "$prelink_cmds" 'exit $?'
+      fi
+
+      wrappers_required=yes
+      case $host in
+      *cegcc* | *mingw32ce*)
+        # Disable wrappers for cegcc and mingw32ce hosts, we are cross compiling anyway.
+        wrappers_required=no
+        ;;
+      *cygwin* | *mingw* )
+        if test "$build_libtool_libs" != yes; then
+          wrappers_required=no
+        fi
+        ;;
+      *)
+        if test "$need_relink" = no || test "$build_libtool_libs" != yes; then
+          wrappers_required=no
+        fi
+        ;;
+      esac
+      if test "$wrappers_required" = no; then
+	# Replace the output file specification.
+	compile_command=`$ECHO "$compile_command" | $SED 's%@OUTPUT@%'"$output"'%g'`
+	link_command="$compile_command$compile_rpath"
+
+	# We have no uninstalled library dependencies, so finalize right now.
+	exit_status=0
+	func_show_eval "$link_command" 'exit_status=$?'
+
+	if test -n "$postlink_cmds"; then
+	  func_to_tool_file "$output"
+	  postlink_cmds=`func_echo_all "$postlink_cmds" | $SED -e 's%@OUTPUT@%'"$output"'%g' -e 's%@TOOL_OUTPUT@%'"$func_to_tool_file_result"'%g'`
+	  func_execute_cmds "$postlink_cmds" 'exit $?'
+	fi
+
+	# Delete the generated files.
+	if test -f "$output_objdir/${outputname}S.${objext}"; then
+	  func_show_eval '$RM "$output_objdir/${outputname}S.${objext}"'
+	fi
+
+	exit $exit_status
+      fi
+
+      if test -n "$compile_shlibpath$finalize_shlibpath"; then
+	compile_command="$shlibpath_var=\"$compile_shlibpath$finalize_shlibpath\$$shlibpath_var\" $compile_command"
+      fi
+      if test -n "$finalize_shlibpath"; then
+	finalize_command="$shlibpath_var=\"$finalize_shlibpath\$$shlibpath_var\" $finalize_command"
+      fi
+
+      compile_var=
+      finalize_var=
+      if test -n "$runpath_var"; then
+	if test -n "$perm_rpath"; then
+	  # We should set the runpath_var.
+	  rpath=
+	  for dir in $perm_rpath; do
+	    func_append rpath "$dir:"
+	  done
+	  compile_var="$runpath_var=\"$rpath\$$runpath_var\" "
+	fi
+	if test -n "$finalize_perm_rpath"; then
+	  # We should set the runpath_var.
+	  rpath=
+	  for dir in $finalize_perm_rpath; do
+	    func_append rpath "$dir:"
+	  done
+	  finalize_var="$runpath_var=\"$rpath\$$runpath_var\" "
+	fi
+      fi
+
+      if test "$no_install" = yes; then
+	# We don't need to create a wrapper script.
+	link_command="$compile_var$compile_command$compile_rpath"
+	# Replace the output file specification.
+	link_command=`$ECHO "$link_command" | $SED 's%@OUTPUT@%'"$output"'%g'`
+	# Delete the old output file.
+	$opt_dry_run || $RM $output
+	# Link the executable and exit
+	func_show_eval "$link_command" 'exit $?'
+
+	if test -n "$postlink_cmds"; then
+	  func_to_tool_file "$output"
+	  postlink_cmds=`func_echo_all "$postlink_cmds" | $SED -e 's%@OUTPUT@%'"$output"'%g' -e 's%@TOOL_OUTPUT@%'"$func_to_tool_file_result"'%g'`
+	  func_execute_cmds "$postlink_cmds" 'exit $?'
+	fi
+
+	exit $EXIT_SUCCESS
+      fi
+
+      if test "$hardcode_action" = relink; then
+	# Fast installation is not supported
+	link_command="$compile_var$compile_command$compile_rpath"
+	relink_command="$finalize_var$finalize_command$finalize_rpath"
+
+	func_warning "this platform does not like uninstalled shared libraries"
+	func_warning "\`$output' will be relinked during installation"
+      else
+	if test "$fast_install" != no; then
+	  link_command="$finalize_var$compile_command$finalize_rpath"
+	  if test "$fast_install" = yes; then
+	    relink_command=`$ECHO "$compile_var$compile_command$compile_rpath" | $SED 's%@OUTPUT@%\$progdir/\$file%g'`
+	  else
+	    # fast_install is set to needless
+	    relink_command=
+	  fi
+	else
+	  link_command="$compile_var$compile_command$compile_rpath"
+	  relink_command="$finalize_var$finalize_command$finalize_rpath"
+	fi
+      fi
+
+      # Replace the output file specification.
+      link_command=`$ECHO "$link_command" | $SED 's%@OUTPUT@%'"$output_objdir/$outputname"'%g'`
+
+      # Delete the old output files.
+      $opt_dry_run || $RM $output $output_objdir/$outputname $output_objdir/lt-$outputname
+
+      func_show_eval "$link_command" 'exit $?'
+
+      if test -n "$postlink_cmds"; then
+	func_to_tool_file "$output_objdir/$outputname"
+	postlink_cmds=`func_echo_all "$postlink_cmds" | $SED -e 's%@OUTPUT@%'"$output_objdir/$outputname"'%g' -e 's%@TOOL_OUTPUT@%'"$func_to_tool_file_result"'%g'`
+	func_execute_cmds "$postlink_cmds" 'exit $?'
+      fi
+
+      # Now create the wrapper script.
+      func_verbose "creating $output"
+
+      # Quote the relink command for shipping.
+      if test -n "$relink_command"; then
+	# Preserve any variables that may affect compiler behavior
+	for var in $variables_saved_for_relink; do
+	  if eval test -z \"\${$var+set}\"; then
+	    relink_command="{ test -z \"\${$var+set}\" || $lt_unset $var || { $var=; export $var; }; }; $relink_command"
+	  elif eval var_value=\$$var; test -z "$var_value"; then
+	    relink_command="$var=; export $var; $relink_command"
+	  else
+	    func_quote_for_eval "$var_value"
+	    relink_command="$var=$func_quote_for_eval_result; export $var; $relink_command"
+	  fi
+	done
+	relink_command="(cd `pwd`; $relink_command)"
+	relink_command=`$ECHO "$relink_command" | $SED "$sed_quote_subst"`
+      fi
+
+      # Only actually do things if not in dry run mode.
+      $opt_dry_run || {
+	# win32 will think the script is a binary if it has
+	# a .exe suffix, so we strip it off here.
+	case $output in
+	  *.exe) func_stripname '' '.exe' "$output"
+	         output=$func_stripname_result ;;
+	esac
+	# test for cygwin because mv fails w/o .exe extensions
+	case $host in
+	  *cygwin*)
+	    exeext=.exe
+	    func_stripname '' '.exe' "$outputname"
+	    outputname=$func_stripname_result ;;
+	  *) exeext= ;;
+	esac
+	case $host in
+	  *cygwin* | *mingw* )
+	    func_dirname_and_basename "$output" "" "."
+	    output_name=$func_basename_result
+	    output_path=$func_dirname_result
+	    cwrappersource="$output_path/$objdir/lt-$output_name.c"
+	    cwrapper="$output_path/$output_name.exe"
+	    $RM $cwrappersource $cwrapper
+	    trap "$RM $cwrappersource $cwrapper; exit $EXIT_FAILURE" 1 2 15
+
+	    func_emit_cwrapperexe_src > $cwrappersource
+
+	    # The wrapper executable is built using the $host compiler,
+	    # because it contains $host paths and files. If cross-
+	    # compiling, it, like the target executable, must be
+	    # executed on the $host or under an emulation environment.
+	    $opt_dry_run || {
+	      $LTCC $LTCFLAGS -o $cwrapper $cwrappersource
+	      $STRIP $cwrapper
+	    }
+
+	    # Now, create the wrapper script for func_source use:
+	    func_ltwrapper_scriptname $cwrapper
+	    $RM $func_ltwrapper_scriptname_result
+	    trap "$RM $func_ltwrapper_scriptname_result; exit $EXIT_FAILURE" 1 2 15
+	    $opt_dry_run || {
+	      # note: this script will not be executed, so do not chmod.
+	      if test "x$build" = "x$host" ; then
+		$cwrapper --lt-dump-script > $func_ltwrapper_scriptname_result
+	      else
+		func_emit_wrapper no > $func_ltwrapper_scriptname_result
+	      fi
+	    }
+	  ;;
+	  * )
+	    $RM $output
+	    trap "$RM $output; exit $EXIT_FAILURE" 1 2 15
+
+	    func_emit_wrapper no > $output
+	    chmod +x $output
+	  ;;
+	esac
+      }
+      exit $EXIT_SUCCESS
+      ;;
+    esac
+
+    # See if we need to build an old-fashioned archive.
+    for oldlib in $oldlibs; do
+
+      if test "$build_libtool_libs" = convenience; then
+	oldobjs="$libobjs_save $symfileobj"
+	addlibs="$convenience"
+	build_libtool_libs=no
+      else
+	if test "$build_libtool_libs" = module; then
+	  oldobjs="$libobjs_save"
+	  build_libtool_libs=no
+	else
+	  oldobjs="$old_deplibs $non_pic_objects"
+	  if test "$preload" = yes && test -f "$symfileobj"; then
+	    func_append oldobjs " $symfileobj"
+	  fi
+	fi
+	addlibs="$old_convenience"
+      fi
+
+      if test -n "$addlibs"; then
+	gentop="$output_objdir/${outputname}x"
+	func_append generated " $gentop"
+
+	func_extract_archives $gentop $addlibs
+	func_append oldobjs " $func_extract_archives_result"
+      fi
+
+      # Do each command in the archive commands.
+      if test -n "$old_archive_from_new_cmds" && test "$build_libtool_libs" = yes; then
+	cmds=$old_archive_from_new_cmds
+      else
+
+	# Add any objects from preloaded convenience libraries
+	if test -n "$dlprefiles"; then
+	  gentop="$output_objdir/${outputname}x"
+	  func_append generated " $gentop"
+
+	  func_extract_archives $gentop $dlprefiles
+	  func_append oldobjs " $func_extract_archives_result"
+	fi
+
+	# POSIX demands no paths to be encoded in archives.  We have
+	# to avoid creating archives with duplicate basenames if we
+	# might have to extract them afterwards, e.g., when creating a
+	# static archive out of a convenience library, or when linking
+	# the entirety of a libtool archive into another (currently
+	# not supported by libtool).
+	if (for obj in $oldobjs
+	    do
+	      func_basename "$obj"
+	      $ECHO "$func_basename_result"
+	    done | sort | sort -uc >/dev/null 2>&1); then
+	  :
+	else
+	  echo "copying selected object files to avoid basename conflicts..."
+	  gentop="$output_objdir/${outputname}x"
+	  func_append generated " $gentop"
+	  func_mkdir_p "$gentop"
+	  save_oldobjs=$oldobjs
+	  oldobjs=
+	  counter=1
+	  for obj in $save_oldobjs
+	  do
+	    func_basename "$obj"
+	    objbase="$func_basename_result"
+	    case " $oldobjs " in
+	    " ") oldobjs=$obj ;;
+	    *[\ /]"$objbase "*)
+	      while :; do
+		# Make sure we don't pick an alternate name that also
+		# overlaps.
+		newobj=lt$counter-$objbase
+		func_arith $counter + 1
+		counter=$func_arith_result
+		case " $oldobjs " in
+		*[\ /]"$newobj "*) ;;
+		*) if test ! -f "$gentop/$newobj"; then break; fi ;;
+		esac
+	      done
+	      func_show_eval "ln $obj $gentop/$newobj || cp $obj $gentop/$newobj"
+	      func_append oldobjs " $gentop/$newobj"
+	      ;;
+	    *) func_append oldobjs " $obj" ;;
+	    esac
+	  done
+	fi
+	func_to_tool_file "$oldlib" func_convert_file_msys_to_w32
+	tool_oldlib=$func_to_tool_file_result
+	eval cmds=\"$old_archive_cmds\"
+
+	func_len " $cmds"
+	len=$func_len_result
+	if test "$len" -lt "$max_cmd_len" || test "$max_cmd_len" -le -1; then
+	  cmds=$old_archive_cmds
+	elif test -n "$archiver_list_spec"; then
+	  func_verbose "using command file archive linking..."
+	  for obj in $oldobjs
+	  do
+	    func_to_tool_file "$obj"
+	    $ECHO "$func_to_tool_file_result"
+	  done > $output_objdir/$libname.libcmd
+	  func_to_tool_file "$output_objdir/$libname.libcmd"
+	  oldobjs=" $archiver_list_spec$func_to_tool_file_result"
+	  cmds=$old_archive_cmds
+	else
+	  # the command line is too long to link in one step, link in parts
+	  func_verbose "using piecewise archive linking..."
+	  save_RANLIB=$RANLIB
+	  RANLIB=:
+	  objlist=
+	  concat_cmds=
+	  save_oldobjs=$oldobjs
+	  oldobjs=
+	  # Is there a better way of finding the last object in the list?
+	  for obj in $save_oldobjs
+	  do
+	    last_oldobj=$obj
+	  done
+	  eval test_cmds=\"$old_archive_cmds\"
+	  func_len " $test_cmds"
+	  len0=$func_len_result
+	  len=$len0
+	  for obj in $save_oldobjs
+	  do
+	    func_len " $obj"
+	    func_arith $len + $func_len_result
+	    len=$func_arith_result
+	    func_append objlist " $obj"
+	    if test "$len" -lt "$max_cmd_len"; then
+	      :
+	    else
+	      # the above command should be used before it gets too long
+	      oldobjs=$objlist
+	      if test "$obj" = "$last_oldobj" ; then
+		RANLIB=$save_RANLIB
+	      fi
+	      test -z "$concat_cmds" || concat_cmds=$concat_cmds~
+	      eval concat_cmds=\"\${concat_cmds}$old_archive_cmds\"
+	      objlist=
+	      len=$len0
+	    fi
+	  done
+	  RANLIB=$save_RANLIB
+	  oldobjs=$objlist
+	  if test "X$oldobjs" = "X" ; then
+	    eval cmds=\"\$concat_cmds\"
+	  else
+	    eval cmds=\"\$concat_cmds~\$old_archive_cmds\"
+	  fi
+	fi
+      fi
+      func_execute_cmds "$cmds" 'exit $?'
+    done
+
+    test -n "$generated" && \
+      func_show_eval "${RM}r$generated"
+
+    # Now create the libtool archive.
+    case $output in
+    *.la)
+      old_library=
+      test "$build_old_libs" = yes && old_library="$libname.$libext"
+      func_verbose "creating $output"
+
+      # Preserve any variables that may affect compiler behavior
+      for var in $variables_saved_for_relink; do
+	if eval test -z \"\${$var+set}\"; then
+	  relink_command="{ test -z \"\${$var+set}\" || $lt_unset $var || { $var=; export $var; }; }; $relink_command"
+	elif eval var_value=\$$var; test -z "$var_value"; then
+	  relink_command="$var=; export $var; $relink_command"
+	else
+	  func_quote_for_eval "$var_value"
+	  relink_command="$var=$func_quote_for_eval_result; export $var; $relink_command"
+	fi
+      done
+      # Quote the link command for shipping.
+      relink_command="(cd `pwd`; $SHELL $progpath $preserve_args --mode=relink $libtool_args @inst_prefix_dir@)"
+      relink_command=`$ECHO "$relink_command" | $SED "$sed_quote_subst"`
+      if test "$hardcode_automatic" = yes ; then
+	relink_command=
+      fi
+
+      # Only create the output if not a dry run.
+      $opt_dry_run || {
+	for installed in no yes; do
+	  if test "$installed" = yes; then
+	    if test -z "$install_libdir"; then
+	      break
+	    fi
+	    output="$output_objdir/$outputname"i
+	    # Replace all uninstalled libtool libraries with the installed ones
+	    newdependency_libs=
+	    for deplib in $dependency_libs; do
+	      case $deplib in
+	      *.la)
+		func_basename "$deplib"
+		name="$func_basename_result"
+		func_resolve_sysroot "$deplib"
+		eval libdir=`${SED} -n -e 's/^libdir=\(.*\)$/\1/p' $func_resolve_sysroot_result`
+		test -z "$libdir" && \
+		  func_fatal_error "\`$deplib' is not a valid libtool archive"
+		func_append newdependency_libs " ${lt_sysroot:+=}$libdir/$name"
+		;;
+	      -L*)
+		func_stripname -L '' "$deplib"
+		func_replace_sysroot "$func_stripname_result"
+		func_append newdependency_libs " -L$func_replace_sysroot_result"
+		;;
+	      -R*)
+		func_stripname -R '' "$deplib"
+		func_replace_sysroot "$func_stripname_result"
+		func_append newdependency_libs " -R$func_replace_sysroot_result"
+		;;
+	      *) func_append newdependency_libs " $deplib" ;;
+	      esac
+	    done
+	    dependency_libs="$newdependency_libs"
+	    newdlfiles=
+
+	    for lib in $dlfiles; do
+	      case $lib in
+	      *.la)
+	        func_basename "$lib"
+		name="$func_basename_result"
+		eval libdir=`${SED} -n -e 's/^libdir=\(.*\)$/\1/p' $lib`
+		test -z "$libdir" && \
+		  func_fatal_error "\`$lib' is not a valid libtool archive"
+		func_append newdlfiles " ${lt_sysroot:+=}$libdir/$name"
+		;;
+	      *) func_append newdlfiles " $lib" ;;
+	      esac
+	    done
+	    dlfiles="$newdlfiles"
+	    newdlprefiles=
+	    for lib in $dlprefiles; do
+	      case $lib in
+	      *.la)
+		# Only pass preopened files to the pseudo-archive (for
+		# eventual linking with the app. that links it) if we
+		# didn't already link the preopened objects directly into
+		# the library:
+		func_basename "$lib"
+		name="$func_basename_result"
+		eval libdir=`${SED} -n -e 's/^libdir=\(.*\)$/\1/p' $lib`
+		test -z "$libdir" && \
+		  func_fatal_error "\`$lib' is not a valid libtool archive"
+		func_append newdlprefiles " ${lt_sysroot:+=}$libdir/$name"
+		;;
+	      esac
+	    done
+	    dlprefiles="$newdlprefiles"
+	  else
+	    newdlfiles=
+	    for lib in $dlfiles; do
+	      case $lib in
+		[\\/]* | [A-Za-z]:[\\/]*) abs="$lib" ;;
+		*) abs=`pwd`"/$lib" ;;
+	      esac
+	      func_append newdlfiles " $abs"
+	    done
+	    dlfiles="$newdlfiles"
+	    newdlprefiles=
+	    for lib in $dlprefiles; do
+	      case $lib in
+		[\\/]* | [A-Za-z]:[\\/]*) abs="$lib" ;;
+		*) abs=`pwd`"/$lib" ;;
+	      esac
+	      func_append newdlprefiles " $abs"
+	    done
+	    dlprefiles="$newdlprefiles"
+	  fi
+	  $RM $output
+	  # place dlname in correct position for cygwin
+	  # In fact, it would be nice if we could use this code for all target
+	  # systems that can't hard-code library paths into their executables
+	  # and that have no shared library path variable independent of PATH,
+	  # but it turns out we can't easily determine that from inspecting
+	  # libtool variables, so we have to hard-code the OSs to which it
+	  # applies here; at the moment, that means platforms that use the PE
+	  # object format with DLL files.  See the long comment at the top of
+	  # tests/bindir.at for full details.
+	  tdlname=$dlname
+	  case $host,$output,$installed,$module,$dlname in
+	    *cygwin*,*lai,yes,no,*.dll | *mingw*,*lai,yes,no,*.dll | *cegcc*,*lai,yes,no,*.dll)
+	      # If a -bindir argument was supplied, place the dll there.
+	      if test "x$bindir" != x ;
+	      then
+		func_relative_path "$install_libdir" "$bindir"
+		tdlname=$func_relative_path_result$dlname
+	      else
+		# Otherwise fall back on heuristic.
+		tdlname=../bin/$dlname
+	      fi
+	      ;;
+	  esac
+	  $ECHO > $output "\
+# $outputname - a libtool library file
+# Generated by $PROGRAM (GNU $PACKAGE$TIMESTAMP) $VERSION
+#
+# Please DO NOT delete this file!
+# It is necessary for linking the library.
+
+# The name that we can dlopen(3).
+dlname='$tdlname'
+
+# Names of this library.
+library_names='$library_names'
+
+# The name of the static archive.
+old_library='$old_library'
+
+# Linker flags that can not go in dependency_libs.
+inherited_linker_flags='$new_inherited_linker_flags'
+
+# Libraries that this one depends upon.
+dependency_libs='$dependency_libs'
+
+# Names of additional weak libraries provided by this library
+weak_library_names='$weak_libs'
+
+# Version information for $libname.
+current=$current
+age=$age
+revision=$revision
+
+# Is this an already installed library?
+installed=$installed
+
+# Should we warn about portability when linking against -modules?
+shouldnotlink=$module
+
+# Files to dlopen/dlpreopen
+dlopen='$dlfiles'
+dlpreopen='$dlprefiles'
+
+# Directory that this library needs to be installed in:
+libdir='$install_libdir'"
+	  if test "$installed" = no && test "$need_relink" = yes; then
+	    $ECHO >> $output "\
+relink_command=\"$relink_command\""
+	  fi
+	done
+      }
+
+      # Do a symbolic link so that the libtool archive can be found in
+      # LD_LIBRARY_PATH before the program is installed.
+      func_show_eval '( cd "$output_objdir" && $RM "$outputname" && $LN_S "../$outputname" "$outputname" )' 'exit $?'
+      ;;
+    esac
+    exit $EXIT_SUCCESS
+}
+
+{ test "$opt_mode" = link || test "$opt_mode" = relink; } &&
+    func_mode_link ${1+"$@"}
+
+
+# func_mode_uninstall arg...
+func_mode_uninstall ()
+{
+    $opt_debug
+    RM="$nonopt"
+    files=
+    rmforce=
+    exit_status=0
+
+    # This variable tells wrapper scripts just to set variables rather
+    # than running their programs.
+    libtool_install_magic="$magic"
+
+    for arg
+    do
+      case $arg in
+      -f) func_append RM " $arg"; rmforce=yes ;;
+      -*) func_append RM " $arg" ;;
+      *) func_append files " $arg" ;;
+      esac
+    done
+
+    test -z "$RM" && \
+      func_fatal_help "you must specify an RM program"
+
+    rmdirs=
+
+    for file in $files; do
+      func_dirname "$file" "" "."
+      dir="$func_dirname_result"
+      if test "X$dir" = X.; then
+	odir="$objdir"
+      else
+	odir="$dir/$objdir"
+      fi
+      func_basename "$file"
+      name="$func_basename_result"
+      test "$opt_mode" = uninstall && odir="$dir"
+
+      # Remember odir for removal later, being careful to avoid duplicates
+      if test "$opt_mode" = clean; then
+	case " $rmdirs " in
+	  *" $odir "*) ;;
+	  *) func_append rmdirs " $odir" ;;
+	esac
+      fi
+
+      # Don't error if the file doesn't exist and rm -f was used.
+      if { test -L "$file"; } >/dev/null 2>&1 ||
+	 { test -h "$file"; } >/dev/null 2>&1 ||
+	 test -f "$file"; then
+	:
+      elif test -d "$file"; then
+	exit_status=1
+	continue
+      elif test "$rmforce" = yes; then
+	continue
+      fi
+
+      rmfiles="$file"
+
+      case $name in
+      *.la)
+	# Possibly a libtool archive, so verify it.
+	if func_lalib_p "$file"; then
+	  func_source $dir/$name
+
+	  # Delete the libtool libraries and symlinks.
+	  for n in $library_names; do
+	    func_append rmfiles " $odir/$n"
+	  done
+	  test -n "$old_library" && func_append rmfiles " $odir/$old_library"
+
+	  case "$opt_mode" in
+	  clean)
+	    case " $library_names " in
+	    *" $dlname "*) ;;
+	    *) test -n "$dlname" && func_append rmfiles " $odir/$dlname" ;;
+	    esac
+	    test -n "$libdir" && func_append rmfiles " $odir/$name $odir/${name}i"
+	    ;;
+	  uninstall)
+	    if test -n "$library_names"; then
+	      # Do each command in the postuninstall commands.
+	      func_execute_cmds "$postuninstall_cmds" 'test "$rmforce" = yes || exit_status=1'
+	    fi
+
+	    if test -n "$old_library"; then
+	      # Do each command in the old_postuninstall commands.
+	      func_execute_cmds "$old_postuninstall_cmds" 'test "$rmforce" = yes || exit_status=1'
+	    fi
+	    # FIXME: should reinstall the best remaining shared library.
+	    ;;
+	  esac
+	fi
+	;;
+
+      *.lo)
+	# Possibly a libtool object, so verify it.
+	if func_lalib_p "$file"; then
+
+	  # Read the .lo file
+	  func_source $dir/$name
+
+	  # Add PIC object to the list of files to remove.
+	  if test -n "$pic_object" &&
+	     test "$pic_object" != none; then
+	    func_append rmfiles " $dir/$pic_object"
+	  fi
+
+	  # Add non-PIC object to the list of files to remove.
+	  if test -n "$non_pic_object" &&
+	     test "$non_pic_object" != none; then
+	    func_append rmfiles " $dir/$non_pic_object"
+	  fi
+	fi
+	;;
+
+      *)
+	if test "$opt_mode" = clean ; then
+	  noexename=$name
+	  case $file in
+	  *.exe)
+	    func_stripname '' '.exe' "$file"
+	    file=$func_stripname_result
+	    func_stripname '' '.exe' "$name"
+	    noexename=$func_stripname_result
+	    # $file with .exe has already been added to rmfiles,
+	    # add $file without .exe
+	    func_append rmfiles " $file"
+	    ;;
+	  esac
+	  # Do a test to see if this is a libtool program.
+	  if func_ltwrapper_p "$file"; then
+	    if func_ltwrapper_executable_p "$file"; then
+	      func_ltwrapper_scriptname "$file"
+	      relink_command=
+	      func_source $func_ltwrapper_scriptname_result
+	      func_append rmfiles " $func_ltwrapper_scriptname_result"
+	    else
+	      relink_command=
+	      func_source $dir/$noexename
+	    fi
+
+	    # note $name still contains .exe if it was in $file originally
+	    # as does the version of $file that was added into $rmfiles
+	    func_append rmfiles " $odir/$name $odir/${name}S.${objext}"
+	    if test "$fast_install" = yes && test -n "$relink_command"; then
+	      func_append rmfiles " $odir/lt-$name"
+	    fi
+	    if test "X$noexename" != "X$name" ; then
+	      func_append rmfiles " $odir/lt-${noexename}.c"
+	    fi
+	  fi
+	fi
+	;;
+      esac
+      func_show_eval "$RM $rmfiles" 'exit_status=1'
+    done
+
+    # Try to remove the ${objdir}s in the directories where we deleted files
+    for dir in $rmdirs; do
+      if test -d "$dir"; then
+	func_show_eval "rmdir $dir >/dev/null 2>&1"
+      fi
+    done
+
+    exit $exit_status
+}
+
+{ test "$opt_mode" = uninstall || test "$opt_mode" = clean; } &&
+    func_mode_uninstall ${1+"$@"}
+
+test -z "$opt_mode" && {
+  help="$generic_help"
+  func_fatal_help "you must specify a MODE"
+}
+
+test -z "$exec_cmd" && \
+  func_fatal_help "invalid operation mode \`$opt_mode'"
+
+if test -n "$exec_cmd"; then
+  eval exec "$exec_cmd"
+  exit $EXIT_FAILURE
+fi
+
+exit $exit_status
+
+
+# The TAGs below are defined such that we never get into a situation
+# in which we disable both kinds of libraries.  Given conflicting
+# choices, we go for a static library, that is the most portable,
+# since we can't tell whether shared libraries were disabled because
+# the user asked for that or because the platform doesn't support
+# them.  This is particularly important on AIX, because we don't
+# support having both static and shared libraries enabled at the same
+# time on that platform, so we default to a shared-only configuration.
+# If a disable-shared tag is given, we'll fallback to a static-only
+# configuration.  But we'll never go from static-only to shared-only.
+
+# ### BEGIN LIBTOOL TAG CONFIG: disable-shared
+build_libtool_libs=no
+build_old_libs=yes
+# ### END LIBTOOL TAG CONFIG: disable-shared
+
+# ### BEGIN LIBTOOL TAG CONFIG: disable-static
+build_old_libs=`case $build_libtool_libs in yes) echo no;; *) echo yes;; esac`
+# ### END LIBTOOL TAG CONFIG: disable-static
+
+# Local Variables:
+# mode:shell-script
+# sh-indentation:2
+# End:
+# vi:sw=2
+
diff --git a/clib/expeyes-clib/m4/libtool.m4 b/clib/expeyes-clib/m4/libtool.m4
new file mode 100644
index 0000000..534d1cc
--- /dev/null
+++ b/clib/expeyes-clib/m4/libtool.m4
@@ -0,0 +1,7983 @@
+# libtool.m4 - Configure libtool for the host system. -*-Autoconf-*-
+#
+#   Copyright (C) 1996, 1997, 1998, 1999, 2000, 2001, 2003, 2004, 2005,
+#                 2006, 2007, 2008, 2009, 2010, 2011 Free Software
+#                 Foundation, Inc.
+#   Written by Gordon Matzigkeit, 1996
+#
+# This file is free software; the Free Software Foundation gives
+# unlimited permission to copy and/or distribute it, with or without
+# modifications, as long as this notice is preserved.
+
+m4_define([_LT_COPYING], [dnl
+#   Copyright (C) 1996, 1997, 1998, 1999, 2000, 2001, 2003, 2004, 2005,
+#                 2006, 2007, 2008, 2009, 2010, 2011 Free Software
+#                 Foundation, Inc.
+#   Written by Gordon Matzigkeit, 1996
+#
+#   This file is part of GNU Libtool.
+#
+# GNU Libtool is free software; you can redistribute it and/or
+# modify it under the terms of the GNU General Public License as
+# published by the Free Software Foundation; either version 2 of
+# the License, or (at your option) any later version.
+#
+# As a special exception to the GNU General Public License,
+# if you distribute this file as part of a program or library that
+# is built using GNU Libtool, you may include this file under the
+# same distribution terms that you use for the rest of that program.
+#
+# GNU Libtool is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+#
+# You should have received a copy of the GNU General Public License
+# along with GNU Libtool; see the file COPYING.  If not, a copy
+# can be downloaded from http://www.gnu.org/licenses/gpl.html, or
+# obtained by writing to the Free Software Foundation, Inc.,
+# 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301, USA.
+])
+
+# serial 57 LT_INIT
+
+
+# LT_PREREQ(VERSION)
+# ------------------
+# Complain and exit if this libtool version is less that VERSION.
+m4_defun([LT_PREREQ],
+[m4_if(m4_version_compare(m4_defn([LT_PACKAGE_VERSION]), [$1]), -1,
+       [m4_default([$3],
+		   [m4_fatal([Libtool version $1 or higher is required],
+		             63)])],
+       [$2])])
+
+
+# _LT_CHECK_BUILDDIR
+# ------------------
+# Complain if the absolute build directory name contains unusual characters
+m4_defun([_LT_CHECK_BUILDDIR],
+[case `pwd` in
+  *\ * | *\	*)
+    AC_MSG_WARN([Libtool does not cope well with whitespace in `pwd`]) ;;
+esac
+])
+
+
+# LT_INIT([OPTIONS])
+# ------------------
+AC_DEFUN([LT_INIT],
+[AC_PREREQ([2.58])dnl We use AC_INCLUDES_DEFAULT
+AC_REQUIRE([AC_CONFIG_AUX_DIR_DEFAULT])dnl
+AC_BEFORE([$0], [LT_LANG])dnl
+AC_BEFORE([$0], [LT_OUTPUT])dnl
+AC_BEFORE([$0], [LTDL_INIT])dnl
+m4_require([_LT_CHECK_BUILDDIR])dnl
+
+dnl Autoconf doesn't catch unexpanded LT_ macros by default:
+m4_pattern_forbid([^_?LT_[A-Z_]+$])dnl
+m4_pattern_allow([^(_LT_EOF|LT_DLGLOBAL|LT_DLLAZY_OR_NOW|LT_MULTI_MODULE)$])dnl
+dnl aclocal doesn't pull ltoptions.m4, ltsugar.m4, or ltversion.m4
+dnl unless we require an AC_DEFUNed macro:
+AC_REQUIRE([LTOPTIONS_VERSION])dnl
+AC_REQUIRE([LTSUGAR_VERSION])dnl
+AC_REQUIRE([LTVERSION_VERSION])dnl
+AC_REQUIRE([LTOBSOLETE_VERSION])dnl
+m4_require([_LT_PROG_LTMAIN])dnl
+
+_LT_SHELL_INIT([SHELL=${CONFIG_SHELL-/bin/sh}])
+
+dnl Parse OPTIONS
+_LT_SET_OPTIONS([$0], [$1])
+
+# This can be used to rebuild libtool when needed
+LIBTOOL_DEPS="$ltmain"
+
+# Always use our own libtool.
+LIBTOOL='$(SHELL) $(top_builddir)/libtool'
+AC_SUBST(LIBTOOL)dnl
+
+_LT_SETUP
+
+# Only expand once:
+m4_define([LT_INIT])
+])# LT_INIT
+
+# Old names:
+AU_ALIAS([AC_PROG_LIBTOOL], [LT_INIT])
+AU_ALIAS([AM_PROG_LIBTOOL], [LT_INIT])
+dnl aclocal-1.4 backwards compatibility:
+dnl AC_DEFUN([AC_PROG_LIBTOOL], [])
+dnl AC_DEFUN([AM_PROG_LIBTOOL], [])
+
+
+# _LT_CC_BASENAME(CC)
+# -------------------
+# Calculate cc_basename.  Skip known compiler wrappers and cross-prefix.
+m4_defun([_LT_CC_BASENAME],
+[for cc_temp in $1""; do
+  case $cc_temp in
+    compile | *[[\\/]]compile | ccache | *[[\\/]]ccache ) ;;
+    distcc | *[[\\/]]distcc | purify | *[[\\/]]purify ) ;;
+    \-*) ;;
+    *) break;;
+  esac
+done
+cc_basename=`$ECHO "$cc_temp" | $SED "s%.*/%%; s%^$host_alias-%%"`
+])
+
+
+# _LT_FILEUTILS_DEFAULTS
+# ----------------------
+# It is okay to use these file commands and assume they have been set
+# sensibly after `m4_require([_LT_FILEUTILS_DEFAULTS])'.
+m4_defun([_LT_FILEUTILS_DEFAULTS],
+[: ${CP="cp -f"}
+: ${MV="mv -f"}
+: ${RM="rm -f"}
+])# _LT_FILEUTILS_DEFAULTS
+
+
+# _LT_SETUP
+# ---------
+m4_defun([_LT_SETUP],
+[AC_REQUIRE([AC_CANONICAL_HOST])dnl
+AC_REQUIRE([AC_CANONICAL_BUILD])dnl
+AC_REQUIRE([_LT_PREPARE_SED_QUOTE_VARS])dnl
+AC_REQUIRE([_LT_PROG_ECHO_BACKSLASH])dnl
+
+_LT_DECL([], [PATH_SEPARATOR], [1], [The PATH separator for the build system])dnl
+dnl
+_LT_DECL([], [host_alias], [0], [The host system])dnl
+_LT_DECL([], [host], [0])dnl
+_LT_DECL([], [host_os], [0])dnl
+dnl
+_LT_DECL([], [build_alias], [0], [The build system])dnl
+_LT_DECL([], [build], [0])dnl
+_LT_DECL([], [build_os], [0])dnl
+dnl
+AC_REQUIRE([AC_PROG_CC])dnl
+AC_REQUIRE([LT_PATH_LD])dnl
+AC_REQUIRE([LT_PATH_NM])dnl
+dnl
+AC_REQUIRE([AC_PROG_LN_S])dnl
+test -z "$LN_S" && LN_S="ln -s"
+_LT_DECL([], [LN_S], [1], [Whether we need soft or hard links])dnl
+dnl
+AC_REQUIRE([LT_CMD_MAX_LEN])dnl
+_LT_DECL([objext], [ac_objext], [0], [Object file suffix (normally "o")])dnl
+_LT_DECL([], [exeext], [0], [Executable file suffix (normally "")])dnl
+dnl
+m4_require([_LT_FILEUTILS_DEFAULTS])dnl
+m4_require([_LT_CHECK_SHELL_FEATURES])dnl
+m4_require([_LT_PATH_CONVERSION_FUNCTIONS])dnl
+m4_require([_LT_CMD_RELOAD])dnl
+m4_require([_LT_CHECK_MAGIC_METHOD])dnl
+m4_require([_LT_CHECK_SHAREDLIB_FROM_LINKLIB])dnl
+m4_require([_LT_CMD_OLD_ARCHIVE])dnl
+m4_require([_LT_CMD_GLOBAL_SYMBOLS])dnl
+m4_require([_LT_WITH_SYSROOT])dnl
+
+_LT_CONFIG_LIBTOOL_INIT([
+# See if we are running on zsh, and set the options which allow our
+# commands through without removal of \ escapes INIT.
+if test -n "\${ZSH_VERSION+set}" ; then
+   setopt NO_GLOB_SUBST
+fi
+])
+if test -n "${ZSH_VERSION+set}" ; then
+   setopt NO_GLOB_SUBST
+fi
+
+_LT_CHECK_OBJDIR
+
+m4_require([_LT_TAG_COMPILER])dnl
+
+case $host_os in
+aix3*)
+  # AIX sometimes has problems with the GCC collect2 program.  For some
+  # reason, if we set the COLLECT_NAMES environment variable, the problems
+  # vanish in a puff of smoke.
+  if test "X${COLLECT_NAMES+set}" != Xset; then
+    COLLECT_NAMES=
+    export COLLECT_NAMES
+  fi
+  ;;
+esac
+
+# Global variables:
+ofile=libtool
+can_build_shared=yes
+
+# All known linkers require a `.a' archive for static linking (except MSVC,
+# which needs '.lib').
+libext=a
+
+with_gnu_ld="$lt_cv_prog_gnu_ld"
+
+old_CC="$CC"
+old_CFLAGS="$CFLAGS"
+
+# Set sane defaults for various variables
+test -z "$CC" && CC=cc
+test -z "$LTCC" && LTCC=$CC
+test -z "$LTCFLAGS" && LTCFLAGS=$CFLAGS
+test -z "$LD" && LD=ld
+test -z "$ac_objext" && ac_objext=o
+
+_LT_CC_BASENAME([$compiler])
+
+# Only perform the check for file, if the check method requires it
+test -z "$MAGIC_CMD" && MAGIC_CMD=file
+case $deplibs_check_method in
+file_magic*)
+  if test "$file_magic_cmd" = '$MAGIC_CMD'; then
+    _LT_PATH_MAGIC
+  fi
+  ;;
+esac
+
+# Use C for the default configuration in the libtool script
+LT_SUPPORTED_TAG([CC])
+_LT_LANG_C_CONFIG
+_LT_LANG_DEFAULT_CONFIG
+_LT_CONFIG_COMMANDS
+])# _LT_SETUP
+
+
+# _LT_PREPARE_SED_QUOTE_VARS
+# --------------------------
+# Define a few sed substitution that help us do robust quoting.
+m4_defun([_LT_PREPARE_SED_QUOTE_VARS],
+[# Backslashify metacharacters that are still active within
+# double-quoted strings.
+sed_quote_subst='s/\([["`$\\]]\)/\\\1/g'
+
+# Same as above, but do not quote variable references.
+double_quote_subst='s/\([["`\\]]\)/\\\1/g'
+
+# Sed substitution to delay expansion of an escaped shell variable in a
+# double_quote_subst'ed string.
+delay_variable_subst='s/\\\\\\\\\\\$/\\\\\\$/g'
+
+# Sed substitution to delay expansion of an escaped single quote.
+delay_single_quote_subst='s/'\''/'\'\\\\\\\'\''/g'
+
+# Sed substitution to avoid accidental globbing in evaled expressions
+no_glob_subst='s/\*/\\\*/g'
+])
+
+# _LT_PROG_LTMAIN
+# ---------------
+# Note that this code is called both from `configure', and `config.status'
+# now that we use AC_CONFIG_COMMANDS to generate libtool.  Notably,
+# `config.status' has no value for ac_aux_dir unless we are using Automake,
+# so we pass a copy along to make sure it has a sensible value anyway.
+m4_defun([_LT_PROG_LTMAIN],
+[m4_ifdef([AC_REQUIRE_AUX_FILE], [AC_REQUIRE_AUX_FILE([ltmain.sh])])dnl
+_LT_CONFIG_LIBTOOL_INIT([ac_aux_dir='$ac_aux_dir'])
+ltmain="$ac_aux_dir/ltmain.sh"
+])# _LT_PROG_LTMAIN
+
+
+## ------------------------------------- ##
+## Accumulate code for creating libtool. ##
+## ------------------------------------- ##
+
+# So that we can recreate a full libtool script including additional
+# tags, we accumulate the chunks of code to send to AC_CONFIG_COMMANDS
+# in macros and then make a single call at the end using the `libtool'
+# label.
+
+
+# _LT_CONFIG_LIBTOOL_INIT([INIT-COMMANDS])
+# ----------------------------------------
+# Register INIT-COMMANDS to be passed to AC_CONFIG_COMMANDS later.
+m4_define([_LT_CONFIG_LIBTOOL_INIT],
+[m4_ifval([$1],
+          [m4_append([_LT_OUTPUT_LIBTOOL_INIT],
+                     [$1
+])])])
+
+# Initialize.
+m4_define([_LT_OUTPUT_LIBTOOL_INIT])
+
+
+# _LT_CONFIG_LIBTOOL([COMMANDS])
+# ------------------------------
+# Register COMMANDS to be passed to AC_CONFIG_COMMANDS later.
+m4_define([_LT_CONFIG_LIBTOOL],
+[m4_ifval([$1],
+          [m4_append([_LT_OUTPUT_LIBTOOL_COMMANDS],
+                     [$1
+])])])
+
+# Initialize.
+m4_define([_LT_OUTPUT_LIBTOOL_COMMANDS])
+
+
+# _LT_CONFIG_SAVE_COMMANDS([COMMANDS], [INIT_COMMANDS])
+# -----------------------------------------------------
+m4_defun([_LT_CONFIG_SAVE_COMMANDS],
+[_LT_CONFIG_LIBTOOL([$1])
+_LT_CONFIG_LIBTOOL_INIT([$2])
+])
+
+
+# _LT_FORMAT_COMMENT([COMMENT])
+# -----------------------------
+# Add leading comment marks to the start of each line, and a trailing
+# full-stop to the whole comment if one is not present already.
+m4_define([_LT_FORMAT_COMMENT],
+[m4_ifval([$1], [
+m4_bpatsubst([m4_bpatsubst([$1], [^ *], [# ])],
+              [['`$\]], [\\\&])]m4_bmatch([$1], [[!?.]$], [], [.])
+)])
+
+
+
+## ------------------------ ##
+## FIXME: Eliminate VARNAME ##
+## ------------------------ ##
+
+
+# _LT_DECL([CONFIGNAME], VARNAME, VALUE, [DESCRIPTION], [IS-TAGGED?])
+# -------------------------------------------------------------------
+# CONFIGNAME is the name given to the value in the libtool script.
+# VARNAME is the (base) name used in the configure script.
+# VALUE may be 0, 1 or 2 for a computed quote escaped value based on
+# VARNAME.  Any other value will be used directly.
+m4_define([_LT_DECL],
+[lt_if_append_uniq([lt_decl_varnames], [$2], [, ],
+    [lt_dict_add_subkey([lt_decl_dict], [$2], [libtool_name],
+	[m4_ifval([$1], [$1], [$2])])
+    lt_dict_add_subkey([lt_decl_dict], [$2], [value], [$3])
+    m4_ifval([$4],
+	[lt_dict_add_subkey([lt_decl_dict], [$2], [description], [$4])])
+    lt_dict_add_subkey([lt_decl_dict], [$2],
+	[tagged?], [m4_ifval([$5], [yes], [no])])])
+])
+
+
+# _LT_TAGDECL([CONFIGNAME], VARNAME, VALUE, [DESCRIPTION])
+# --------------------------------------------------------
+m4_define([_LT_TAGDECL], [_LT_DECL([$1], [$2], [$3], [$4], [yes])])
+
+
+# lt_decl_tag_varnames([SEPARATOR], [VARNAME1...])
+# ------------------------------------------------
+m4_define([lt_decl_tag_varnames],
+[_lt_decl_filter([tagged?], [yes], $@)])
+
+
+# _lt_decl_filter(SUBKEY, VALUE, [SEPARATOR], [VARNAME1..])
+# ---------------------------------------------------------
+m4_define([_lt_decl_filter],
+[m4_case([$#],
+  [0], [m4_fatal([$0: too few arguments: $#])],
+  [1], [m4_fatal([$0: too few arguments: $#: $1])],
+  [2], [lt_dict_filter([lt_decl_dict], [$1], [$2], [], lt_decl_varnames)],
+  [3], [lt_dict_filter([lt_decl_dict], [$1], [$2], [$3], lt_decl_varnames)],
+  [lt_dict_filter([lt_decl_dict], $@)])[]dnl
+])
+
+
+# lt_decl_quote_varnames([SEPARATOR], [VARNAME1...])
+# --------------------------------------------------
+m4_define([lt_decl_quote_varnames],
+[_lt_decl_filter([value], [1], $@)])
+
+
+# lt_decl_dquote_varnames([SEPARATOR], [VARNAME1...])
+# ---------------------------------------------------
+m4_define([lt_decl_dquote_varnames],
+[_lt_decl_filter([value], [2], $@)])
+
+
+# lt_decl_varnames_tagged([SEPARATOR], [VARNAME1...])
+# ---------------------------------------------------
+m4_define([lt_decl_varnames_tagged],
+[m4_assert([$# <= 2])dnl
+_$0(m4_quote(m4_default([$1], [[, ]])),
+    m4_ifval([$2], [[$2]], [m4_dquote(lt_decl_tag_varnames)]),
+    m4_split(m4_normalize(m4_quote(_LT_TAGS)), [ ]))])
+m4_define([_lt_decl_varnames_tagged],
+[m4_ifval([$3], [lt_combine([$1], [$2], [_], $3)])])
+
+
+# lt_decl_all_varnames([SEPARATOR], [VARNAME1...])
+# ------------------------------------------------
+m4_define([lt_decl_all_varnames],
+[_$0(m4_quote(m4_default([$1], [[, ]])),
+     m4_if([$2], [],
+	   m4_quote(lt_decl_varnames),
+	m4_quote(m4_shift($@))))[]dnl
+])
+m4_define([_lt_decl_all_varnames],
+[lt_join($@, lt_decl_varnames_tagged([$1],
+			lt_decl_tag_varnames([[, ]], m4_shift($@))))dnl
+])
+
+
+# _LT_CONFIG_STATUS_DECLARE([VARNAME])
+# ------------------------------------
+# Quote a variable value, and forward it to `config.status' so that its
+# declaration there will have the same value as in `configure'.  VARNAME
+# must have a single quote delimited value for this to work.
+m4_define([_LT_CONFIG_STATUS_DECLARE],
+[$1='`$ECHO "$][$1" | $SED "$delay_single_quote_subst"`'])
+
+
+# _LT_CONFIG_STATUS_DECLARATIONS
+# ------------------------------
+# We delimit libtool config variables with single quotes, so when
+# we write them to config.status, we have to be sure to quote all
+# embedded single quotes properly.  In configure, this macro expands
+# each variable declared with _LT_DECL (and _LT_TAGDECL) into:
+#
+#    <var>='`$ECHO "$<var>" | $SED "$delay_single_quote_subst"`'
+m4_defun([_LT_CONFIG_STATUS_DECLARATIONS],
+[m4_foreach([_lt_var], m4_quote(lt_decl_all_varnames),
+    [m4_n([_LT_CONFIG_STATUS_DECLARE(_lt_var)])])])
+
+
+# _LT_LIBTOOL_TAGS
+# ----------------
+# Output comment and list of tags supported by the script
+m4_defun([_LT_LIBTOOL_TAGS],
+[_LT_FORMAT_COMMENT([The names of the tagged configurations supported by this script])dnl
+available_tags="_LT_TAGS"dnl
+])
+
+
+# _LT_LIBTOOL_DECLARE(VARNAME, [TAG])
+# -----------------------------------
+# Extract the dictionary values for VARNAME (optionally with TAG) and
+# expand to a commented shell variable setting:
+#
+#    # Some comment about what VAR is for.
+#    visible_name=$lt_internal_name
+m4_define([_LT_LIBTOOL_DECLARE],
+[_LT_FORMAT_COMMENT(m4_quote(lt_dict_fetch([lt_decl_dict], [$1],
+					   [description])))[]dnl
+m4_pushdef([_libtool_name],
+    m4_quote(lt_dict_fetch([lt_decl_dict], [$1], [libtool_name])))[]dnl
+m4_case(m4_quote(lt_dict_fetch([lt_decl_dict], [$1], [value])),
+    [0], [_libtool_name=[$]$1],
+    [1], [_libtool_name=$lt_[]$1],
+    [2], [_libtool_name=$lt_[]$1],
+    [_libtool_name=lt_dict_fetch([lt_decl_dict], [$1], [value])])[]dnl
+m4_ifval([$2], [_$2])[]m4_popdef([_libtool_name])[]dnl
+])
+
+
+# _LT_LIBTOOL_CONFIG_VARS
+# -----------------------
+# Produce commented declarations of non-tagged libtool config variables
+# suitable for insertion in the LIBTOOL CONFIG section of the `libtool'
+# script.  Tagged libtool config variables (even for the LIBTOOL CONFIG
+# section) are produced by _LT_LIBTOOL_TAG_VARS.
+m4_defun([_LT_LIBTOOL_CONFIG_VARS],
+[m4_foreach([_lt_var],
+    m4_quote(_lt_decl_filter([tagged?], [no], [], lt_decl_varnames)),
+    [m4_n([_LT_LIBTOOL_DECLARE(_lt_var)])])])
+
+
+# _LT_LIBTOOL_TAG_VARS(TAG)
+# -------------------------
+m4_define([_LT_LIBTOOL_TAG_VARS],
+[m4_foreach([_lt_var], m4_quote(lt_decl_tag_varnames),
+    [m4_n([_LT_LIBTOOL_DECLARE(_lt_var, [$1])])])])
+
+
+# _LT_TAGVAR(VARNAME, [TAGNAME])
+# ------------------------------
+m4_define([_LT_TAGVAR], [m4_ifval([$2], [$1_$2], [$1])])
+
+
+# _LT_CONFIG_COMMANDS
+# -------------------
+# Send accumulated output to $CONFIG_STATUS.  Thanks to the lists of
+# variables for single and double quote escaping we saved from calls
+# to _LT_DECL, we can put quote escaped variables declarations
+# into `config.status', and then the shell code to quote escape them in
+# for loops in `config.status'.  Finally, any additional code accumulated
+# from calls to _LT_CONFIG_LIBTOOL_INIT is expanded.
+m4_defun([_LT_CONFIG_COMMANDS],
+[AC_PROVIDE_IFELSE([LT_OUTPUT],
+	dnl If the libtool generation code has been placed in $CONFIG_LT,
+	dnl instead of duplicating it all over again into config.status,
+	dnl then we will have config.status run $CONFIG_LT later, so it
+	dnl needs to know what name is stored there:
+        [AC_CONFIG_COMMANDS([libtool],
+            [$SHELL $CONFIG_LT || AS_EXIT(1)], [CONFIG_LT='$CONFIG_LT'])],
+    dnl If the libtool generation code is destined for config.status,
+    dnl expand the accumulated commands and init code now:
+    [AC_CONFIG_COMMANDS([libtool],
+        [_LT_OUTPUT_LIBTOOL_COMMANDS], [_LT_OUTPUT_LIBTOOL_COMMANDS_INIT])])
+])#_LT_CONFIG_COMMANDS
+
+
+# Initialize.
+m4_define([_LT_OUTPUT_LIBTOOL_COMMANDS_INIT],
+[
+
+# The HP-UX ksh and POSIX shell print the target directory to stdout
+# if CDPATH is set.
+(unset CDPATH) >/dev/null 2>&1 && unset CDPATH
+
+sed_quote_subst='$sed_quote_subst'
+double_quote_subst='$double_quote_subst'
+delay_variable_subst='$delay_variable_subst'
+_LT_CONFIG_STATUS_DECLARATIONS
+LTCC='$LTCC'
+LTCFLAGS='$LTCFLAGS'
+compiler='$compiler_DEFAULT'
+
+# A function that is used when there is no print builtin or printf.
+func_fallback_echo ()
+{
+  eval 'cat <<_LTECHO_EOF
+\$[]1
+_LTECHO_EOF'
+}
+
+# Quote evaled strings.
+for var in lt_decl_all_varnames([[ \
+]], lt_decl_quote_varnames); do
+    case \`eval \\\\\$ECHO \\\\""\\\\\$\$var"\\\\"\` in
+    *[[\\\\\\\`\\"\\\$]]*)
+      eval "lt_\$var=\\\\\\"\\\`\\\$ECHO \\"\\\$\$var\\" | \\\$SED \\"\\\$sed_quote_subst\\"\\\`\\\\\\""
+      ;;
+    *)
+      eval "lt_\$var=\\\\\\"\\\$\$var\\\\\\""
+      ;;
+    esac
+done
+
+# Double-quote double-evaled strings.
+for var in lt_decl_all_varnames([[ \
+]], lt_decl_dquote_varnames); do
+    case \`eval \\\\\$ECHO \\\\""\\\\\$\$var"\\\\"\` in
+    *[[\\\\\\\`\\"\\\$]]*)
+      eval "lt_\$var=\\\\\\"\\\`\\\$ECHO \\"\\\$\$var\\" | \\\$SED -e \\"\\\$double_quote_subst\\" -e \\"\\\$sed_quote_subst\\" -e \\"\\\$delay_variable_subst\\"\\\`\\\\\\""
+      ;;
+    *)
+      eval "lt_\$var=\\\\\\"\\\$\$var\\\\\\""
+      ;;
+    esac
+done
+
+_LT_OUTPUT_LIBTOOL_INIT
+])
+
+# _LT_GENERATED_FILE_INIT(FILE, [COMMENT])
+# ------------------------------------
+# Generate a child script FILE with all initialization necessary to
+# reuse the environment learned by the parent script, and make the
+# file executable.  If COMMENT is supplied, it is inserted after the
+# `#!' sequence but before initialization text begins.  After this
+# macro, additional text can be appended to FILE to form the body of
+# the child script.  The macro ends with non-zero status if the
+# file could not be fully written (such as if the disk is full).
+m4_ifdef([AS_INIT_GENERATED],
+[m4_defun([_LT_GENERATED_FILE_INIT],[AS_INIT_GENERATED($@)])],
+[m4_defun([_LT_GENERATED_FILE_INIT],
+[m4_require([AS_PREPARE])]dnl
+[m4_pushdef([AS_MESSAGE_LOG_FD])]dnl
+[lt_write_fail=0
+cat >$1 <<_ASEOF || lt_write_fail=1
+#! $SHELL
+# Generated by $as_me.
+$2
+SHELL=\${CONFIG_SHELL-$SHELL}
+export SHELL
+_ASEOF
+cat >>$1 <<\_ASEOF || lt_write_fail=1
+AS_SHELL_SANITIZE
+_AS_PREPARE
+exec AS_MESSAGE_FD>&1
+_ASEOF
+test $lt_write_fail = 0 && chmod +x $1[]dnl
+m4_popdef([AS_MESSAGE_LOG_FD])])])# _LT_GENERATED_FILE_INIT
+
+# LT_OUTPUT
+# ---------
+# This macro allows early generation of the libtool script (before
+# AC_OUTPUT is called), incase it is used in configure for compilation
+# tests.
+AC_DEFUN([LT_OUTPUT],
+[: ${CONFIG_LT=./config.lt}
+AC_MSG_NOTICE([creating $CONFIG_LT])
+_LT_GENERATED_FILE_INIT(["$CONFIG_LT"],
+[# Run this file to recreate a libtool stub with the current configuration.])
+
+cat >>"$CONFIG_LT" <<\_LTEOF
+lt_cl_silent=false
+exec AS_MESSAGE_LOG_FD>>config.log
+{
+  echo
+  AS_BOX([Running $as_me.])
+} >&AS_MESSAGE_LOG_FD
+
+lt_cl_help="\
+\`$as_me' creates a local libtool stub from the current configuration,
+for use in further configure time tests before the real libtool is
+generated.
+
+Usage: $[0] [[OPTIONS]]
+
+  -h, --help      print this help, then exit
+  -V, --version   print version number, then exit
+  -q, --quiet     do not print progress messages
+  -d, --debug     don't remove temporary files
+
+Report bugs to <bug-libtool at gnu.org>."
+
+lt_cl_version="\
+m4_ifset([AC_PACKAGE_NAME], [AC_PACKAGE_NAME ])config.lt[]dnl
+m4_ifset([AC_PACKAGE_VERSION], [ AC_PACKAGE_VERSION])
+configured by $[0], generated by m4_PACKAGE_STRING.
+
+Copyright (C) 2011 Free Software Foundation, Inc.
+This config.lt script is free software; the Free Software Foundation
+gives unlimited permision to copy, distribute and modify it."
+
+while test $[#] != 0
+do
+  case $[1] in
+    --version | --v* | -V )
+      echo "$lt_cl_version"; exit 0 ;;
+    --help | --h* | -h )
+      echo "$lt_cl_help"; exit 0 ;;
+    --debug | --d* | -d )
+      debug=: ;;
+    --quiet | --q* | --silent | --s* | -q )
+      lt_cl_silent=: ;;
+
+    -*) AC_MSG_ERROR([unrecognized option: $[1]
+Try \`$[0] --help' for more information.]) ;;
+
+    *) AC_MSG_ERROR([unrecognized argument: $[1]
+Try \`$[0] --help' for more information.]) ;;
+  esac
+  shift
+done
+
+if $lt_cl_silent; then
+  exec AS_MESSAGE_FD>/dev/null
+fi
+_LTEOF
+
+cat >>"$CONFIG_LT" <<_LTEOF
+_LT_OUTPUT_LIBTOOL_COMMANDS_INIT
+_LTEOF
+
+cat >>"$CONFIG_LT" <<\_LTEOF
+AC_MSG_NOTICE([creating $ofile])
+_LT_OUTPUT_LIBTOOL_COMMANDS
+AS_EXIT(0)
+_LTEOF
+chmod +x "$CONFIG_LT"
+
+# configure is writing to config.log, but config.lt does its own redirection,
+# appending to config.log, which fails on DOS, as config.log is still kept
+# open by configure.  Here we exec the FD to /dev/null, effectively closing
+# config.log, so it can be properly (re)opened and appended to by config.lt.
+lt_cl_success=:
+test "$silent" = yes &&
+  lt_config_lt_args="$lt_config_lt_args --quiet"
+exec AS_MESSAGE_LOG_FD>/dev/null
+$SHELL "$CONFIG_LT" $lt_config_lt_args || lt_cl_success=false
+exec AS_MESSAGE_LOG_FD>>config.log
+$lt_cl_success || AS_EXIT(1)
+])# LT_OUTPUT
+
+
+# _LT_CONFIG(TAG)
+# ---------------
+# If TAG is the built-in tag, create an initial libtool script with a
+# default configuration from the untagged config vars.  Otherwise add code
+# to config.status for appending the configuration named by TAG from the
+# matching tagged config vars.
+m4_defun([_LT_CONFIG],
+[m4_require([_LT_FILEUTILS_DEFAULTS])dnl
+_LT_CONFIG_SAVE_COMMANDS([
+  m4_define([_LT_TAG], m4_if([$1], [], [C], [$1]))dnl
+  m4_if(_LT_TAG, [C], [
+    # See if we are running on zsh, and set the options which allow our
+    # commands through without removal of \ escapes.
+    if test -n "${ZSH_VERSION+set}" ; then
+      setopt NO_GLOB_SUBST
+    fi
+
+    cfgfile="${ofile}T"
+    trap "$RM \"$cfgfile\"; exit 1" 1 2 15
+    $RM "$cfgfile"
+
+    cat <<_LT_EOF >> "$cfgfile"
+#! $SHELL
+
+# `$ECHO "$ofile" | sed 's%^.*/%%'` - Provide generalized library-building support services.
+# Generated automatically by $as_me ($PACKAGE$TIMESTAMP) $VERSION
+# Libtool was configured on host `(hostname || uname -n) 2>/dev/null | sed 1q`:
+# NOTE: Changes made to this file will be lost: look at ltmain.sh.
+#
+_LT_COPYING
+_LT_LIBTOOL_TAGS
+
+# ### BEGIN LIBTOOL CONFIG
+_LT_LIBTOOL_CONFIG_VARS
+_LT_LIBTOOL_TAG_VARS
+# ### END LIBTOOL CONFIG
+
+_LT_EOF
+
+  case $host_os in
+  aix3*)
+    cat <<\_LT_EOF >> "$cfgfile"
+# AIX sometimes has problems with the GCC collect2 program.  For some
+# reason, if we set the COLLECT_NAMES environment variable, the problems
+# vanish in a puff of smoke.
+if test "X${COLLECT_NAMES+set}" != Xset; then
+  COLLECT_NAMES=
+  export COLLECT_NAMES
+fi
+_LT_EOF
+    ;;
+  esac
+
+  _LT_PROG_LTMAIN
+
+  # We use sed instead of cat because bash on DJGPP gets confused if
+  # if finds mixed CR/LF and LF-only lines.  Since sed operates in
+  # text mode, it properly converts lines to CR/LF.  This bash problem
+  # is reportedly fixed, but why not run on old versions too?
+  sed '$q' "$ltmain" >> "$cfgfile" \
+     || (rm -f "$cfgfile"; exit 1)
+
+  _LT_PROG_REPLACE_SHELLFNS
+
+   mv -f "$cfgfile" "$ofile" ||
+    (rm -f "$ofile" && cp "$cfgfile" "$ofile" && rm -f "$cfgfile")
+  chmod +x "$ofile"
+],
+[cat <<_LT_EOF >> "$ofile"
+
+dnl Unfortunately we have to use $1 here, since _LT_TAG is not expanded
+dnl in a comment (ie after a #).
+# ### BEGIN LIBTOOL TAG CONFIG: $1
+_LT_LIBTOOL_TAG_VARS(_LT_TAG)
+# ### END LIBTOOL TAG CONFIG: $1
+_LT_EOF
+])dnl /m4_if
+],
+[m4_if([$1], [], [
+    PACKAGE='$PACKAGE'
+    VERSION='$VERSION'
+    TIMESTAMP='$TIMESTAMP'
+    RM='$RM'
+    ofile='$ofile'], [])
+])dnl /_LT_CONFIG_SAVE_COMMANDS
+])# _LT_CONFIG
+
+
+# LT_SUPPORTED_TAG(TAG)
+# ---------------------
+# Trace this macro to discover what tags are supported by the libtool
+# --tag option, using:
+#    autoconf --trace 'LT_SUPPORTED_TAG:$1'
+AC_DEFUN([LT_SUPPORTED_TAG], [])
+
+
+# C support is built-in for now
+m4_define([_LT_LANG_C_enabled], [])
+m4_define([_LT_TAGS], [])
+
+
+# LT_LANG(LANG)
+# -------------
+# Enable libtool support for the given language if not already enabled.
+AC_DEFUN([LT_LANG],
+[AC_BEFORE([$0], [LT_OUTPUT])dnl
+m4_case([$1],
+  [C],			[_LT_LANG(C)],
+  [C++],		[_LT_LANG(CXX)],
+  [Go],			[_LT_LANG(GO)],
+  [Java],		[_LT_LANG(GCJ)],
+  [Fortran 77],		[_LT_LANG(F77)],
+  [Fortran],		[_LT_LANG(FC)],
+  [Windows Resource],	[_LT_LANG(RC)],
+  [m4_ifdef([_LT_LANG_]$1[_CONFIG],
+    [_LT_LANG($1)],
+    [m4_fatal([$0: unsupported language: "$1"])])])dnl
+])# LT_LANG
+
+
+# _LT_LANG(LANGNAME)
+# ------------------
+m4_defun([_LT_LANG],
+[m4_ifdef([_LT_LANG_]$1[_enabled], [],
+  [LT_SUPPORTED_TAG([$1])dnl
+  m4_append([_LT_TAGS], [$1 ])dnl
+  m4_define([_LT_LANG_]$1[_enabled], [])dnl
+  _LT_LANG_$1_CONFIG($1)])dnl
+])# _LT_LANG
+
+
+m4_ifndef([AC_PROG_GO], [
+############################################################
+# NOTE: This macro has been submitted for inclusion into   #
+#  GNU Autoconf as AC_PROG_GO.  When it is available in    #
+#  a released version of Autoconf we should remove this    #
+#  macro and use it instead.                               #
+############################################################
+m4_defun([AC_PROG_GO],
+[AC_LANG_PUSH(Go)dnl
+AC_ARG_VAR([GOC],     [Go compiler command])dnl
+AC_ARG_VAR([GOFLAGS], [Go compiler flags])dnl
+_AC_ARG_VAR_LDFLAGS()dnl
+AC_CHECK_TOOL(GOC, gccgo)
+if test -z "$GOC"; then
+  if test -n "$ac_tool_prefix"; then
+    AC_CHECK_PROG(GOC, [${ac_tool_prefix}gccgo], [${ac_tool_prefix}gccgo])
+  fi
+fi
+if test -z "$GOC"; then
+  AC_CHECK_PROG(GOC, gccgo, gccgo, false)
+fi
+])#m4_defun
+])#m4_ifndef
+
+
+# _LT_LANG_DEFAULT_CONFIG
+# -----------------------
+m4_defun([_LT_LANG_DEFAULT_CONFIG],
+[AC_PROVIDE_IFELSE([AC_PROG_CXX],
+  [LT_LANG(CXX)],
+  [m4_define([AC_PROG_CXX], defn([AC_PROG_CXX])[LT_LANG(CXX)])])
+
+AC_PROVIDE_IFELSE([AC_PROG_F77],
+  [LT_LANG(F77)],
+  [m4_define([AC_PROG_F77], defn([AC_PROG_F77])[LT_LANG(F77)])])
+
+AC_PROVIDE_IFELSE([AC_PROG_FC],
+  [LT_LANG(FC)],
+  [m4_define([AC_PROG_FC], defn([AC_PROG_FC])[LT_LANG(FC)])])
+
+dnl The call to [A][M_PROG_GCJ] is quoted like that to stop aclocal
+dnl pulling things in needlessly.
+AC_PROVIDE_IFELSE([AC_PROG_GCJ],
+  [LT_LANG(GCJ)],
+  [AC_PROVIDE_IFELSE([A][M_PROG_GCJ],
+    [LT_LANG(GCJ)],
+    [AC_PROVIDE_IFELSE([LT_PROG_GCJ],
+      [LT_LANG(GCJ)],
+      [m4_ifdef([AC_PROG_GCJ],
+	[m4_define([AC_PROG_GCJ], defn([AC_PROG_GCJ])[LT_LANG(GCJ)])])
+       m4_ifdef([A][M_PROG_GCJ],
+	[m4_define([A][M_PROG_GCJ], defn([A][M_PROG_GCJ])[LT_LANG(GCJ)])])
+       m4_ifdef([LT_PROG_GCJ],
+	[m4_define([LT_PROG_GCJ], defn([LT_PROG_GCJ])[LT_LANG(GCJ)])])])])])
+
+AC_PROVIDE_IFELSE([AC_PROG_GO],
+  [LT_LANG(GO)],
+  [m4_define([AC_PROG_GO], defn([AC_PROG_GO])[LT_LANG(GO)])])
+
+AC_PROVIDE_IFELSE([LT_PROG_RC],
+  [LT_LANG(RC)],
+  [m4_define([LT_PROG_RC], defn([LT_PROG_RC])[LT_LANG(RC)])])
+])# _LT_LANG_DEFAULT_CONFIG
+
+# Obsolete macros:
+AU_DEFUN([AC_LIBTOOL_CXX], [LT_LANG(C++)])
+AU_DEFUN([AC_LIBTOOL_F77], [LT_LANG(Fortran 77)])
+AU_DEFUN([AC_LIBTOOL_FC], [LT_LANG(Fortran)])
+AU_DEFUN([AC_LIBTOOL_GCJ], [LT_LANG(Java)])
+AU_DEFUN([AC_LIBTOOL_RC], [LT_LANG(Windows Resource)])
+dnl aclocal-1.4 backwards compatibility:
+dnl AC_DEFUN([AC_LIBTOOL_CXX], [])
+dnl AC_DEFUN([AC_LIBTOOL_F77], [])
+dnl AC_DEFUN([AC_LIBTOOL_FC], [])
+dnl AC_DEFUN([AC_LIBTOOL_GCJ], [])
+dnl AC_DEFUN([AC_LIBTOOL_RC], [])
+
+
+# _LT_TAG_COMPILER
+# ----------------
+m4_defun([_LT_TAG_COMPILER],
+[AC_REQUIRE([AC_PROG_CC])dnl
+
+_LT_DECL([LTCC], [CC], [1], [A C compiler])dnl
+_LT_DECL([LTCFLAGS], [CFLAGS], [1], [LTCC compiler flags])dnl
+_LT_TAGDECL([CC], [compiler], [1], [A language specific compiler])dnl
+_LT_TAGDECL([with_gcc], [GCC], [0], [Is the compiler the GNU compiler?])dnl
+
+# If no C compiler was specified, use CC.
+LTCC=${LTCC-"$CC"}
+
+# If no C compiler flags were specified, use CFLAGS.
+LTCFLAGS=${LTCFLAGS-"$CFLAGS"}
+
+# Allow CC to be a program name with arguments.
+compiler=$CC
+])# _LT_TAG_COMPILER
+
+
+# _LT_COMPILER_BOILERPLATE
+# ------------------------
+# Check for compiler boilerplate output or warnings with
+# the simple compiler test code.
+m4_defun([_LT_COMPILER_BOILERPLATE],
+[m4_require([_LT_DECL_SED])dnl
+ac_outfile=conftest.$ac_objext
+echo "$lt_simple_compile_test_code" >conftest.$ac_ext
+eval "$ac_compile" 2>&1 >/dev/null | $SED '/^$/d; /^ *+/d' >conftest.err
+_lt_compiler_boilerplate=`cat conftest.err`
+$RM conftest*
+])# _LT_COMPILER_BOILERPLATE
+
+
+# _LT_LINKER_BOILERPLATE
+# ----------------------
+# Check for linker boilerplate output or warnings with
+# the simple link test code.
+m4_defun([_LT_LINKER_BOILERPLATE],
+[m4_require([_LT_DECL_SED])dnl
+ac_outfile=conftest.$ac_objext
+echo "$lt_simple_link_test_code" >conftest.$ac_ext
+eval "$ac_link" 2>&1 >/dev/null | $SED '/^$/d; /^ *+/d' >conftest.err
+_lt_linker_boilerplate=`cat conftest.err`
+$RM -r conftest*
+])# _LT_LINKER_BOILERPLATE
+
+# _LT_REQUIRED_DARWIN_CHECKS
+# -------------------------
+m4_defun_once([_LT_REQUIRED_DARWIN_CHECKS],[
+  case $host_os in
+    rhapsody* | darwin*)
+    AC_CHECK_TOOL([DSYMUTIL], [dsymutil], [:])
+    AC_CHECK_TOOL([NMEDIT], [nmedit], [:])
+    AC_CHECK_TOOL([LIPO], [lipo], [:])
+    AC_CHECK_TOOL([OTOOL], [otool], [:])
+    AC_CHECK_TOOL([OTOOL64], [otool64], [:])
+    _LT_DECL([], [DSYMUTIL], [1],
+      [Tool to manipulate archived DWARF debug symbol files on Mac OS X])
+    _LT_DECL([], [NMEDIT], [1],
+      [Tool to change global to local symbols on Mac OS X])
+    _LT_DECL([], [LIPO], [1],
+      [Tool to manipulate fat objects and archives on Mac OS X])
+    _LT_DECL([], [OTOOL], [1],
+      [ldd/readelf like tool for Mach-O binaries on Mac OS X])
+    _LT_DECL([], [OTOOL64], [1],
+      [ldd/readelf like tool for 64 bit Mach-O binaries on Mac OS X 10.4])
+
+    AC_CACHE_CHECK([for -single_module linker flag],[lt_cv_apple_cc_single_mod],
+      [lt_cv_apple_cc_single_mod=no
+      if test -z "${LT_MULTI_MODULE}"; then
+	# By default we will add the -single_module flag. You can override
+	# by either setting the environment variable LT_MULTI_MODULE
+	# non-empty at configure time, or by adding -multi_module to the
+	# link flags.
+	rm -rf libconftest.dylib*
+	echo "int foo(void){return 1;}" > conftest.c
+	echo "$LTCC $LTCFLAGS $LDFLAGS -o libconftest.dylib \
+-dynamiclib -Wl,-single_module conftest.c" >&AS_MESSAGE_LOG_FD
+	$LTCC $LTCFLAGS $LDFLAGS -o libconftest.dylib \
+	  -dynamiclib -Wl,-single_module conftest.c 2>conftest.err
+        _lt_result=$?
+	# If there is a non-empty error log, and "single_module"
+	# appears in it, assume the flag caused a linker warning
+        if test -s conftest.err && $GREP single_module conftest.err; then
+	  cat conftest.err >&AS_MESSAGE_LOG_FD
+	# Otherwise, if the output was created with a 0 exit code from
+	# the compiler, it worked.
+	elif test -f libconftest.dylib && test $_lt_result -eq 0; then
+	  lt_cv_apple_cc_single_mod=yes
+	else
+	  cat conftest.err >&AS_MESSAGE_LOG_FD
+	fi
+	rm -rf libconftest.dylib*
+	rm -f conftest.*
+      fi])
+
+    AC_CACHE_CHECK([for -exported_symbols_list linker flag],
+      [lt_cv_ld_exported_symbols_list],
+      [lt_cv_ld_exported_symbols_list=no
+      save_LDFLAGS=$LDFLAGS
+      echo "_main" > conftest.sym
+      LDFLAGS="$LDFLAGS -Wl,-exported_symbols_list,conftest.sym"
+      AC_LINK_IFELSE([AC_LANG_PROGRAM([],[])],
+	[lt_cv_ld_exported_symbols_list=yes],
+	[lt_cv_ld_exported_symbols_list=no])
+	LDFLAGS="$save_LDFLAGS"
+    ])
+
+    AC_CACHE_CHECK([for -force_load linker flag],[lt_cv_ld_force_load],
+      [lt_cv_ld_force_load=no
+      cat > conftest.c << _LT_EOF
+int forced_loaded() { return 2;}
+_LT_EOF
+      echo "$LTCC $LTCFLAGS -c -o conftest.o conftest.c" >&AS_MESSAGE_LOG_FD
+      $LTCC $LTCFLAGS -c -o conftest.o conftest.c 2>&AS_MESSAGE_LOG_FD
+      echo "$AR cru libconftest.a conftest.o" >&AS_MESSAGE_LOG_FD
+      $AR cru libconftest.a conftest.o 2>&AS_MESSAGE_LOG_FD
+      echo "$RANLIB libconftest.a" >&AS_MESSAGE_LOG_FD
+      $RANLIB libconftest.a 2>&AS_MESSAGE_LOG_FD
+      cat > conftest.c << _LT_EOF
+int main() { return 0;}
+_LT_EOF
+      echo "$LTCC $LTCFLAGS $LDFLAGS -o conftest conftest.c -Wl,-force_load,./libconftest.a" >&AS_MESSAGE_LOG_FD
+      $LTCC $LTCFLAGS $LDFLAGS -o conftest conftest.c -Wl,-force_load,./libconftest.a 2>conftest.err
+      _lt_result=$?
+      if test -s conftest.err && $GREP force_load conftest.err; then
+	cat conftest.err >&AS_MESSAGE_LOG_FD
+      elif test -f conftest && test $_lt_result -eq 0 && $GREP forced_load conftest >/dev/null 2>&1 ; then
+	lt_cv_ld_force_load=yes
+      else
+	cat conftest.err >&AS_MESSAGE_LOG_FD
+      fi
+        rm -f conftest.err libconftest.a conftest conftest.c
+        rm -rf conftest.dSYM
+    ])
+    case $host_os in
+    rhapsody* | darwin1.[[012]])
+      _lt_dar_allow_undefined='${wl}-undefined ${wl}suppress' ;;
+    darwin1.*)
+      _lt_dar_allow_undefined='${wl}-flat_namespace ${wl}-undefined ${wl}suppress' ;;
+    darwin*) # darwin 5.x on
+      # if running on 10.5 or later, the deployment target defaults
+      # to the OS version, if on x86, and 10.4, the deployment
+      # target defaults to 10.4. Don't you love it?
+      case ${MACOSX_DEPLOYMENT_TARGET-10.0},$host in
+	10.0,*86*-darwin8*|10.0,*-darwin[[91]]*)
+	  _lt_dar_allow_undefined='${wl}-undefined ${wl}dynamic_lookup' ;;
+	10.[[012]]*)
+	  _lt_dar_allow_undefined='${wl}-flat_namespace ${wl}-undefined ${wl}suppress' ;;
+	10.*)
+	  _lt_dar_allow_undefined='${wl}-undefined ${wl}dynamic_lookup' ;;
+      esac
+    ;;
+  esac
+    if test "$lt_cv_apple_cc_single_mod" = "yes"; then
+      _lt_dar_single_mod='$single_module'
+    fi
+    if test "$lt_cv_ld_exported_symbols_list" = "yes"; then
+      _lt_dar_export_syms=' ${wl}-exported_symbols_list,$output_objdir/${libname}-symbols.expsym'
+    else
+      _lt_dar_export_syms='~$NMEDIT -s $output_objdir/${libname}-symbols.expsym ${lib}'
+    fi
+    if test "$DSYMUTIL" != ":" && test "$lt_cv_ld_force_load" = "no"; then
+      _lt_dsymutil='~$DSYMUTIL $lib || :'
+    else
+      _lt_dsymutil=
+    fi
+    ;;
+  esac
+])
+
+
+# _LT_DARWIN_LINKER_FEATURES([TAG])
+# ---------------------------------
+# Checks for linker and compiler features on darwin
+m4_defun([_LT_DARWIN_LINKER_FEATURES],
+[
+  m4_require([_LT_REQUIRED_DARWIN_CHECKS])
+  _LT_TAGVAR(archive_cmds_need_lc, $1)=no
+  _LT_TAGVAR(hardcode_direct, $1)=no
+  _LT_TAGVAR(hardcode_automatic, $1)=yes
+  _LT_TAGVAR(hardcode_shlibpath_var, $1)=unsupported
+  if test "$lt_cv_ld_force_load" = "yes"; then
+    _LT_TAGVAR(whole_archive_flag_spec, $1)='`for conv in $convenience\"\"; do test  -n \"$conv\" && new_convenience=\"$new_convenience ${wl}-force_load,$conv\"; done; func_echo_all \"$new_convenience\"`'
+    m4_case([$1], [F77], [_LT_TAGVAR(compiler_needs_object, $1)=yes],
+                  [FC],  [_LT_TAGVAR(compiler_needs_object, $1)=yes])
+  else
+    _LT_TAGVAR(whole_archive_flag_spec, $1)=''
+  fi
+  _LT_TAGVAR(link_all_deplibs, $1)=yes
+  _LT_TAGVAR(allow_undefined_flag, $1)="$_lt_dar_allow_undefined"
+  case $cc_basename in
+     ifort*) _lt_dar_can_shared=yes ;;
+     *) _lt_dar_can_shared=$GCC ;;
+  esac
+  if test "$_lt_dar_can_shared" = "yes"; then
+    output_verbose_link_cmd=func_echo_all
+    _LT_TAGVAR(archive_cmds, $1)="\$CC -dynamiclib \$allow_undefined_flag -o \$lib \$libobjs \$deplibs \$compiler_flags -install_name \$rpath/\$soname \$verstring $_lt_dar_single_mod${_lt_dsymutil}"
+    _LT_TAGVAR(module_cmds, $1)="\$CC \$allow_undefined_flag -o \$lib -bundle \$libobjs \$deplibs \$compiler_flags${_lt_dsymutil}"
+    _LT_TAGVAR(archive_expsym_cmds, $1)="sed 's,^,_,' < \$export_symbols > \$output_objdir/\${libname}-symbols.expsym~\$CC -dynamiclib \$allow_undefined_flag -o \$lib \$libobjs \$deplibs \$compiler_flags -install_name \$rpath/\$soname \$verstring ${_lt_dar_single_mod}${_lt_dar_export_syms}${_lt_dsymutil}"
+    _LT_TAGVAR(module_expsym_cmds, $1)="sed -e 's,^,_,' < \$export_symbols > \$output_objdir/\${libname}-symbols.expsym~\$CC \$allow_undefined_flag -o \$lib -bundle \$libobjs \$deplibs \$compiler_flags${_lt_dar_export_syms}${_lt_dsymutil}"
+    m4_if([$1], [CXX],
+[   if test "$lt_cv_apple_cc_single_mod" != "yes"; then
+      _LT_TAGVAR(archive_cmds, $1)="\$CC -r -keep_private_externs -nostdlib -o \${lib}-master.o \$libobjs~\$CC -dynamiclib \$allow_undefined_flag -o \$lib \${lib}-master.o \$deplibs \$compiler_flags -install_name \$rpath/\$soname \$verstring${_lt_dsymutil}"
+      _LT_TAGVAR(archive_expsym_cmds, $1)="sed 's,^,_,' < \$export_symbols > \$output_objdir/\${libname}-symbols.expsym~\$CC -r -keep_private_externs -nostdlib -o \${lib}-master.o \$libobjs~\$CC -dynamiclib \$allow_undefined_flag -o \$lib \${lib}-master.o \$deplibs \$compiler_flags -install_name \$rpath/\$soname \$verstring${_lt_dar_export_syms}${_lt_dsymutil}"
+    fi
+],[])
+  else
+  _LT_TAGVAR(ld_shlibs, $1)=no
+  fi
+])
+
+# _LT_SYS_MODULE_PATH_AIX([TAGNAME])
+# ----------------------------------
+# Links a minimal program and checks the executable
+# for the system default hardcoded library path. In most cases,
+# this is /usr/lib:/lib, but when the MPI compilers are used
+# the location of the communication and MPI libs are included too.
+# If we don't find anything, use the default library path according
+# to the aix ld manual.
+# Store the results from the different compilers for each TAGNAME.
+# Allow to override them for all tags through lt_cv_aix_libpath.
+m4_defun([_LT_SYS_MODULE_PATH_AIX],
+[m4_require([_LT_DECL_SED])dnl
+if test "${lt_cv_aix_libpath+set}" = set; then
+  aix_libpath=$lt_cv_aix_libpath
+else
+  AC_CACHE_VAL([_LT_TAGVAR([lt_cv_aix_libpath_], [$1])],
+  [AC_LINK_IFELSE([AC_LANG_PROGRAM],[
+  lt_aix_libpath_sed='[
+      /Import File Strings/,/^$/ {
+	  /^0/ {
+	      s/^0  *\([^ ]*\) *$/\1/
+	      p
+	  }
+      }]'
+  _LT_TAGVAR([lt_cv_aix_libpath_], [$1])=`dump -H conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
+  # Check for a 64-bit object if we didn't find anything.
+  if test -z "$_LT_TAGVAR([lt_cv_aix_libpath_], [$1])"; then
+    _LT_TAGVAR([lt_cv_aix_libpath_], [$1])=`dump -HX64 conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
+  fi],[])
+  if test -z "$_LT_TAGVAR([lt_cv_aix_libpath_], [$1])"; then
+    _LT_TAGVAR([lt_cv_aix_libpath_], [$1])="/usr/lib:/lib"
+  fi
+  ])
+  aix_libpath=$_LT_TAGVAR([lt_cv_aix_libpath_], [$1])
+fi
+])# _LT_SYS_MODULE_PATH_AIX
+
+
+# _LT_SHELL_INIT(ARG)
+# -------------------
+m4_define([_LT_SHELL_INIT],
+[m4_divert_text([M4SH-INIT], [$1
+])])# _LT_SHELL_INIT
+
+
+
+# _LT_PROG_ECHO_BACKSLASH
+# -----------------------
+# Find how we can fake an echo command that does not interpret backslash.
+# In particular, with Autoconf 2.60 or later we add some code to the start
+# of the generated configure script which will find a shell with a builtin
+# printf (which we can use as an echo command).
+m4_defun([_LT_PROG_ECHO_BACKSLASH],
+[ECHO='\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\'
+ECHO=$ECHO$ECHO$ECHO$ECHO$ECHO
+ECHO=$ECHO$ECHO$ECHO$ECHO$ECHO$ECHO
+
+AC_MSG_CHECKING([how to print strings])
+# Test print first, because it will be a builtin if present.
+if test "X`( print -r -- -n ) 2>/dev/null`" = X-n && \
+   test "X`print -r -- $ECHO 2>/dev/null`" = "X$ECHO"; then
+  ECHO='print -r --'
+elif test "X`printf %s $ECHO 2>/dev/null`" = "X$ECHO"; then
+  ECHO='printf %s\n'
+else
+  # Use this function as a fallback that always works.
+  func_fallback_echo ()
+  {
+    eval 'cat <<_LTECHO_EOF
+$[]1
+_LTECHO_EOF'
+  }
+  ECHO='func_fallback_echo'
+fi
+
+# func_echo_all arg...
+# Invoke $ECHO with all args, space-separated.
+func_echo_all ()
+{
+    $ECHO "$*" 
+}
+
+case "$ECHO" in
+  printf*) AC_MSG_RESULT([printf]) ;;
+  print*) AC_MSG_RESULT([print -r]) ;;
+  *) AC_MSG_RESULT([cat]) ;;
+esac
+
+m4_ifdef([_AS_DETECT_SUGGESTED],
+[_AS_DETECT_SUGGESTED([
+  test -n "${ZSH_VERSION+set}${BASH_VERSION+set}" || (
+    ECHO='\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\'
+    ECHO=$ECHO$ECHO$ECHO$ECHO$ECHO
+    ECHO=$ECHO$ECHO$ECHO$ECHO$ECHO$ECHO
+    PATH=/empty FPATH=/empty; export PATH FPATH
+    test "X`printf %s $ECHO`" = "X$ECHO" \
+      || test "X`print -r -- $ECHO`" = "X$ECHO" )])])
+
+_LT_DECL([], [SHELL], [1], [Shell to use when invoking shell scripts])
+_LT_DECL([], [ECHO], [1], [An echo program that protects backslashes])
+])# _LT_PROG_ECHO_BACKSLASH
+
+
+# _LT_WITH_SYSROOT
+# ----------------
+AC_DEFUN([_LT_WITH_SYSROOT],
+[AC_MSG_CHECKING([for sysroot])
+AC_ARG_WITH([sysroot],
+[  --with-sysroot[=DIR] Search for dependent libraries within DIR
+                        (or the compiler's sysroot if not specified).],
+[], [with_sysroot=no])
+
+dnl lt_sysroot will always be passed unquoted.  We quote it here
+dnl in case the user passed a directory name.
+lt_sysroot=
+case ${with_sysroot} in #(
+ yes)
+   if test "$GCC" = yes; then
+     lt_sysroot=`$CC --print-sysroot 2>/dev/null`
+   fi
+   ;; #(
+ /*)
+   lt_sysroot=`echo "$with_sysroot" | sed -e "$sed_quote_subst"`
+   ;; #(
+ no|'')
+   ;; #(
+ *)
+   AC_MSG_RESULT([${with_sysroot}])
+   AC_MSG_ERROR([The sysroot must be an absolute path.])
+   ;;
+esac
+
+ AC_MSG_RESULT([${lt_sysroot:-no}])
+_LT_DECL([], [lt_sysroot], [0], [The root where to search for ]dnl
+[dependent libraries, and in which our libraries should be installed.])])
+
+# _LT_ENABLE_LOCK
+# ---------------
+m4_defun([_LT_ENABLE_LOCK],
+[AC_ARG_ENABLE([libtool-lock],
+  [AS_HELP_STRING([--disable-libtool-lock],
+    [avoid locking (might break parallel builds)])])
+test "x$enable_libtool_lock" != xno && enable_libtool_lock=yes
+
+# Some flags need to be propagated to the compiler or linker for good
+# libtool support.
+case $host in
+ia64-*-hpux*)
+  # Find out which ABI we are using.
+  echo 'int i;' > conftest.$ac_ext
+  if AC_TRY_EVAL(ac_compile); then
+    case `/usr/bin/file conftest.$ac_objext` in
+      *ELF-32*)
+	HPUX_IA64_MODE="32"
+	;;
+      *ELF-64*)
+	HPUX_IA64_MODE="64"
+	;;
+    esac
+  fi
+  rm -rf conftest*
+  ;;
+*-*-irix6*)
+  # Find out which ABI we are using.
+  echo '[#]line '$LINENO' "configure"' > conftest.$ac_ext
+  if AC_TRY_EVAL(ac_compile); then
+    if test "$lt_cv_prog_gnu_ld" = yes; then
+      case `/usr/bin/file conftest.$ac_objext` in
+	*32-bit*)
+	  LD="${LD-ld} -melf32bsmip"
+	  ;;
+	*N32*)
+	  LD="${LD-ld} -melf32bmipn32"
+	  ;;
+	*64-bit*)
+	  LD="${LD-ld} -melf64bmip"
+	;;
+      esac
+    else
+      case `/usr/bin/file conftest.$ac_objext` in
+	*32-bit*)
+	  LD="${LD-ld} -32"
+	  ;;
+	*N32*)
+	  LD="${LD-ld} -n32"
+	  ;;
+	*64-bit*)
+	  LD="${LD-ld} -64"
+	  ;;
+      esac
+    fi
+  fi
+  rm -rf conftest*
+  ;;
+
+x86_64-*kfreebsd*-gnu|x86_64-*linux*|ppc*-*linux*|powerpc*-*linux*| \
+s390*-*linux*|s390*-*tpf*|sparc*-*linux*)
+  # Find out which ABI we are using.
+  echo 'int i;' > conftest.$ac_ext
+  if AC_TRY_EVAL(ac_compile); then
+    case `/usr/bin/file conftest.o` in
+      *32-bit*)
+	case $host in
+	  x86_64-*kfreebsd*-gnu)
+	    LD="${LD-ld} -m elf_i386_fbsd"
+	    ;;
+	  x86_64-*linux*)
+	    LD="${LD-ld} -m elf_i386"
+	    ;;
+	  ppc64-*linux*|powerpc64-*linux*)
+	    LD="${LD-ld} -m elf32ppclinux"
+	    ;;
+	  s390x-*linux*)
+	    LD="${LD-ld} -m elf_s390"
+	    ;;
+	  sparc64-*linux*)
+	    LD="${LD-ld} -m elf32_sparc"
+	    ;;
+	esac
+	;;
+      *64-bit*)
+	case $host in
+	  x86_64-*kfreebsd*-gnu)
+	    LD="${LD-ld} -m elf_x86_64_fbsd"
+	    ;;
+	  x86_64-*linux*)
+	    LD="${LD-ld} -m elf_x86_64"
+	    ;;
+	  ppc*-*linux*|powerpc*-*linux*)
+	    LD="${LD-ld} -m elf64ppc"
+	    ;;
+	  s390*-*linux*|s390*-*tpf*)
+	    LD="${LD-ld} -m elf64_s390"
+	    ;;
+	  sparc*-*linux*)
+	    LD="${LD-ld} -m elf64_sparc"
+	    ;;
+	esac
+	;;
+    esac
+  fi
+  rm -rf conftest*
+  ;;
+
+*-*-sco3.2v5*)
+  # On SCO OpenServer 5, we need -belf to get full-featured binaries.
+  SAVE_CFLAGS="$CFLAGS"
+  CFLAGS="$CFLAGS -belf"
+  AC_CACHE_CHECK([whether the C compiler needs -belf], lt_cv_cc_needs_belf,
+    [AC_LANG_PUSH(C)
+     AC_LINK_IFELSE([AC_LANG_PROGRAM([[]],[[]])],[lt_cv_cc_needs_belf=yes],[lt_cv_cc_needs_belf=no])
+     AC_LANG_POP])
+  if test x"$lt_cv_cc_needs_belf" != x"yes"; then
+    # this is probably gcc 2.8.0, egcs 1.0 or newer; no need for -belf
+    CFLAGS="$SAVE_CFLAGS"
+  fi
+  ;;
+*-*solaris*)
+  # Find out which ABI we are using.
+  echo 'int i;' > conftest.$ac_ext
+  if AC_TRY_EVAL(ac_compile); then
+    case `/usr/bin/file conftest.o` in
+    *64-bit*)
+      case $lt_cv_prog_gnu_ld in
+      yes*)
+        case $host in
+        i?86-*-solaris*)
+          LD="${LD-ld} -m elf_x86_64"
+          ;;
+        sparc*-*-solaris*)
+          LD="${LD-ld} -m elf64_sparc"
+          ;;
+        esac
+        # GNU ld 2.21 introduced _sol2 emulations.  Use them if available.
+        if ${LD-ld} -V | grep _sol2 >/dev/null 2>&1; then
+          LD="${LD-ld}_sol2"
+        fi
+        ;;
+      *)
+	if ${LD-ld} -64 -r -o conftest2.o conftest.o >/dev/null 2>&1; then
+	  LD="${LD-ld} -64"
+	fi
+	;;
+      esac
+      ;;
+    esac
+  fi
+  rm -rf conftest*
+  ;;
+esac
+
+need_locks="$enable_libtool_lock"
+])# _LT_ENABLE_LOCK
+
+
+# _LT_PROG_AR
+# -----------
+m4_defun([_LT_PROG_AR],
+[AC_CHECK_TOOLS(AR, [ar], false)
+: ${AR=ar}
+: ${AR_FLAGS=cru}
+_LT_DECL([], [AR], [1], [The archiver])
+_LT_DECL([], [AR_FLAGS], [1], [Flags to create an archive])
+
+AC_CACHE_CHECK([for archiver @FILE support], [lt_cv_ar_at_file],
+  [lt_cv_ar_at_file=no
+   AC_COMPILE_IFELSE([AC_LANG_PROGRAM],
+     [echo conftest.$ac_objext > conftest.lst
+      lt_ar_try='$AR $AR_FLAGS libconftest.a @conftest.lst >&AS_MESSAGE_LOG_FD'
+      AC_TRY_EVAL([lt_ar_try])
+      if test "$ac_status" -eq 0; then
+	# Ensure the archiver fails upon bogus file names.
+	rm -f conftest.$ac_objext libconftest.a
+	AC_TRY_EVAL([lt_ar_try])
+	if test "$ac_status" -ne 0; then
+          lt_cv_ar_at_file=@
+        fi
+      fi
+      rm -f conftest.* libconftest.a
+     ])
+  ])
+
+if test "x$lt_cv_ar_at_file" = xno; then
+  archiver_list_spec=
+else
+  archiver_list_spec=$lt_cv_ar_at_file
+fi
+_LT_DECL([], [archiver_list_spec], [1],
+  [How to feed a file listing to the archiver])
+])# _LT_PROG_AR
+
+
+# _LT_CMD_OLD_ARCHIVE
+# -------------------
+m4_defun([_LT_CMD_OLD_ARCHIVE],
+[_LT_PROG_AR
+
+AC_CHECK_TOOL(STRIP, strip, :)
+test -z "$STRIP" && STRIP=:
+_LT_DECL([], [STRIP], [1], [A symbol stripping program])
+
+AC_CHECK_TOOL(RANLIB, ranlib, :)
+test -z "$RANLIB" && RANLIB=:
+_LT_DECL([], [RANLIB], [1],
+    [Commands used to install an old-style archive])
+
+# Determine commands to create old-style static archives.
+old_archive_cmds='$AR $AR_FLAGS $oldlib$oldobjs'
+old_postinstall_cmds='chmod 644 $oldlib'
+old_postuninstall_cmds=
+
+if test -n "$RANLIB"; then
+  case $host_os in
+  openbsd*)
+    old_postinstall_cmds="$old_postinstall_cmds~\$RANLIB -t \$tool_oldlib"
+    ;;
+  *)
+    old_postinstall_cmds="$old_postinstall_cmds~\$RANLIB \$tool_oldlib"
+    ;;
+  esac
+  old_archive_cmds="$old_archive_cmds~\$RANLIB \$tool_oldlib"
+fi
+
+case $host_os in
+  darwin*)
+    lock_old_archive_extraction=yes ;;
+  *)
+    lock_old_archive_extraction=no ;;
+esac
+_LT_DECL([], [old_postinstall_cmds], [2])
+_LT_DECL([], [old_postuninstall_cmds], [2])
+_LT_TAGDECL([], [old_archive_cmds], [2],
+    [Commands used to build an old-style archive])
+_LT_DECL([], [lock_old_archive_extraction], [0],
+    [Whether to use a lock for old archive extraction])
+])# _LT_CMD_OLD_ARCHIVE
+
+
+# _LT_COMPILER_OPTION(MESSAGE, VARIABLE-NAME, FLAGS,
+#		[OUTPUT-FILE], [ACTION-SUCCESS], [ACTION-FAILURE])
+# ----------------------------------------------------------------
+# Check whether the given compiler option works
+AC_DEFUN([_LT_COMPILER_OPTION],
+[m4_require([_LT_FILEUTILS_DEFAULTS])dnl
+m4_require([_LT_DECL_SED])dnl
+AC_CACHE_CHECK([$1], [$2],
+  [$2=no
+   m4_if([$4], , [ac_outfile=conftest.$ac_objext], [ac_outfile=$4])
+   echo "$lt_simple_compile_test_code" > conftest.$ac_ext
+   lt_compiler_flag="$3"
+   # Insert the option either (1) after the last *FLAGS variable, or
+   # (2) before a word containing "conftest.", or (3) at the end.
+   # Note that $ac_compile itself does not contain backslashes and begins
+   # with a dollar sign (not a hyphen), so the echo should work correctly.
+   # The option is referenced via a variable to avoid confusing sed.
+   lt_compile=`echo "$ac_compile" | $SED \
+   -e 's:.*FLAGS}\{0,1\} :&$lt_compiler_flag :; t' \
+   -e 's: [[^ ]]*conftest\.: $lt_compiler_flag&:; t' \
+   -e 's:$: $lt_compiler_flag:'`
+   (eval echo "\"\$as_me:$LINENO: $lt_compile\"" >&AS_MESSAGE_LOG_FD)
+   (eval "$lt_compile" 2>conftest.err)
+   ac_status=$?
+   cat conftest.err >&AS_MESSAGE_LOG_FD
+   echo "$as_me:$LINENO: \$? = $ac_status" >&AS_MESSAGE_LOG_FD
+   if (exit $ac_status) && test -s "$ac_outfile"; then
+     # The compiler can only warn and ignore the option if not recognized
+     # So say no if there are warnings other than the usual output.
+     $ECHO "$_lt_compiler_boilerplate" | $SED '/^$/d' >conftest.exp
+     $SED '/^$/d; /^ *+/d' conftest.err >conftest.er2
+     if test ! -s conftest.er2 || diff conftest.exp conftest.er2 >/dev/null; then
+       $2=yes
+     fi
+   fi
+   $RM conftest*
+])
+
+if test x"[$]$2" = xyes; then
+    m4_if([$5], , :, [$5])
+else
+    m4_if([$6], , :, [$6])
+fi
+])# _LT_COMPILER_OPTION
+
+# Old name:
+AU_ALIAS([AC_LIBTOOL_COMPILER_OPTION], [_LT_COMPILER_OPTION])
+dnl aclocal-1.4 backwards compatibility:
+dnl AC_DEFUN([AC_LIBTOOL_COMPILER_OPTION], [])
+
+
+# _LT_LINKER_OPTION(MESSAGE, VARIABLE-NAME, FLAGS,
+#                  [ACTION-SUCCESS], [ACTION-FAILURE])
+# ----------------------------------------------------
+# Check whether the given linker option works
+AC_DEFUN([_LT_LINKER_OPTION],
+[m4_require([_LT_FILEUTILS_DEFAULTS])dnl
+m4_require([_LT_DECL_SED])dnl
+AC_CACHE_CHECK([$1], [$2],
+  [$2=no
+   save_LDFLAGS="$LDFLAGS"
+   LDFLAGS="$LDFLAGS $3"
+   echo "$lt_simple_link_test_code" > conftest.$ac_ext
+   if (eval $ac_link 2>conftest.err) && test -s conftest$ac_exeext; then
+     # The linker can only warn and ignore the option if not recognized
+     # So say no if there are warnings
+     if test -s conftest.err; then
+       # Append any errors to the config.log.
+       cat conftest.err 1>&AS_MESSAGE_LOG_FD
+       $ECHO "$_lt_linker_boilerplate" | $SED '/^$/d' > conftest.exp
+       $SED '/^$/d; /^ *+/d' conftest.err >conftest.er2
+       if diff conftest.exp conftest.er2 >/dev/null; then
+         $2=yes
+       fi
+     else
+       $2=yes
+     fi
+   fi
+   $RM -r conftest*
+   LDFLAGS="$save_LDFLAGS"
+])
+
+if test x"[$]$2" = xyes; then
+    m4_if([$4], , :, [$4])
+else
+    m4_if([$5], , :, [$5])
+fi
+])# _LT_LINKER_OPTION
+
+# Old name:
+AU_ALIAS([AC_LIBTOOL_LINKER_OPTION], [_LT_LINKER_OPTION])
+dnl aclocal-1.4 backwards compatibility:
+dnl AC_DEFUN([AC_LIBTOOL_LINKER_OPTION], [])
+
+
+# LT_CMD_MAX_LEN
+#---------------
+AC_DEFUN([LT_CMD_MAX_LEN],
+[AC_REQUIRE([AC_CANONICAL_HOST])dnl
+# find the maximum length of command line arguments
+AC_MSG_CHECKING([the maximum length of command line arguments])
+AC_CACHE_VAL([lt_cv_sys_max_cmd_len], [dnl
+  i=0
+  teststring="ABCD"
+
+  case $build_os in
+  msdosdjgpp*)
+    # On DJGPP, this test can blow up pretty badly due to problems in libc
+    # (any single argument exceeding 2000 bytes causes a buffer overrun
+    # during glob expansion).  Even if it were fixed, the result of this
+    # check would be larger than it should be.
+    lt_cv_sys_max_cmd_len=12288;    # 12K is about right
+    ;;
+
+  gnu*)
+    # Under GNU Hurd, this test is not required because there is
+    # no limit to the length of command line arguments.
+    # Libtool will interpret -1 as no limit whatsoever
+    lt_cv_sys_max_cmd_len=-1;
+    ;;
+
+  cygwin* | mingw* | cegcc*)
+    # On Win9x/ME, this test blows up -- it succeeds, but takes
+    # about 5 minutes as the teststring grows exponentially.
+    # Worse, since 9x/ME are not pre-emptively multitasking,
+    # you end up with a "frozen" computer, even though with patience
+    # the test eventually succeeds (with a max line length of 256k).
+    # Instead, let's just punt: use the minimum linelength reported by
+    # all of the supported platforms: 8192 (on NT/2K/XP).
+    lt_cv_sys_max_cmd_len=8192;
+    ;;
+
+  mint*)
+    # On MiNT this can take a long time and run out of memory.
+    lt_cv_sys_max_cmd_len=8192;
+    ;;
+
+  amigaos*)
+    # On AmigaOS with pdksh, this test takes hours, literally.
+    # So we just punt and use a minimum line length of 8192.
+    lt_cv_sys_max_cmd_len=8192;
+    ;;
+
+  netbsd* | freebsd* | openbsd* | darwin* | dragonfly*)
+    # This has been around since 386BSD, at least.  Likely further.
+    if test -x /sbin/sysctl; then
+      lt_cv_sys_max_cmd_len=`/sbin/sysctl -n kern.argmax`
+    elif test -x /usr/sbin/sysctl; then
+      lt_cv_sys_max_cmd_len=`/usr/sbin/sysctl -n kern.argmax`
+    else
+      lt_cv_sys_max_cmd_len=65536	# usable default for all BSDs
+    fi
+    # And add a safety zone
+    lt_cv_sys_max_cmd_len=`expr $lt_cv_sys_max_cmd_len \/ 4`
+    lt_cv_sys_max_cmd_len=`expr $lt_cv_sys_max_cmd_len \* 3`
+    ;;
+
+  interix*)
+    # We know the value 262144 and hardcode it with a safety zone (like BSD)
+    lt_cv_sys_max_cmd_len=196608
+    ;;
+
+  os2*)
+    # The test takes a long time on OS/2.
+    lt_cv_sys_max_cmd_len=8192
+    ;;
+
+  osf*)
+    # Dr. Hans Ekkehard Plesser reports seeing a kernel panic running configure
+    # due to this test when exec_disable_arg_limit is 1 on Tru64. It is not
+    # nice to cause kernel panics so lets avoid the loop below.
+    # First set a reasonable default.
+    lt_cv_sys_max_cmd_len=16384
+    #
+    if test -x /sbin/sysconfig; then
+      case `/sbin/sysconfig -q proc exec_disable_arg_limit` in
+        *1*) lt_cv_sys_max_cmd_len=-1 ;;
+      esac
+    fi
+    ;;
+  sco3.2v5*)
+    lt_cv_sys_max_cmd_len=102400
+    ;;
+  sysv5* | sco5v6* | sysv4.2uw2*)
+    kargmax=`grep ARG_MAX /etc/conf/cf.d/stune 2>/dev/null`
+    if test -n "$kargmax"; then
+      lt_cv_sys_max_cmd_len=`echo $kargmax | sed 's/.*[[	 ]]//'`
+    else
+      lt_cv_sys_max_cmd_len=32768
+    fi
+    ;;
+  *)
+    lt_cv_sys_max_cmd_len=`(getconf ARG_MAX) 2> /dev/null`
+    if test -n "$lt_cv_sys_max_cmd_len"; then
+      lt_cv_sys_max_cmd_len=`expr $lt_cv_sys_max_cmd_len \/ 4`
+      lt_cv_sys_max_cmd_len=`expr $lt_cv_sys_max_cmd_len \* 3`
+    else
+      # Make teststring a little bigger before we do anything with it.
+      # a 1K string should be a reasonable start.
+      for i in 1 2 3 4 5 6 7 8 ; do
+        teststring=$teststring$teststring
+      done
+      SHELL=${SHELL-${CONFIG_SHELL-/bin/sh}}
+      # If test is not a shell built-in, we'll probably end up computing a
+      # maximum length that is only half of the actual maximum length, but
+      # we can't tell.
+      while { test "X"`env echo "$teststring$teststring" 2>/dev/null` \
+	         = "X$teststring$teststring"; } >/dev/null 2>&1 &&
+	      test $i != 17 # 1/2 MB should be enough
+      do
+        i=`expr $i + 1`
+        teststring=$teststring$teststring
+      done
+      # Only check the string length outside the loop.
+      lt_cv_sys_max_cmd_len=`expr "X$teststring" : ".*" 2>&1`
+      teststring=
+      # Add a significant safety factor because C++ compilers can tack on
+      # massive amounts of additional arguments before passing them to the
+      # linker.  It appears as though 1/2 is a usable value.
+      lt_cv_sys_max_cmd_len=`expr $lt_cv_sys_max_cmd_len \/ 2`
+    fi
+    ;;
+  esac
+])
+if test -n $lt_cv_sys_max_cmd_len ; then
+  AC_MSG_RESULT($lt_cv_sys_max_cmd_len)
+else
+  AC_MSG_RESULT(none)
+fi
+max_cmd_len=$lt_cv_sys_max_cmd_len
+_LT_DECL([], [max_cmd_len], [0],
+    [What is the maximum length of a command?])
+])# LT_CMD_MAX_LEN
+
+# Old name:
+AU_ALIAS([AC_LIBTOOL_SYS_MAX_CMD_LEN], [LT_CMD_MAX_LEN])
+dnl aclocal-1.4 backwards compatibility:
+dnl AC_DEFUN([AC_LIBTOOL_SYS_MAX_CMD_LEN], [])
+
+
+# _LT_HEADER_DLFCN
+# ----------------
+m4_defun([_LT_HEADER_DLFCN],
+[AC_CHECK_HEADERS([dlfcn.h], [], [], [AC_INCLUDES_DEFAULT])dnl
+])# _LT_HEADER_DLFCN
+
+
+# _LT_TRY_DLOPEN_SELF (ACTION-IF-TRUE, ACTION-IF-TRUE-W-USCORE,
+#                      ACTION-IF-FALSE, ACTION-IF-CROSS-COMPILING)
+# ----------------------------------------------------------------
+m4_defun([_LT_TRY_DLOPEN_SELF],
+[m4_require([_LT_HEADER_DLFCN])dnl
+if test "$cross_compiling" = yes; then :
+  [$4]
+else
+  lt_dlunknown=0; lt_dlno_uscore=1; lt_dlneed_uscore=2
+  lt_status=$lt_dlunknown
+  cat > conftest.$ac_ext <<_LT_EOF
+[#line $LINENO "configure"
+#include "confdefs.h"
+
+#if HAVE_DLFCN_H
+#include <dlfcn.h>
+#endif
+
+#include <stdio.h>
+
+#ifdef RTLD_GLOBAL
+#  define LT_DLGLOBAL		RTLD_GLOBAL
+#else
+#  ifdef DL_GLOBAL
+#    define LT_DLGLOBAL		DL_GLOBAL
+#  else
+#    define LT_DLGLOBAL		0
+#  endif
+#endif
+
+/* We may have to define LT_DLLAZY_OR_NOW in the command line if we
+   find out it does not work in some platform. */
+#ifndef LT_DLLAZY_OR_NOW
+#  ifdef RTLD_LAZY
+#    define LT_DLLAZY_OR_NOW		RTLD_LAZY
+#  else
+#    ifdef DL_LAZY
+#      define LT_DLLAZY_OR_NOW		DL_LAZY
+#    else
+#      ifdef RTLD_NOW
+#        define LT_DLLAZY_OR_NOW	RTLD_NOW
+#      else
+#        ifdef DL_NOW
+#          define LT_DLLAZY_OR_NOW	DL_NOW
+#        else
+#          define LT_DLLAZY_OR_NOW	0
+#        endif
+#      endif
+#    endif
+#  endif
+#endif
+
+/* When -fvisbility=hidden is used, assume the code has been annotated
+   correspondingly for the symbols needed.  */
+#if defined(__GNUC__) && (((__GNUC__ == 3) && (__GNUC_MINOR__ >= 3)) || (__GNUC__ > 3))
+int fnord () __attribute__((visibility("default")));
+#endif
+
+int fnord () { return 42; }
+int main ()
+{
+  void *self = dlopen (0, LT_DLGLOBAL|LT_DLLAZY_OR_NOW);
+  int status = $lt_dlunknown;
+
+  if (self)
+    {
+      if (dlsym (self,"fnord"))       status = $lt_dlno_uscore;
+      else
+        {
+	  if (dlsym( self,"_fnord"))  status = $lt_dlneed_uscore;
+          else puts (dlerror ());
+	}
+      /* dlclose (self); */
+    }
+  else
+    puts (dlerror ());
+
+  return status;
+}]
+_LT_EOF
+  if AC_TRY_EVAL(ac_link) && test -s conftest${ac_exeext} 2>/dev/null; then
+    (./conftest; exit; ) >&AS_MESSAGE_LOG_FD 2>/dev/null
+    lt_status=$?
+    case x$lt_status in
+      x$lt_dlno_uscore) $1 ;;
+      x$lt_dlneed_uscore) $2 ;;
+      x$lt_dlunknown|x*) $3 ;;
+    esac
+  else :
+    # compilation failed
+    $3
+  fi
+fi
+rm -fr conftest*
+])# _LT_TRY_DLOPEN_SELF
+
+
+# LT_SYS_DLOPEN_SELF
+# ------------------
+AC_DEFUN([LT_SYS_DLOPEN_SELF],
+[m4_require([_LT_HEADER_DLFCN])dnl
+if test "x$enable_dlopen" != xyes; then
+  enable_dlopen=unknown
+  enable_dlopen_self=unknown
+  enable_dlopen_self_static=unknown
+else
+  lt_cv_dlopen=no
+  lt_cv_dlopen_libs=
+
+  case $host_os in
+  beos*)
+    lt_cv_dlopen="load_add_on"
+    lt_cv_dlopen_libs=
+    lt_cv_dlopen_self=yes
+    ;;
+
+  mingw* | pw32* | cegcc*)
+    lt_cv_dlopen="LoadLibrary"
+    lt_cv_dlopen_libs=
+    ;;
+
+  cygwin*)
+    lt_cv_dlopen="dlopen"
+    lt_cv_dlopen_libs=
+    ;;
+
+  darwin*)
+  # if libdl is installed we need to link against it
+    AC_CHECK_LIB([dl], [dlopen],
+		[lt_cv_dlopen="dlopen" lt_cv_dlopen_libs="-ldl"],[
+    lt_cv_dlopen="dyld"
+    lt_cv_dlopen_libs=
+    lt_cv_dlopen_self=yes
+    ])
+    ;;
+
+  *)
+    AC_CHECK_FUNC([shl_load],
+	  [lt_cv_dlopen="shl_load"],
+      [AC_CHECK_LIB([dld], [shl_load],
+	    [lt_cv_dlopen="shl_load" lt_cv_dlopen_libs="-ldld"],
+	[AC_CHECK_FUNC([dlopen],
+	      [lt_cv_dlopen="dlopen"],
+	  [AC_CHECK_LIB([dl], [dlopen],
+		[lt_cv_dlopen="dlopen" lt_cv_dlopen_libs="-ldl"],
+	    [AC_CHECK_LIB([svld], [dlopen],
+		  [lt_cv_dlopen="dlopen" lt_cv_dlopen_libs="-lsvld"],
+	      [AC_CHECK_LIB([dld], [dld_link],
+		    [lt_cv_dlopen="dld_link" lt_cv_dlopen_libs="-ldld"])
+	      ])
+	    ])
+	  ])
+	])
+      ])
+    ;;
+  esac
+
+  if test "x$lt_cv_dlopen" != xno; then
+    enable_dlopen=yes
+  else
+    enable_dlopen=no
+  fi
+
+  case $lt_cv_dlopen in
+  dlopen)
+    save_CPPFLAGS="$CPPFLAGS"
+    test "x$ac_cv_header_dlfcn_h" = xyes && CPPFLAGS="$CPPFLAGS -DHAVE_DLFCN_H"
+
+    save_LDFLAGS="$LDFLAGS"
+    wl=$lt_prog_compiler_wl eval LDFLAGS=\"\$LDFLAGS $export_dynamic_flag_spec\"
+
+    save_LIBS="$LIBS"
+    LIBS="$lt_cv_dlopen_libs $LIBS"
+
+    AC_CACHE_CHECK([whether a program can dlopen itself],
+	  lt_cv_dlopen_self, [dnl
+	  _LT_TRY_DLOPEN_SELF(
+	    lt_cv_dlopen_self=yes, lt_cv_dlopen_self=yes,
+	    lt_cv_dlopen_self=no, lt_cv_dlopen_self=cross)
+    ])
+
+    if test "x$lt_cv_dlopen_self" = xyes; then
+      wl=$lt_prog_compiler_wl eval LDFLAGS=\"\$LDFLAGS $lt_prog_compiler_static\"
+      AC_CACHE_CHECK([whether a statically linked program can dlopen itself],
+	  lt_cv_dlopen_self_static, [dnl
+	  _LT_TRY_DLOPEN_SELF(
+	    lt_cv_dlopen_self_static=yes, lt_cv_dlopen_self_static=yes,
+	    lt_cv_dlopen_self_static=no,  lt_cv_dlopen_self_static=cross)
+      ])
+    fi
+
+    CPPFLAGS="$save_CPPFLAGS"
+    LDFLAGS="$save_LDFLAGS"
+    LIBS="$save_LIBS"
+    ;;
+  esac
+
+  case $lt_cv_dlopen_self in
+  yes|no) enable_dlopen_self=$lt_cv_dlopen_self ;;
+  *) enable_dlopen_self=unknown ;;
+  esac
+
+  case $lt_cv_dlopen_self_static in
+  yes|no) enable_dlopen_self_static=$lt_cv_dlopen_self_static ;;
+  *) enable_dlopen_self_static=unknown ;;
+  esac
+fi
+_LT_DECL([dlopen_support], [enable_dlopen], [0],
+	 [Whether dlopen is supported])
+_LT_DECL([dlopen_self], [enable_dlopen_self], [0],
+	 [Whether dlopen of programs is supported])
+_LT_DECL([dlopen_self_static], [enable_dlopen_self_static], [0],
+	 [Whether dlopen of statically linked programs is supported])
+])# LT_SYS_DLOPEN_SELF
+
+# Old name:
+AU_ALIAS([AC_LIBTOOL_DLOPEN_SELF], [LT_SYS_DLOPEN_SELF])
+dnl aclocal-1.4 backwards compatibility:
+dnl AC_DEFUN([AC_LIBTOOL_DLOPEN_SELF], [])
+
+
+# _LT_COMPILER_C_O([TAGNAME])
+# ---------------------------
+# Check to see if options -c and -o are simultaneously supported by compiler.
+# This macro does not hard code the compiler like AC_PROG_CC_C_O.
+m4_defun([_LT_COMPILER_C_O],
+[m4_require([_LT_DECL_SED])dnl
+m4_require([_LT_FILEUTILS_DEFAULTS])dnl
+m4_require([_LT_TAG_COMPILER])dnl
+AC_CACHE_CHECK([if $compiler supports -c -o file.$ac_objext],
+  [_LT_TAGVAR(lt_cv_prog_compiler_c_o, $1)],
+  [_LT_TAGVAR(lt_cv_prog_compiler_c_o, $1)=no
+   $RM -r conftest 2>/dev/null
+   mkdir conftest
+   cd conftest
+   mkdir out
+   echo "$lt_simple_compile_test_code" > conftest.$ac_ext
+
+   lt_compiler_flag="-o out/conftest2.$ac_objext"
+   # Insert the option either (1) after the last *FLAGS variable, or
+   # (2) before a word containing "conftest.", or (3) at the end.
+   # Note that $ac_compile itself does not contain backslashes and begins
+   # with a dollar sign (not a hyphen), so the echo should work correctly.
+   lt_compile=`echo "$ac_compile" | $SED \
+   -e 's:.*FLAGS}\{0,1\} :&$lt_compiler_flag :; t' \
+   -e 's: [[^ ]]*conftest\.: $lt_compiler_flag&:; t' \
+   -e 's:$: $lt_compiler_flag:'`
+   (eval echo "\"\$as_me:$LINENO: $lt_compile\"" >&AS_MESSAGE_LOG_FD)
+   (eval "$lt_compile" 2>out/conftest.err)
+   ac_status=$?
+   cat out/conftest.err >&AS_MESSAGE_LOG_FD
+   echo "$as_me:$LINENO: \$? = $ac_status" >&AS_MESSAGE_LOG_FD
+   if (exit $ac_status) && test -s out/conftest2.$ac_objext
+   then
+     # The compiler can only warn and ignore the option if not recognized
+     # So say no if there are warnings
+     $ECHO "$_lt_compiler_boilerplate" | $SED '/^$/d' > out/conftest.exp
+     $SED '/^$/d; /^ *+/d' out/conftest.err >out/conftest.er2
+     if test ! -s out/conftest.er2 || diff out/conftest.exp out/conftest.er2 >/dev/null; then
+       _LT_TAGVAR(lt_cv_prog_compiler_c_o, $1)=yes
+     fi
+   fi
+   chmod u+w . 2>&AS_MESSAGE_LOG_FD
+   $RM conftest*
+   # SGI C++ compiler will create directory out/ii_files/ for
+   # template instantiation
+   test -d out/ii_files && $RM out/ii_files/* && rmdir out/ii_files
+   $RM out/* && rmdir out
+   cd ..
+   $RM -r conftest
+   $RM conftest*
+])
+_LT_TAGDECL([compiler_c_o], [lt_cv_prog_compiler_c_o], [1],
+	[Does compiler simultaneously support -c and -o options?])
+])# _LT_COMPILER_C_O
+
+
+# _LT_COMPILER_FILE_LOCKS([TAGNAME])
+# ----------------------------------
+# Check to see if we can do hard links to lock some files if needed
+m4_defun([_LT_COMPILER_FILE_LOCKS],
+[m4_require([_LT_ENABLE_LOCK])dnl
+m4_require([_LT_FILEUTILS_DEFAULTS])dnl
+_LT_COMPILER_C_O([$1])
+
+hard_links="nottested"
+if test "$_LT_TAGVAR(lt_cv_prog_compiler_c_o, $1)" = no && test "$need_locks" != no; then
+  # do not overwrite the value of need_locks provided by the user
+  AC_MSG_CHECKING([if we can lock with hard links])
+  hard_links=yes
+  $RM conftest*
+  ln conftest.a conftest.b 2>/dev/null && hard_links=no
+  touch conftest.a
+  ln conftest.a conftest.b 2>&5 || hard_links=no
+  ln conftest.a conftest.b 2>/dev/null && hard_links=no
+  AC_MSG_RESULT([$hard_links])
+  if test "$hard_links" = no; then
+    AC_MSG_WARN([`$CC' does not support `-c -o', so `make -j' may be unsafe])
+    need_locks=warn
+  fi
+else
+  need_locks=no
+fi
+_LT_DECL([], [need_locks], [1], [Must we lock files when doing compilation?])
+])# _LT_COMPILER_FILE_LOCKS
+
+
+# _LT_CHECK_OBJDIR
+# ----------------
+m4_defun([_LT_CHECK_OBJDIR],
+[AC_CACHE_CHECK([for objdir], [lt_cv_objdir],
+[rm -f .libs 2>/dev/null
+mkdir .libs 2>/dev/null
+if test -d .libs; then
+  lt_cv_objdir=.libs
+else
+  # MS-DOS does not allow filenames that begin with a dot.
+  lt_cv_objdir=_libs
+fi
+rmdir .libs 2>/dev/null])
+objdir=$lt_cv_objdir
+_LT_DECL([], [objdir], [0],
+         [The name of the directory that contains temporary libtool files])dnl
+m4_pattern_allow([LT_OBJDIR])dnl
+AC_DEFINE_UNQUOTED(LT_OBJDIR, "$lt_cv_objdir/",
+  [Define to the sub-directory in which libtool stores uninstalled libraries.])
+])# _LT_CHECK_OBJDIR
+
+
+# _LT_LINKER_HARDCODE_LIBPATH([TAGNAME])
+# --------------------------------------
+# Check hardcoding attributes.
+m4_defun([_LT_LINKER_HARDCODE_LIBPATH],
+[AC_MSG_CHECKING([how to hardcode library paths into programs])
+_LT_TAGVAR(hardcode_action, $1)=
+if test -n "$_LT_TAGVAR(hardcode_libdir_flag_spec, $1)" ||
+   test -n "$_LT_TAGVAR(runpath_var, $1)" ||
+   test "X$_LT_TAGVAR(hardcode_automatic, $1)" = "Xyes" ; then
+
+  # We can hardcode non-existent directories.
+  if test "$_LT_TAGVAR(hardcode_direct, $1)" != no &&
+     # If the only mechanism to avoid hardcoding is shlibpath_var, we
+     # have to relink, otherwise we might link with an installed library
+     # when we should be linking with a yet-to-be-installed one
+     ## test "$_LT_TAGVAR(hardcode_shlibpath_var, $1)" != no &&
+     test "$_LT_TAGVAR(hardcode_minus_L, $1)" != no; then
+    # Linking always hardcodes the temporary library directory.
+    _LT_TAGVAR(hardcode_action, $1)=relink
+  else
+    # We can link without hardcoding, and we can hardcode nonexisting dirs.
+    _LT_TAGVAR(hardcode_action, $1)=immediate
+  fi
+else
+  # We cannot hardcode anything, or else we can only hardcode existing
+  # directories.
+  _LT_TAGVAR(hardcode_action, $1)=unsupported
+fi
+AC_MSG_RESULT([$_LT_TAGVAR(hardcode_action, $1)])
+
+if test "$_LT_TAGVAR(hardcode_action, $1)" = relink ||
+   test "$_LT_TAGVAR(inherit_rpath, $1)" = yes; then
+  # Fast installation is not supported
+  enable_fast_install=no
+elif test "$shlibpath_overrides_runpath" = yes ||
+     test "$enable_shared" = no; then
+  # Fast installation is not necessary
+  enable_fast_install=needless
+fi
+_LT_TAGDECL([], [hardcode_action], [0],
+    [How to hardcode a shared library path into an executable])
+])# _LT_LINKER_HARDCODE_LIBPATH
+
+
+# _LT_CMD_STRIPLIB
+# ----------------
+m4_defun([_LT_CMD_STRIPLIB],
+[m4_require([_LT_DECL_EGREP])
+striplib=
+old_striplib=
+AC_MSG_CHECKING([whether stripping libraries is possible])
+if test -n "$STRIP" && $STRIP -V 2>&1 | $GREP "GNU strip" >/dev/null; then
+  test -z "$old_striplib" && old_striplib="$STRIP --strip-debug"
+  test -z "$striplib" && striplib="$STRIP --strip-unneeded"
+  AC_MSG_RESULT([yes])
+else
+# FIXME - insert some real tests, host_os isn't really good enough
+  case $host_os in
+  darwin*)
+    if test -n "$STRIP" ; then
+      striplib="$STRIP -x"
+      old_striplib="$STRIP -S"
+      AC_MSG_RESULT([yes])
+    else
+      AC_MSG_RESULT([no])
+    fi
+    ;;
+  *)
+    AC_MSG_RESULT([no])
+    ;;
+  esac
+fi
+_LT_DECL([], [old_striplib], [1], [Commands to strip libraries])
+_LT_DECL([], [striplib], [1])
+])# _LT_CMD_STRIPLIB
+
+
+# _LT_SYS_DYNAMIC_LINKER([TAG])
+# -----------------------------
+# PORTME Fill in your ld.so characteristics
+m4_defun([_LT_SYS_DYNAMIC_LINKER],
+[AC_REQUIRE([AC_CANONICAL_HOST])dnl
+m4_require([_LT_DECL_EGREP])dnl
+m4_require([_LT_FILEUTILS_DEFAULTS])dnl
+m4_require([_LT_DECL_OBJDUMP])dnl
+m4_require([_LT_DECL_SED])dnl
+m4_require([_LT_CHECK_SHELL_FEATURES])dnl
+AC_MSG_CHECKING([dynamic linker characteristics])
+m4_if([$1],
+	[], [
+if test "$GCC" = yes; then
+  case $host_os in
+    darwin*) lt_awk_arg="/^libraries:/,/LR/" ;;
+    *) lt_awk_arg="/^libraries:/" ;;
+  esac
+  case $host_os in
+    mingw* | cegcc*) lt_sed_strip_eq="s,=\([[A-Za-z]]:\),\1,g" ;;
+    *) lt_sed_strip_eq="s,=/,/,g" ;;
+  esac
+  lt_search_path_spec=`$CC -print-search-dirs | awk $lt_awk_arg | $SED -e "s/^libraries://" -e $lt_sed_strip_eq`
+  case $lt_search_path_spec in
+  *\;*)
+    # if the path contains ";" then we assume it to be the separator
+    # otherwise default to the standard path separator (i.e. ":") - it is
+    # assumed that no part of a normal pathname contains ";" but that should
+    # okay in the real world where ";" in dirpaths is itself problematic.
+    lt_search_path_spec=`$ECHO "$lt_search_path_spec" | $SED 's/;/ /g'`
+    ;;
+  *)
+    lt_search_path_spec=`$ECHO "$lt_search_path_spec" | $SED "s/$PATH_SEPARATOR/ /g"`
+    ;;
+  esac
+  # Ok, now we have the path, separated by spaces, we can step through it
+  # and add multilib dir if necessary.
+  lt_tmp_lt_search_path_spec=
+  lt_multi_os_dir=`$CC $CPPFLAGS $CFLAGS $LDFLAGS -print-multi-os-directory 2>/dev/null`
+  for lt_sys_path in $lt_search_path_spec; do
+    if test -d "$lt_sys_path/$lt_multi_os_dir"; then
+      lt_tmp_lt_search_path_spec="$lt_tmp_lt_search_path_spec $lt_sys_path/$lt_multi_os_dir"
+    else
+      test -d "$lt_sys_path" && \
+	lt_tmp_lt_search_path_spec="$lt_tmp_lt_search_path_spec $lt_sys_path"
+    fi
+  done
+  lt_search_path_spec=`$ECHO "$lt_tmp_lt_search_path_spec" | awk '
+BEGIN {RS=" "; FS="/|\n";} {
+  lt_foo="";
+  lt_count=0;
+  for (lt_i = NF; lt_i > 0; lt_i--) {
+    if ($lt_i != "" && $lt_i != ".") {
+      if ($lt_i == "..") {
+        lt_count++;
+      } else {
+        if (lt_count == 0) {
+          lt_foo="/" $lt_i lt_foo;
+        } else {
+          lt_count--;
+        }
+      }
+    }
+  }
+  if (lt_foo != "") { lt_freq[[lt_foo]]++; }
+  if (lt_freq[[lt_foo]] == 1) { print lt_foo; }
+}'`
+  # AWK program above erroneously prepends '/' to C:/dos/paths
+  # for these hosts.
+  case $host_os in
+    mingw* | cegcc*) lt_search_path_spec=`$ECHO "$lt_search_path_spec" |\
+      $SED 's,/\([[A-Za-z]]:\),\1,g'` ;;
+  esac
+  sys_lib_search_path_spec=`$ECHO "$lt_search_path_spec" | $lt_NL2SP`
+else
+  sys_lib_search_path_spec="/lib /usr/lib /usr/local/lib"
+fi])
+library_names_spec=
+libname_spec='lib$name'
+soname_spec=
+shrext_cmds=".so"
+postinstall_cmds=
+postuninstall_cmds=
+finish_cmds=
+finish_eval=
+shlibpath_var=
+shlibpath_overrides_runpath=unknown
+version_type=none
+dynamic_linker="$host_os ld.so"
+sys_lib_dlsearch_path_spec="/lib /usr/lib"
+need_lib_prefix=unknown
+hardcode_into_libs=no
+
+# when you set need_version to no, make sure it does not cause -set_version
+# flags to be left without arguments
+need_version=unknown
+
+case $host_os in
+aix3*)
+  version_type=linux # correct to gnu/linux during the next big refactor
+  library_names_spec='${libname}${release}${shared_ext}$versuffix $libname.a'
+  shlibpath_var=LIBPATH
+
+  # AIX 3 has no versioning support, so we append a major version to the name.
+  soname_spec='${libname}${release}${shared_ext}$major'
+  ;;
+
+aix[[4-9]]*)
+  version_type=linux # correct to gnu/linux during the next big refactor
+  need_lib_prefix=no
+  need_version=no
+  hardcode_into_libs=yes
+  if test "$host_cpu" = ia64; then
+    # AIX 5 supports IA64
+    library_names_spec='${libname}${release}${shared_ext}$major ${libname}${release}${shared_ext}$versuffix $libname${shared_ext}'
+    shlibpath_var=LD_LIBRARY_PATH
+  else
+    # With GCC up to 2.95.x, collect2 would create an import file
+    # for dependence libraries.  The import file would start with
+    # the line `#! .'.  This would cause the generated library to
+    # depend on `.', always an invalid library.  This was fixed in
+    # development snapshots of GCC prior to 3.0.
+    case $host_os in
+      aix4 | aix4.[[01]] | aix4.[[01]].*)
+      if { echo '#if __GNUC__ > 2 || (__GNUC__ == 2 && __GNUC_MINOR__ >= 97)'
+	   echo ' yes '
+	   echo '#endif'; } | ${CC} -E - | $GREP yes > /dev/null; then
+	:
+      else
+	can_build_shared=no
+      fi
+      ;;
+    esac
+    # AIX (on Power*) has no versioning support, so currently we can not hardcode correct
+    # soname into executable. Probably we can add versioning support to
+    # collect2, so additional links can be useful in future.
+    if test "$aix_use_runtimelinking" = yes; then
+      # If using run time linking (on AIX 4.2 or later) use lib<name>.so
+      # instead of lib<name>.a to let people know that these are not
+      # typical AIX shared libraries.
+      library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+    else
+      # We preserve .a as extension for shared libraries through AIX4.2
+      # and later when we are not doing run time linking.
+      library_names_spec='${libname}${release}.a $libname.a'
+      soname_spec='${libname}${release}${shared_ext}$major'
+    fi
+    shlibpath_var=LIBPATH
+  fi
+  ;;
+
+amigaos*)
+  case $host_cpu in
+  powerpc)
+    # Since July 2007 AmigaOS4 officially supports .so libraries.
+    # When compiling the executable, add -use-dynld -Lsobjs: to the compileline.
+    library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+    ;;
+  m68k)
+    library_names_spec='$libname.ixlibrary $libname.a'
+    # Create ${libname}_ixlibrary.a entries in /sys/libs.
+    finish_eval='for lib in `ls $libdir/*.ixlibrary 2>/dev/null`; do libname=`func_echo_all "$lib" | $SED '\''s%^.*/\([[^/]]*\)\.ixlibrary$%\1%'\''`; test $RM /sys/libs/${libname}_ixlibrary.a; $show "cd /sys/libs && $LN_S $lib ${libname}_ixlibrary.a"; cd /sys/libs && $LN_S $lib ${libname}_ixlibrary.a || exit 1; done'
+    ;;
+  esac
+  ;;
+
+beos*)
+  library_names_spec='${libname}${shared_ext}'
+  dynamic_linker="$host_os ld.so"
+  shlibpath_var=LIBRARY_PATH
+  ;;
+
+bsdi[[45]]*)
+  version_type=linux # correct to gnu/linux during the next big refactor
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  finish_cmds='PATH="\$PATH:/sbin" ldconfig $libdir'
+  shlibpath_var=LD_LIBRARY_PATH
+  sys_lib_search_path_spec="/shlib /usr/lib /usr/X11/lib /usr/contrib/lib /lib /usr/local/lib"
+  sys_lib_dlsearch_path_spec="/shlib /usr/lib /usr/local/lib"
+  # the default ld.so.conf also contains /usr/contrib/lib and
+  # /usr/X11R6/lib (/usr/X11 is a link to /usr/X11R6), but let us allow
+  # libtool to hard-code these into programs
+  ;;
+
+cygwin* | mingw* | pw32* | cegcc*)
+  version_type=windows
+  shrext_cmds=".dll"
+  need_version=no
+  need_lib_prefix=no
+
+  case $GCC,$cc_basename in
+  yes,*)
+    # gcc
+    library_names_spec='$libname.dll.a'
+    # DLL is installed to $(libdir)/../bin by postinstall_cmds
+    postinstall_cmds='base_file=`basename \${file}`~
+      dlpath=`$SHELL 2>&1 -c '\''. $dir/'\''\${base_file}'\''i; echo \$dlname'\''`~
+      dldir=$destdir/`dirname \$dlpath`~
+      test -d \$dldir || mkdir -p \$dldir~
+      $install_prog $dir/$dlname \$dldir/$dlname~
+      chmod a+x \$dldir/$dlname~
+      if test -n '\''$stripme'\'' && test -n '\''$striplib'\''; then
+        eval '\''$striplib \$dldir/$dlname'\'' || exit \$?;
+      fi'
+    postuninstall_cmds='dldll=`$SHELL 2>&1 -c '\''. $file; echo \$dlname'\''`~
+      dlpath=$dir/\$dldll~
+       $RM \$dlpath'
+    shlibpath_overrides_runpath=yes
+
+    case $host_os in
+    cygwin*)
+      # Cygwin DLLs use 'cyg' prefix rather than 'lib'
+      soname_spec='`echo ${libname} | sed -e 's/^lib/cyg/'``echo ${release} | $SED -e 's/[[.]]/-/g'`${versuffix}${shared_ext}'
+m4_if([$1], [],[
+      sys_lib_search_path_spec="$sys_lib_search_path_spec /usr/lib/w32api"])
+      ;;
+    mingw* | cegcc*)
+      # MinGW DLLs use traditional 'lib' prefix
+      soname_spec='${libname}`echo ${release} | $SED -e 's/[[.]]/-/g'`${versuffix}${shared_ext}'
+      ;;
+    pw32*)
+      # pw32 DLLs use 'pw' prefix rather than 'lib'
+      library_names_spec='`echo ${libname} | sed -e 's/^lib/pw/'``echo ${release} | $SED -e 's/[[.]]/-/g'`${versuffix}${shared_ext}'
+      ;;
+    esac
+    dynamic_linker='Win32 ld.exe'
+    ;;
+
+  *,cl*)
+    # Native MSVC
+    libname_spec='$name'
+    soname_spec='${libname}`echo ${release} | $SED -e 's/[[.]]/-/g'`${versuffix}${shared_ext}'
+    library_names_spec='${libname}.dll.lib'
+
+    case $build_os in
+    mingw*)
+      sys_lib_search_path_spec=
+      lt_save_ifs=$IFS
+      IFS=';'
+      for lt_path in $LIB
+      do
+        IFS=$lt_save_ifs
+        # Let DOS variable expansion print the short 8.3 style file name.
+        lt_path=`cd "$lt_path" 2>/dev/null && cmd //C "for %i in (".") do @echo %~si"`
+        sys_lib_search_path_spec="$sys_lib_search_path_spec $lt_path"
+      done
+      IFS=$lt_save_ifs
+      # Convert to MSYS style.
+      sys_lib_search_path_spec=`$ECHO "$sys_lib_search_path_spec" | sed -e 's|\\\\|/|g' -e 's| \\([[a-zA-Z]]\\):| /\\1|g' -e 's|^ ||'`
+      ;;
+    cygwin*)
+      # Convert to unix form, then to dos form, then back to unix form
+      # but this time dos style (no spaces!) so that the unix form looks
+      # like /cygdrive/c/PROGRA~1:/cygdr...
+      sys_lib_search_path_spec=`cygpath --path --unix "$LIB"`
+      sys_lib_search_path_spec=`cygpath --path --dos "$sys_lib_search_path_spec" 2>/dev/null`
+      sys_lib_search_path_spec=`cygpath --path --unix "$sys_lib_search_path_spec" | $SED -e "s/$PATH_SEPARATOR/ /g"`
+      ;;
+    *)
+      sys_lib_search_path_spec="$LIB"
+      if $ECHO "$sys_lib_search_path_spec" | [$GREP ';[c-zC-Z]:/' >/dev/null]; then
+        # It is most probably a Windows format PATH.
+        sys_lib_search_path_spec=`$ECHO "$sys_lib_search_path_spec" | $SED -e 's/;/ /g'`
+      else
+        sys_lib_search_path_spec=`$ECHO "$sys_lib_search_path_spec" | $SED -e "s/$PATH_SEPARATOR/ /g"`
+      fi
+      # FIXME: find the short name or the path components, as spaces are
+      # common. (e.g. "Program Files" -> "PROGRA~1")
+      ;;
+    esac
+
+    # DLL is installed to $(libdir)/../bin by postinstall_cmds
+    postinstall_cmds='base_file=`basename \${file}`~
+      dlpath=`$SHELL 2>&1 -c '\''. $dir/'\''\${base_file}'\''i; echo \$dlname'\''`~
+      dldir=$destdir/`dirname \$dlpath`~
+      test -d \$dldir || mkdir -p \$dldir~
+      $install_prog $dir/$dlname \$dldir/$dlname'
+    postuninstall_cmds='dldll=`$SHELL 2>&1 -c '\''. $file; echo \$dlname'\''`~
+      dlpath=$dir/\$dldll~
+       $RM \$dlpath'
+    shlibpath_overrides_runpath=yes
+    dynamic_linker='Win32 link.exe'
+    ;;
+
+  *)
+    # Assume MSVC wrapper
+    library_names_spec='${libname}`echo ${release} | $SED -e 's/[[.]]/-/g'`${versuffix}${shared_ext} $libname.lib'
+    dynamic_linker='Win32 ld.exe'
+    ;;
+  esac
+  # FIXME: first we should search . and the directory the executable is in
+  shlibpath_var=PATH
+  ;;
+
+darwin* | rhapsody*)
+  dynamic_linker="$host_os dyld"
+  version_type=darwin
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${major}$shared_ext ${libname}$shared_ext'
+  soname_spec='${libname}${release}${major}$shared_ext'
+  shlibpath_overrides_runpath=yes
+  shlibpath_var=DYLD_LIBRARY_PATH
+  shrext_cmds='`test .$module = .yes && echo .so || echo .dylib`'
+m4_if([$1], [],[
+  sys_lib_search_path_spec="$sys_lib_search_path_spec /usr/local/lib"])
+  sys_lib_dlsearch_path_spec='/usr/local/lib /lib /usr/lib'
+  ;;
+
+dgux*)
+  version_type=linux # correct to gnu/linux during the next big refactor
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname$shared_ext'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  shlibpath_var=LD_LIBRARY_PATH
+  ;;
+
+freebsd* | dragonfly*)
+  # DragonFly does not have aout.  When/if they implement a new
+  # versioning mechanism, adjust this.
+  if test -x /usr/bin/objformat; then
+    objformat=`/usr/bin/objformat`
+  else
+    case $host_os in
+    freebsd[[23]].*) objformat=aout ;;
+    *) objformat=elf ;;
+    esac
+  fi
+  version_type=freebsd-$objformat
+  case $version_type in
+    freebsd-elf*)
+      library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext} $libname${shared_ext}'
+      need_version=no
+      need_lib_prefix=no
+      ;;
+    freebsd-*)
+      library_names_spec='${libname}${release}${shared_ext}$versuffix $libname${shared_ext}$versuffix'
+      need_version=yes
+      ;;
+  esac
+  shlibpath_var=LD_LIBRARY_PATH
+  case $host_os in
+  freebsd2.*)
+    shlibpath_overrides_runpath=yes
+    ;;
+  freebsd3.[[01]]* | freebsdelf3.[[01]]*)
+    shlibpath_overrides_runpath=yes
+    hardcode_into_libs=yes
+    ;;
+  freebsd3.[[2-9]]* | freebsdelf3.[[2-9]]* | \
+  freebsd4.[[0-5]] | freebsdelf4.[[0-5]] | freebsd4.1.1 | freebsdelf4.1.1)
+    shlibpath_overrides_runpath=no
+    hardcode_into_libs=yes
+    ;;
+  *) # from 4.6 on, and DragonFly
+    shlibpath_overrides_runpath=yes
+    hardcode_into_libs=yes
+    ;;
+  esac
+  ;;
+
+haiku*)
+  version_type=linux # correct to gnu/linux during the next big refactor
+  need_lib_prefix=no
+  need_version=no
+  dynamic_linker="$host_os runtime_loader"
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}${major} ${libname}${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  shlibpath_var=LIBRARY_PATH
+  shlibpath_overrides_runpath=yes
+  sys_lib_dlsearch_path_spec='/boot/home/config/lib /boot/common/lib /boot/system/lib'
+  hardcode_into_libs=yes
+  ;;
+
+hpux9* | hpux10* | hpux11*)
+  # Give a soname corresponding to the major version so that dld.sl refuses to
+  # link against other versions.
+  version_type=sunos
+  need_lib_prefix=no
+  need_version=no
+  case $host_cpu in
+  ia64*)
+    shrext_cmds='.so'
+    hardcode_into_libs=yes
+    dynamic_linker="$host_os dld.so"
+    shlibpath_var=LD_LIBRARY_PATH
+    shlibpath_overrides_runpath=yes # Unless +noenvvar is specified.
+    library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+    soname_spec='${libname}${release}${shared_ext}$major'
+    if test "X$HPUX_IA64_MODE" = X32; then
+      sys_lib_search_path_spec="/usr/lib/hpux32 /usr/local/lib/hpux32 /usr/local/lib"
+    else
+      sys_lib_search_path_spec="/usr/lib/hpux64 /usr/local/lib/hpux64"
+    fi
+    sys_lib_dlsearch_path_spec=$sys_lib_search_path_spec
+    ;;
+  hppa*64*)
+    shrext_cmds='.sl'
+    hardcode_into_libs=yes
+    dynamic_linker="$host_os dld.sl"
+    shlibpath_var=LD_LIBRARY_PATH # How should we handle SHLIB_PATH
+    shlibpath_overrides_runpath=yes # Unless +noenvvar is specified.
+    library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+    soname_spec='${libname}${release}${shared_ext}$major'
+    sys_lib_search_path_spec="/usr/lib/pa20_64 /usr/ccs/lib/pa20_64"
+    sys_lib_dlsearch_path_spec=$sys_lib_search_path_spec
+    ;;
+  *)
+    shrext_cmds='.sl'
+    dynamic_linker="$host_os dld.sl"
+    shlibpath_var=SHLIB_PATH
+    shlibpath_overrides_runpath=no # +s is required to enable SHLIB_PATH
+    library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+    soname_spec='${libname}${release}${shared_ext}$major'
+    ;;
+  esac
+  # HP-UX runs *really* slowly unless shared libraries are mode 555, ...
+  postinstall_cmds='chmod 555 $lib'
+  # or fails outright, so override atomically:
+  install_override_mode=555
+  ;;
+
+interix[[3-9]]*)
+  version_type=linux # correct to gnu/linux during the next big refactor
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major ${libname}${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  dynamic_linker='Interix 3.x ld.so.1 (PE, like ELF)'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=no
+  hardcode_into_libs=yes
+  ;;
+
+irix5* | irix6* | nonstopux*)
+  case $host_os in
+    nonstopux*) version_type=nonstopux ;;
+    *)
+	if test "$lt_cv_prog_gnu_ld" = yes; then
+		version_type=linux # correct to gnu/linux during the next big refactor
+	else
+		version_type=irix
+	fi ;;
+  esac
+  need_lib_prefix=no
+  need_version=no
+  soname_spec='${libname}${release}${shared_ext}$major'
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major ${libname}${release}${shared_ext} $libname${shared_ext}'
+  case $host_os in
+  irix5* | nonstopux*)
+    libsuff= shlibsuff=
+    ;;
+  *)
+    case $LD in # libtool.m4 will add one of these switches to LD
+    *-32|*"-32 "|*-melf32bsmip|*"-melf32bsmip ")
+      libsuff= shlibsuff= libmagic=32-bit;;
+    *-n32|*"-n32 "|*-melf32bmipn32|*"-melf32bmipn32 ")
+      libsuff=32 shlibsuff=N32 libmagic=N32;;
+    *-64|*"-64 "|*-melf64bmip|*"-melf64bmip ")
+      libsuff=64 shlibsuff=64 libmagic=64-bit;;
+    *) libsuff= shlibsuff= libmagic=never-match;;
+    esac
+    ;;
+  esac
+  shlibpath_var=LD_LIBRARY${shlibsuff}_PATH
+  shlibpath_overrides_runpath=no
+  sys_lib_search_path_spec="/usr/lib${libsuff} /lib${libsuff} /usr/local/lib${libsuff}"
+  sys_lib_dlsearch_path_spec="/usr/lib${libsuff} /lib${libsuff}"
+  hardcode_into_libs=yes
+  ;;
+
+# No shared lib support for Linux oldld, aout, or coff.
+linux*oldld* | linux*aout* | linux*coff*)
+  dynamic_linker=no
+  ;;
+
+# This must be glibc/ELF.
+linux* | k*bsd*-gnu | kopensolaris*-gnu | gnu*)
+  version_type=linux # correct to gnu/linux during the next big refactor
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  finish_cmds='PATH="\$PATH:/sbin" ldconfig -n $libdir'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=no
+
+  # Some binutils ld are patched to set DT_RUNPATH
+  AC_CACHE_VAL([lt_cv_shlibpath_overrides_runpath],
+    [lt_cv_shlibpath_overrides_runpath=no
+    save_LDFLAGS=$LDFLAGS
+    save_libdir=$libdir
+    eval "libdir=/foo; wl=\"$_LT_TAGVAR(lt_prog_compiler_wl, $1)\"; \
+	 LDFLAGS=\"\$LDFLAGS $_LT_TAGVAR(hardcode_libdir_flag_spec, $1)\""
+    AC_LINK_IFELSE([AC_LANG_PROGRAM([],[])],
+      [AS_IF([ ($OBJDUMP -p conftest$ac_exeext) 2>/dev/null | grep "RUNPATH.*$libdir" >/dev/null],
+	 [lt_cv_shlibpath_overrides_runpath=yes])])
+    LDFLAGS=$save_LDFLAGS
+    libdir=$save_libdir
+    ])
+  shlibpath_overrides_runpath=$lt_cv_shlibpath_overrides_runpath
+
+  # This implies no fast_install, which is unacceptable.
+  # Some rework will be needed to allow for fast_install
+  # before this can be enabled.
+  hardcode_into_libs=yes
+
+  # Append ld.so.conf contents to the search path
+  if test -f /etc/ld.so.conf; then
+    lt_ld_extra=`awk '/^include / { system(sprintf("cd /etc; cat %s 2>/dev/null", \[$]2)); skip = 1; } { if (!skip) print \[$]0; skip = 0; }' < /etc/ld.so.conf | $SED -e 's/#.*//;/^[	 ]*hwcap[	 ]/d;s/[:,	]/ /g;s/=[^=]*$//;s/=[^= ]* / /g;s/"//g;/^$/d' | tr '\n' ' '`
+    sys_lib_dlsearch_path_spec="/lib /usr/lib $lt_ld_extra"
+  fi
+
+  # We used to test for /lib/ld.so.1 and disable shared libraries on
+  # powerpc, because MkLinux only supported shared libraries with the
+  # GNU dynamic linker.  Since this was broken with cross compilers,
+  # most powerpc-linux boxes support dynamic linking these days and
+  # people can always --disable-shared, the test was removed, and we
+  # assume the GNU/Linux dynamic linker is in use.
+  dynamic_linker='GNU/Linux ld.so'
+  ;;
+
+netbsdelf*-gnu)
+  version_type=linux
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major ${libname}${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=no
+  hardcode_into_libs=yes
+  dynamic_linker='NetBSD ld.elf_so'
+  ;;
+
+netbsd*)
+  version_type=sunos
+  need_lib_prefix=no
+  need_version=no
+  if echo __ELF__ | $CC -E - | $GREP __ELF__ >/dev/null; then
+    library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${shared_ext}$versuffix'
+    finish_cmds='PATH="\$PATH:/sbin" ldconfig -m $libdir'
+    dynamic_linker='NetBSD (a.out) ld.so'
+  else
+    library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major ${libname}${shared_ext}'
+    soname_spec='${libname}${release}${shared_ext}$major'
+    dynamic_linker='NetBSD ld.elf_so'
+  fi
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=yes
+  hardcode_into_libs=yes
+  ;;
+
+newsos6)
+  version_type=linux # correct to gnu/linux during the next big refactor
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=yes
+  ;;
+
+*nto* | *qnx*)
+  version_type=qnx
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=no
+  hardcode_into_libs=yes
+  dynamic_linker='ldqnx.so'
+  ;;
+
+openbsd*)
+  version_type=sunos
+  sys_lib_dlsearch_path_spec="/usr/lib"
+  need_lib_prefix=no
+  # Some older versions of OpenBSD (3.3 at least) *do* need versioned libs.
+  case $host_os in
+    openbsd3.3 | openbsd3.3.*)	need_version=yes ;;
+    *)				need_version=no  ;;
+  esac
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${shared_ext}$versuffix'
+  finish_cmds='PATH="\$PATH:/sbin" ldconfig -m $libdir'
+  shlibpath_var=LD_LIBRARY_PATH
+  if test -z "`echo __ELF__ | $CC -E - | $GREP __ELF__`" || test "$host_os-$host_cpu" = "openbsd2.8-powerpc"; then
+    case $host_os in
+      openbsd2.[[89]] | openbsd2.[[89]].*)
+	shlibpath_overrides_runpath=no
+	;;
+      *)
+	shlibpath_overrides_runpath=yes
+	;;
+      esac
+  else
+    shlibpath_overrides_runpath=yes
+  fi
+  ;;
+
+os2*)
+  libname_spec='$name'
+  shrext_cmds=".dll"
+  need_lib_prefix=no
+  library_names_spec='$libname${shared_ext} $libname.a'
+  dynamic_linker='OS/2 ld.exe'
+  shlibpath_var=LIBPATH
+  ;;
+
+osf3* | osf4* | osf5*)
+  version_type=osf
+  need_lib_prefix=no
+  need_version=no
+  soname_spec='${libname}${release}${shared_ext}$major'
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  shlibpath_var=LD_LIBRARY_PATH
+  sys_lib_search_path_spec="/usr/shlib /usr/ccs/lib /usr/lib/cmplrs/cc /usr/lib /usr/local/lib /var/shlib"
+  sys_lib_dlsearch_path_spec="$sys_lib_search_path_spec"
+  ;;
+
+rdos*)
+  dynamic_linker=no
+  ;;
+
+solaris*)
+  version_type=linux # correct to gnu/linux during the next big refactor
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=yes
+  hardcode_into_libs=yes
+  # ldd complains unless libraries are executable
+  postinstall_cmds='chmod +x $lib'
+  ;;
+
+sunos4*)
+  version_type=sunos
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${shared_ext}$versuffix'
+  finish_cmds='PATH="\$PATH:/usr/etc" ldconfig $libdir'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=yes
+  if test "$with_gnu_ld" = yes; then
+    need_lib_prefix=no
+  fi
+  need_version=yes
+  ;;
+
+sysv4 | sysv4.3*)
+  version_type=linux # correct to gnu/linux during the next big refactor
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  shlibpath_var=LD_LIBRARY_PATH
+  case $host_vendor in
+    sni)
+      shlibpath_overrides_runpath=no
+      need_lib_prefix=no
+      runpath_var=LD_RUN_PATH
+      ;;
+    siemens)
+      need_lib_prefix=no
+      ;;
+    motorola)
+      need_lib_prefix=no
+      need_version=no
+      shlibpath_overrides_runpath=no
+      sys_lib_search_path_spec='/lib /usr/lib /usr/ccs/lib'
+      ;;
+  esac
+  ;;
+
+sysv4*MP*)
+  if test -d /usr/nec ;then
+    version_type=linux # correct to gnu/linux during the next big refactor
+    library_names_spec='$libname${shared_ext}.$versuffix $libname${shared_ext}.$major $libname${shared_ext}'
+    soname_spec='$libname${shared_ext}.$major'
+    shlibpath_var=LD_LIBRARY_PATH
+  fi
+  ;;
+
+sysv5* | sco3.2v5* | sco5v6* | unixware* | OpenUNIX* | sysv4*uw2*)
+  version_type=freebsd-elf
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext} $libname${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=yes
+  hardcode_into_libs=yes
+  if test "$with_gnu_ld" = yes; then
+    sys_lib_search_path_spec='/usr/local/lib /usr/gnu/lib /usr/ccs/lib /usr/lib /lib'
+  else
+    sys_lib_search_path_spec='/usr/ccs/lib /usr/lib'
+    case $host_os in
+      sco3.2v5*)
+        sys_lib_search_path_spec="$sys_lib_search_path_spec /lib"
+	;;
+    esac
+  fi
+  sys_lib_dlsearch_path_spec='/usr/lib'
+  ;;
+
+tpf*)
+  # TPF is a cross-target only.  Preferred cross-host = GNU/Linux.
+  version_type=linux # correct to gnu/linux during the next big refactor
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=no
+  hardcode_into_libs=yes
+  ;;
+
+uts4*)
+  version_type=linux # correct to gnu/linux during the next big refactor
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  shlibpath_var=LD_LIBRARY_PATH
+  ;;
+
+*)
+  dynamic_linker=no
+  ;;
+esac
+AC_MSG_RESULT([$dynamic_linker])
+test "$dynamic_linker" = no && can_build_shared=no
+
+variables_saved_for_relink="PATH $shlibpath_var $runpath_var"
+if test "$GCC" = yes; then
+  variables_saved_for_relink="$variables_saved_for_relink GCC_EXEC_PREFIX COMPILER_PATH LIBRARY_PATH"
+fi
+
+if test "${lt_cv_sys_lib_search_path_spec+set}" = set; then
+  sys_lib_search_path_spec="$lt_cv_sys_lib_search_path_spec"
+fi
+if test "${lt_cv_sys_lib_dlsearch_path_spec+set}" = set; then
+  sys_lib_dlsearch_path_spec="$lt_cv_sys_lib_dlsearch_path_spec"
+fi
+
+_LT_DECL([], [variables_saved_for_relink], [1],
+    [Variables whose values should be saved in libtool wrapper scripts and
+    restored at link time])
+_LT_DECL([], [need_lib_prefix], [0],
+    [Do we need the "lib" prefix for modules?])
+_LT_DECL([], [need_version], [0], [Do we need a version for libraries?])
+_LT_DECL([], [version_type], [0], [Library versioning type])
+_LT_DECL([], [runpath_var], [0],  [Shared library runtime path variable])
+_LT_DECL([], [shlibpath_var], [0],[Shared library path variable])
+_LT_DECL([], [shlibpath_overrides_runpath], [0],
+    [Is shlibpath searched before the hard-coded library search path?])
+_LT_DECL([], [libname_spec], [1], [Format of library name prefix])
+_LT_DECL([], [library_names_spec], [1],
+    [[List of archive names.  First name is the real one, the rest are links.
+    The last name is the one that the linker finds with -lNAME]])
+_LT_DECL([], [soname_spec], [1],
+    [[The coded name of the library, if different from the real name]])
+_LT_DECL([], [install_override_mode], [1],
+    [Permission mode override for installation of shared libraries])
+_LT_DECL([], [postinstall_cmds], [2],
+    [Command to use after installation of a shared archive])
+_LT_DECL([], [postuninstall_cmds], [2],
+    [Command to use after uninstallation of a shared archive])
+_LT_DECL([], [finish_cmds], [2],
+    [Commands used to finish a libtool library installation in a directory])
+_LT_DECL([], [finish_eval], [1],
+    [[As "finish_cmds", except a single script fragment to be evaled but
+    not shown]])
+_LT_DECL([], [hardcode_into_libs], [0],
+    [Whether we should hardcode library paths into libraries])
+_LT_DECL([], [sys_lib_search_path_spec], [2],
+    [Compile-time system search path for libraries])
+_LT_DECL([], [sys_lib_dlsearch_path_spec], [2],
+    [Run-time system search path for libraries])
+])# _LT_SYS_DYNAMIC_LINKER
+
+
+# _LT_PATH_TOOL_PREFIX(TOOL)
+# --------------------------
+# find a file program which can recognize shared library
+AC_DEFUN([_LT_PATH_TOOL_PREFIX],
+[m4_require([_LT_DECL_EGREP])dnl
+AC_MSG_CHECKING([for $1])
+AC_CACHE_VAL(lt_cv_path_MAGIC_CMD,
+[case $MAGIC_CMD in
+[[\\/*] |  ?:[\\/]*])
+  lt_cv_path_MAGIC_CMD="$MAGIC_CMD" # Let the user override the test with a path.
+  ;;
+*)
+  lt_save_MAGIC_CMD="$MAGIC_CMD"
+  lt_save_ifs="$IFS"; IFS=$PATH_SEPARATOR
+dnl $ac_dummy forces splitting on constant user-supplied paths.
+dnl POSIX.2 word splitting is done only on the output of word expansions,
+dnl not every word.  This closes a longstanding sh security hole.
+  ac_dummy="m4_if([$2], , $PATH, [$2])"
+  for ac_dir in $ac_dummy; do
+    IFS="$lt_save_ifs"
+    test -z "$ac_dir" && ac_dir=.
+    if test -f $ac_dir/$1; then
+      lt_cv_path_MAGIC_CMD="$ac_dir/$1"
+      if test -n "$file_magic_test_file"; then
+	case $deplibs_check_method in
+	"file_magic "*)
+	  file_magic_regex=`expr "$deplibs_check_method" : "file_magic \(.*\)"`
+	  MAGIC_CMD="$lt_cv_path_MAGIC_CMD"
+	  if eval $file_magic_cmd \$file_magic_test_file 2> /dev/null |
+	    $EGREP "$file_magic_regex" > /dev/null; then
+	    :
+	  else
+	    cat <<_LT_EOF 1>&2
+
+*** Warning: the command libtool uses to detect shared libraries,
+*** $file_magic_cmd, produces output that libtool cannot recognize.
+*** The result is that libtool may fail to recognize shared libraries
+*** as such.  This will affect the creation of libtool libraries that
+*** depend on shared libraries, but programs linked with such libtool
+*** libraries will work regardless of this problem.  Nevertheless, you
+*** may want to report the problem to your system manager and/or to
+*** bug-libtool at gnu.org
+
+_LT_EOF
+	  fi ;;
+	esac
+      fi
+      break
+    fi
+  done
+  IFS="$lt_save_ifs"
+  MAGIC_CMD="$lt_save_MAGIC_CMD"
+  ;;
+esac])
+MAGIC_CMD="$lt_cv_path_MAGIC_CMD"
+if test -n "$MAGIC_CMD"; then
+  AC_MSG_RESULT($MAGIC_CMD)
+else
+  AC_MSG_RESULT(no)
+fi
+_LT_DECL([], [MAGIC_CMD], [0],
+	 [Used to examine libraries when file_magic_cmd begins with "file"])dnl
+])# _LT_PATH_TOOL_PREFIX
+
+# Old name:
+AU_ALIAS([AC_PATH_TOOL_PREFIX], [_LT_PATH_TOOL_PREFIX])
+dnl aclocal-1.4 backwards compatibility:
+dnl AC_DEFUN([AC_PATH_TOOL_PREFIX], [])
+
+
+# _LT_PATH_MAGIC
+# --------------
+# find a file program which can recognize a shared library
+m4_defun([_LT_PATH_MAGIC],
+[_LT_PATH_TOOL_PREFIX(${ac_tool_prefix}file, /usr/bin$PATH_SEPARATOR$PATH)
+if test -z "$lt_cv_path_MAGIC_CMD"; then
+  if test -n "$ac_tool_prefix"; then
+    _LT_PATH_TOOL_PREFIX(file, /usr/bin$PATH_SEPARATOR$PATH)
+  else
+    MAGIC_CMD=:
+  fi
+fi
+])# _LT_PATH_MAGIC
+
+
+# LT_PATH_LD
+# ----------
+# find the pathname to the GNU or non-GNU linker
+AC_DEFUN([LT_PATH_LD],
+[AC_REQUIRE([AC_PROG_CC])dnl
+AC_REQUIRE([AC_CANONICAL_HOST])dnl
+AC_REQUIRE([AC_CANONICAL_BUILD])dnl
+m4_require([_LT_DECL_SED])dnl
+m4_require([_LT_DECL_EGREP])dnl
+m4_require([_LT_PROG_ECHO_BACKSLASH])dnl
+
+AC_ARG_WITH([gnu-ld],
+    [AS_HELP_STRING([--with-gnu-ld],
+	[assume the C compiler uses GNU ld @<:@default=no@:>@])],
+    [test "$withval" = no || with_gnu_ld=yes],
+    [with_gnu_ld=no])dnl
+
+ac_prog=ld
+if test "$GCC" = yes; then
+  # Check if gcc -print-prog-name=ld gives a path.
+  AC_MSG_CHECKING([for ld used by $CC])
+  case $host in
+  *-*-mingw*)
+    # gcc leaves a trailing carriage return which upsets mingw
+    ac_prog=`($CC -print-prog-name=ld) 2>&5 | tr -d '\015'` ;;
+  *)
+    ac_prog=`($CC -print-prog-name=ld) 2>&5` ;;
+  esac
+  case $ac_prog in
+    # Accept absolute paths.
+    [[\\/]]* | ?:[[\\/]]*)
+      re_direlt='/[[^/]][[^/]]*/\.\./'
+      # Canonicalize the pathname of ld
+      ac_prog=`$ECHO "$ac_prog"| $SED 's%\\\\%/%g'`
+      while $ECHO "$ac_prog" | $GREP "$re_direlt" > /dev/null 2>&1; do
+	ac_prog=`$ECHO $ac_prog| $SED "s%$re_direlt%/%"`
+      done
+      test -z "$LD" && LD="$ac_prog"
+      ;;
+  "")
+    # If it fails, then pretend we aren't using GCC.
+    ac_prog=ld
+    ;;
+  *)
+    # If it is relative, then search for the first ld in PATH.
+    with_gnu_ld=unknown
+    ;;
+  esac
+elif test "$with_gnu_ld" = yes; then
+  AC_MSG_CHECKING([for GNU ld])
+else
+  AC_MSG_CHECKING([for non-GNU ld])
+fi
+AC_CACHE_VAL(lt_cv_path_LD,
+[if test -z "$LD"; then
+  lt_save_ifs="$IFS"; IFS=$PATH_SEPARATOR
+  for ac_dir in $PATH; do
+    IFS="$lt_save_ifs"
+    test -z "$ac_dir" && ac_dir=.
+    if test -f "$ac_dir/$ac_prog" || test -f "$ac_dir/$ac_prog$ac_exeext"; then
+      lt_cv_path_LD="$ac_dir/$ac_prog"
+      # Check to see if the program is GNU ld.  I'd rather use --version,
+      # but apparently some variants of GNU ld only accept -v.
+      # Break only if it was the GNU/non-GNU ld that we prefer.
+      case `"$lt_cv_path_LD" -v 2>&1 </dev/null` in
+      *GNU* | *'with BFD'*)
+	test "$with_gnu_ld" != no && break
+	;;
+      *)
+	test "$with_gnu_ld" != yes && break
+	;;
+      esac
+    fi
+  done
+  IFS="$lt_save_ifs"
+else
+  lt_cv_path_LD="$LD" # Let the user override the test with a path.
+fi])
+LD="$lt_cv_path_LD"
+if test -n "$LD"; then
+  AC_MSG_RESULT($LD)
+else
+  AC_MSG_RESULT(no)
+fi
+test -z "$LD" && AC_MSG_ERROR([no acceptable ld found in \$PATH])
+_LT_PATH_LD_GNU
+AC_SUBST([LD])
+
+_LT_TAGDECL([], [LD], [1], [The linker used to build libraries])
+])# LT_PATH_LD
+
+# Old names:
+AU_ALIAS([AM_PROG_LD], [LT_PATH_LD])
+AU_ALIAS([AC_PROG_LD], [LT_PATH_LD])
+dnl aclocal-1.4 backwards compatibility:
+dnl AC_DEFUN([AM_PROG_LD], [])
+dnl AC_DEFUN([AC_PROG_LD], [])
+
+
+# _LT_PATH_LD_GNU
+#- --------------
+m4_defun([_LT_PATH_LD_GNU],
+[AC_CACHE_CHECK([if the linker ($LD) is GNU ld], lt_cv_prog_gnu_ld,
+[# I'd rather use --version here, but apparently some GNU lds only accept -v.
+case `$LD -v 2>&1 </dev/null` in
+*GNU* | *'with BFD'*)
+  lt_cv_prog_gnu_ld=yes
+  ;;
+*)
+  lt_cv_prog_gnu_ld=no
+  ;;
+esac])
+with_gnu_ld=$lt_cv_prog_gnu_ld
+])# _LT_PATH_LD_GNU
+
+
+# _LT_CMD_RELOAD
+# --------------
+# find reload flag for linker
+#   -- PORTME Some linkers may need a different reload flag.
+m4_defun([_LT_CMD_RELOAD],
+[AC_CACHE_CHECK([for $LD option to reload object files],
+  lt_cv_ld_reload_flag,
+  [lt_cv_ld_reload_flag='-r'])
+reload_flag=$lt_cv_ld_reload_flag
+case $reload_flag in
+"" | " "*) ;;
+*) reload_flag=" $reload_flag" ;;
+esac
+reload_cmds='$LD$reload_flag -o $output$reload_objs'
+case $host_os in
+  cygwin* | mingw* | pw32* | cegcc*)
+    if test "$GCC" != yes; then
+      reload_cmds=false
+    fi
+    ;;
+  darwin*)
+    if test "$GCC" = yes; then
+      reload_cmds='$LTCC $LTCFLAGS -nostdlib ${wl}-r -o $output$reload_objs'
+    else
+      reload_cmds='$LD$reload_flag -o $output$reload_objs'
+    fi
+    ;;
+esac
+_LT_TAGDECL([], [reload_flag], [1], [How to create reloadable object files])dnl
+_LT_TAGDECL([], [reload_cmds], [2])dnl
+])# _LT_CMD_RELOAD
+
+
+# _LT_CHECK_MAGIC_METHOD
+# ----------------------
+# how to check for library dependencies
+#  -- PORTME fill in with the dynamic library characteristics
+m4_defun([_LT_CHECK_MAGIC_METHOD],
+[m4_require([_LT_DECL_EGREP])
+m4_require([_LT_DECL_OBJDUMP])
+AC_CACHE_CHECK([how to recognize dependent libraries],
+lt_cv_deplibs_check_method,
+[lt_cv_file_magic_cmd='$MAGIC_CMD'
+lt_cv_file_magic_test_file=
+lt_cv_deplibs_check_method='unknown'
+# Need to set the preceding variable on all platforms that support
+# interlibrary dependencies.
+# 'none' -- dependencies not supported.
+# `unknown' -- same as none, but documents that we really don't know.
+# 'pass_all' -- all dependencies passed with no checks.
+# 'test_compile' -- check by making test program.
+# 'file_magic [[regex]]' -- check by looking for files in library path
+# which responds to the $file_magic_cmd with a given extended regex.
+# If you have `file' or equivalent on your system and you're not sure
+# whether `pass_all' will *always* work, you probably want this one.
+
+case $host_os in
+aix[[4-9]]*)
+  lt_cv_deplibs_check_method=pass_all
+  ;;
+
+beos*)
+  lt_cv_deplibs_check_method=pass_all
+  ;;
+
+bsdi[[45]]*)
+  lt_cv_deplibs_check_method='file_magic ELF [[0-9]][[0-9]]*-bit [[ML]]SB (shared object|dynamic lib)'
+  lt_cv_file_magic_cmd='/usr/bin/file -L'
+  lt_cv_file_magic_test_file=/shlib/libc.so
+  ;;
+
+cygwin*)
+  # func_win32_libid is a shell function defined in ltmain.sh
+  lt_cv_deplibs_check_method='file_magic ^x86 archive import|^x86 DLL'
+  lt_cv_file_magic_cmd='func_win32_libid'
+  ;;
+
+mingw* | pw32*)
+  # Base MSYS/MinGW do not provide the 'file' command needed by
+  # func_win32_libid shell function, so use a weaker test based on 'objdump',
+  # unless we find 'file', for example because we are cross-compiling.
+  # func_win32_libid assumes BSD nm, so disallow it if using MS dumpbin.
+  if ( test "$lt_cv_nm_interface" = "BSD nm" && file / ) >/dev/null 2>&1; then
+    lt_cv_deplibs_check_method='file_magic ^x86 archive import|^x86 DLL'
+    lt_cv_file_magic_cmd='func_win32_libid'
+  else
+    # Keep this pattern in sync with the one in func_win32_libid.
+    lt_cv_deplibs_check_method='file_magic file format (pei*-i386(.*architecture: i386)?|pe-arm-wince|pe-x86-64)'
+    lt_cv_file_magic_cmd='$OBJDUMP -f'
+  fi
+  ;;
+
+cegcc*)
+  # use the weaker test based on 'objdump'. See mingw*.
+  lt_cv_deplibs_check_method='file_magic file format pe-arm-.*little(.*architecture: arm)?'
+  lt_cv_file_magic_cmd='$OBJDUMP -f'
+  ;;
+
+darwin* | rhapsody*)
+  lt_cv_deplibs_check_method=pass_all
+  ;;
+
+freebsd* | dragonfly*)
+  if echo __ELF__ | $CC -E - | $GREP __ELF__ > /dev/null; then
+    case $host_cpu in
+    i*86 )
+      # Not sure whether the presence of OpenBSD here was a mistake.
+      # Let's accept both of them until this is cleared up.
+      lt_cv_deplibs_check_method='file_magic (FreeBSD|OpenBSD|DragonFly)/i[[3-9]]86 (compact )?demand paged shared library'
+      lt_cv_file_magic_cmd=/usr/bin/file
+      lt_cv_file_magic_test_file=`echo /usr/lib/libc.so.*`
+      ;;
+    esac
+  else
+    lt_cv_deplibs_check_method=pass_all
+  fi
+  ;;
+
+haiku*)
+  lt_cv_deplibs_check_method=pass_all
+  ;;
+
+hpux10.20* | hpux11*)
+  lt_cv_file_magic_cmd=/usr/bin/file
+  case $host_cpu in
+  ia64*)
+    lt_cv_deplibs_check_method='file_magic (s[[0-9]][[0-9]][[0-9]]|ELF-[[0-9]][[0-9]]) shared object file - IA64'
+    lt_cv_file_magic_test_file=/usr/lib/hpux32/libc.so
+    ;;
+  hppa*64*)
+    [lt_cv_deplibs_check_method='file_magic (s[0-9][0-9][0-9]|ELF[ -][0-9][0-9])(-bit)?( [LM]SB)? shared object( file)?[, -]* PA-RISC [0-9]\.[0-9]']
+    lt_cv_file_magic_test_file=/usr/lib/pa20_64/libc.sl
+    ;;
+  *)
+    lt_cv_deplibs_check_method='file_magic (s[[0-9]][[0-9]][[0-9]]|PA-RISC[[0-9]]\.[[0-9]]) shared library'
+    lt_cv_file_magic_test_file=/usr/lib/libc.sl
+    ;;
+  esac
+  ;;
+
+interix[[3-9]]*)
+  # PIC code is broken on Interix 3.x, that's why |\.a not |_pic\.a here
+  lt_cv_deplibs_check_method='match_pattern /lib[[^/]]+(\.so|\.a)$'
+  ;;
+
+irix5* | irix6* | nonstopux*)
+  case $LD in
+  *-32|*"-32 ") libmagic=32-bit;;
+  *-n32|*"-n32 ") libmagic=N32;;
+  *-64|*"-64 ") libmagic=64-bit;;
+  *) libmagic=never-match;;
+  esac
+  lt_cv_deplibs_check_method=pass_all
+  ;;
+
+# This must be glibc/ELF.
+linux* | k*bsd*-gnu | kopensolaris*-gnu | gnu*)
+  lt_cv_deplibs_check_method=pass_all
+  ;;
+
+netbsd* | netbsdelf*-gnu)
+  if echo __ELF__ | $CC -E - | $GREP __ELF__ > /dev/null; then
+    lt_cv_deplibs_check_method='match_pattern /lib[[^/]]+(\.so\.[[0-9]]+\.[[0-9]]+|_pic\.a)$'
+  else
+    lt_cv_deplibs_check_method='match_pattern /lib[[^/]]+(\.so|_pic\.a)$'
+  fi
+  ;;
+
+newos6*)
+  lt_cv_deplibs_check_method='file_magic ELF [[0-9]][[0-9]]*-bit [[ML]]SB (executable|dynamic lib)'
+  lt_cv_file_magic_cmd=/usr/bin/file
+  lt_cv_file_magic_test_file=/usr/lib/libnls.so
+  ;;
+
+*nto* | *qnx*)
+  lt_cv_deplibs_check_method=pass_all
+  ;;
+
+openbsd*)
+  if test -z "`echo __ELF__ | $CC -E - | $GREP __ELF__`" || test "$host_os-$host_cpu" = "openbsd2.8-powerpc"; then
+    lt_cv_deplibs_check_method='match_pattern /lib[[^/]]+(\.so\.[[0-9]]+\.[[0-9]]+|\.so|_pic\.a)$'
+  else
+    lt_cv_deplibs_check_method='match_pattern /lib[[^/]]+(\.so\.[[0-9]]+\.[[0-9]]+|_pic\.a)$'
+  fi
+  ;;
+
+osf3* | osf4* | osf5*)
+  lt_cv_deplibs_check_method=pass_all
+  ;;
+
+rdos*)
+  lt_cv_deplibs_check_method=pass_all
+  ;;
+
+solaris*)
+  lt_cv_deplibs_check_method=pass_all
+  ;;
+
+sysv5* | sco3.2v5* | sco5v6* | unixware* | OpenUNIX* | sysv4*uw2*)
+  lt_cv_deplibs_check_method=pass_all
+  ;;
+
+sysv4 | sysv4.3*)
+  case $host_vendor in
+  motorola)
+    lt_cv_deplibs_check_method='file_magic ELF [[0-9]][[0-9]]*-bit [[ML]]SB (shared object|dynamic lib) M[[0-9]][[0-9]]* Version [[0-9]]'
+    lt_cv_file_magic_test_file=`echo /usr/lib/libc.so*`
+    ;;
+  ncr)
+    lt_cv_deplibs_check_method=pass_all
+    ;;
+  sequent)
+    lt_cv_file_magic_cmd='/bin/file'
+    lt_cv_deplibs_check_method='file_magic ELF [[0-9]][[0-9]]*-bit [[LM]]SB (shared object|dynamic lib )'
+    ;;
+  sni)
+    lt_cv_file_magic_cmd='/bin/file'
+    lt_cv_deplibs_check_method="file_magic ELF [[0-9]][[0-9]]*-bit [[LM]]SB dynamic lib"
+    lt_cv_file_magic_test_file=/lib/libc.so
+    ;;
+  siemens)
+    lt_cv_deplibs_check_method=pass_all
+    ;;
+  pc)
+    lt_cv_deplibs_check_method=pass_all
+    ;;
+  esac
+  ;;
+
+tpf*)
+  lt_cv_deplibs_check_method=pass_all
+  ;;
+esac
+])
+
+file_magic_glob=
+want_nocaseglob=no
+if test "$build" = "$host"; then
+  case $host_os in
+  mingw* | pw32*)
+    if ( shopt | grep nocaseglob ) >/dev/null 2>&1; then
+      want_nocaseglob=yes
+    else
+      file_magic_glob=`echo aAbBcCdDeEfFgGhHiIjJkKlLmMnNoOpPqQrRsStTuUvVwWxXyYzZ | $SED -e "s/\(..\)/s\/[[\1]]\/[[\1]]\/g;/g"`
+    fi
+    ;;
+  esac
+fi
+
+file_magic_cmd=$lt_cv_file_magic_cmd
+deplibs_check_method=$lt_cv_deplibs_check_method
+test -z "$deplibs_check_method" && deplibs_check_method=unknown
+
+_LT_DECL([], [deplibs_check_method], [1],
+    [Method to check whether dependent libraries are shared objects])
+_LT_DECL([], [file_magic_cmd], [1],
+    [Command to use when deplibs_check_method = "file_magic"])
+_LT_DECL([], [file_magic_glob], [1],
+    [How to find potential files when deplibs_check_method = "file_magic"])
+_LT_DECL([], [want_nocaseglob], [1],
+    [Find potential files using nocaseglob when deplibs_check_method = "file_magic"])
+])# _LT_CHECK_MAGIC_METHOD
+
+
+# LT_PATH_NM
+# ----------
+# find the pathname to a BSD- or MS-compatible name lister
+AC_DEFUN([LT_PATH_NM],
+[AC_REQUIRE([AC_PROG_CC])dnl
+AC_CACHE_CHECK([for BSD- or MS-compatible name lister (nm)], lt_cv_path_NM,
+[if test -n "$NM"; then
+  # Let the user override the test.
+  lt_cv_path_NM="$NM"
+else
+  lt_nm_to_check="${ac_tool_prefix}nm"
+  if test -n "$ac_tool_prefix" && test "$build" = "$host"; then
+    lt_nm_to_check="$lt_nm_to_check nm"
+  fi
+  for lt_tmp_nm in $lt_nm_to_check; do
+    lt_save_ifs="$IFS"; IFS=$PATH_SEPARATOR
+    for ac_dir in $PATH /usr/ccs/bin/elf /usr/ccs/bin /usr/ucb /bin; do
+      IFS="$lt_save_ifs"
+      test -z "$ac_dir" && ac_dir=.
+      tmp_nm="$ac_dir/$lt_tmp_nm"
+      if test -f "$tmp_nm" || test -f "$tmp_nm$ac_exeext" ; then
+	# Check to see if the nm accepts a BSD-compat flag.
+	# Adding the `sed 1q' prevents false positives on HP-UX, which says:
+	#   nm: unknown option "B" ignored
+	# Tru64's nm complains that /dev/null is an invalid object file
+	case `"$tmp_nm" -B /dev/null 2>&1 | sed '1q'` in
+	*/dev/null* | *'Invalid file or object type'*)
+	  lt_cv_path_NM="$tmp_nm -B"
+	  break
+	  ;;
+	*)
+	  case `"$tmp_nm" -p /dev/null 2>&1 | sed '1q'` in
+	  */dev/null*)
+	    lt_cv_path_NM="$tmp_nm -p"
+	    break
+	    ;;
+	  *)
+	    lt_cv_path_NM=${lt_cv_path_NM="$tmp_nm"} # keep the first match, but
+	    continue # so that we can try to find one that supports BSD flags
+	    ;;
+	  esac
+	  ;;
+	esac
+      fi
+    done
+    IFS="$lt_save_ifs"
+  done
+  : ${lt_cv_path_NM=no}
+fi])
+if test "$lt_cv_path_NM" != "no"; then
+  NM="$lt_cv_path_NM"
+else
+  # Didn't find any BSD compatible name lister, look for dumpbin.
+  if test -n "$DUMPBIN"; then :
+    # Let the user override the test.
+  else
+    AC_CHECK_TOOLS(DUMPBIN, [dumpbin "link -dump"], :)
+    case `$DUMPBIN -symbols /dev/null 2>&1 | sed '1q'` in
+    *COFF*)
+      DUMPBIN="$DUMPBIN -symbols"
+      ;;
+    *)
+      DUMPBIN=:
+      ;;
+    esac
+  fi
+  AC_SUBST([DUMPBIN])
+  if test "$DUMPBIN" != ":"; then
+    NM="$DUMPBIN"
+  fi
+fi
+test -z "$NM" && NM=nm
+AC_SUBST([NM])
+_LT_DECL([], [NM], [1], [A BSD- or MS-compatible name lister])dnl
+
+AC_CACHE_CHECK([the name lister ($NM) interface], [lt_cv_nm_interface],
+  [lt_cv_nm_interface="BSD nm"
+  echo "int some_variable = 0;" > conftest.$ac_ext
+  (eval echo "\"\$as_me:$LINENO: $ac_compile\"" >&AS_MESSAGE_LOG_FD)
+  (eval "$ac_compile" 2>conftest.err)
+  cat conftest.err >&AS_MESSAGE_LOG_FD
+  (eval echo "\"\$as_me:$LINENO: $NM \\\"conftest.$ac_objext\\\"\"" >&AS_MESSAGE_LOG_FD)
+  (eval "$NM \"conftest.$ac_objext\"" 2>conftest.err > conftest.out)
+  cat conftest.err >&AS_MESSAGE_LOG_FD
+  (eval echo "\"\$as_me:$LINENO: output\"" >&AS_MESSAGE_LOG_FD)
+  cat conftest.out >&AS_MESSAGE_LOG_FD
+  if $GREP 'External.*some_variable' conftest.out > /dev/null; then
+    lt_cv_nm_interface="MS dumpbin"
+  fi
+  rm -f conftest*])
+])# LT_PATH_NM
+
+# Old names:
+AU_ALIAS([AM_PROG_NM], [LT_PATH_NM])
+AU_ALIAS([AC_PROG_NM], [LT_PATH_NM])
+dnl aclocal-1.4 backwards compatibility:
+dnl AC_DEFUN([AM_PROG_NM], [])
+dnl AC_DEFUN([AC_PROG_NM], [])
+
+# _LT_CHECK_SHAREDLIB_FROM_LINKLIB
+# --------------------------------
+# how to determine the name of the shared library
+# associated with a specific link library.
+#  -- PORTME fill in with the dynamic library characteristics
+m4_defun([_LT_CHECK_SHAREDLIB_FROM_LINKLIB],
+[m4_require([_LT_DECL_EGREP])
+m4_require([_LT_DECL_OBJDUMP])
+m4_require([_LT_DECL_DLLTOOL])
+AC_CACHE_CHECK([how to associate runtime and link libraries],
+lt_cv_sharedlib_from_linklib_cmd,
+[lt_cv_sharedlib_from_linklib_cmd='unknown'
+
+case $host_os in
+cygwin* | mingw* | pw32* | cegcc*)
+  # two different shell functions defined in ltmain.sh
+  # decide which to use based on capabilities of $DLLTOOL
+  case `$DLLTOOL --help 2>&1` in
+  *--identify-strict*)
+    lt_cv_sharedlib_from_linklib_cmd=func_cygming_dll_for_implib
+    ;;
+  *)
+    lt_cv_sharedlib_from_linklib_cmd=func_cygming_dll_for_implib_fallback
+    ;;
+  esac
+  ;;
+*)
+  # fallback: assume linklib IS sharedlib
+  lt_cv_sharedlib_from_linklib_cmd="$ECHO"
+  ;;
+esac
+])
+sharedlib_from_linklib_cmd=$lt_cv_sharedlib_from_linklib_cmd
+test -z "$sharedlib_from_linklib_cmd" && sharedlib_from_linklib_cmd=$ECHO
+
+_LT_DECL([], [sharedlib_from_linklib_cmd], [1],
+    [Command to associate shared and link libraries])
+])# _LT_CHECK_SHAREDLIB_FROM_LINKLIB
+
+
+# _LT_PATH_MANIFEST_TOOL
+# ----------------------
+# locate the manifest tool
+m4_defun([_LT_PATH_MANIFEST_TOOL],
+[AC_CHECK_TOOL(MANIFEST_TOOL, mt, :)
+test -z "$MANIFEST_TOOL" && MANIFEST_TOOL=mt
+AC_CACHE_CHECK([if $MANIFEST_TOOL is a manifest tool], [lt_cv_path_mainfest_tool],
+  [lt_cv_path_mainfest_tool=no
+  echo "$as_me:$LINENO: $MANIFEST_TOOL '-?'" >&AS_MESSAGE_LOG_FD
+  $MANIFEST_TOOL '-?' 2>conftest.err > conftest.out
+  cat conftest.err >&AS_MESSAGE_LOG_FD
+  if $GREP 'Manifest Tool' conftest.out > /dev/null; then
+    lt_cv_path_mainfest_tool=yes
+  fi
+  rm -f conftest*])
+if test "x$lt_cv_path_mainfest_tool" != xyes; then
+  MANIFEST_TOOL=:
+fi
+_LT_DECL([], [MANIFEST_TOOL], [1], [Manifest tool])dnl
+])# _LT_PATH_MANIFEST_TOOL
+
+
+# LT_LIB_M
+# --------
+# check for math library
+AC_DEFUN([LT_LIB_M],
+[AC_REQUIRE([AC_CANONICAL_HOST])dnl
+LIBM=
+case $host in
+*-*-beos* | *-*-cegcc* | *-*-cygwin* | *-*-haiku* | *-*-pw32* | *-*-darwin*)
+  # These system don't have libm, or don't need it
+  ;;
+*-ncr-sysv4.3*)
+  AC_CHECK_LIB(mw, _mwvalidcheckl, LIBM="-lmw")
+  AC_CHECK_LIB(m, cos, LIBM="$LIBM -lm")
+  ;;
+*)
+  AC_CHECK_LIB(m, cos, LIBM="-lm")
+  ;;
+esac
+AC_SUBST([LIBM])
+])# LT_LIB_M
+
+# Old name:
+AU_ALIAS([AC_CHECK_LIBM], [LT_LIB_M])
+dnl aclocal-1.4 backwards compatibility:
+dnl AC_DEFUN([AC_CHECK_LIBM], [])
+
+
+# _LT_COMPILER_NO_RTTI([TAGNAME])
+# -------------------------------
+m4_defun([_LT_COMPILER_NO_RTTI],
+[m4_require([_LT_TAG_COMPILER])dnl
+
+_LT_TAGVAR(lt_prog_compiler_no_builtin_flag, $1)=
+
+if test "$GCC" = yes; then
+  case $cc_basename in
+  nvcc*)
+    _LT_TAGVAR(lt_prog_compiler_no_builtin_flag, $1)=' -Xcompiler -fno-builtin' ;;
+  *)
+    _LT_TAGVAR(lt_prog_compiler_no_builtin_flag, $1)=' -fno-builtin' ;;
+  esac
+
+  _LT_COMPILER_OPTION([if $compiler supports -fno-rtti -fno-exceptions],
+    lt_cv_prog_compiler_rtti_exceptions,
+    [-fno-rtti -fno-exceptions], [],
+    [_LT_TAGVAR(lt_prog_compiler_no_builtin_flag, $1)="$_LT_TAGVAR(lt_prog_compiler_no_builtin_flag, $1) -fno-rtti -fno-exceptions"])
+fi
+_LT_TAGDECL([no_builtin_flag], [lt_prog_compiler_no_builtin_flag], [1],
+	[Compiler flag to turn off builtin functions])
+])# _LT_COMPILER_NO_RTTI
+
+
+# _LT_CMD_GLOBAL_SYMBOLS
+# ----------------------
+m4_defun([_LT_CMD_GLOBAL_SYMBOLS],
+[AC_REQUIRE([AC_CANONICAL_HOST])dnl
+AC_REQUIRE([AC_PROG_CC])dnl
+AC_REQUIRE([AC_PROG_AWK])dnl
+AC_REQUIRE([LT_PATH_NM])dnl
+AC_REQUIRE([LT_PATH_LD])dnl
+m4_require([_LT_DECL_SED])dnl
+m4_require([_LT_DECL_EGREP])dnl
+m4_require([_LT_TAG_COMPILER])dnl
+
+# Check for command to grab the raw symbol name followed by C symbol from nm.
+AC_MSG_CHECKING([command to parse $NM output from $compiler object])
+AC_CACHE_VAL([lt_cv_sys_global_symbol_pipe],
+[
+# These are sane defaults that work on at least a few old systems.
+# [They come from Ultrix.  What could be older than Ultrix?!! ;)]
+
+# Character class describing NM global symbol codes.
+symcode='[[BCDEGRST]]'
+
+# Regexp to match symbols that can be accessed directly from C.
+sympat='\([[_A-Za-z]][[_A-Za-z0-9]]*\)'
+
+# Define system-specific variables.
+case $host_os in
+aix*)
+  symcode='[[BCDT]]'
+  ;;
+cygwin* | mingw* | pw32* | cegcc*)
+  symcode='[[ABCDGISTW]]'
+  ;;
+hpux*)
+  if test "$host_cpu" = ia64; then
+    symcode='[[ABCDEGRST]]'
+  fi
+  ;;
+irix* | nonstopux*)
+  symcode='[[BCDEGRST]]'
+  ;;
+osf*)
+  symcode='[[BCDEGQRST]]'
+  ;;
+solaris*)
+  symcode='[[BDRT]]'
+  ;;
+sco3.2v5*)
+  symcode='[[DT]]'
+  ;;
+sysv4.2uw2*)
+  symcode='[[DT]]'
+  ;;
+sysv5* | sco5v6* | unixware* | OpenUNIX*)
+  symcode='[[ABDT]]'
+  ;;
+sysv4)
+  symcode='[[DFNSTU]]'
+  ;;
+esac
+
+# If we're using GNU nm, then use its standard symbol codes.
+case `$NM -V 2>&1` in
+*GNU* | *'with BFD'*)
+  symcode='[[ABCDGIRSTW]]' ;;
+esac
+
+# Transform an extracted symbol line into a proper C declaration.
+# Some systems (esp. on ia64) link data and code symbols differently,
+# so use this general approach.
+lt_cv_sys_global_symbol_to_cdecl="sed -n -e 's/^T .* \(.*\)$/extern int \1();/p' -e 's/^$symcode* .* \(.*\)$/extern char \1;/p'"
+
+# Transform an extracted symbol line into symbol name and symbol address
+lt_cv_sys_global_symbol_to_c_name_address="sed -n -e 's/^: \([[^ ]]*\)[[ ]]*$/  {\\\"\1\\\", (void *) 0},/p' -e 's/^$symcode* \([[^ ]]*\) \([[^ ]]*\)$/  {\"\2\", (void *) \&\2},/p'"
+lt_cv_sys_global_symbol_to_c_name_address_lib_prefix="sed -n -e 's/^: \([[^ ]]*\)[[ ]]*$/  {\\\"\1\\\", (void *) 0},/p' -e 's/^$symcode* \([[^ ]]*\) \(lib[[^ ]]*\)$/  {\"\2\", (void *) \&\2},/p' -e 's/^$symcode* \([[^ ]]*\) \([[^ ]]*\)$/  {\"lib\2\", (void *) \&\2},/p'"
+
+# Handle CRLF in mingw tool chain
+opt_cr=
+case $build_os in
+mingw*)
+  opt_cr=`$ECHO 'x\{0,1\}' | tr x '\015'` # option cr in regexp
+  ;;
+esac
+
+# Try without a prefix underscore, then with it.
+for ac_symprfx in "" "_"; do
+
+  # Transform symcode, sympat, and symprfx into a raw symbol and a C symbol.
+  symxfrm="\\1 $ac_symprfx\\2 \\2"
+
+  # Write the raw and C identifiers.
+  if test "$lt_cv_nm_interface" = "MS dumpbin"; then
+    # Fake it for dumpbin and say T for any non-static function
+    # and D for any global variable.
+    # Also find C++ and __fastcall symbols from MSVC++,
+    # which start with @ or ?.
+    lt_cv_sys_global_symbol_pipe="$AWK ['"\
+"     {last_section=section; section=\$ 3};"\
+"     /^COFF SYMBOL TABLE/{for(i in hide) delete hide[i]};"\
+"     /Section length .*#relocs.*(pick any)/{hide[last_section]=1};"\
+"     \$ 0!~/External *\|/{next};"\
+"     / 0+ UNDEF /{next}; / UNDEF \([^|]\)*()/{next};"\
+"     {if(hide[section]) next};"\
+"     {f=0}; \$ 0~/\(\).*\|/{f=1}; {printf f ? \"T \" : \"D \"};"\
+"     {split(\$ 0, a, /\||\r/); split(a[2], s)};"\
+"     s[1]~/^[@?]/{print s[1], s[1]; next};"\
+"     s[1]~prfx {split(s[1],t,\"@\"); print t[1], substr(t[1],length(prfx))}"\
+"     ' prfx=^$ac_symprfx]"
+  else
+    lt_cv_sys_global_symbol_pipe="sed -n -e 's/^.*[[	 ]]\($symcode$symcode*\)[[	 ]][[	 ]]*$ac_symprfx$sympat$opt_cr$/$symxfrm/p'"
+  fi
+  lt_cv_sys_global_symbol_pipe="$lt_cv_sys_global_symbol_pipe | sed '/ __gnu_lto/d'"
+
+  # Check to see that the pipe works correctly.
+  pipe_works=no
+
+  rm -f conftest*
+  cat > conftest.$ac_ext <<_LT_EOF
+#ifdef __cplusplus
+extern "C" {
+#endif
+char nm_test_var;
+void nm_test_func(void);
+void nm_test_func(void){}
+#ifdef __cplusplus
+}
+#endif
+int main(){nm_test_var='a';nm_test_func();return(0);}
+_LT_EOF
+
+  if AC_TRY_EVAL(ac_compile); then
+    # Now try to grab the symbols.
+    nlist=conftest.nm
+    if AC_TRY_EVAL(NM conftest.$ac_objext \| "$lt_cv_sys_global_symbol_pipe" \> $nlist) && test -s "$nlist"; then
+      # Try sorting and uniquifying the output.
+      if sort "$nlist" | uniq > "$nlist"T; then
+	mv -f "$nlist"T "$nlist"
+      else
+	rm -f "$nlist"T
+      fi
+
+      # Make sure that we snagged all the symbols we need.
+      if $GREP ' nm_test_var$' "$nlist" >/dev/null; then
+	if $GREP ' nm_test_func$' "$nlist" >/dev/null; then
+	  cat <<_LT_EOF > conftest.$ac_ext
+/* Keep this code in sync between libtool.m4, ltmain, lt_system.h, and tests.  */
+#if defined(_WIN32) || defined(__CYGWIN__) || defined(_WIN32_WCE)
+/* DATA imports from DLLs on WIN32 con't be const, because runtime
+   relocations are performed -- see ld's documentation on pseudo-relocs.  */
+# define LT@&t at _DLSYM_CONST
+#elif defined(__osf__)
+/* This system does not cope well with relocations in const data.  */
+# define LT@&t at _DLSYM_CONST
+#else
+# define LT@&t at _DLSYM_CONST const
+#endif
+
+#ifdef __cplusplus
+extern "C" {
+#endif
+
+_LT_EOF
+	  # Now generate the symbol file.
+	  eval "$lt_cv_sys_global_symbol_to_cdecl"' < "$nlist" | $GREP -v main >> conftest.$ac_ext'
+
+	  cat <<_LT_EOF >> conftest.$ac_ext
+
+/* The mapping between symbol names and symbols.  */
+LT@&t at _DLSYM_CONST struct {
+  const char *name;
+  void       *address;
+}
+lt__PROGRAM__LTX_preloaded_symbols[[]] =
+{
+  { "@PROGRAM@", (void *) 0 },
+_LT_EOF
+	  $SED "s/^$symcode$symcode* \(.*\) \(.*\)$/  {\"\2\", (void *) \&\2},/" < "$nlist" | $GREP -v main >> conftest.$ac_ext
+	  cat <<\_LT_EOF >> conftest.$ac_ext
+  {0, (void *) 0}
+};
+
+/* This works around a problem in FreeBSD linker */
+#ifdef FREEBSD_WORKAROUND
+static const void *lt_preloaded_setup() {
+  return lt__PROGRAM__LTX_preloaded_symbols;
+}
+#endif
+
+#ifdef __cplusplus
+}
+#endif
+_LT_EOF
+	  # Now try linking the two files.
+	  mv conftest.$ac_objext conftstm.$ac_objext
+	  lt_globsym_save_LIBS=$LIBS
+	  lt_globsym_save_CFLAGS=$CFLAGS
+	  LIBS="conftstm.$ac_objext"
+	  CFLAGS="$CFLAGS$_LT_TAGVAR(lt_prog_compiler_no_builtin_flag, $1)"
+	  if AC_TRY_EVAL(ac_link) && test -s conftest${ac_exeext}; then
+	    pipe_works=yes
+	  fi
+	  LIBS=$lt_globsym_save_LIBS
+	  CFLAGS=$lt_globsym_save_CFLAGS
+	else
+	  echo "cannot find nm_test_func in $nlist" >&AS_MESSAGE_LOG_FD
+	fi
+      else
+	echo "cannot find nm_test_var in $nlist" >&AS_MESSAGE_LOG_FD
+      fi
+    else
+      echo "cannot run $lt_cv_sys_global_symbol_pipe" >&AS_MESSAGE_LOG_FD
+    fi
+  else
+    echo "$progname: failed program was:" >&AS_MESSAGE_LOG_FD
+    cat conftest.$ac_ext >&5
+  fi
+  rm -rf conftest* conftst*
+
+  # Do not use the global_symbol_pipe unless it works.
+  if test "$pipe_works" = yes; then
+    break
+  else
+    lt_cv_sys_global_symbol_pipe=
+  fi
+done
+])
+if test -z "$lt_cv_sys_global_symbol_pipe"; then
+  lt_cv_sys_global_symbol_to_cdecl=
+fi
+if test -z "$lt_cv_sys_global_symbol_pipe$lt_cv_sys_global_symbol_to_cdecl"; then
+  AC_MSG_RESULT(failed)
+else
+  AC_MSG_RESULT(ok)
+fi
+
+# Response file support.
+if test "$lt_cv_nm_interface" = "MS dumpbin"; then
+  nm_file_list_spec='@'
+elif $NM --help 2>/dev/null | grep '[[@]]FILE' >/dev/null; then
+  nm_file_list_spec='@'
+fi
+
+_LT_DECL([global_symbol_pipe], [lt_cv_sys_global_symbol_pipe], [1],
+    [Take the output of nm and produce a listing of raw symbols and C names])
+_LT_DECL([global_symbol_to_cdecl], [lt_cv_sys_global_symbol_to_cdecl], [1],
+    [Transform the output of nm in a proper C declaration])
+_LT_DECL([global_symbol_to_c_name_address],
+    [lt_cv_sys_global_symbol_to_c_name_address], [1],
+    [Transform the output of nm in a C name address pair])
+_LT_DECL([global_symbol_to_c_name_address_lib_prefix],
+    [lt_cv_sys_global_symbol_to_c_name_address_lib_prefix], [1],
+    [Transform the output of nm in a C name address pair when lib prefix is needed])
+_LT_DECL([], [nm_file_list_spec], [1],
+    [Specify filename containing input files for $NM])
+]) # _LT_CMD_GLOBAL_SYMBOLS
+
+
+# _LT_COMPILER_PIC([TAGNAME])
+# ---------------------------
+m4_defun([_LT_COMPILER_PIC],
+[m4_require([_LT_TAG_COMPILER])dnl
+_LT_TAGVAR(lt_prog_compiler_wl, $1)=
+_LT_TAGVAR(lt_prog_compiler_pic, $1)=
+_LT_TAGVAR(lt_prog_compiler_static, $1)=
+
+m4_if([$1], [CXX], [
+  # C++ specific cases for pic, static, wl, etc.
+  if test "$GXX" = yes; then
+    _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+    _LT_TAGVAR(lt_prog_compiler_static, $1)='-static'
+
+    case $host_os in
+    aix*)
+      # All AIX code is PIC.
+      if test "$host_cpu" = ia64; then
+	# AIX 5 now supports IA64 processor
+	_LT_TAGVAR(lt_prog_compiler_static, $1)='-Bstatic'
+      fi
+      ;;
+
+    amigaos*)
+      case $host_cpu in
+      powerpc)
+            # see comment about AmigaOS4 .so support
+            _LT_TAGVAR(lt_prog_compiler_pic, $1)='-fPIC'
+        ;;
+      m68k)
+            # FIXME: we need at least 68020 code to build shared libraries, but
+            # adding the `-m68020' flag to GCC prevents building anything better,
+            # like `-m68040'.
+            _LT_TAGVAR(lt_prog_compiler_pic, $1)='-m68020 -resident32 -malways-restore-a4'
+        ;;
+      esac
+      ;;
+
+    beos* | irix5* | irix6* | nonstopux* | osf3* | osf4* | osf5*)
+      # PIC is the default for these OSes.
+      ;;
+    mingw* | cygwin* | os2* | pw32* | cegcc*)
+      # This hack is so that the source file can tell whether it is being
+      # built for inclusion in a dll (and should export symbols for example).
+      # Although the cygwin gcc ignores -fPIC, still need this for old-style
+      # (--disable-auto-import) libraries
+      m4_if([$1], [GCJ], [],
+	[_LT_TAGVAR(lt_prog_compiler_pic, $1)='-DDLL_EXPORT'])
+      ;;
+    darwin* | rhapsody*)
+      # PIC is the default on this platform
+      # Common symbols not allowed in MH_DYLIB files
+      _LT_TAGVAR(lt_prog_compiler_pic, $1)='-fno-common'
+      ;;
+    *djgpp*)
+      # DJGPP does not support shared libraries at all
+      _LT_TAGVAR(lt_prog_compiler_pic, $1)=
+      ;;
+    haiku*)
+      # PIC is the default for Haiku.
+      # The "-static" flag exists, but is broken.
+      _LT_TAGVAR(lt_prog_compiler_static, $1)=
+      ;;
+    interix[[3-9]]*)
+      # Interix 3.x gcc -fpic/-fPIC options generate broken code.
+      # Instead, we relocate shared libraries at runtime.
+      ;;
+    sysv4*MP*)
+      if test -d /usr/nec; then
+	_LT_TAGVAR(lt_prog_compiler_pic, $1)=-Kconform_pic
+      fi
+      ;;
+    hpux*)
+      # PIC is the default for 64-bit PA HP-UX, but not for 32-bit
+      # PA HP-UX.  On IA64 HP-UX, PIC is the default but the pic flag
+      # sets the default TLS model and affects inlining.
+      case $host_cpu in
+      hppa*64*)
+	;;
+      *)
+	_LT_TAGVAR(lt_prog_compiler_pic, $1)='-fPIC'
+	;;
+      esac
+      ;;
+    *qnx* | *nto*)
+      # QNX uses GNU C++, but need to define -shared option too, otherwise
+      # it will coredump.
+      _LT_TAGVAR(lt_prog_compiler_pic, $1)='-fPIC -shared'
+      ;;
+    *)
+      _LT_TAGVAR(lt_prog_compiler_pic, $1)='-fPIC'
+      ;;
+    esac
+  else
+    case $host_os in
+      aix[[4-9]]*)
+	# All AIX code is PIC.
+	if test "$host_cpu" = ia64; then
+	  # AIX 5 now supports IA64 processor
+	  _LT_TAGVAR(lt_prog_compiler_static, $1)='-Bstatic'
+	else
+	  _LT_TAGVAR(lt_prog_compiler_static, $1)='-bnso -bI:/lib/syscalls.exp'
+	fi
+	;;
+      chorus*)
+	case $cc_basename in
+	cxch68*)
+	  # Green Hills C++ Compiler
+	  # _LT_TAGVAR(lt_prog_compiler_static, $1)="--no_auto_instantiation -u __main -u __premain -u _abort -r $COOL_DIR/lib/libOrb.a $MVME_DIR/lib/CC/libC.a $MVME_DIR/lib/classix/libcx.s.a"
+	  ;;
+	esac
+	;;
+      mingw* | cygwin* | os2* | pw32* | cegcc*)
+	# This hack is so that the source file can tell whether it is being
+	# built for inclusion in a dll (and should export symbols for example).
+	m4_if([$1], [GCJ], [],
+	  [_LT_TAGVAR(lt_prog_compiler_pic, $1)='-DDLL_EXPORT'])
+	;;
+      dgux*)
+	case $cc_basename in
+	  ec++*)
+	    _LT_TAGVAR(lt_prog_compiler_pic, $1)='-KPIC'
+	    ;;
+	  ghcx*)
+	    # Green Hills C++ Compiler
+	    _LT_TAGVAR(lt_prog_compiler_pic, $1)='-pic'
+	    ;;
+	  *)
+	    ;;
+	esac
+	;;
+      freebsd* | dragonfly*)
+	# FreeBSD uses GNU C++
+	;;
+      hpux9* | hpux10* | hpux11*)
+	case $cc_basename in
+	  CC*)
+	    _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+	    _LT_TAGVAR(lt_prog_compiler_static, $1)='${wl}-a ${wl}archive'
+	    if test "$host_cpu" != ia64; then
+	      _LT_TAGVAR(lt_prog_compiler_pic, $1)='+Z'
+	    fi
+	    ;;
+	  aCC*)
+	    _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+	    _LT_TAGVAR(lt_prog_compiler_static, $1)='${wl}-a ${wl}archive'
+	    case $host_cpu in
+	    hppa*64*|ia64*)
+	      # +Z the default
+	      ;;
+	    *)
+	      _LT_TAGVAR(lt_prog_compiler_pic, $1)='+Z'
+	      ;;
+	    esac
+	    ;;
+	  *)
+	    ;;
+	esac
+	;;
+      interix*)
+	# This is c89, which is MS Visual C++ (no shared libs)
+	# Anyone wants to do a port?
+	;;
+      irix5* | irix6* | nonstopux*)
+	case $cc_basename in
+	  CC*)
+	    _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+	    _LT_TAGVAR(lt_prog_compiler_static, $1)='-non_shared'
+	    # CC pic flag -KPIC is the default.
+	    ;;
+	  *)
+	    ;;
+	esac
+	;;
+      linux* | k*bsd*-gnu | kopensolaris*-gnu | gnu*)
+	case $cc_basename in
+	  KCC*)
+	    # KAI C++ Compiler
+	    _LT_TAGVAR(lt_prog_compiler_wl, $1)='--backend -Wl,'
+	    _LT_TAGVAR(lt_prog_compiler_pic, $1)='-fPIC'
+	    ;;
+	  ecpc* )
+	    # old Intel C++ for x86_64 which still supported -KPIC.
+	    _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+	    _LT_TAGVAR(lt_prog_compiler_pic, $1)='-KPIC'
+	    _LT_TAGVAR(lt_prog_compiler_static, $1)='-static'
+	    ;;
+	  icpc* )
+	    # Intel C++, used to be incompatible with GCC.
+	    # ICC 10 doesn't accept -KPIC any more.
+	    _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+	    _LT_TAGVAR(lt_prog_compiler_pic, $1)='-fPIC'
+	    _LT_TAGVAR(lt_prog_compiler_static, $1)='-static'
+	    ;;
+	  pgCC* | pgcpp*)
+	    # Portland Group C++ compiler
+	    _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+	    _LT_TAGVAR(lt_prog_compiler_pic, $1)='-fpic'
+	    _LT_TAGVAR(lt_prog_compiler_static, $1)='-Bstatic'
+	    ;;
+	  cxx*)
+	    # Compaq C++
+	    # Make sure the PIC flag is empty.  It appears that all Alpha
+	    # Linux and Compaq Tru64 Unix objects are PIC.
+	    _LT_TAGVAR(lt_prog_compiler_pic, $1)=
+	    _LT_TAGVAR(lt_prog_compiler_static, $1)='-non_shared'
+	    ;;
+	  xlc* | xlC* | bgxl[[cC]]* | mpixl[[cC]]*)
+	    # IBM XL 8.0, 9.0 on PPC and BlueGene
+	    _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+	    _LT_TAGVAR(lt_prog_compiler_pic, $1)='-qpic'
+	    _LT_TAGVAR(lt_prog_compiler_static, $1)='-qstaticlink'
+	    ;;
+	  *)
+	    case `$CC -V 2>&1 | sed 5q` in
+	    *Sun\ C*)
+	      # Sun C++ 5.9
+	      _LT_TAGVAR(lt_prog_compiler_pic, $1)='-KPIC'
+	      _LT_TAGVAR(lt_prog_compiler_static, $1)='-Bstatic'
+	      _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Qoption ld '
+	      ;;
+	    esac
+	    ;;
+	esac
+	;;
+      lynxos*)
+	;;
+      m88k*)
+	;;
+      mvs*)
+	case $cc_basename in
+	  cxx*)
+	    _LT_TAGVAR(lt_prog_compiler_pic, $1)='-W c,exportall'
+	    ;;
+	  *)
+	    ;;
+	esac
+	;;
+      netbsd* | netbsdelf*-gnu)
+	;;
+      *qnx* | *nto*)
+        # QNX uses GNU C++, but need to define -shared option too, otherwise
+        # it will coredump.
+        _LT_TAGVAR(lt_prog_compiler_pic, $1)='-fPIC -shared'
+        ;;
+      osf3* | osf4* | osf5*)
+	case $cc_basename in
+	  KCC*)
+	    _LT_TAGVAR(lt_prog_compiler_wl, $1)='--backend -Wl,'
+	    ;;
+	  RCC*)
+	    # Rational C++ 2.4.1
+	    _LT_TAGVAR(lt_prog_compiler_pic, $1)='-pic'
+	    ;;
+	  cxx*)
+	    # Digital/Compaq C++
+	    _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+	    # Make sure the PIC flag is empty.  It appears that all Alpha
+	    # Linux and Compaq Tru64 Unix objects are PIC.
+	    _LT_TAGVAR(lt_prog_compiler_pic, $1)=
+	    _LT_TAGVAR(lt_prog_compiler_static, $1)='-non_shared'
+	    ;;
+	  *)
+	    ;;
+	esac
+	;;
+      psos*)
+	;;
+      solaris*)
+	case $cc_basename in
+	  CC* | sunCC*)
+	    # Sun C++ 4.2, 5.x and Centerline C++
+	    _LT_TAGVAR(lt_prog_compiler_pic, $1)='-KPIC'
+	    _LT_TAGVAR(lt_prog_compiler_static, $1)='-Bstatic'
+	    _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Qoption ld '
+	    ;;
+	  gcx*)
+	    # Green Hills C++ Compiler
+	    _LT_TAGVAR(lt_prog_compiler_pic, $1)='-PIC'
+	    ;;
+	  *)
+	    ;;
+	esac
+	;;
+      sunos4*)
+	case $cc_basename in
+	  CC*)
+	    # Sun C++ 4.x
+	    _LT_TAGVAR(lt_prog_compiler_pic, $1)='-pic'
+	    _LT_TAGVAR(lt_prog_compiler_static, $1)='-Bstatic'
+	    ;;
+	  lcc*)
+	    # Lucid
+	    _LT_TAGVAR(lt_prog_compiler_pic, $1)='-pic'
+	    ;;
+	  *)
+	    ;;
+	esac
+	;;
+      sysv5* | unixware* | sco3.2v5* | sco5v6* | OpenUNIX*)
+	case $cc_basename in
+	  CC*)
+	    _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+	    _LT_TAGVAR(lt_prog_compiler_pic, $1)='-KPIC'
+	    _LT_TAGVAR(lt_prog_compiler_static, $1)='-Bstatic'
+	    ;;
+	esac
+	;;
+      tandem*)
+	case $cc_basename in
+	  NCC*)
+	    # NonStop-UX NCC 3.20
+	    _LT_TAGVAR(lt_prog_compiler_pic, $1)='-KPIC'
+	    ;;
+	  *)
+	    ;;
+	esac
+	;;
+      vxworks*)
+	;;
+      *)
+	_LT_TAGVAR(lt_prog_compiler_can_build_shared, $1)=no
+	;;
+    esac
+  fi
+],
+[
+  if test "$GCC" = yes; then
+    _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+    _LT_TAGVAR(lt_prog_compiler_static, $1)='-static'
+
+    case $host_os in
+      aix*)
+      # All AIX code is PIC.
+      if test "$host_cpu" = ia64; then
+	# AIX 5 now supports IA64 processor
+	_LT_TAGVAR(lt_prog_compiler_static, $1)='-Bstatic'
+      fi
+      ;;
+
+    amigaos*)
+      case $host_cpu in
+      powerpc)
+            # see comment about AmigaOS4 .so support
+            _LT_TAGVAR(lt_prog_compiler_pic, $1)='-fPIC'
+        ;;
+      m68k)
+            # FIXME: we need at least 68020 code to build shared libraries, but
+            # adding the `-m68020' flag to GCC prevents building anything better,
+            # like `-m68040'.
+            _LT_TAGVAR(lt_prog_compiler_pic, $1)='-m68020 -resident32 -malways-restore-a4'
+        ;;
+      esac
+      ;;
+
+    beos* | irix5* | irix6* | nonstopux* | osf3* | osf4* | osf5*)
+      # PIC is the default for these OSes.
+      ;;
+
+    mingw* | cygwin* | pw32* | os2* | cegcc*)
+      # This hack is so that the source file can tell whether it is being
+      # built for inclusion in a dll (and should export symbols for example).
+      # Although the cygwin gcc ignores -fPIC, still need this for old-style
+      # (--disable-auto-import) libraries
+      m4_if([$1], [GCJ], [],
+	[_LT_TAGVAR(lt_prog_compiler_pic, $1)='-DDLL_EXPORT'])
+      ;;
+
+    darwin* | rhapsody*)
+      # PIC is the default on this platform
+      # Common symbols not allowed in MH_DYLIB files
+      _LT_TAGVAR(lt_prog_compiler_pic, $1)='-fno-common'
+      ;;
+
+    haiku*)
+      # PIC is the default for Haiku.
+      # The "-static" flag exists, but is broken.
+      _LT_TAGVAR(lt_prog_compiler_static, $1)=
+      ;;
+
+    hpux*)
+      # PIC is the default for 64-bit PA HP-UX, but not for 32-bit
+      # PA HP-UX.  On IA64 HP-UX, PIC is the default but the pic flag
+      # sets the default TLS model and affects inlining.
+      case $host_cpu in
+      hppa*64*)
+	# +Z the default
+	;;
+      *)
+	_LT_TAGVAR(lt_prog_compiler_pic, $1)='-fPIC'
+	;;
+      esac
+      ;;
+
+    interix[[3-9]]*)
+      # Interix 3.x gcc -fpic/-fPIC options generate broken code.
+      # Instead, we relocate shared libraries at runtime.
+      ;;
+
+    msdosdjgpp*)
+      # Just because we use GCC doesn't mean we suddenly get shared libraries
+      # on systems that don't support them.
+      _LT_TAGVAR(lt_prog_compiler_can_build_shared, $1)=no
+      enable_shared=no
+      ;;
+
+    *nto* | *qnx*)
+      # QNX uses GNU C++, but need to define -shared option too, otherwise
+      # it will coredump.
+      _LT_TAGVAR(lt_prog_compiler_pic, $1)='-fPIC -shared'
+      ;;
+
+    sysv4*MP*)
+      if test -d /usr/nec; then
+	_LT_TAGVAR(lt_prog_compiler_pic, $1)=-Kconform_pic
+      fi
+      ;;
+
+    *)
+      _LT_TAGVAR(lt_prog_compiler_pic, $1)='-fPIC'
+      ;;
+    esac
+
+    case $cc_basename in
+    nvcc*) # Cuda Compiler Driver 2.2
+      _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Xlinker '
+      if test -n "$_LT_TAGVAR(lt_prog_compiler_pic, $1)"; then
+        _LT_TAGVAR(lt_prog_compiler_pic, $1)="-Xcompiler $_LT_TAGVAR(lt_prog_compiler_pic, $1)"
+      fi
+      ;;
+    esac
+  else
+    # PORTME Check for flag to pass linker flags through the system compiler.
+    case $host_os in
+    aix*)
+      _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+      if test "$host_cpu" = ia64; then
+	# AIX 5 now supports IA64 processor
+	_LT_TAGVAR(lt_prog_compiler_static, $1)='-Bstatic'
+      else
+	_LT_TAGVAR(lt_prog_compiler_static, $1)='-bnso -bI:/lib/syscalls.exp'
+      fi
+      ;;
+
+    mingw* | cygwin* | pw32* | os2* | cegcc*)
+      # This hack is so that the source file can tell whether it is being
+      # built for inclusion in a dll (and should export symbols for example).
+      m4_if([$1], [GCJ], [],
+	[_LT_TAGVAR(lt_prog_compiler_pic, $1)='-DDLL_EXPORT'])
+      ;;
+
+    hpux9* | hpux10* | hpux11*)
+      _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+      # PIC is the default for IA64 HP-UX and 64-bit HP-UX, but
+      # not for PA HP-UX.
+      case $host_cpu in
+      hppa*64*|ia64*)
+	# +Z the default
+	;;
+      *)
+	_LT_TAGVAR(lt_prog_compiler_pic, $1)='+Z'
+	;;
+      esac
+      # Is there a better lt_prog_compiler_static that works with the bundled CC?
+      _LT_TAGVAR(lt_prog_compiler_static, $1)='${wl}-a ${wl}archive'
+      ;;
+
+    irix5* | irix6* | nonstopux*)
+      _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+      # PIC (with -KPIC) is the default.
+      _LT_TAGVAR(lt_prog_compiler_static, $1)='-non_shared'
+      ;;
+
+    linux* | k*bsd*-gnu | kopensolaris*-gnu | gnu*)
+      case $cc_basename in
+      # old Intel for x86_64 which still supported -KPIC.
+      ecc*)
+	_LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+	_LT_TAGVAR(lt_prog_compiler_pic, $1)='-KPIC'
+	_LT_TAGVAR(lt_prog_compiler_static, $1)='-static'
+        ;;
+      # icc used to be incompatible with GCC.
+      # ICC 10 doesn't accept -KPIC any more.
+      icc* | ifort*)
+	_LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+	_LT_TAGVAR(lt_prog_compiler_pic, $1)='-fPIC'
+	_LT_TAGVAR(lt_prog_compiler_static, $1)='-static'
+        ;;
+      # Lahey Fortran 8.1.
+      lf95*)
+	_LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+	_LT_TAGVAR(lt_prog_compiler_pic, $1)='--shared'
+	_LT_TAGVAR(lt_prog_compiler_static, $1)='--static'
+	;;
+      nagfor*)
+	# NAG Fortran compiler
+	_LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,-Wl,,'
+	_LT_TAGVAR(lt_prog_compiler_pic, $1)='-PIC'
+	_LT_TAGVAR(lt_prog_compiler_static, $1)='-Bstatic'
+	;;
+      pgcc* | pgf77* | pgf90* | pgf95* | pgfortran*)
+        # Portland Group compilers (*not* the Pentium gcc compiler,
+	# which looks to be a dead project)
+	_LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+	_LT_TAGVAR(lt_prog_compiler_pic, $1)='-fpic'
+	_LT_TAGVAR(lt_prog_compiler_static, $1)='-Bstatic'
+        ;;
+      ccc*)
+        _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+        # All Alpha code is PIC.
+        _LT_TAGVAR(lt_prog_compiler_static, $1)='-non_shared'
+        ;;
+      xl* | bgxl* | bgf* | mpixl*)
+	# IBM XL C 8.0/Fortran 10.1, 11.1 on PPC and BlueGene
+	_LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+	_LT_TAGVAR(lt_prog_compiler_pic, $1)='-qpic'
+	_LT_TAGVAR(lt_prog_compiler_static, $1)='-qstaticlink'
+	;;
+      *)
+	case `$CC -V 2>&1 | sed 5q` in
+	*Sun\ Ceres\ Fortran* | *Sun*Fortran*\ [[1-7]].* | *Sun*Fortran*\ 8.[[0-3]]*)
+	  # Sun Fortran 8.3 passes all unrecognized flags to the linker
+	  _LT_TAGVAR(lt_prog_compiler_pic, $1)='-KPIC'
+	  _LT_TAGVAR(lt_prog_compiler_static, $1)='-Bstatic'
+	  _LT_TAGVAR(lt_prog_compiler_wl, $1)=''
+	  ;;
+	*Sun\ F* | *Sun*Fortran*)
+	  _LT_TAGVAR(lt_prog_compiler_pic, $1)='-KPIC'
+	  _LT_TAGVAR(lt_prog_compiler_static, $1)='-Bstatic'
+	  _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Qoption ld '
+	  ;;
+	*Sun\ C*)
+	  # Sun C 5.9
+	  _LT_TAGVAR(lt_prog_compiler_pic, $1)='-KPIC'
+	  _LT_TAGVAR(lt_prog_compiler_static, $1)='-Bstatic'
+	  _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+	  ;;
+        *Intel*\ [[CF]]*Compiler*)
+	  _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+	  _LT_TAGVAR(lt_prog_compiler_pic, $1)='-fPIC'
+	  _LT_TAGVAR(lt_prog_compiler_static, $1)='-static'
+	  ;;
+	*Portland\ Group*)
+	  _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+	  _LT_TAGVAR(lt_prog_compiler_pic, $1)='-fpic'
+	  _LT_TAGVAR(lt_prog_compiler_static, $1)='-Bstatic'
+	  ;;
+	esac
+	;;
+      esac
+      ;;
+
+    newsos6)
+      _LT_TAGVAR(lt_prog_compiler_pic, $1)='-KPIC'
+      _LT_TAGVAR(lt_prog_compiler_static, $1)='-Bstatic'
+      ;;
+
+    *nto* | *qnx*)
+      # QNX uses GNU C++, but need to define -shared option too, otherwise
+      # it will coredump.
+      _LT_TAGVAR(lt_prog_compiler_pic, $1)='-fPIC -shared'
+      ;;
+
+    osf3* | osf4* | osf5*)
+      _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+      # All OSF/1 code is PIC.
+      _LT_TAGVAR(lt_prog_compiler_static, $1)='-non_shared'
+      ;;
+
+    rdos*)
+      _LT_TAGVAR(lt_prog_compiler_static, $1)='-non_shared'
+      ;;
+
+    solaris*)
+      _LT_TAGVAR(lt_prog_compiler_pic, $1)='-KPIC'
+      _LT_TAGVAR(lt_prog_compiler_static, $1)='-Bstatic'
+      case $cc_basename in
+      f77* | f90* | f95* | sunf77* | sunf90* | sunf95*)
+	_LT_TAGVAR(lt_prog_compiler_wl, $1)='-Qoption ld ';;
+      *)
+	_LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,';;
+      esac
+      ;;
+
+    sunos4*)
+      _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Qoption ld '
+      _LT_TAGVAR(lt_prog_compiler_pic, $1)='-PIC'
+      _LT_TAGVAR(lt_prog_compiler_static, $1)='-Bstatic'
+      ;;
+
+    sysv4 | sysv4.2uw2* | sysv4.3*)
+      _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+      _LT_TAGVAR(lt_prog_compiler_pic, $1)='-KPIC'
+      _LT_TAGVAR(lt_prog_compiler_static, $1)='-Bstatic'
+      ;;
+
+    sysv4*MP*)
+      if test -d /usr/nec ;then
+	_LT_TAGVAR(lt_prog_compiler_pic, $1)='-Kconform_pic'
+	_LT_TAGVAR(lt_prog_compiler_static, $1)='-Bstatic'
+      fi
+      ;;
+
+    sysv5* | unixware* | sco3.2v5* | sco5v6* | OpenUNIX*)
+      _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+      _LT_TAGVAR(lt_prog_compiler_pic, $1)='-KPIC'
+      _LT_TAGVAR(lt_prog_compiler_static, $1)='-Bstatic'
+      ;;
+
+    unicos*)
+      _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+      _LT_TAGVAR(lt_prog_compiler_can_build_shared, $1)=no
+      ;;
+
+    uts4*)
+      _LT_TAGVAR(lt_prog_compiler_pic, $1)='-pic'
+      _LT_TAGVAR(lt_prog_compiler_static, $1)='-Bstatic'
+      ;;
+
+    *)
+      _LT_TAGVAR(lt_prog_compiler_can_build_shared, $1)=no
+      ;;
+    esac
+  fi
+])
+case $host_os in
+  # For platforms which do not support PIC, -DPIC is meaningless:
+  *djgpp*)
+    _LT_TAGVAR(lt_prog_compiler_pic, $1)=
+    ;;
+  *)
+    _LT_TAGVAR(lt_prog_compiler_pic, $1)="$_LT_TAGVAR(lt_prog_compiler_pic, $1)@&t at m4_if([$1],[],[ -DPIC],[m4_if([$1],[CXX],[ -DPIC],[])])"
+    ;;
+esac
+
+AC_CACHE_CHECK([for $compiler option to produce PIC],
+  [_LT_TAGVAR(lt_cv_prog_compiler_pic, $1)],
+  [_LT_TAGVAR(lt_cv_prog_compiler_pic, $1)=$_LT_TAGVAR(lt_prog_compiler_pic, $1)])
+_LT_TAGVAR(lt_prog_compiler_pic, $1)=$_LT_TAGVAR(lt_cv_prog_compiler_pic, $1)
+
+#
+# Check to make sure the PIC flag actually works.
+#
+if test -n "$_LT_TAGVAR(lt_prog_compiler_pic, $1)"; then
+  _LT_COMPILER_OPTION([if $compiler PIC flag $_LT_TAGVAR(lt_prog_compiler_pic, $1) works],
+    [_LT_TAGVAR(lt_cv_prog_compiler_pic_works, $1)],
+    [$_LT_TAGVAR(lt_prog_compiler_pic, $1)@&t at m4_if([$1],[],[ -DPIC],[m4_if([$1],[CXX],[ -DPIC],[])])], [],
+    [case $_LT_TAGVAR(lt_prog_compiler_pic, $1) in
+     "" | " "*) ;;
+     *) _LT_TAGVAR(lt_prog_compiler_pic, $1)=" $_LT_TAGVAR(lt_prog_compiler_pic, $1)" ;;
+     esac],
+    [_LT_TAGVAR(lt_prog_compiler_pic, $1)=
+     _LT_TAGVAR(lt_prog_compiler_can_build_shared, $1)=no])
+fi
+_LT_TAGDECL([pic_flag], [lt_prog_compiler_pic], [1],
+	[Additional compiler flags for building library objects])
+
+_LT_TAGDECL([wl], [lt_prog_compiler_wl], [1],
+	[How to pass a linker flag through the compiler])
+#
+# Check to make sure the static flag actually works.
+#
+wl=$_LT_TAGVAR(lt_prog_compiler_wl, $1) eval lt_tmp_static_flag=\"$_LT_TAGVAR(lt_prog_compiler_static, $1)\"
+_LT_LINKER_OPTION([if $compiler static flag $lt_tmp_static_flag works],
+  _LT_TAGVAR(lt_cv_prog_compiler_static_works, $1),
+  $lt_tmp_static_flag,
+  [],
+  [_LT_TAGVAR(lt_prog_compiler_static, $1)=])
+_LT_TAGDECL([link_static_flag], [lt_prog_compiler_static], [1],
+	[Compiler flag to prevent dynamic linking])
+])# _LT_COMPILER_PIC
+
+
+# _LT_LINKER_SHLIBS([TAGNAME])
+# ----------------------------
+# See if the linker supports building shared libraries.
+m4_defun([_LT_LINKER_SHLIBS],
+[AC_REQUIRE([LT_PATH_LD])dnl
+AC_REQUIRE([LT_PATH_NM])dnl
+m4_require([_LT_PATH_MANIFEST_TOOL])dnl
+m4_require([_LT_FILEUTILS_DEFAULTS])dnl
+m4_require([_LT_DECL_EGREP])dnl
+m4_require([_LT_DECL_SED])dnl
+m4_require([_LT_CMD_GLOBAL_SYMBOLS])dnl
+m4_require([_LT_TAG_COMPILER])dnl
+AC_MSG_CHECKING([whether the $compiler linker ($LD) supports shared libraries])
+m4_if([$1], [CXX], [
+  _LT_TAGVAR(export_symbols_cmds, $1)='$NM $libobjs $convenience | $global_symbol_pipe | $SED '\''s/.* //'\'' | sort | uniq > $export_symbols'
+  _LT_TAGVAR(exclude_expsyms, $1)=['_GLOBAL_OFFSET_TABLE_|_GLOBAL__F[ID]_.*']
+  case $host_os in
+  aix[[4-9]]*)
+    # If we're using GNU nm, then we don't want the "-C" option.
+    # -C means demangle to AIX nm, but means don't demangle with GNU nm
+    # Also, AIX nm treats weak defined symbols like other global defined
+    # symbols, whereas GNU nm marks them as "W".
+    if $NM -V 2>&1 | $GREP 'GNU' > /dev/null; then
+      _LT_TAGVAR(export_symbols_cmds, $1)='$NM -Bpg $libobjs $convenience | awk '\''{ if (((\$ 2 == "T") || (\$ 2 == "D") || (\$ 2 == "B") || (\$ 2 == "W")) && ([substr](\$ 3,1,1) != ".")) { print \$ 3 } }'\'' | sort -u > $export_symbols'
+    else
+      _LT_TAGVAR(export_symbols_cmds, $1)='$NM -BCpg $libobjs $convenience | awk '\''{ if (((\$ 2 == "T") || (\$ 2 == "D") || (\$ 2 == "B")) && ([substr](\$ 3,1,1) != ".")) { print \$ 3 } }'\'' | sort -u > $export_symbols'
+    fi
+    ;;
+  pw32*)
+    _LT_TAGVAR(export_symbols_cmds, $1)="$ltdll_cmds"
+    ;;
+  cygwin* | mingw* | cegcc*)
+    case $cc_basename in
+    cl*)
+      _LT_TAGVAR(exclude_expsyms, $1)='_NULL_IMPORT_DESCRIPTOR|_IMPORT_DESCRIPTOR_.*'
+      ;;
+    *)
+      _LT_TAGVAR(export_symbols_cmds, $1)='$NM $libobjs $convenience | $global_symbol_pipe | $SED -e '\''/^[[BCDGRS]][[ ]]/s/.*[[ ]]\([[^ ]]*\)/\1 DATA/;s/^.*[[ ]]__nm__\([[^ ]]*\)[[ ]][[^ ]]*/\1 DATA/;/^I[[ ]]/d;/^[[AITW]][[ ]]/s/.* //'\'' | sort | uniq > $export_symbols'
+      _LT_TAGVAR(exclude_expsyms, $1)=['[_]+GLOBAL_OFFSET_TABLE_|[_]+GLOBAL__[FID]_.*|[_]+head_[A-Za-z0-9_]+_dll|[A-Za-z0-9_]+_dll_iname']
+      ;;
+    esac
+    ;;
+  linux* | k*bsd*-gnu | gnu*)
+    _LT_TAGVAR(link_all_deplibs, $1)=no
+    ;;
+  *)
+    _LT_TAGVAR(export_symbols_cmds, $1)='$NM $libobjs $convenience | $global_symbol_pipe | $SED '\''s/.* //'\'' | sort | uniq > $export_symbols'
+    ;;
+  esac
+], [
+  runpath_var=
+  _LT_TAGVAR(allow_undefined_flag, $1)=
+  _LT_TAGVAR(always_export_symbols, $1)=no
+  _LT_TAGVAR(archive_cmds, $1)=
+  _LT_TAGVAR(archive_expsym_cmds, $1)=
+  _LT_TAGVAR(compiler_needs_object, $1)=no
+  _LT_TAGVAR(enable_shared_with_static_runtimes, $1)=no
+  _LT_TAGVAR(export_dynamic_flag_spec, $1)=
+  _LT_TAGVAR(export_symbols_cmds, $1)='$NM $libobjs $convenience | $global_symbol_pipe | $SED '\''s/.* //'\'' | sort | uniq > $export_symbols'
+  _LT_TAGVAR(hardcode_automatic, $1)=no
+  _LT_TAGVAR(hardcode_direct, $1)=no
+  _LT_TAGVAR(hardcode_direct_absolute, $1)=no
+  _LT_TAGVAR(hardcode_libdir_flag_spec, $1)=
+  _LT_TAGVAR(hardcode_libdir_separator, $1)=
+  _LT_TAGVAR(hardcode_minus_L, $1)=no
+  _LT_TAGVAR(hardcode_shlibpath_var, $1)=unsupported
+  _LT_TAGVAR(inherit_rpath, $1)=no
+  _LT_TAGVAR(link_all_deplibs, $1)=unknown
+  _LT_TAGVAR(module_cmds, $1)=
+  _LT_TAGVAR(module_expsym_cmds, $1)=
+  _LT_TAGVAR(old_archive_from_new_cmds, $1)=
+  _LT_TAGVAR(old_archive_from_expsyms_cmds, $1)=
+  _LT_TAGVAR(thread_safe_flag_spec, $1)=
+  _LT_TAGVAR(whole_archive_flag_spec, $1)=
+  # include_expsyms should be a list of space-separated symbols to be *always*
+  # included in the symbol list
+  _LT_TAGVAR(include_expsyms, $1)=
+  # exclude_expsyms can be an extended regexp of symbols to exclude
+  # it will be wrapped by ` (' and `)$', so one must not match beginning or
+  # end of line.  Example: `a|bc|.*d.*' will exclude the symbols `a' and `bc',
+  # as well as any symbol that contains `d'.
+  _LT_TAGVAR(exclude_expsyms, $1)=['_GLOBAL_OFFSET_TABLE_|_GLOBAL__F[ID]_.*']
+  # Although _GLOBAL_OFFSET_TABLE_ is a valid symbol C name, most a.out
+  # platforms (ab)use it in PIC code, but their linkers get confused if
+  # the symbol is explicitly referenced.  Since portable code cannot
+  # rely on this symbol name, it's probably fine to never include it in
+  # preloaded symbol tables.
+  # Exclude shared library initialization/finalization symbols.
+dnl Note also adjust exclude_expsyms for C++ above.
+  extract_expsyms_cmds=
+
+  case $host_os in
+  cygwin* | mingw* | pw32* | cegcc*)
+    # FIXME: the MSVC++ port hasn't been tested in a loooong time
+    # When not using gcc, we currently assume that we are using
+    # Microsoft Visual C++.
+    if test "$GCC" != yes; then
+      with_gnu_ld=no
+    fi
+    ;;
+  interix*)
+    # we just hope/assume this is gcc and not c89 (= MSVC++)
+    with_gnu_ld=yes
+    ;;
+  openbsd*)
+    with_gnu_ld=no
+    ;;
+  linux* | k*bsd*-gnu | gnu*)
+    _LT_TAGVAR(link_all_deplibs, $1)=no
+    ;;
+  esac
+
+  _LT_TAGVAR(ld_shlibs, $1)=yes
+
+  # On some targets, GNU ld is compatible enough with the native linker
+  # that we're better off using the native interface for both.
+  lt_use_gnu_ld_interface=no
+  if test "$with_gnu_ld" = yes; then
+    case $host_os in
+      aix*)
+	# The AIX port of GNU ld has always aspired to compatibility
+	# with the native linker.  However, as the warning in the GNU ld
+	# block says, versions before 2.19.5* couldn't really create working
+	# shared libraries, regardless of the interface used.
+	case `$LD -v 2>&1` in
+	  *\ \(GNU\ Binutils\)\ 2.19.5*) ;;
+	  *\ \(GNU\ Binutils\)\ 2.[[2-9]]*) ;;
+	  *\ \(GNU\ Binutils\)\ [[3-9]]*) ;;
+	  *)
+	    lt_use_gnu_ld_interface=yes
+	    ;;
+	esac
+	;;
+      *)
+	lt_use_gnu_ld_interface=yes
+	;;
+    esac
+  fi
+
+  if test "$lt_use_gnu_ld_interface" = yes; then
+    # If archive_cmds runs LD, not CC, wlarc should be empty
+    wlarc='${wl}'
+
+    # Set some defaults for GNU ld with shared library support. These
+    # are reset later if shared libraries are not supported. Putting them
+    # here allows them to be overridden if necessary.
+    runpath_var=LD_RUN_PATH
+    _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-rpath ${wl}$libdir'
+    _LT_TAGVAR(export_dynamic_flag_spec, $1)='${wl}--export-dynamic'
+    # ancient GNU ld didn't support --whole-archive et. al.
+    if $LD --help 2>&1 | $GREP 'no-whole-archive' > /dev/null; then
+      _LT_TAGVAR(whole_archive_flag_spec, $1)="$wlarc"'--whole-archive$convenience '"$wlarc"'--no-whole-archive'
+    else
+      _LT_TAGVAR(whole_archive_flag_spec, $1)=
+    fi
+    supports_anon_versioning=no
+    case `$LD -v 2>&1` in
+      *GNU\ gold*) supports_anon_versioning=yes ;;
+      *\ [[01]].* | *\ 2.[[0-9]].* | *\ 2.10.*) ;; # catch versions < 2.11
+      *\ 2.11.93.0.2\ *) supports_anon_versioning=yes ;; # RH7.3 ...
+      *\ 2.11.92.0.12\ *) supports_anon_versioning=yes ;; # Mandrake 8.2 ...
+      *\ 2.11.*) ;; # other 2.11 versions
+      *) supports_anon_versioning=yes ;;
+    esac
+
+    # See if GNU ld supports shared libraries.
+    case $host_os in
+    aix[[3-9]]*)
+      # On AIX/PPC, the GNU linker is very broken
+      if test "$host_cpu" != ia64; then
+	_LT_TAGVAR(ld_shlibs, $1)=no
+	cat <<_LT_EOF 1>&2
+
+*** Warning: the GNU linker, at least up to release 2.19, is reported
+*** to be unable to reliably create shared libraries on AIX.
+*** Therefore, libtool is disabling shared libraries support.  If you
+*** really care for shared libraries, you may want to install binutils
+*** 2.20 or above, or modify your PATH so that a non-GNU linker is found.
+*** You will then need to restart the configuration process.
+
+_LT_EOF
+      fi
+      ;;
+
+    amigaos*)
+      case $host_cpu in
+      powerpc)
+            # see comment about AmigaOS4 .so support
+            _LT_TAGVAR(archive_cmds, $1)='$CC -shared $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname -o $lib'
+            _LT_TAGVAR(archive_expsym_cmds, $1)=''
+        ;;
+      m68k)
+            _LT_TAGVAR(archive_cmds, $1)='$RM $output_objdir/a2ixlibrary.data~$ECHO "#define NAME $libname" > $output_objdir/a2ixlibrary.data~$ECHO "#define LIBRARY_ID 1" >> $output_objdir/a2ixlibrary.data~$ECHO "#define VERSION $major" >> $output_objdir/a2ixlibrary.data~$ECHO "#define REVISION $revision" >> $output_objdir/a2ixlibrary.data~$AR $AR_FLAGS $lib $libobjs~$RANLIB $lib~(cd $output_objdir && a2ixlibrary -32)'
+            _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='-L$libdir'
+            _LT_TAGVAR(hardcode_minus_L, $1)=yes
+        ;;
+      esac
+      ;;
+
+    beos*)
+      if $LD --help 2>&1 | $GREP ': supported targets:.* elf' > /dev/null; then
+	_LT_TAGVAR(allow_undefined_flag, $1)=unsupported
+	# Joseph Beckenbach <jrb3 at best.com> says some releases of gcc
+	# support --undefined.  This deserves some investigation.  FIXME
+	_LT_TAGVAR(archive_cmds, $1)='$CC -nostart $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname -o $lib'
+      else
+	_LT_TAGVAR(ld_shlibs, $1)=no
+      fi
+      ;;
+
+    cygwin* | mingw* | pw32* | cegcc*)
+      # _LT_TAGVAR(hardcode_libdir_flag_spec, $1) is actually meaningless,
+      # as there is no search path for DLLs.
+      _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='-L$libdir'
+      _LT_TAGVAR(export_dynamic_flag_spec, $1)='${wl}--export-all-symbols'
+      _LT_TAGVAR(allow_undefined_flag, $1)=unsupported
+      _LT_TAGVAR(always_export_symbols, $1)=no
+      _LT_TAGVAR(enable_shared_with_static_runtimes, $1)=yes
+      _LT_TAGVAR(export_symbols_cmds, $1)='$NM $libobjs $convenience | $global_symbol_pipe | $SED -e '\''/^[[BCDGRS]][[ ]]/s/.*[[ ]]\([[^ ]]*\)/\1 DATA/;s/^.*[[ ]]__nm__\([[^ ]]*\)[[ ]][[^ ]]*/\1 DATA/;/^I[[ ]]/d;/^[[AITW]][[ ]]/s/.* //'\'' | sort | uniq > $export_symbols'
+      _LT_TAGVAR(exclude_expsyms, $1)=['[_]+GLOBAL_OFFSET_TABLE_|[_]+GLOBAL__[FID]_.*|[_]+head_[A-Za-z0-9_]+_dll|[A-Za-z0-9_]+_dll_iname']
+
+      if $LD --help 2>&1 | $GREP 'auto-import' > /dev/null; then
+        _LT_TAGVAR(archive_cmds, $1)='$CC -shared $libobjs $deplibs $compiler_flags -o $output_objdir/$soname ${wl}--enable-auto-image-base -Xlinker --out-implib -Xlinker $lib'
+	# If the export-symbols file already is a .def file (1st line
+	# is EXPORTS), use it as is; otherwise, prepend...
+	_LT_TAGVAR(archive_expsym_cmds, $1)='if test "x`$SED 1q $export_symbols`" = xEXPORTS; then
+	  cp $export_symbols $output_objdir/$soname.def;
+	else
+	  echo EXPORTS > $output_objdir/$soname.def;
+	  cat $export_symbols >> $output_objdir/$soname.def;
+	fi~
+	$CC -shared $output_objdir/$soname.def $libobjs $deplibs $compiler_flags -o $output_objdir/$soname ${wl}--enable-auto-image-base -Xlinker --out-implib -Xlinker $lib'
+      else
+	_LT_TAGVAR(ld_shlibs, $1)=no
+      fi
+      ;;
+
+    haiku*)
+      _LT_TAGVAR(archive_cmds, $1)='$CC -shared $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname -o $lib'
+      _LT_TAGVAR(link_all_deplibs, $1)=yes
+      ;;
+
+    interix[[3-9]]*)
+      _LT_TAGVAR(hardcode_direct, $1)=no
+      _LT_TAGVAR(hardcode_shlibpath_var, $1)=no
+      _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-rpath,$libdir'
+      _LT_TAGVAR(export_dynamic_flag_spec, $1)='${wl}-E'
+      # Hack: On Interix 3.x, we cannot compile PIC because of a broken gcc.
+      # Instead, shared libraries are loaded at an image base (0x10000000 by
+      # default) and relocated if they conflict, which is a slow very memory
+      # consuming and fragmenting process.  To avoid this, we pick a random,
+      # 256 KiB-aligned image base between 0x50000000 and 0x6FFC0000 at link
+      # time.  Moving up from 0x10000000 also allows more sbrk(2) space.
+      _LT_TAGVAR(archive_cmds, $1)='$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-h,$soname ${wl}--image-base,`expr ${RANDOM-$$} % 4096 / 2 \* 262144 + 1342177280` -o $lib'
+      _LT_TAGVAR(archive_expsym_cmds, $1)='sed "s,^,_," $export_symbols >$output_objdir/$soname.expsym~$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-h,$soname ${wl}--retain-symbols-file,$output_objdir/$soname.expsym ${wl}--image-base,`expr ${RANDOM-$$} % 4096 / 2 \* 262144 + 1342177280` -o $lib'
+      ;;
+
+    gnu* | linux* | tpf* | k*bsd*-gnu | kopensolaris*-gnu)
+      tmp_diet=no
+      if test "$host_os" = linux-dietlibc; then
+	case $cc_basename in
+	  diet\ *) tmp_diet=yes;;	# linux-dietlibc with static linking (!diet-dyn)
+	esac
+      fi
+      if $LD --help 2>&1 | $EGREP ': supported targets:.* elf' > /dev/null \
+	 && test "$tmp_diet" = no
+      then
+	tmp_addflag=' $pic_flag'
+	tmp_sharedflag='-shared'
+	case $cc_basename,$host_cpu in
+        pgcc*)				# Portland Group C compiler
+	  _LT_TAGVAR(whole_archive_flag_spec, $1)='${wl}--whole-archive`for conv in $convenience\"\"; do test  -n \"$conv\" && new_convenience=\"$new_convenience,$conv\"; done; func_echo_all \"$new_convenience\"` ${wl}--no-whole-archive'
+	  tmp_addflag=' $pic_flag'
+	  ;;
+	pgf77* | pgf90* | pgf95* | pgfortran*)
+					# Portland Group f77 and f90 compilers
+	  _LT_TAGVAR(whole_archive_flag_spec, $1)='${wl}--whole-archive`for conv in $convenience\"\"; do test  -n \"$conv\" && new_convenience=\"$new_convenience,$conv\"; done; func_echo_all \"$new_convenience\"` ${wl}--no-whole-archive'
+	  tmp_addflag=' $pic_flag -Mnomain' ;;
+	ecc*,ia64* | icc*,ia64*)	# Intel C compiler on ia64
+	  tmp_addflag=' -i_dynamic' ;;
+	efc*,ia64* | ifort*,ia64*)	# Intel Fortran compiler on ia64
+	  tmp_addflag=' -i_dynamic -nofor_main' ;;
+	ifc* | ifort*)			# Intel Fortran compiler
+	  tmp_addflag=' -nofor_main' ;;
+	lf95*)				# Lahey Fortran 8.1
+	  _LT_TAGVAR(whole_archive_flag_spec, $1)=
+	  tmp_sharedflag='--shared' ;;
+	xl[[cC]]* | bgxl[[cC]]* | mpixl[[cC]]*) # IBM XL C 8.0 on PPC (deal with xlf below)
+	  tmp_sharedflag='-qmkshrobj'
+	  tmp_addflag= ;;
+	nvcc*)	# Cuda Compiler Driver 2.2
+	  _LT_TAGVAR(whole_archive_flag_spec, $1)='${wl}--whole-archive`for conv in $convenience\"\"; do test  -n \"$conv\" && new_convenience=\"$new_convenience,$conv\"; done; func_echo_all \"$new_convenience\"` ${wl}--no-whole-archive'
+	  _LT_TAGVAR(compiler_needs_object, $1)=yes
+	  ;;
+	esac
+	case `$CC -V 2>&1 | sed 5q` in
+	*Sun\ C*)			# Sun C 5.9
+	  _LT_TAGVAR(whole_archive_flag_spec, $1)='${wl}--whole-archive`new_convenience=; for conv in $convenience\"\"; do test -z \"$conv\" || new_convenience=\"$new_convenience,$conv\"; done; func_echo_all \"$new_convenience\"` ${wl}--no-whole-archive'
+	  _LT_TAGVAR(compiler_needs_object, $1)=yes
+	  tmp_sharedflag='-G' ;;
+	*Sun\ F*)			# Sun Fortran 8.3
+	  tmp_sharedflag='-G' ;;
+	esac
+	_LT_TAGVAR(archive_cmds, $1)='$CC '"$tmp_sharedflag""$tmp_addflag"' $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname -o $lib'
+
+        if test "x$supports_anon_versioning" = xyes; then
+          _LT_TAGVAR(archive_expsym_cmds, $1)='echo "{ global:" > $output_objdir/$libname.ver~
+	    cat $export_symbols | sed -e "s/\(.*\)/\1;/" >> $output_objdir/$libname.ver~
+	    echo "local: *; };" >> $output_objdir/$libname.ver~
+	    $CC '"$tmp_sharedflag""$tmp_addflag"' $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname ${wl}-version-script ${wl}$output_objdir/$libname.ver -o $lib'
+        fi
+
+	case $cc_basename in
+	xlf* | bgf* | bgxlf* | mpixlf*)
+	  # IBM XL Fortran 10.1 on PPC cannot create shared libs itself
+	  _LT_TAGVAR(whole_archive_flag_spec, $1)='--whole-archive$convenience --no-whole-archive'
+	  _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-rpath ${wl}$libdir'
+	  _LT_TAGVAR(archive_cmds, $1)='$LD -shared $libobjs $deplibs $linker_flags -soname $soname -o $lib'
+	  if test "x$supports_anon_versioning" = xyes; then
+	    _LT_TAGVAR(archive_expsym_cmds, $1)='echo "{ global:" > $output_objdir/$libname.ver~
+	      cat $export_symbols | sed -e "s/\(.*\)/\1;/" >> $output_objdir/$libname.ver~
+	      echo "local: *; };" >> $output_objdir/$libname.ver~
+	      $LD -shared $libobjs $deplibs $linker_flags -soname $soname -version-script $output_objdir/$libname.ver -o $lib'
+	  fi
+	  ;;
+	esac
+      else
+        _LT_TAGVAR(ld_shlibs, $1)=no
+      fi
+      ;;
+
+    netbsd* | netbsdelf*-gnu)
+      if echo __ELF__ | $CC -E - | $GREP __ELF__ >/dev/null; then
+	_LT_TAGVAR(archive_cmds, $1)='$LD -Bshareable $libobjs $deplibs $linker_flags -o $lib'
+	wlarc=
+      else
+	_LT_TAGVAR(archive_cmds, $1)='$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname -o $lib'
+	_LT_TAGVAR(archive_expsym_cmds, $1)='$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname ${wl}-retain-symbols-file $wl$export_symbols -o $lib'
+      fi
+      ;;
+
+    solaris*)
+      if $LD -v 2>&1 | $GREP 'BFD 2\.8' > /dev/null; then
+	_LT_TAGVAR(ld_shlibs, $1)=no
+	cat <<_LT_EOF 1>&2
+
+*** Warning: The releases 2.8.* of the GNU linker cannot reliably
+*** create shared libraries on Solaris systems.  Therefore, libtool
+*** is disabling shared libraries support.  We urge you to upgrade GNU
+*** binutils to release 2.9.1 or newer.  Another option is to modify
+*** your PATH or compiler configuration so that the native linker is
+*** used, and then restart.
+
+_LT_EOF
+      elif $LD --help 2>&1 | $GREP ': supported targets:.* elf' > /dev/null; then
+	_LT_TAGVAR(archive_cmds, $1)='$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname -o $lib'
+	_LT_TAGVAR(archive_expsym_cmds, $1)='$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname ${wl}-retain-symbols-file $wl$export_symbols -o $lib'
+      else
+	_LT_TAGVAR(ld_shlibs, $1)=no
+      fi
+      ;;
+
+    sysv5* | sco3.2v5* | sco5v6* | unixware* | OpenUNIX*)
+      case `$LD -v 2>&1` in
+        *\ [[01]].* | *\ 2.[[0-9]].* | *\ 2.1[[0-5]].*)
+	_LT_TAGVAR(ld_shlibs, $1)=no
+	cat <<_LT_EOF 1>&2
+
+*** Warning: Releases of the GNU linker prior to 2.16.91.0.3 can not
+*** reliably create shared libraries on SCO systems.  Therefore, libtool
+*** is disabling shared libraries support.  We urge you to upgrade GNU
+*** binutils to release 2.16.91.0.3 or newer.  Another option is to modify
+*** your PATH or compiler configuration so that the native linker is
+*** used, and then restart.
+
+_LT_EOF
+	;;
+	*)
+	  # For security reasons, it is highly recommended that you always
+	  # use absolute paths for naming shared libraries, and exclude the
+	  # DT_RUNPATH tag from executables and libraries.  But doing so
+	  # requires that you compile everything twice, which is a pain.
+	  if $LD --help 2>&1 | $GREP ': supported targets:.* elf' > /dev/null; then
+	    _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-rpath ${wl}$libdir'
+	    _LT_TAGVAR(archive_cmds, $1)='$CC -shared $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname -o $lib'
+	    _LT_TAGVAR(archive_expsym_cmds, $1)='$CC -shared $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname ${wl}-retain-symbols-file $wl$export_symbols -o $lib'
+	  else
+	    _LT_TAGVAR(ld_shlibs, $1)=no
+	  fi
+	;;
+      esac
+      ;;
+
+    sunos4*)
+      _LT_TAGVAR(archive_cmds, $1)='$LD -assert pure-text -Bshareable -o $lib $libobjs $deplibs $linker_flags'
+      wlarc=
+      _LT_TAGVAR(hardcode_direct, $1)=yes
+      _LT_TAGVAR(hardcode_shlibpath_var, $1)=no
+      ;;
+
+    *)
+      if $LD --help 2>&1 | $GREP ': supported targets:.* elf' > /dev/null; then
+	_LT_TAGVAR(archive_cmds, $1)='$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname -o $lib'
+	_LT_TAGVAR(archive_expsym_cmds, $1)='$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname ${wl}-retain-symbols-file $wl$export_symbols -o $lib'
+      else
+	_LT_TAGVAR(ld_shlibs, $1)=no
+      fi
+      ;;
+    esac
+
+    if test "$_LT_TAGVAR(ld_shlibs, $1)" = no; then
+      runpath_var=
+      _LT_TAGVAR(hardcode_libdir_flag_spec, $1)=
+      _LT_TAGVAR(export_dynamic_flag_spec, $1)=
+      _LT_TAGVAR(whole_archive_flag_spec, $1)=
+    fi
+  else
+    # PORTME fill in a description of your system's linker (not GNU ld)
+    case $host_os in
+    aix3*)
+      _LT_TAGVAR(allow_undefined_flag, $1)=unsupported
+      _LT_TAGVAR(always_export_symbols, $1)=yes
+      _LT_TAGVAR(archive_expsym_cmds, $1)='$LD -o $output_objdir/$soname $libobjs $deplibs $linker_flags -bE:$export_symbols -T512 -H512 -bM:SRE~$AR $AR_FLAGS $lib $output_objdir/$soname'
+      # Note: this linker hardcodes the directories in LIBPATH if there
+      # are no directories specified by -L.
+      _LT_TAGVAR(hardcode_minus_L, $1)=yes
+      if test "$GCC" = yes && test -z "$lt_prog_compiler_static"; then
+	# Neither direct hardcoding nor static linking is supported with a
+	# broken collect2.
+	_LT_TAGVAR(hardcode_direct, $1)=unsupported
+      fi
+      ;;
+
+    aix[[4-9]]*)
+      if test "$host_cpu" = ia64; then
+	# On IA64, the linker does run time linking by default, so we don't
+	# have to do anything special.
+	aix_use_runtimelinking=no
+	exp_sym_flag='-Bexport'
+	no_entry_flag=""
+      else
+	# If we're using GNU nm, then we don't want the "-C" option.
+	# -C means demangle to AIX nm, but means don't demangle with GNU nm
+	# Also, AIX nm treats weak defined symbols like other global
+	# defined symbols, whereas GNU nm marks them as "W".
+	if $NM -V 2>&1 | $GREP 'GNU' > /dev/null; then
+	  _LT_TAGVAR(export_symbols_cmds, $1)='$NM -Bpg $libobjs $convenience | awk '\''{ if (((\$ 2 == "T") || (\$ 2 == "D") || (\$ 2 == "B") || (\$ 2 == "W")) && ([substr](\$ 3,1,1) != ".")) { print \$ 3 } }'\'' | sort -u > $export_symbols'
+	else
+	  _LT_TAGVAR(export_symbols_cmds, $1)='$NM -BCpg $libobjs $convenience | awk '\''{ if (((\$ 2 == "T") || (\$ 2 == "D") || (\$ 2 == "B")) && ([substr](\$ 3,1,1) != ".")) { print \$ 3 } }'\'' | sort -u > $export_symbols'
+	fi
+	aix_use_runtimelinking=no
+
+	# Test if we are trying to use run time linking or normal
+	# AIX style linking. If -brtl is somewhere in LDFLAGS, we
+	# need to do runtime linking.
+	case $host_os in aix4.[[23]]|aix4.[[23]].*|aix[[5-9]]*)
+	  for ld_flag in $LDFLAGS; do
+	  if (test $ld_flag = "-brtl" || test $ld_flag = "-Wl,-brtl"); then
+	    aix_use_runtimelinking=yes
+	    break
+	  fi
+	  done
+	  ;;
+	esac
+
+	exp_sym_flag='-bexport'
+	no_entry_flag='-bnoentry'
+      fi
+
+      # When large executables or shared objects are built, AIX ld can
+      # have problems creating the table of contents.  If linking a library
+      # or program results in "error TOC overflow" add -mminimal-toc to
+      # CXXFLAGS/CFLAGS for g++/gcc.  In the cases where that is not
+      # enough to fix the problem, add -Wl,-bbigtoc to LDFLAGS.
+
+      _LT_TAGVAR(archive_cmds, $1)=''
+      _LT_TAGVAR(hardcode_direct, $1)=yes
+      _LT_TAGVAR(hardcode_direct_absolute, $1)=yes
+      _LT_TAGVAR(hardcode_libdir_separator, $1)=':'
+      _LT_TAGVAR(link_all_deplibs, $1)=yes
+      _LT_TAGVAR(file_list_spec, $1)='${wl}-f,'
+
+      if test "$GCC" = yes; then
+	case $host_os in aix4.[[012]]|aix4.[[012]].*)
+	# We only want to do this on AIX 4.2 and lower, the check
+	# below for broken collect2 doesn't work under 4.3+
+	  collect2name=`${CC} -print-prog-name=collect2`
+	  if test -f "$collect2name" &&
+	   strings "$collect2name" | $GREP resolve_lib_name >/dev/null
+	  then
+	  # We have reworked collect2
+	  :
+	  else
+	  # We have old collect2
+	  _LT_TAGVAR(hardcode_direct, $1)=unsupported
+	  # It fails to find uninstalled libraries when the uninstalled
+	  # path is not listed in the libpath.  Setting hardcode_minus_L
+	  # to unsupported forces relinking
+	  _LT_TAGVAR(hardcode_minus_L, $1)=yes
+	  _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='-L$libdir'
+	  _LT_TAGVAR(hardcode_libdir_separator, $1)=
+	  fi
+	  ;;
+	esac
+	shared_flag='-shared'
+	if test "$aix_use_runtimelinking" = yes; then
+	  shared_flag="$shared_flag "'${wl}-G'
+	fi
+	_LT_TAGVAR(link_all_deplibs, $1)=no
+      else
+	# not using gcc
+	if test "$host_cpu" = ia64; then
+	# VisualAge C++, Version 5.5 for AIX 5L for IA-64, Beta 3 Release
+	# chokes on -Wl,-G. The following line is correct:
+	  shared_flag='-G'
+	else
+	  if test "$aix_use_runtimelinking" = yes; then
+	    shared_flag='${wl}-G'
+	  else
+	    shared_flag='${wl}-bM:SRE'
+	  fi
+	fi
+      fi
+
+      _LT_TAGVAR(export_dynamic_flag_spec, $1)='${wl}-bexpall'
+      # It seems that -bexpall does not export symbols beginning with
+      # underscore (_), so it is better to generate a list of symbols to export.
+      _LT_TAGVAR(always_export_symbols, $1)=yes
+      if test "$aix_use_runtimelinking" = yes; then
+	# Warning - without using the other runtime loading flags (-brtl),
+	# -berok will link without error, but may produce a broken library.
+	_LT_TAGVAR(allow_undefined_flag, $1)='-berok'
+        # Determine the default libpath from the value encoded in an
+        # empty executable.
+        _LT_SYS_MODULE_PATH_AIX([$1])
+        _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-blibpath:$libdir:'"$aix_libpath"
+        _LT_TAGVAR(archive_expsym_cmds, $1)='$CC -o $output_objdir/$soname $libobjs $deplibs '"\${wl}$no_entry_flag"' $compiler_flags `if test "x${allow_undefined_flag}" != "x"; then func_echo_all "${wl}${allow_undefined_flag}"; else :; fi` '"\${wl}$exp_sym_flag:\$export_symbols $shared_flag"
+      else
+	if test "$host_cpu" = ia64; then
+	  _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-R $libdir:/usr/lib:/lib'
+	  _LT_TAGVAR(allow_undefined_flag, $1)="-z nodefs"
+	  _LT_TAGVAR(archive_expsym_cmds, $1)="\$CC $shared_flag"' -o $output_objdir/$soname $libobjs $deplibs '"\${wl}$no_entry_flag"' $compiler_flags ${wl}${allow_undefined_flag} '"\${wl}$exp_sym_flag:\$export_symbols"
+	else
+	 # Determine the default libpath from the value encoded in an
+	 # empty executable.
+	 _LT_SYS_MODULE_PATH_AIX([$1])
+	 _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-blibpath:$libdir:'"$aix_libpath"
+	  # Warning - without using the other run time loading flags,
+	  # -berok will link without error, but may produce a broken library.
+	  _LT_TAGVAR(no_undefined_flag, $1)=' ${wl}-bernotok'
+	  _LT_TAGVAR(allow_undefined_flag, $1)=' ${wl}-berok'
+	  if test "$with_gnu_ld" = yes; then
+	    # We only use this code for GNU lds that support --whole-archive.
+	    _LT_TAGVAR(whole_archive_flag_spec, $1)='${wl}--whole-archive$convenience ${wl}--no-whole-archive'
+	  else
+	    # Exported symbols can be pulled into shared objects from archives
+	    _LT_TAGVAR(whole_archive_flag_spec, $1)='$convenience'
+	  fi
+	  _LT_TAGVAR(archive_cmds_need_lc, $1)=yes
+	  # This is similar to how AIX traditionally builds its shared libraries.
+	  _LT_TAGVAR(archive_expsym_cmds, $1)="\$CC $shared_flag"' -o $output_objdir/$soname $libobjs $deplibs ${wl}-bnoentry $compiler_flags ${wl}-bE:$export_symbols${allow_undefined_flag}~$AR $AR_FLAGS $output_objdir/$libname$release.a $output_objdir/$soname'
+	fi
+      fi
+      ;;
+
+    amigaos*)
+      case $host_cpu in
+      powerpc)
+            # see comment about AmigaOS4 .so support
+            _LT_TAGVAR(archive_cmds, $1)='$CC -shared $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname -o $lib'
+            _LT_TAGVAR(archive_expsym_cmds, $1)=''
+        ;;
+      m68k)
+            _LT_TAGVAR(archive_cmds, $1)='$RM $output_objdir/a2ixlibrary.data~$ECHO "#define NAME $libname" > $output_objdir/a2ixlibrary.data~$ECHO "#define LIBRARY_ID 1" >> $output_objdir/a2ixlibrary.data~$ECHO "#define VERSION $major" >> $output_objdir/a2ixlibrary.data~$ECHO "#define REVISION $revision" >> $output_objdir/a2ixlibrary.data~$AR $AR_FLAGS $lib $libobjs~$RANLIB $lib~(cd $output_objdir && a2ixlibrary -32)'
+            _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='-L$libdir'
+            _LT_TAGVAR(hardcode_minus_L, $1)=yes
+        ;;
+      esac
+      ;;
+
+    bsdi[[45]]*)
+      _LT_TAGVAR(export_dynamic_flag_spec, $1)=-rdynamic
+      ;;
+
+    cygwin* | mingw* | pw32* | cegcc*)
+      # When not using gcc, we currently assume that we are using
+      # Microsoft Visual C++.
+      # hardcode_libdir_flag_spec is actually meaningless, as there is
+      # no search path for DLLs.
+      case $cc_basename in
+      cl*)
+	# Native MSVC
+	_LT_TAGVAR(hardcode_libdir_flag_spec, $1)=' '
+	_LT_TAGVAR(allow_undefined_flag, $1)=unsupported
+	_LT_TAGVAR(always_export_symbols, $1)=yes
+	_LT_TAGVAR(file_list_spec, $1)='@'
+	# Tell ltmain to make .lib files, not .a files.
+	libext=lib
+	# Tell ltmain to make .dll files, not .so files.
+	shrext_cmds=".dll"
+	# FIXME: Setting linknames here is a bad hack.
+	_LT_TAGVAR(archive_cmds, $1)='$CC -o $output_objdir/$soname $libobjs $compiler_flags $deplibs -Wl,-dll~linknames='
+	_LT_TAGVAR(archive_expsym_cmds, $1)='if test "x`$SED 1q $export_symbols`" = xEXPORTS; then
+	    sed -n -e 's/\\\\\\\(.*\\\\\\\)/-link\\\ -EXPORT:\\\\\\\1/' -e '1\\\!p' < $export_symbols > $output_objdir/$soname.exp;
+	  else
+	    sed -e 's/\\\\\\\(.*\\\\\\\)/-link\\\ -EXPORT:\\\\\\\1/' < $export_symbols > $output_objdir/$soname.exp;
+	  fi~
+	  $CC -o $tool_output_objdir$soname $libobjs $compiler_flags $deplibs "@$tool_output_objdir$soname.exp" -Wl,-DLL,-IMPLIB:"$tool_output_objdir$libname.dll.lib"~
+	  linknames='
+	# The linker will not automatically build a static lib if we build a DLL.
+	# _LT_TAGVAR(old_archive_from_new_cmds, $1)='true'
+	_LT_TAGVAR(enable_shared_with_static_runtimes, $1)=yes
+	_LT_TAGVAR(exclude_expsyms, $1)='_NULL_IMPORT_DESCRIPTOR|_IMPORT_DESCRIPTOR_.*'
+	_LT_TAGVAR(export_symbols_cmds, $1)='$NM $libobjs $convenience | $global_symbol_pipe | $SED -e '\''/^[[BCDGRS]][[ ]]/s/.*[[ ]]\([[^ ]]*\)/\1,DATA/'\'' | $SED -e '\''/^[[AITW]][[ ]]/s/.*[[ ]]//'\'' | sort | uniq > $export_symbols'
+	# Don't use ranlib
+	_LT_TAGVAR(old_postinstall_cmds, $1)='chmod 644 $oldlib'
+	_LT_TAGVAR(postlink_cmds, $1)='lt_outputfile="@OUTPUT@"~
+	  lt_tool_outputfile="@TOOL_OUTPUT@"~
+	  case $lt_outputfile in
+	    *.exe|*.EXE) ;;
+	    *)
+	      lt_outputfile="$lt_outputfile.exe"
+	      lt_tool_outputfile="$lt_tool_outputfile.exe"
+	      ;;
+	  esac~
+	  if test "$MANIFEST_TOOL" != ":" && test -f "$lt_outputfile.manifest"; then
+	    $MANIFEST_TOOL -manifest "$lt_tool_outputfile.manifest" -outputresource:"$lt_tool_outputfile" || exit 1;
+	    $RM "$lt_outputfile.manifest";
+	  fi'
+	;;
+      *)
+	# Assume MSVC wrapper
+	_LT_TAGVAR(hardcode_libdir_flag_spec, $1)=' '
+	_LT_TAGVAR(allow_undefined_flag, $1)=unsupported
+	# Tell ltmain to make .lib files, not .a files.
+	libext=lib
+	# Tell ltmain to make .dll files, not .so files.
+	shrext_cmds=".dll"
+	# FIXME: Setting linknames here is a bad hack.
+	_LT_TAGVAR(archive_cmds, $1)='$CC -o $lib $libobjs $compiler_flags `func_echo_all "$deplibs" | $SED '\''s/ -lc$//'\''` -link -dll~linknames='
+	# The linker will automatically build a .lib file if we build a DLL.
+	_LT_TAGVAR(old_archive_from_new_cmds, $1)='true'
+	# FIXME: Should let the user specify the lib program.
+	_LT_TAGVAR(old_archive_cmds, $1)='lib -OUT:$oldlib$oldobjs$old_deplibs'
+	_LT_TAGVAR(enable_shared_with_static_runtimes, $1)=yes
+	;;
+      esac
+      ;;
+
+    darwin* | rhapsody*)
+      _LT_DARWIN_LINKER_FEATURES($1)
+      ;;
+
+    dgux*)
+      _LT_TAGVAR(archive_cmds, $1)='$LD -G -h $soname -o $lib $libobjs $deplibs $linker_flags'
+      _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='-L$libdir'
+      _LT_TAGVAR(hardcode_shlibpath_var, $1)=no
+      ;;
+
+    # FreeBSD 2.2.[012] allows us to include c++rt0.o to get C++ constructor
+    # support.  Future versions do this automatically, but an explicit c++rt0.o
+    # does not break anything, and helps significantly (at the cost of a little
+    # extra space).
+    freebsd2.2*)
+      _LT_TAGVAR(archive_cmds, $1)='$LD -Bshareable -o $lib $libobjs $deplibs $linker_flags /usr/lib/c++rt0.o'
+      _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='-R$libdir'
+      _LT_TAGVAR(hardcode_direct, $1)=yes
+      _LT_TAGVAR(hardcode_shlibpath_var, $1)=no
+      ;;
+
+    # Unfortunately, older versions of FreeBSD 2 do not have this feature.
+    freebsd2.*)
+      _LT_TAGVAR(archive_cmds, $1)='$LD -Bshareable -o $lib $libobjs $deplibs $linker_flags'
+      _LT_TAGVAR(hardcode_direct, $1)=yes
+      _LT_TAGVAR(hardcode_minus_L, $1)=yes
+      _LT_TAGVAR(hardcode_shlibpath_var, $1)=no
+      ;;
+
+    # FreeBSD 3 and greater uses gcc -shared to do shared libraries.
+    freebsd* | dragonfly*)
+      _LT_TAGVAR(archive_cmds, $1)='$CC -shared $pic_flag -o $lib $libobjs $deplibs $compiler_flags'
+      _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='-R$libdir'
+      _LT_TAGVAR(hardcode_direct, $1)=yes
+      _LT_TAGVAR(hardcode_shlibpath_var, $1)=no
+      ;;
+
+    hpux9*)
+      if test "$GCC" = yes; then
+	_LT_TAGVAR(archive_cmds, $1)='$RM $output_objdir/$soname~$CC -shared $pic_flag ${wl}+b ${wl}$install_libdir -o $output_objdir/$soname $libobjs $deplibs $compiler_flags~test $output_objdir/$soname = $lib || mv $output_objdir/$soname $lib'
+      else
+	_LT_TAGVAR(archive_cmds, $1)='$RM $output_objdir/$soname~$LD -b +b $install_libdir -o $output_objdir/$soname $libobjs $deplibs $linker_flags~test $output_objdir/$soname = $lib || mv $output_objdir/$soname $lib'
+      fi
+      _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}+b ${wl}$libdir'
+      _LT_TAGVAR(hardcode_libdir_separator, $1)=:
+      _LT_TAGVAR(hardcode_direct, $1)=yes
+
+      # hardcode_minus_L: Not really in the search PATH,
+      # but as the default location of the library.
+      _LT_TAGVAR(hardcode_minus_L, $1)=yes
+      _LT_TAGVAR(export_dynamic_flag_spec, $1)='${wl}-E'
+      ;;
+
+    hpux10*)
+      if test "$GCC" = yes && test "$with_gnu_ld" = no; then
+	_LT_TAGVAR(archive_cmds, $1)='$CC -shared $pic_flag ${wl}+h ${wl}$soname ${wl}+b ${wl}$install_libdir -o $lib $libobjs $deplibs $compiler_flags'
+      else
+	_LT_TAGVAR(archive_cmds, $1)='$LD -b +h $soname +b $install_libdir -o $lib $libobjs $deplibs $linker_flags'
+      fi
+      if test "$with_gnu_ld" = no; then
+	_LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}+b ${wl}$libdir'
+	_LT_TAGVAR(hardcode_libdir_separator, $1)=:
+	_LT_TAGVAR(hardcode_direct, $1)=yes
+	_LT_TAGVAR(hardcode_direct_absolute, $1)=yes
+	_LT_TAGVAR(export_dynamic_flag_spec, $1)='${wl}-E'
+	# hardcode_minus_L: Not really in the search PATH,
+	# but as the default location of the library.
+	_LT_TAGVAR(hardcode_minus_L, $1)=yes
+      fi
+      ;;
+
+    hpux11*)
+      if test "$GCC" = yes && test "$with_gnu_ld" = no; then
+	case $host_cpu in
+	hppa*64*)
+	  _LT_TAGVAR(archive_cmds, $1)='$CC -shared ${wl}+h ${wl}$soname -o $lib $libobjs $deplibs $compiler_flags'
+	  ;;
+	ia64*)
+	  _LT_TAGVAR(archive_cmds, $1)='$CC -shared $pic_flag ${wl}+h ${wl}$soname ${wl}+nodefaultrpath -o $lib $libobjs $deplibs $compiler_flags'
+	  ;;
+	*)
+	  _LT_TAGVAR(archive_cmds, $1)='$CC -shared $pic_flag ${wl}+h ${wl}$soname ${wl}+b ${wl}$install_libdir -o $lib $libobjs $deplibs $compiler_flags'
+	  ;;
+	esac
+      else
+	case $host_cpu in
+	hppa*64*)
+	  _LT_TAGVAR(archive_cmds, $1)='$CC -b ${wl}+h ${wl}$soname -o $lib $libobjs $deplibs $compiler_flags'
+	  ;;
+	ia64*)
+	  _LT_TAGVAR(archive_cmds, $1)='$CC -b ${wl}+h ${wl}$soname ${wl}+nodefaultrpath -o $lib $libobjs $deplibs $compiler_flags'
+	  ;;
+	*)
+	m4_if($1, [], [
+	  # Older versions of the 11.00 compiler do not understand -b yet
+	  # (HP92453-01 A.11.01.20 doesn't, HP92453-01 B.11.X.35175-35176.GP does)
+	  _LT_LINKER_OPTION([if $CC understands -b],
+	    _LT_TAGVAR(lt_cv_prog_compiler__b, $1), [-b],
+	    [_LT_TAGVAR(archive_cmds, $1)='$CC -b ${wl}+h ${wl}$soname ${wl}+b ${wl}$install_libdir -o $lib $libobjs $deplibs $compiler_flags'],
+	    [_LT_TAGVAR(archive_cmds, $1)='$LD -b +h $soname +b $install_libdir -o $lib $libobjs $deplibs $linker_flags'])],
+	  [_LT_TAGVAR(archive_cmds, $1)='$CC -b ${wl}+h ${wl}$soname ${wl}+b ${wl}$install_libdir -o $lib $libobjs $deplibs $compiler_flags'])
+	  ;;
+	esac
+      fi
+      if test "$with_gnu_ld" = no; then
+	_LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}+b ${wl}$libdir'
+	_LT_TAGVAR(hardcode_libdir_separator, $1)=:
+
+	case $host_cpu in
+	hppa*64*|ia64*)
+	  _LT_TAGVAR(hardcode_direct, $1)=no
+	  _LT_TAGVAR(hardcode_shlibpath_var, $1)=no
+	  ;;
+	*)
+	  _LT_TAGVAR(hardcode_direct, $1)=yes
+	  _LT_TAGVAR(hardcode_direct_absolute, $1)=yes
+	  _LT_TAGVAR(export_dynamic_flag_spec, $1)='${wl}-E'
+
+	  # hardcode_minus_L: Not really in the search PATH,
+	  # but as the default location of the library.
+	  _LT_TAGVAR(hardcode_minus_L, $1)=yes
+	  ;;
+	esac
+      fi
+      ;;
+
+    irix5* | irix6* | nonstopux*)
+      if test "$GCC" = yes; then
+	_LT_TAGVAR(archive_cmds, $1)='$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && func_echo_all "${wl}-set_version ${wl}$verstring"` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
+	# Try to use the -exported_symbol ld option, if it does not
+	# work, assume that -exports_file does not work either and
+	# implicitly export all symbols.
+	# This should be the same for all languages, so no per-tag cache variable.
+	AC_CACHE_CHECK([whether the $host_os linker accepts -exported_symbol],
+	  [lt_cv_irix_exported_symbol],
+	  [save_LDFLAGS="$LDFLAGS"
+	   LDFLAGS="$LDFLAGS -shared ${wl}-exported_symbol ${wl}foo ${wl}-update_registry ${wl}/dev/null"
+	   AC_LINK_IFELSE(
+	     [AC_LANG_SOURCE(
+	        [AC_LANG_CASE([C], [[int foo (void) { return 0; }]],
+			      [C++], [[int foo (void) { return 0; }]],
+			      [Fortran 77], [[
+      subroutine foo
+      end]],
+			      [Fortran], [[
+      subroutine foo
+      end]])])],
+	      [lt_cv_irix_exported_symbol=yes],
+	      [lt_cv_irix_exported_symbol=no])
+           LDFLAGS="$save_LDFLAGS"])
+	if test "$lt_cv_irix_exported_symbol" = yes; then
+          _LT_TAGVAR(archive_expsym_cmds, $1)='$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && func_echo_all "${wl}-set_version ${wl}$verstring"` ${wl}-update_registry ${wl}${output_objdir}/so_locations ${wl}-exports_file ${wl}$export_symbols -o $lib'
+	fi
+      else
+	_LT_TAGVAR(archive_cmds, $1)='$CC -shared $libobjs $deplibs $compiler_flags -soname $soname `test -n "$verstring" && func_echo_all "-set_version $verstring"` -update_registry ${output_objdir}/so_locations -o $lib'
+	_LT_TAGVAR(archive_expsym_cmds, $1)='$CC -shared $libobjs $deplibs $compiler_flags -soname $soname `test -n "$verstring" && func_echo_all "-set_version $verstring"` -update_registry ${output_objdir}/so_locations -exports_file $export_symbols -o $lib'
+      fi
+      _LT_TAGVAR(archive_cmds_need_lc, $1)='no'
+      _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-rpath ${wl}$libdir'
+      _LT_TAGVAR(hardcode_libdir_separator, $1)=:
+      _LT_TAGVAR(inherit_rpath, $1)=yes
+      _LT_TAGVAR(link_all_deplibs, $1)=yes
+      ;;
+
+    netbsd* | netbsdelf*-gnu)
+      if echo __ELF__ | $CC -E - | $GREP __ELF__ >/dev/null; then
+	_LT_TAGVAR(archive_cmds, $1)='$LD -Bshareable -o $lib $libobjs $deplibs $linker_flags'  # a.out
+      else
+	_LT_TAGVAR(archive_cmds, $1)='$LD -shared -o $lib $libobjs $deplibs $linker_flags'      # ELF
+      fi
+      _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='-R$libdir'
+      _LT_TAGVAR(hardcode_direct, $1)=yes
+      _LT_TAGVAR(hardcode_shlibpath_var, $1)=no
+      ;;
+
+    newsos6)
+      _LT_TAGVAR(archive_cmds, $1)='$LD -G -h $soname -o $lib $libobjs $deplibs $linker_flags'
+      _LT_TAGVAR(hardcode_direct, $1)=yes
+      _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-rpath ${wl}$libdir'
+      _LT_TAGVAR(hardcode_libdir_separator, $1)=:
+      _LT_TAGVAR(hardcode_shlibpath_var, $1)=no
+      ;;
+
+    *nto* | *qnx*)
+      ;;
+
+    openbsd*)
+      if test -f /usr/libexec/ld.so; then
+	_LT_TAGVAR(hardcode_direct, $1)=yes
+	_LT_TAGVAR(hardcode_shlibpath_var, $1)=no
+	_LT_TAGVAR(hardcode_direct_absolute, $1)=yes
+	if test -z "`echo __ELF__ | $CC -E - | $GREP __ELF__`" || test "$host_os-$host_cpu" = "openbsd2.8-powerpc"; then
+	  _LT_TAGVAR(archive_cmds, $1)='$CC -shared $pic_flag -o $lib $libobjs $deplibs $compiler_flags'
+	  _LT_TAGVAR(archive_expsym_cmds, $1)='$CC -shared $pic_flag -o $lib $libobjs $deplibs $compiler_flags ${wl}-retain-symbols-file,$export_symbols'
+	  _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-rpath,$libdir'
+	  _LT_TAGVAR(export_dynamic_flag_spec, $1)='${wl}-E'
+	else
+	  case $host_os in
+	   openbsd[[01]].* | openbsd2.[[0-7]] | openbsd2.[[0-7]].*)
+	     _LT_TAGVAR(archive_cmds, $1)='$LD -Bshareable -o $lib $libobjs $deplibs $linker_flags'
+	     _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='-R$libdir'
+	     ;;
+	   *)
+	     _LT_TAGVAR(archive_cmds, $1)='$CC -shared $pic_flag -o $lib $libobjs $deplibs $compiler_flags'
+	     _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-rpath,$libdir'
+	     ;;
+	  esac
+	fi
+      else
+	_LT_TAGVAR(ld_shlibs, $1)=no
+      fi
+      ;;
+
+    os2*)
+      _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='-L$libdir'
+      _LT_TAGVAR(hardcode_minus_L, $1)=yes
+      _LT_TAGVAR(allow_undefined_flag, $1)=unsupported
+      _LT_TAGVAR(archive_cmds, $1)='$ECHO "LIBRARY $libname INITINSTANCE" > $output_objdir/$libname.def~$ECHO "DESCRIPTION \"$libname\"" >> $output_objdir/$libname.def~echo DATA >> $output_objdir/$libname.def~echo " SINGLE NONSHARED" >> $output_objdir/$libname.def~echo EXPORTS >> $output_objdir/$libname.def~emxexp $libobjs >> $output_objdir/$libname.def~$CC -Zdll -Zcrtdll -o $lib $libobjs $deplibs $compiler_flags $output_objdir/$libname.def'
+      _LT_TAGVAR(old_archive_from_new_cmds, $1)='emximp -o $output_objdir/$libname.a $output_objdir/$libname.def'
+      ;;
+
+    osf3*)
+      if test "$GCC" = yes; then
+	_LT_TAGVAR(allow_undefined_flag, $1)=' ${wl}-expect_unresolved ${wl}\*'
+	_LT_TAGVAR(archive_cmds, $1)='$CC -shared${allow_undefined_flag} $libobjs $deplibs $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && func_echo_all "${wl}-set_version ${wl}$verstring"` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
+      else
+	_LT_TAGVAR(allow_undefined_flag, $1)=' -expect_unresolved \*'
+	_LT_TAGVAR(archive_cmds, $1)='$CC -shared${allow_undefined_flag} $libobjs $deplibs $compiler_flags -soname $soname `test -n "$verstring" && func_echo_all "-set_version $verstring"` -update_registry ${output_objdir}/so_locations -o $lib'
+      fi
+      _LT_TAGVAR(archive_cmds_need_lc, $1)='no'
+      _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-rpath ${wl}$libdir'
+      _LT_TAGVAR(hardcode_libdir_separator, $1)=:
+      ;;
+
+    osf4* | osf5*)	# as osf3* with the addition of -msym flag
+      if test "$GCC" = yes; then
+	_LT_TAGVAR(allow_undefined_flag, $1)=' ${wl}-expect_unresolved ${wl}\*'
+	_LT_TAGVAR(archive_cmds, $1)='$CC -shared${allow_undefined_flag} $pic_flag $libobjs $deplibs $compiler_flags ${wl}-msym ${wl}-soname ${wl}$soname `test -n "$verstring" && func_echo_all "${wl}-set_version ${wl}$verstring"` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
+	_LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-rpath ${wl}$libdir'
+      else
+	_LT_TAGVAR(allow_undefined_flag, $1)=' -expect_unresolved \*'
+	_LT_TAGVAR(archive_cmds, $1)='$CC -shared${allow_undefined_flag} $libobjs $deplibs $compiler_flags -msym -soname $soname `test -n "$verstring" && func_echo_all "-set_version $verstring"` -update_registry ${output_objdir}/so_locations -o $lib'
+	_LT_TAGVAR(archive_expsym_cmds, $1)='for i in `cat $export_symbols`; do printf "%s %s\\n" -exported_symbol "\$i" >> $lib.exp; done; printf "%s\\n" "-hidden">> $lib.exp~
+	$CC -shared${allow_undefined_flag} ${wl}-input ${wl}$lib.exp $compiler_flags $libobjs $deplibs -soname $soname `test -n "$verstring" && $ECHO "-set_version $verstring"` -update_registry ${output_objdir}/so_locations -o $lib~$RM $lib.exp'
+
+	# Both c and cxx compiler support -rpath directly
+	_LT_TAGVAR(hardcode_libdir_flag_spec, $1)='-rpath $libdir'
+      fi
+      _LT_TAGVAR(archive_cmds_need_lc, $1)='no'
+      _LT_TAGVAR(hardcode_libdir_separator, $1)=:
+      ;;
+
+    solaris*)
+      _LT_TAGVAR(no_undefined_flag, $1)=' -z defs'
+      if test "$GCC" = yes; then
+	wlarc='${wl}'
+	_LT_TAGVAR(archive_cmds, $1)='$CC -shared $pic_flag ${wl}-z ${wl}text ${wl}-h ${wl}$soname -o $lib $libobjs $deplibs $compiler_flags'
+	_LT_TAGVAR(archive_expsym_cmds, $1)='echo "{ global:" > $lib.exp~cat $export_symbols | $SED -e "s/\(.*\)/\1;/" >> $lib.exp~echo "local: *; };" >> $lib.exp~
+	  $CC -shared $pic_flag ${wl}-z ${wl}text ${wl}-M ${wl}$lib.exp ${wl}-h ${wl}$soname -o $lib $libobjs $deplibs $compiler_flags~$RM $lib.exp'
+      else
+	case `$CC -V 2>&1` in
+	*"Compilers 5.0"*)
+	  wlarc=''
+	  _LT_TAGVAR(archive_cmds, $1)='$LD -G${allow_undefined_flag} -h $soname -o $lib $libobjs $deplibs $linker_flags'
+	  _LT_TAGVAR(archive_expsym_cmds, $1)='echo "{ global:" > $lib.exp~cat $export_symbols | $SED -e "s/\(.*\)/\1;/" >> $lib.exp~echo "local: *; };" >> $lib.exp~
+	  $LD -G${allow_undefined_flag} -M $lib.exp -h $soname -o $lib $libobjs $deplibs $linker_flags~$RM $lib.exp'
+	  ;;
+	*)
+	  wlarc='${wl}'
+	  _LT_TAGVAR(archive_cmds, $1)='$CC -G${allow_undefined_flag} -h $soname -o $lib $libobjs $deplibs $compiler_flags'
+	  _LT_TAGVAR(archive_expsym_cmds, $1)='echo "{ global:" > $lib.exp~cat $export_symbols | $SED -e "s/\(.*\)/\1;/" >> $lib.exp~echo "local: *; };" >> $lib.exp~
+	  $CC -G${allow_undefined_flag} -M $lib.exp -h $soname -o $lib $libobjs $deplibs $compiler_flags~$RM $lib.exp'
+	  ;;
+	esac
+      fi
+      _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='-R$libdir'
+      _LT_TAGVAR(hardcode_shlibpath_var, $1)=no
+      case $host_os in
+      solaris2.[[0-5]] | solaris2.[[0-5]].*) ;;
+      *)
+	# The compiler driver will combine and reorder linker options,
+	# but understands `-z linker_flag'.  GCC discards it without `$wl',
+	# but is careful enough not to reorder.
+	# Supported since Solaris 2.6 (maybe 2.5.1?)
+	if test "$GCC" = yes; then
+	  _LT_TAGVAR(whole_archive_flag_spec, $1)='${wl}-z ${wl}allextract$convenience ${wl}-z ${wl}defaultextract'
+	else
+	  _LT_TAGVAR(whole_archive_flag_spec, $1)='-z allextract$convenience -z defaultextract'
+	fi
+	;;
+      esac
+      _LT_TAGVAR(link_all_deplibs, $1)=yes
+      ;;
+
+    sunos4*)
+      if test "x$host_vendor" = xsequent; then
+	# Use $CC to link under sequent, because it throws in some extra .o
+	# files that make .init and .fini sections work.
+	_LT_TAGVAR(archive_cmds, $1)='$CC -G ${wl}-h $soname -o $lib $libobjs $deplibs $compiler_flags'
+      else
+	_LT_TAGVAR(archive_cmds, $1)='$LD -assert pure-text -Bstatic -o $lib $libobjs $deplibs $linker_flags'
+      fi
+      _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='-L$libdir'
+      _LT_TAGVAR(hardcode_direct, $1)=yes
+      _LT_TAGVAR(hardcode_minus_L, $1)=yes
+      _LT_TAGVAR(hardcode_shlibpath_var, $1)=no
+      ;;
+
+    sysv4)
+      case $host_vendor in
+	sni)
+	  _LT_TAGVAR(archive_cmds, $1)='$LD -G -h $soname -o $lib $libobjs $deplibs $linker_flags'
+	  _LT_TAGVAR(hardcode_direct, $1)=yes # is this really true???
+	;;
+	siemens)
+	  ## LD is ld it makes a PLAMLIB
+	  ## CC just makes a GrossModule.
+	  _LT_TAGVAR(archive_cmds, $1)='$LD -G -o $lib $libobjs $deplibs $linker_flags'
+	  _LT_TAGVAR(reload_cmds, $1)='$CC -r -o $output$reload_objs'
+	  _LT_TAGVAR(hardcode_direct, $1)=no
+        ;;
+	motorola)
+	  _LT_TAGVAR(archive_cmds, $1)='$LD -G -h $soname -o $lib $libobjs $deplibs $linker_flags'
+	  _LT_TAGVAR(hardcode_direct, $1)=no #Motorola manual says yes, but my tests say they lie
+	;;
+      esac
+      runpath_var='LD_RUN_PATH'
+      _LT_TAGVAR(hardcode_shlibpath_var, $1)=no
+      ;;
+
+    sysv4.3*)
+      _LT_TAGVAR(archive_cmds, $1)='$LD -G -h $soname -o $lib $libobjs $deplibs $linker_flags'
+      _LT_TAGVAR(hardcode_shlibpath_var, $1)=no
+      _LT_TAGVAR(export_dynamic_flag_spec, $1)='-Bexport'
+      ;;
+
+    sysv4*MP*)
+      if test -d /usr/nec; then
+	_LT_TAGVAR(archive_cmds, $1)='$LD -G -h $soname -o $lib $libobjs $deplibs $linker_flags'
+	_LT_TAGVAR(hardcode_shlibpath_var, $1)=no
+	runpath_var=LD_RUN_PATH
+	hardcode_runpath_var=yes
+	_LT_TAGVAR(ld_shlibs, $1)=yes
+      fi
+      ;;
+
+    sysv4*uw2* | sysv5OpenUNIX* | sysv5UnixWare7.[[01]].[[10]]* | unixware7* | sco3.2v5.0.[[024]]*)
+      _LT_TAGVAR(no_undefined_flag, $1)='${wl}-z,text'
+      _LT_TAGVAR(archive_cmds_need_lc, $1)=no
+      _LT_TAGVAR(hardcode_shlibpath_var, $1)=no
+      runpath_var='LD_RUN_PATH'
+
+      if test "$GCC" = yes; then
+	_LT_TAGVAR(archive_cmds, $1)='$CC -shared ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+	_LT_TAGVAR(archive_expsym_cmds, $1)='$CC -shared ${wl}-Bexport:$export_symbols ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+      else
+	_LT_TAGVAR(archive_cmds, $1)='$CC -G ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+	_LT_TAGVAR(archive_expsym_cmds, $1)='$CC -G ${wl}-Bexport:$export_symbols ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+      fi
+      ;;
+
+    sysv5* | sco3.2v5* | sco5v6*)
+      # Note: We can NOT use -z defs as we might desire, because we do not
+      # link with -lc, and that would cause any symbols used from libc to
+      # always be unresolved, which means just about no library would
+      # ever link correctly.  If we're not using GNU ld we use -z text
+      # though, which does catch some bad symbols but isn't as heavy-handed
+      # as -z defs.
+      _LT_TAGVAR(no_undefined_flag, $1)='${wl}-z,text'
+      _LT_TAGVAR(allow_undefined_flag, $1)='${wl}-z,nodefs'
+      _LT_TAGVAR(archive_cmds_need_lc, $1)=no
+      _LT_TAGVAR(hardcode_shlibpath_var, $1)=no
+      _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-R,$libdir'
+      _LT_TAGVAR(hardcode_libdir_separator, $1)=':'
+      _LT_TAGVAR(link_all_deplibs, $1)=yes
+      _LT_TAGVAR(export_dynamic_flag_spec, $1)='${wl}-Bexport'
+      runpath_var='LD_RUN_PATH'
+
+      if test "$GCC" = yes; then
+	_LT_TAGVAR(archive_cmds, $1)='$CC -shared ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+	_LT_TAGVAR(archive_expsym_cmds, $1)='$CC -shared ${wl}-Bexport:$export_symbols ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+      else
+	_LT_TAGVAR(archive_cmds, $1)='$CC -G ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+	_LT_TAGVAR(archive_expsym_cmds, $1)='$CC -G ${wl}-Bexport:$export_symbols ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+      fi
+      ;;
+
+    uts4*)
+      _LT_TAGVAR(archive_cmds, $1)='$LD -G -h $soname -o $lib $libobjs $deplibs $linker_flags'
+      _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='-L$libdir'
+      _LT_TAGVAR(hardcode_shlibpath_var, $1)=no
+      ;;
+
+    *)
+      _LT_TAGVAR(ld_shlibs, $1)=no
+      ;;
+    esac
+
+    if test x$host_vendor = xsni; then
+      case $host in
+      sysv4 | sysv4.2uw2* | sysv4.3* | sysv5*)
+	_LT_TAGVAR(export_dynamic_flag_spec, $1)='${wl}-Blargedynsym'
+	;;
+      esac
+    fi
+  fi
+])
+AC_MSG_RESULT([$_LT_TAGVAR(ld_shlibs, $1)])
+test "$_LT_TAGVAR(ld_shlibs, $1)" = no && can_build_shared=no
+
+_LT_TAGVAR(with_gnu_ld, $1)=$with_gnu_ld
+
+_LT_DECL([], [libext], [0], [Old archive suffix (normally "a")])dnl
+_LT_DECL([], [shrext_cmds], [1], [Shared library suffix (normally ".so")])dnl
+_LT_DECL([], [extract_expsyms_cmds], [2],
+    [The commands to extract the exported symbol list from a shared archive])
+
+#
+# Do we need to explicitly link libc?
+#
+case "x$_LT_TAGVAR(archive_cmds_need_lc, $1)" in
+x|xyes)
+  # Assume -lc should be added
+  _LT_TAGVAR(archive_cmds_need_lc, $1)=yes
+
+  if test "$enable_shared" = yes && test "$GCC" = yes; then
+    case $_LT_TAGVAR(archive_cmds, $1) in
+    *'~'*)
+      # FIXME: we may have to deal with multi-command sequences.
+      ;;
+    '$CC '*)
+      # Test whether the compiler implicitly links with -lc since on some
+      # systems, -lgcc has to come before -lc. If gcc already passes -lc
+      # to ld, don't add -lc before -lgcc.
+      AC_CACHE_CHECK([whether -lc should be explicitly linked in],
+	[lt_cv_]_LT_TAGVAR(archive_cmds_need_lc, $1),
+	[$RM conftest*
+	echo "$lt_simple_compile_test_code" > conftest.$ac_ext
+
+	if AC_TRY_EVAL(ac_compile) 2>conftest.err; then
+	  soname=conftest
+	  lib=conftest
+	  libobjs=conftest.$ac_objext
+	  deplibs=
+	  wl=$_LT_TAGVAR(lt_prog_compiler_wl, $1)
+	  pic_flag=$_LT_TAGVAR(lt_prog_compiler_pic, $1)
+	  compiler_flags=-v
+	  linker_flags=-v
+	  verstring=
+	  output_objdir=.
+	  libname=conftest
+	  lt_save_allow_undefined_flag=$_LT_TAGVAR(allow_undefined_flag, $1)
+	  _LT_TAGVAR(allow_undefined_flag, $1)=
+	  if AC_TRY_EVAL(_LT_TAGVAR(archive_cmds, $1) 2\>\&1 \| $GREP \" -lc \" \>/dev/null 2\>\&1)
+	  then
+	    lt_cv_[]_LT_TAGVAR(archive_cmds_need_lc, $1)=no
+	  else
+	    lt_cv_[]_LT_TAGVAR(archive_cmds_need_lc, $1)=yes
+	  fi
+	  _LT_TAGVAR(allow_undefined_flag, $1)=$lt_save_allow_undefined_flag
+	else
+	  cat conftest.err 1>&5
+	fi
+	$RM conftest*
+	])
+      _LT_TAGVAR(archive_cmds_need_lc, $1)=$lt_cv_[]_LT_TAGVAR(archive_cmds_need_lc, $1)
+      ;;
+    esac
+  fi
+  ;;
+esac
+
+_LT_TAGDECL([build_libtool_need_lc], [archive_cmds_need_lc], [0],
+    [Whether or not to add -lc for building shared libraries])
+_LT_TAGDECL([allow_libtool_libs_with_static_runtimes],
+    [enable_shared_with_static_runtimes], [0],
+    [Whether or not to disallow shared libs when runtime libs are static])
+_LT_TAGDECL([], [export_dynamic_flag_spec], [1],
+    [Compiler flag to allow reflexive dlopens])
+_LT_TAGDECL([], [whole_archive_flag_spec], [1],
+    [Compiler flag to generate shared objects directly from archives])
+_LT_TAGDECL([], [compiler_needs_object], [1],
+    [Whether the compiler copes with passing no objects directly])
+_LT_TAGDECL([], [old_archive_from_new_cmds], [2],
+    [Create an old-style archive from a shared archive])
+_LT_TAGDECL([], [old_archive_from_expsyms_cmds], [2],
+    [Create a temporary old-style archive to link instead of a shared archive])
+_LT_TAGDECL([], [archive_cmds], [2], [Commands used to build a shared archive])
+_LT_TAGDECL([], [archive_expsym_cmds], [2])
+_LT_TAGDECL([], [module_cmds], [2],
+    [Commands used to build a loadable module if different from building
+    a shared archive.])
+_LT_TAGDECL([], [module_expsym_cmds], [2])
+_LT_TAGDECL([], [with_gnu_ld], [1],
+    [Whether we are building with GNU ld or not])
+_LT_TAGDECL([], [allow_undefined_flag], [1],
+    [Flag that allows shared libraries with undefined symbols to be built])
+_LT_TAGDECL([], [no_undefined_flag], [1],
+    [Flag that enforces no undefined symbols])
+_LT_TAGDECL([], [hardcode_libdir_flag_spec], [1],
+    [Flag to hardcode $libdir into a binary during linking.
+    This must work even if $libdir does not exist])
+_LT_TAGDECL([], [hardcode_libdir_separator], [1],
+    [Whether we need a single "-rpath" flag with a separated argument])
+_LT_TAGDECL([], [hardcode_direct], [0],
+    [Set to "yes" if using DIR/libNAME${shared_ext} during linking hardcodes
+    DIR into the resulting binary])
+_LT_TAGDECL([], [hardcode_direct_absolute], [0],
+    [Set to "yes" if using DIR/libNAME${shared_ext} during linking hardcodes
+    DIR into the resulting binary and the resulting library dependency is
+    "absolute", i.e impossible to change by setting ${shlibpath_var} if the
+    library is relocated])
+_LT_TAGDECL([], [hardcode_minus_L], [0],
+    [Set to "yes" if using the -LDIR flag during linking hardcodes DIR
+    into the resulting binary])
+_LT_TAGDECL([], [hardcode_shlibpath_var], [0],
+    [Set to "yes" if using SHLIBPATH_VAR=DIR during linking hardcodes DIR
+    into the resulting binary])
+_LT_TAGDECL([], [hardcode_automatic], [0],
+    [Set to "yes" if building a shared library automatically hardcodes DIR
+    into the library and all subsequent libraries and executables linked
+    against it])
+_LT_TAGDECL([], [inherit_rpath], [0],
+    [Set to yes if linker adds runtime paths of dependent libraries
+    to runtime path list])
+_LT_TAGDECL([], [link_all_deplibs], [0],
+    [Whether libtool must link a program against all its dependency libraries])
+_LT_TAGDECL([], [always_export_symbols], [0],
+    [Set to "yes" if exported symbols are required])
+_LT_TAGDECL([], [export_symbols_cmds], [2],
+    [The commands to list exported symbols])
+_LT_TAGDECL([], [exclude_expsyms], [1],
+    [Symbols that should not be listed in the preloaded symbols])
+_LT_TAGDECL([], [include_expsyms], [1],
+    [Symbols that must always be exported])
+_LT_TAGDECL([], [prelink_cmds], [2],
+    [Commands necessary for linking programs (against libraries) with templates])
+_LT_TAGDECL([], [postlink_cmds], [2],
+    [Commands necessary for finishing linking programs])
+_LT_TAGDECL([], [file_list_spec], [1],
+    [Specify filename containing input files])
+dnl FIXME: Not yet implemented
+dnl _LT_TAGDECL([], [thread_safe_flag_spec], [1],
+dnl    [Compiler flag to generate thread safe objects])
+])# _LT_LINKER_SHLIBS
+
+
+# _LT_LANG_C_CONFIG([TAG])
+# ------------------------
+# Ensure that the configuration variables for a C compiler are suitably
+# defined.  These variables are subsequently used by _LT_CONFIG to write
+# the compiler configuration to `libtool'.
+m4_defun([_LT_LANG_C_CONFIG],
+[m4_require([_LT_DECL_EGREP])dnl
+lt_save_CC="$CC"
+AC_LANG_PUSH(C)
+
+# Source file extension for C test sources.
+ac_ext=c
+
+# Object file extension for compiled C test sources.
+objext=o
+_LT_TAGVAR(objext, $1)=$objext
+
+# Code to be used in simple compile tests
+lt_simple_compile_test_code="int some_variable = 0;"
+
+# Code to be used in simple link tests
+lt_simple_link_test_code='int main(){return(0);}'
+
+_LT_TAG_COMPILER
+# Save the default compiler, since it gets overwritten when the other
+# tags are being tested, and _LT_TAGVAR(compiler, []) is a NOP.
+compiler_DEFAULT=$CC
+
+# save warnings/boilerplate of simple test code
+_LT_COMPILER_BOILERPLATE
+_LT_LINKER_BOILERPLATE
+
+## CAVEAT EMPTOR:
+## There is no encapsulation within the following macros, do not change
+## the running order or otherwise move them around unless you know exactly
+## what you are doing...
+if test -n "$compiler"; then
+  _LT_COMPILER_NO_RTTI($1)
+  _LT_COMPILER_PIC($1)
+  _LT_COMPILER_C_O($1)
+  _LT_COMPILER_FILE_LOCKS($1)
+  _LT_LINKER_SHLIBS($1)
+  _LT_SYS_DYNAMIC_LINKER($1)
+  _LT_LINKER_HARDCODE_LIBPATH($1)
+  LT_SYS_DLOPEN_SELF
+  _LT_CMD_STRIPLIB
+
+  # Report which library types will actually be built
+  AC_MSG_CHECKING([if libtool supports shared libraries])
+  AC_MSG_RESULT([$can_build_shared])
+
+  AC_MSG_CHECKING([whether to build shared libraries])
+  test "$can_build_shared" = "no" && enable_shared=no
+
+  # On AIX, shared libraries and static libraries use the same namespace, and
+  # are all built from PIC.
+  case $host_os in
+  aix3*)
+    test "$enable_shared" = yes && enable_static=no
+    if test -n "$RANLIB"; then
+      archive_cmds="$archive_cmds~\$RANLIB \$lib"
+      postinstall_cmds='$RANLIB $lib'
+    fi
+    ;;
+
+  aix[[4-9]]*)
+    if test "$host_cpu" != ia64 && test "$aix_use_runtimelinking" = no ; then
+      test "$enable_shared" = yes && enable_static=no
+    fi
+    ;;
+  esac
+  AC_MSG_RESULT([$enable_shared])
+
+  AC_MSG_CHECKING([whether to build static libraries])
+  # Make sure either enable_shared or enable_static is yes.
+  test "$enable_shared" = yes || enable_static=yes
+  AC_MSG_RESULT([$enable_static])
+
+  _LT_CONFIG($1)
+fi
+AC_LANG_POP
+CC="$lt_save_CC"
+])# _LT_LANG_C_CONFIG
+
+
+# _LT_LANG_CXX_CONFIG([TAG])
+# --------------------------
+# Ensure that the configuration variables for a C++ compiler are suitably
+# defined.  These variables are subsequently used by _LT_CONFIG to write
+# the compiler configuration to `libtool'.
+m4_defun([_LT_LANG_CXX_CONFIG],
+[m4_require([_LT_FILEUTILS_DEFAULTS])dnl
+m4_require([_LT_DECL_EGREP])dnl
+m4_require([_LT_PATH_MANIFEST_TOOL])dnl
+if test -n "$CXX" && ( test "X$CXX" != "Xno" &&
+    ( (test "X$CXX" = "Xg++" && `g++ -v >/dev/null 2>&1` ) ||
+    (test "X$CXX" != "Xg++"))) ; then
+  AC_PROG_CXXCPP
+else
+  _lt_caught_CXX_error=yes
+fi
+
+AC_LANG_PUSH(C++)
+_LT_TAGVAR(archive_cmds_need_lc, $1)=no
+_LT_TAGVAR(allow_undefined_flag, $1)=
+_LT_TAGVAR(always_export_symbols, $1)=no
+_LT_TAGVAR(archive_expsym_cmds, $1)=
+_LT_TAGVAR(compiler_needs_object, $1)=no
+_LT_TAGVAR(export_dynamic_flag_spec, $1)=
+_LT_TAGVAR(hardcode_direct, $1)=no
+_LT_TAGVAR(hardcode_direct_absolute, $1)=no
+_LT_TAGVAR(hardcode_libdir_flag_spec, $1)=
+_LT_TAGVAR(hardcode_libdir_separator, $1)=
+_LT_TAGVAR(hardcode_minus_L, $1)=no
+_LT_TAGVAR(hardcode_shlibpath_var, $1)=unsupported
+_LT_TAGVAR(hardcode_automatic, $1)=no
+_LT_TAGVAR(inherit_rpath, $1)=no
+_LT_TAGVAR(module_cmds, $1)=
+_LT_TAGVAR(module_expsym_cmds, $1)=
+_LT_TAGVAR(link_all_deplibs, $1)=unknown
+_LT_TAGVAR(old_archive_cmds, $1)=$old_archive_cmds
+_LT_TAGVAR(reload_flag, $1)=$reload_flag
+_LT_TAGVAR(reload_cmds, $1)=$reload_cmds
+_LT_TAGVAR(no_undefined_flag, $1)=
+_LT_TAGVAR(whole_archive_flag_spec, $1)=
+_LT_TAGVAR(enable_shared_with_static_runtimes, $1)=no
+
+# Source file extension for C++ test sources.
+ac_ext=cpp
+
+# Object file extension for compiled C++ test sources.
+objext=o
+_LT_TAGVAR(objext, $1)=$objext
+
+# No sense in running all these tests if we already determined that
+# the CXX compiler isn't working.  Some variables (like enable_shared)
+# are currently assumed to apply to all compilers on this platform,
+# and will be corrupted by setting them based on a non-working compiler.
+if test "$_lt_caught_CXX_error" != yes; then
+  # Code to be used in simple compile tests
+  lt_simple_compile_test_code="int some_variable = 0;"
+
+  # Code to be used in simple link tests
+  lt_simple_link_test_code='int main(int, char *[[]]) { return(0); }'
+
+  # ltmain only uses $CC for tagged configurations so make sure $CC is set.
+  _LT_TAG_COMPILER
+
+  # save warnings/boilerplate of simple test code
+  _LT_COMPILER_BOILERPLATE
+  _LT_LINKER_BOILERPLATE
+
+  # Allow CC to be a program name with arguments.
+  lt_save_CC=$CC
+  lt_save_CFLAGS=$CFLAGS
+  lt_save_LD=$LD
+  lt_save_GCC=$GCC
+  GCC=$GXX
+  lt_save_with_gnu_ld=$with_gnu_ld
+  lt_save_path_LD=$lt_cv_path_LD
+  if test -n "${lt_cv_prog_gnu_ldcxx+set}"; then
+    lt_cv_prog_gnu_ld=$lt_cv_prog_gnu_ldcxx
+  else
+    $as_unset lt_cv_prog_gnu_ld
+  fi
+  if test -n "${lt_cv_path_LDCXX+set}"; then
+    lt_cv_path_LD=$lt_cv_path_LDCXX
+  else
+    $as_unset lt_cv_path_LD
+  fi
+  test -z "${LDCXX+set}" || LD=$LDCXX
+  CC=${CXX-"c++"}
+  CFLAGS=$CXXFLAGS
+  compiler=$CC
+  _LT_TAGVAR(compiler, $1)=$CC
+  _LT_CC_BASENAME([$compiler])
+
+  if test -n "$compiler"; then
+    # We don't want -fno-exception when compiling C++ code, so set the
+    # no_builtin_flag separately
+    if test "$GXX" = yes; then
+      _LT_TAGVAR(lt_prog_compiler_no_builtin_flag, $1)=' -fno-builtin'
+    else
+      _LT_TAGVAR(lt_prog_compiler_no_builtin_flag, $1)=
+    fi
+
+    if test "$GXX" = yes; then
+      # Set up default GNU C++ configuration
+
+      LT_PATH_LD
+
+      # Check if GNU C++ uses GNU ld as the underlying linker, since the
+      # archiving commands below assume that GNU ld is being used.
+      if test "$with_gnu_ld" = yes; then
+        _LT_TAGVAR(archive_cmds, $1)='$CC $pic_flag -shared -nostdlib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-soname $wl$soname -o $lib'
+        _LT_TAGVAR(archive_expsym_cmds, $1)='$CC $pic_flag -shared -nostdlib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-soname $wl$soname ${wl}-retain-symbols-file $wl$export_symbols -o $lib'
+
+        _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-rpath ${wl}$libdir'
+        _LT_TAGVAR(export_dynamic_flag_spec, $1)='${wl}--export-dynamic'
+
+        # If archive_cmds runs LD, not CC, wlarc should be empty
+        # XXX I think wlarc can be eliminated in ltcf-cxx, but I need to
+        #     investigate it a little bit more. (MM)
+        wlarc='${wl}'
+
+        # ancient GNU ld didn't support --whole-archive et. al.
+        if eval "`$CC -print-prog-name=ld` --help 2>&1" |
+	  $GREP 'no-whole-archive' > /dev/null; then
+          _LT_TAGVAR(whole_archive_flag_spec, $1)="$wlarc"'--whole-archive$convenience '"$wlarc"'--no-whole-archive'
+        else
+          _LT_TAGVAR(whole_archive_flag_spec, $1)=
+        fi
+      else
+        with_gnu_ld=no
+        wlarc=
+
+        # A generic and very simple default shared library creation
+        # command for GNU C++ for the case where it uses the native
+        # linker, instead of GNU ld.  If possible, this setting should
+        # overridden to take advantage of the native linker features on
+        # the platform it is being used on.
+        _LT_TAGVAR(archive_cmds, $1)='$CC -shared -nostdlib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags -o $lib'
+      fi
+
+      # Commands to make compiler produce verbose output that lists
+      # what "hidden" libraries, object files and flags are used when
+      # linking a shared library.
+      output_verbose_link_cmd='$CC -shared $CFLAGS -v conftest.$objext 2>&1 | $GREP -v "^Configured with:" | $GREP "\-L"'
+
+    else
+      GXX=no
+      with_gnu_ld=no
+      wlarc=
+    fi
+
+    # PORTME: fill in a description of your system's C++ link characteristics
+    AC_MSG_CHECKING([whether the $compiler linker ($LD) supports shared libraries])
+    _LT_TAGVAR(ld_shlibs, $1)=yes
+    case $host_os in
+      aix3*)
+        # FIXME: insert proper C++ library support
+        _LT_TAGVAR(ld_shlibs, $1)=no
+        ;;
+      aix[[4-9]]*)
+        if test "$host_cpu" = ia64; then
+          # On IA64, the linker does run time linking by default, so we don't
+          # have to do anything special.
+          aix_use_runtimelinking=no
+          exp_sym_flag='-Bexport'
+          no_entry_flag=""
+        else
+          aix_use_runtimelinking=no
+
+          # Test if we are trying to use run time linking or normal
+          # AIX style linking. If -brtl is somewhere in LDFLAGS, we
+          # need to do runtime linking.
+          case $host_os in aix4.[[23]]|aix4.[[23]].*|aix[[5-9]]*)
+	    for ld_flag in $LDFLAGS; do
+	      case $ld_flag in
+	      *-brtl*)
+	        aix_use_runtimelinking=yes
+	        break
+	        ;;
+	      esac
+	    done
+	    ;;
+          esac
+
+          exp_sym_flag='-bexport'
+          no_entry_flag='-bnoentry'
+        fi
+
+        # When large executables or shared objects are built, AIX ld can
+        # have problems creating the table of contents.  If linking a library
+        # or program results in "error TOC overflow" add -mminimal-toc to
+        # CXXFLAGS/CFLAGS for g++/gcc.  In the cases where that is not
+        # enough to fix the problem, add -Wl,-bbigtoc to LDFLAGS.
+
+        _LT_TAGVAR(archive_cmds, $1)=''
+        _LT_TAGVAR(hardcode_direct, $1)=yes
+        _LT_TAGVAR(hardcode_direct_absolute, $1)=yes
+        _LT_TAGVAR(hardcode_libdir_separator, $1)=':'
+        _LT_TAGVAR(link_all_deplibs, $1)=yes
+        _LT_TAGVAR(file_list_spec, $1)='${wl}-f,'
+
+        if test "$GXX" = yes; then
+          case $host_os in aix4.[[012]]|aix4.[[012]].*)
+          # We only want to do this on AIX 4.2 and lower, the check
+          # below for broken collect2 doesn't work under 4.3+
+	  collect2name=`${CC} -print-prog-name=collect2`
+	  if test -f "$collect2name" &&
+	     strings "$collect2name" | $GREP resolve_lib_name >/dev/null
+	  then
+	    # We have reworked collect2
+	    :
+	  else
+	    # We have old collect2
+	    _LT_TAGVAR(hardcode_direct, $1)=unsupported
+	    # It fails to find uninstalled libraries when the uninstalled
+	    # path is not listed in the libpath.  Setting hardcode_minus_L
+	    # to unsupported forces relinking
+	    _LT_TAGVAR(hardcode_minus_L, $1)=yes
+	    _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='-L$libdir'
+	    _LT_TAGVAR(hardcode_libdir_separator, $1)=
+	  fi
+          esac
+          shared_flag='-shared'
+	  if test "$aix_use_runtimelinking" = yes; then
+	    shared_flag="$shared_flag "'${wl}-G'
+	  fi
+        else
+          # not using gcc
+          if test "$host_cpu" = ia64; then
+	  # VisualAge C++, Version 5.5 for AIX 5L for IA-64, Beta 3 Release
+	  # chokes on -Wl,-G. The following line is correct:
+	  shared_flag='-G'
+          else
+	    if test "$aix_use_runtimelinking" = yes; then
+	      shared_flag='${wl}-G'
+	    else
+	      shared_flag='${wl}-bM:SRE'
+	    fi
+          fi
+        fi
+
+        _LT_TAGVAR(export_dynamic_flag_spec, $1)='${wl}-bexpall'
+        # It seems that -bexpall does not export symbols beginning with
+        # underscore (_), so it is better to generate a list of symbols to
+	# export.
+        _LT_TAGVAR(always_export_symbols, $1)=yes
+        if test "$aix_use_runtimelinking" = yes; then
+          # Warning - without using the other runtime loading flags (-brtl),
+          # -berok will link without error, but may produce a broken library.
+          _LT_TAGVAR(allow_undefined_flag, $1)='-berok'
+          # Determine the default libpath from the value encoded in an empty
+          # executable.
+          _LT_SYS_MODULE_PATH_AIX([$1])
+          _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-blibpath:$libdir:'"$aix_libpath"
+
+          _LT_TAGVAR(archive_expsym_cmds, $1)='$CC -o $output_objdir/$soname $libobjs $deplibs '"\${wl}$no_entry_flag"' $compiler_flags `if test "x${allow_undefined_flag}" != "x"; then func_echo_all "${wl}${allow_undefined_flag}"; else :; fi` '"\${wl}$exp_sym_flag:\$export_symbols $shared_flag"
+        else
+          if test "$host_cpu" = ia64; then
+	    _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-R $libdir:/usr/lib:/lib'
+	    _LT_TAGVAR(allow_undefined_flag, $1)="-z nodefs"
+	    _LT_TAGVAR(archive_expsym_cmds, $1)="\$CC $shared_flag"' -o $output_objdir/$soname $libobjs $deplibs '"\${wl}$no_entry_flag"' $compiler_flags ${wl}${allow_undefined_flag} '"\${wl}$exp_sym_flag:\$export_symbols"
+          else
+	    # Determine the default libpath from the value encoded in an
+	    # empty executable.
+	    _LT_SYS_MODULE_PATH_AIX([$1])
+	    _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-blibpath:$libdir:'"$aix_libpath"
+	    # Warning - without using the other run time loading flags,
+	    # -berok will link without error, but may produce a broken library.
+	    _LT_TAGVAR(no_undefined_flag, $1)=' ${wl}-bernotok'
+	    _LT_TAGVAR(allow_undefined_flag, $1)=' ${wl}-berok'
+	    if test "$with_gnu_ld" = yes; then
+	      # We only use this code for GNU lds that support --whole-archive.
+	      _LT_TAGVAR(whole_archive_flag_spec, $1)='${wl}--whole-archive$convenience ${wl}--no-whole-archive'
+	    else
+	      # Exported symbols can be pulled into shared objects from archives
+	      _LT_TAGVAR(whole_archive_flag_spec, $1)='$convenience'
+	    fi
+	    _LT_TAGVAR(archive_cmds_need_lc, $1)=yes
+	    # This is similar to how AIX traditionally builds its shared
+	    # libraries.
+	    _LT_TAGVAR(archive_expsym_cmds, $1)="\$CC $shared_flag"' -o $output_objdir/$soname $libobjs $deplibs ${wl}-bnoentry $compiler_flags ${wl}-bE:$export_symbols${allow_undefined_flag}~$AR $AR_FLAGS $output_objdir/$libname$release.a $output_objdir/$soname'
+          fi
+        fi
+        ;;
+
+      beos*)
+	if $LD --help 2>&1 | $GREP ': supported targets:.* elf' > /dev/null; then
+	  _LT_TAGVAR(allow_undefined_flag, $1)=unsupported
+	  # Joseph Beckenbach <jrb3 at best.com> says some releases of gcc
+	  # support --undefined.  This deserves some investigation.  FIXME
+	  _LT_TAGVAR(archive_cmds, $1)='$CC -nostart $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname -o $lib'
+	else
+	  _LT_TAGVAR(ld_shlibs, $1)=no
+	fi
+	;;
+
+      chorus*)
+        case $cc_basename in
+          *)
+	  # FIXME: insert proper C++ library support
+	  _LT_TAGVAR(ld_shlibs, $1)=no
+	  ;;
+        esac
+        ;;
+
+      cygwin* | mingw* | pw32* | cegcc*)
+	case $GXX,$cc_basename in
+	,cl* | no,cl*)
+	  # Native MSVC
+	  # hardcode_libdir_flag_spec is actually meaningless, as there is
+	  # no search path for DLLs.
+	  _LT_TAGVAR(hardcode_libdir_flag_spec, $1)=' '
+	  _LT_TAGVAR(allow_undefined_flag, $1)=unsupported
+	  _LT_TAGVAR(always_export_symbols, $1)=yes
+	  _LT_TAGVAR(file_list_spec, $1)='@'
+	  # Tell ltmain to make .lib files, not .a files.
+	  libext=lib
+	  # Tell ltmain to make .dll files, not .so files.
+	  shrext_cmds=".dll"
+	  # FIXME: Setting linknames here is a bad hack.
+	  _LT_TAGVAR(archive_cmds, $1)='$CC -o $output_objdir/$soname $libobjs $compiler_flags $deplibs -Wl,-dll~linknames='
+	  _LT_TAGVAR(archive_expsym_cmds, $1)='if test "x`$SED 1q $export_symbols`" = xEXPORTS; then
+	      $SED -n -e 's/\\\\\\\(.*\\\\\\\)/-link\\\ -EXPORT:\\\\\\\1/' -e '1\\\!p' < $export_symbols > $output_objdir/$soname.exp;
+	    else
+	      $SED -e 's/\\\\\\\(.*\\\\\\\)/-link\\\ -EXPORT:\\\\\\\1/' < $export_symbols > $output_objdir/$soname.exp;
+	    fi~
+	    $CC -o $tool_output_objdir$soname $libobjs $compiler_flags $deplibs "@$tool_output_objdir$soname.exp" -Wl,-DLL,-IMPLIB:"$tool_output_objdir$libname.dll.lib"~
+	    linknames='
+	  # The linker will not automatically build a static lib if we build a DLL.
+	  # _LT_TAGVAR(old_archive_from_new_cmds, $1)='true'
+	  _LT_TAGVAR(enable_shared_with_static_runtimes, $1)=yes
+	  # Don't use ranlib
+	  _LT_TAGVAR(old_postinstall_cmds, $1)='chmod 644 $oldlib'
+	  _LT_TAGVAR(postlink_cmds, $1)='lt_outputfile="@OUTPUT@"~
+	    lt_tool_outputfile="@TOOL_OUTPUT@"~
+	    case $lt_outputfile in
+	      *.exe|*.EXE) ;;
+	      *)
+		lt_outputfile="$lt_outputfile.exe"
+		lt_tool_outputfile="$lt_tool_outputfile.exe"
+		;;
+	    esac~
+	    func_to_tool_file "$lt_outputfile"~
+	    if test "$MANIFEST_TOOL" != ":" && test -f "$lt_outputfile.manifest"; then
+	      $MANIFEST_TOOL -manifest "$lt_tool_outputfile.manifest" -outputresource:"$lt_tool_outputfile" || exit 1;
+	      $RM "$lt_outputfile.manifest";
+	    fi'
+	  ;;
+	*)
+	  # g++
+	  # _LT_TAGVAR(hardcode_libdir_flag_spec, $1) is actually meaningless,
+	  # as there is no search path for DLLs.
+	  _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='-L$libdir'
+	  _LT_TAGVAR(export_dynamic_flag_spec, $1)='${wl}--export-all-symbols'
+	  _LT_TAGVAR(allow_undefined_flag, $1)=unsupported
+	  _LT_TAGVAR(always_export_symbols, $1)=no
+	  _LT_TAGVAR(enable_shared_with_static_runtimes, $1)=yes
+
+	  if $LD --help 2>&1 | $GREP 'auto-import' > /dev/null; then
+	    _LT_TAGVAR(archive_cmds, $1)='$CC -shared -nostdlib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags -o $output_objdir/$soname ${wl}--enable-auto-image-base -Xlinker --out-implib -Xlinker $lib'
+	    # If the export-symbols file already is a .def file (1st line
+	    # is EXPORTS), use it as is; otherwise, prepend...
+	    _LT_TAGVAR(archive_expsym_cmds, $1)='if test "x`$SED 1q $export_symbols`" = xEXPORTS; then
+	      cp $export_symbols $output_objdir/$soname.def;
+	    else
+	      echo EXPORTS > $output_objdir/$soname.def;
+	      cat $export_symbols >> $output_objdir/$soname.def;
+	    fi~
+	    $CC -shared -nostdlib $output_objdir/$soname.def $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags -o $output_objdir/$soname ${wl}--enable-auto-image-base -Xlinker --out-implib -Xlinker $lib'
+	  else
+	    _LT_TAGVAR(ld_shlibs, $1)=no
+	  fi
+	  ;;
+	esac
+	;;
+      darwin* | rhapsody*)
+        _LT_DARWIN_LINKER_FEATURES($1)
+	;;
+
+      dgux*)
+        case $cc_basename in
+          ec++*)
+	    # FIXME: insert proper C++ library support
+	    _LT_TAGVAR(ld_shlibs, $1)=no
+	    ;;
+          ghcx*)
+	    # Green Hills C++ Compiler
+	    # FIXME: insert proper C++ library support
+	    _LT_TAGVAR(ld_shlibs, $1)=no
+	    ;;
+          *)
+	    # FIXME: insert proper C++ library support
+	    _LT_TAGVAR(ld_shlibs, $1)=no
+	    ;;
+        esac
+        ;;
+
+      freebsd2.*)
+        # C++ shared libraries reported to be fairly broken before
+	# switch to ELF
+        _LT_TAGVAR(ld_shlibs, $1)=no
+        ;;
+
+      freebsd-elf*)
+        _LT_TAGVAR(archive_cmds_need_lc, $1)=no
+        ;;
+
+      freebsd* | dragonfly*)
+        # FreeBSD 3 and later use GNU C++ and GNU ld with standard ELF
+        # conventions
+        _LT_TAGVAR(ld_shlibs, $1)=yes
+        ;;
+
+      haiku*)
+        _LT_TAGVAR(archive_cmds, $1)='$CC -shared $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname -o $lib'
+        _LT_TAGVAR(link_all_deplibs, $1)=yes
+        ;;
+
+      hpux9*)
+        _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}+b ${wl}$libdir'
+        _LT_TAGVAR(hardcode_libdir_separator, $1)=:
+        _LT_TAGVAR(export_dynamic_flag_spec, $1)='${wl}-E'
+        _LT_TAGVAR(hardcode_direct, $1)=yes
+        _LT_TAGVAR(hardcode_minus_L, $1)=yes # Not in the search PATH,
+				             # but as the default
+				             # location of the library.
+
+        case $cc_basename in
+          CC*)
+            # FIXME: insert proper C++ library support
+            _LT_TAGVAR(ld_shlibs, $1)=no
+            ;;
+          aCC*)
+            _LT_TAGVAR(archive_cmds, $1)='$RM $output_objdir/$soname~$CC -b ${wl}+b ${wl}$install_libdir -o $output_objdir/$soname $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags~test $output_objdir/$soname = $lib || mv $output_objdir/$soname $lib'
+            # Commands to make compiler produce verbose output that lists
+            # what "hidden" libraries, object files and flags are used when
+            # linking a shared library.
+            #
+            # There doesn't appear to be a way to prevent this compiler from
+            # explicitly linking system object files so we need to strip them
+            # from the output so that they don't get included in the library
+            # dependencies.
+            output_verbose_link_cmd='templist=`($CC -b $CFLAGS -v conftest.$objext 2>&1) | $EGREP "\-L"`; list=""; for z in $templist; do case $z in conftest.$objext) list="$list $z";; *.$objext);; *) list="$list $z";;esac; done; func_echo_all "$list"'
+            ;;
+          *)
+            if test "$GXX" = yes; then
+              _LT_TAGVAR(archive_cmds, $1)='$RM $output_objdir/$soname~$CC -shared -nostdlib $pic_flag ${wl}+b ${wl}$install_libdir -o $output_objdir/$soname $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags~test $output_objdir/$soname = $lib || mv $output_objdir/$soname $lib'
+            else
+              # FIXME: insert proper C++ library support
+              _LT_TAGVAR(ld_shlibs, $1)=no
+            fi
+            ;;
+        esac
+        ;;
+
+      hpux10*|hpux11*)
+        if test $with_gnu_ld = no; then
+	  _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}+b ${wl}$libdir'
+	  _LT_TAGVAR(hardcode_libdir_separator, $1)=:
+
+          case $host_cpu in
+            hppa*64*|ia64*)
+              ;;
+            *)
+	      _LT_TAGVAR(export_dynamic_flag_spec, $1)='${wl}-E'
+              ;;
+          esac
+        fi
+        case $host_cpu in
+          hppa*64*|ia64*)
+            _LT_TAGVAR(hardcode_direct, $1)=no
+            _LT_TAGVAR(hardcode_shlibpath_var, $1)=no
+            ;;
+          *)
+            _LT_TAGVAR(hardcode_direct, $1)=yes
+            _LT_TAGVAR(hardcode_direct_absolute, $1)=yes
+            _LT_TAGVAR(hardcode_minus_L, $1)=yes # Not in the search PATH,
+					         # but as the default
+					         # location of the library.
+            ;;
+        esac
+
+        case $cc_basename in
+          CC*)
+	    # FIXME: insert proper C++ library support
+	    _LT_TAGVAR(ld_shlibs, $1)=no
+	    ;;
+          aCC*)
+	    case $host_cpu in
+	      hppa*64*)
+	        _LT_TAGVAR(archive_cmds, $1)='$CC -b ${wl}+h ${wl}$soname -o $lib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags'
+	        ;;
+	      ia64*)
+	        _LT_TAGVAR(archive_cmds, $1)='$CC -b ${wl}+h ${wl}$soname ${wl}+nodefaultrpath -o $lib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags'
+	        ;;
+	      *)
+	        _LT_TAGVAR(archive_cmds, $1)='$CC -b ${wl}+h ${wl}$soname ${wl}+b ${wl}$install_libdir -o $lib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags'
+	        ;;
+	    esac
+	    # Commands to make compiler produce verbose output that lists
+	    # what "hidden" libraries, object files and flags are used when
+	    # linking a shared library.
+	    #
+	    # There doesn't appear to be a way to prevent this compiler from
+	    # explicitly linking system object files so we need to strip them
+	    # from the output so that they don't get included in the library
+	    # dependencies.
+	    output_verbose_link_cmd='templist=`($CC -b $CFLAGS -v conftest.$objext 2>&1) | $GREP "\-L"`; list=""; for z in $templist; do case $z in conftest.$objext) list="$list $z";; *.$objext);; *) list="$list $z";;esac; done; func_echo_all "$list"'
+	    ;;
+          *)
+	    if test "$GXX" = yes; then
+	      if test $with_gnu_ld = no; then
+	        case $host_cpu in
+	          hppa*64*)
+	            _LT_TAGVAR(archive_cmds, $1)='$CC -shared -nostdlib -fPIC ${wl}+h ${wl}$soname -o $lib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags'
+	            ;;
+	          ia64*)
+	            _LT_TAGVAR(archive_cmds, $1)='$CC -shared -nostdlib $pic_flag ${wl}+h ${wl}$soname ${wl}+nodefaultrpath -o $lib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags'
+	            ;;
+	          *)
+	            _LT_TAGVAR(archive_cmds, $1)='$CC -shared -nostdlib $pic_flag ${wl}+h ${wl}$soname ${wl}+b ${wl}$install_libdir -o $lib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags'
+	            ;;
+	        esac
+	      fi
+	    else
+	      # FIXME: insert proper C++ library support
+	      _LT_TAGVAR(ld_shlibs, $1)=no
+	    fi
+	    ;;
+        esac
+        ;;
+
+      interix[[3-9]]*)
+	_LT_TAGVAR(hardcode_direct, $1)=no
+	_LT_TAGVAR(hardcode_shlibpath_var, $1)=no
+	_LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-rpath,$libdir'
+	_LT_TAGVAR(export_dynamic_flag_spec, $1)='${wl}-E'
+	# Hack: On Interix 3.x, we cannot compile PIC because of a broken gcc.
+	# Instead, shared libraries are loaded at an image base (0x10000000 by
+	# default) and relocated if they conflict, which is a slow very memory
+	# consuming and fragmenting process.  To avoid this, we pick a random,
+	# 256 KiB-aligned image base between 0x50000000 and 0x6FFC0000 at link
+	# time.  Moving up from 0x10000000 also allows more sbrk(2) space.
+	_LT_TAGVAR(archive_cmds, $1)='$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-h,$soname ${wl}--image-base,`expr ${RANDOM-$$} % 4096 / 2 \* 262144 + 1342177280` -o $lib'
+	_LT_TAGVAR(archive_expsym_cmds, $1)='sed "s,^,_," $export_symbols >$output_objdir/$soname.expsym~$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-h,$soname ${wl}--retain-symbols-file,$output_objdir/$soname.expsym ${wl}--image-base,`expr ${RANDOM-$$} % 4096 / 2 \* 262144 + 1342177280` -o $lib'
+	;;
+      irix5* | irix6*)
+        case $cc_basename in
+          CC*)
+	    # SGI C++
+	    _LT_TAGVAR(archive_cmds, $1)='$CC -shared -all -multigot $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags -soname $soname `test -n "$verstring" && func_echo_all "-set_version $verstring"` -update_registry ${output_objdir}/so_locations -o $lib'
+
+	    # Archives containing C++ object files must be created using
+	    # "CC -ar", where "CC" is the IRIX C++ compiler.  This is
+	    # necessary to make sure instantiated templates are included
+	    # in the archive.
+	    _LT_TAGVAR(old_archive_cmds, $1)='$CC -ar -WR,-u -o $oldlib $oldobjs'
+	    ;;
+          *)
+	    if test "$GXX" = yes; then
+	      if test "$with_gnu_ld" = no; then
+	        _LT_TAGVAR(archive_cmds, $1)='$CC -shared $pic_flag -nostdlib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && func_echo_all "${wl}-set_version ${wl}$verstring"` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
+	      else
+	        _LT_TAGVAR(archive_cmds, $1)='$CC -shared $pic_flag -nostdlib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && func_echo_all "${wl}-set_version ${wl}$verstring"` -o $lib'
+	      fi
+	    fi
+	    _LT_TAGVAR(link_all_deplibs, $1)=yes
+	    ;;
+        esac
+        _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-rpath ${wl}$libdir'
+        _LT_TAGVAR(hardcode_libdir_separator, $1)=:
+        _LT_TAGVAR(inherit_rpath, $1)=yes
+        ;;
+
+      linux* | k*bsd*-gnu | kopensolaris*-gnu | gnu*)
+        case $cc_basename in
+          KCC*)
+	    # Kuck and Associates, Inc. (KAI) C++ Compiler
+
+	    # KCC will only create a shared library if the output file
+	    # ends with ".so" (or ".sl" for HP-UX), so rename the library
+	    # to its proper name (with version) after linking.
+	    _LT_TAGVAR(archive_cmds, $1)='tempext=`echo $shared_ext | $SED -e '\''s/\([[^()0-9A-Za-z{}]]\)/\\\\\1/g'\''`; templib=`echo $lib | $SED -e "s/\${tempext}\..*/.so/"`; $CC $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags --soname $soname -o \$templib; mv \$templib $lib'
+	    _LT_TAGVAR(archive_expsym_cmds, $1)='tempext=`echo $shared_ext | $SED -e '\''s/\([[^()0-9A-Za-z{}]]\)/\\\\\1/g'\''`; templib=`echo $lib | $SED -e "s/\${tempext}\..*/.so/"`; $CC $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags --soname $soname -o \$templib ${wl}-retain-symbols-file,$export_symbols; mv \$templib $lib'
+	    # Commands to make compiler produce verbose output that lists
+	    # what "hidden" libraries, object files and flags are used when
+	    # linking a shared library.
+	    #
+	    # There doesn't appear to be a way to prevent this compiler from
+	    # explicitly linking system object files so we need to strip them
+	    # from the output so that they don't get included in the library
+	    # dependencies.
+	    output_verbose_link_cmd='templist=`$CC $CFLAGS -v conftest.$objext -o libconftest$shared_ext 2>&1 | $GREP "ld"`; rm -f libconftest$shared_ext; list=""; for z in $templist; do case $z in conftest.$objext) list="$list $z";; *.$objext);; *) list="$list $z";;esac; done; func_echo_all "$list"'
+
+	    _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-rpath,$libdir'
+	    _LT_TAGVAR(export_dynamic_flag_spec, $1)='${wl}--export-dynamic'
+
+	    # Archives containing C++ object files must be created using
+	    # "CC -Bstatic", where "CC" is the KAI C++ compiler.
+	    _LT_TAGVAR(old_archive_cmds, $1)='$CC -Bstatic -o $oldlib $oldobjs'
+	    ;;
+	  icpc* | ecpc* )
+	    # Intel C++
+	    with_gnu_ld=yes
+	    # version 8.0 and above of icpc choke on multiply defined symbols
+	    # if we add $predep_objects and $postdep_objects, however 7.1 and
+	    # earlier do not add the objects themselves.
+	    case `$CC -V 2>&1` in
+	      *"Version 7."*)
+	        _LT_TAGVAR(archive_cmds, $1)='$CC -shared $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-soname $wl$soname -o $lib'
+		_LT_TAGVAR(archive_expsym_cmds, $1)='$CC -shared $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-soname $wl$soname ${wl}-retain-symbols-file $wl$export_symbols -o $lib'
+		;;
+	      *)  # Version 8.0 or newer
+	        tmp_idyn=
+	        case $host_cpu in
+		  ia64*) tmp_idyn=' -i_dynamic';;
+		esac
+	        _LT_TAGVAR(archive_cmds, $1)='$CC -shared'"$tmp_idyn"' $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname -o $lib'
+		_LT_TAGVAR(archive_expsym_cmds, $1)='$CC -shared'"$tmp_idyn"' $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname ${wl}-retain-symbols-file $wl$export_symbols -o $lib'
+		;;
+	    esac
+	    _LT_TAGVAR(archive_cmds_need_lc, $1)=no
+	    _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-rpath,$libdir'
+	    _LT_TAGVAR(export_dynamic_flag_spec, $1)='${wl}--export-dynamic'
+	    _LT_TAGVAR(whole_archive_flag_spec, $1)='${wl}--whole-archive$convenience ${wl}--no-whole-archive'
+	    ;;
+          pgCC* | pgcpp*)
+            # Portland Group C++ compiler
+	    case `$CC -V` in
+	    *pgCC\ [[1-5]].* | *pgcpp\ [[1-5]].*)
+	      _LT_TAGVAR(prelink_cmds, $1)='tpldir=Template.dir~
+		rm -rf $tpldir~
+		$CC --prelink_objects --instantiation_dir $tpldir $objs $libobjs $compile_deplibs~
+		compile_command="$compile_command `find $tpldir -name \*.o | sort | $NL2SP`"'
+	      _LT_TAGVAR(old_archive_cmds, $1)='tpldir=Template.dir~
+		rm -rf $tpldir~
+		$CC --prelink_objects --instantiation_dir $tpldir $oldobjs$old_deplibs~
+		$AR $AR_FLAGS $oldlib$oldobjs$old_deplibs `find $tpldir -name \*.o | sort | $NL2SP`~
+		$RANLIB $oldlib'
+	      _LT_TAGVAR(archive_cmds, $1)='tpldir=Template.dir~
+		rm -rf $tpldir~
+		$CC --prelink_objects --instantiation_dir $tpldir $predep_objects $libobjs $deplibs $convenience $postdep_objects~
+		$CC -shared $pic_flag $predep_objects $libobjs $deplibs `find $tpldir -name \*.o | sort | $NL2SP` $postdep_objects $compiler_flags ${wl}-soname ${wl}$soname -o $lib'
+	      _LT_TAGVAR(archive_expsym_cmds, $1)='tpldir=Template.dir~
+		rm -rf $tpldir~
+		$CC --prelink_objects --instantiation_dir $tpldir $predep_objects $libobjs $deplibs $convenience $postdep_objects~
+		$CC -shared $pic_flag $predep_objects $libobjs $deplibs `find $tpldir -name \*.o | sort | $NL2SP` $postdep_objects $compiler_flags ${wl}-soname ${wl}$soname ${wl}-retain-symbols-file ${wl}$export_symbols -o $lib'
+	      ;;
+	    *) # Version 6 and above use weak symbols
+	      _LT_TAGVAR(archive_cmds, $1)='$CC -shared $pic_flag $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-soname ${wl}$soname -o $lib'
+	      _LT_TAGVAR(archive_expsym_cmds, $1)='$CC -shared $pic_flag $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-soname ${wl}$soname ${wl}-retain-symbols-file ${wl}$export_symbols -o $lib'
+	      ;;
+	    esac
+
+	    _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}--rpath ${wl}$libdir'
+	    _LT_TAGVAR(export_dynamic_flag_spec, $1)='${wl}--export-dynamic'
+	    _LT_TAGVAR(whole_archive_flag_spec, $1)='${wl}--whole-archive`for conv in $convenience\"\"; do test  -n \"$conv\" && new_convenience=\"$new_convenience,$conv\"; done; func_echo_all \"$new_convenience\"` ${wl}--no-whole-archive'
+            ;;
+	  cxx*)
+	    # Compaq C++
+	    _LT_TAGVAR(archive_cmds, $1)='$CC -shared $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-soname $wl$soname -o $lib'
+	    _LT_TAGVAR(archive_expsym_cmds, $1)='$CC -shared $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-soname $wl$soname  -o $lib ${wl}-retain-symbols-file $wl$export_symbols'
+
+	    runpath_var=LD_RUN_PATH
+	    _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='-rpath $libdir'
+	    _LT_TAGVAR(hardcode_libdir_separator, $1)=:
+
+	    # Commands to make compiler produce verbose output that lists
+	    # what "hidden" libraries, object files and flags are used when
+	    # linking a shared library.
+	    #
+	    # There doesn't appear to be a way to prevent this compiler from
+	    # explicitly linking system object files so we need to strip them
+	    # from the output so that they don't get included in the library
+	    # dependencies.
+	    output_verbose_link_cmd='templist=`$CC -shared $CFLAGS -v conftest.$objext 2>&1 | $GREP "ld"`; templist=`func_echo_all "$templist" | $SED "s/\(^.*ld.*\)\( .*ld .*$\)/\1/"`; list=""; for z in $templist; do case $z in conftest.$objext) list="$list $z";; *.$objext);; *) list="$list $z";;esac; done; func_echo_all "X$list" | $Xsed'
+	    ;;
+	  xl* | mpixl* | bgxl*)
+	    # IBM XL 8.0 on PPC, with GNU ld
+	    _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-rpath ${wl}$libdir'
+	    _LT_TAGVAR(export_dynamic_flag_spec, $1)='${wl}--export-dynamic'
+	    _LT_TAGVAR(archive_cmds, $1)='$CC -qmkshrobj $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname -o $lib'
+	    if test "x$supports_anon_versioning" = xyes; then
+	      _LT_TAGVAR(archive_expsym_cmds, $1)='echo "{ global:" > $output_objdir/$libname.ver~
+		cat $export_symbols | sed -e "s/\(.*\)/\1;/" >> $output_objdir/$libname.ver~
+		echo "local: *; };" >> $output_objdir/$libname.ver~
+		$CC -qmkshrobj $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname ${wl}-version-script ${wl}$output_objdir/$libname.ver -o $lib'
+	    fi
+	    ;;
+	  *)
+	    case `$CC -V 2>&1 | sed 5q` in
+	    *Sun\ C*)
+	      # Sun C++ 5.9
+	      _LT_TAGVAR(no_undefined_flag, $1)=' -zdefs'
+	      _LT_TAGVAR(archive_cmds, $1)='$CC -G${allow_undefined_flag} -h$soname -o $lib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags'
+	      _LT_TAGVAR(archive_expsym_cmds, $1)='$CC -G${allow_undefined_flag} -h$soname -o $lib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-retain-symbols-file ${wl}$export_symbols'
+	      _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='-R$libdir'
+	      _LT_TAGVAR(whole_archive_flag_spec, $1)='${wl}--whole-archive`new_convenience=; for conv in $convenience\"\"; do test -z \"$conv\" || new_convenience=\"$new_convenience,$conv\"; done; func_echo_all \"$new_convenience\"` ${wl}--no-whole-archive'
+	      _LT_TAGVAR(compiler_needs_object, $1)=yes
+
+	      # Not sure whether something based on
+	      # $CC $CFLAGS -v conftest.$objext -o libconftest$shared_ext 2>&1
+	      # would be better.
+	      output_verbose_link_cmd='func_echo_all'
+
+	      # Archives containing C++ object files must be created using
+	      # "CC -xar", where "CC" is the Sun C++ compiler.  This is
+	      # necessary to make sure instantiated templates are included
+	      # in the archive.
+	      _LT_TAGVAR(old_archive_cmds, $1)='$CC -xar -o $oldlib $oldobjs'
+	      ;;
+	    esac
+	    ;;
+	esac
+	;;
+
+      lynxos*)
+        # FIXME: insert proper C++ library support
+	_LT_TAGVAR(ld_shlibs, $1)=no
+	;;
+
+      m88k*)
+        # FIXME: insert proper C++ library support
+        _LT_TAGVAR(ld_shlibs, $1)=no
+	;;
+
+      mvs*)
+        case $cc_basename in
+          cxx*)
+	    # FIXME: insert proper C++ library support
+	    _LT_TAGVAR(ld_shlibs, $1)=no
+	    ;;
+	  *)
+	    # FIXME: insert proper C++ library support
+	    _LT_TAGVAR(ld_shlibs, $1)=no
+	    ;;
+	esac
+	;;
+
+      netbsd*)
+        if echo __ELF__ | $CC -E - | $GREP __ELF__ >/dev/null; then
+	  _LT_TAGVAR(archive_cmds, $1)='$LD -Bshareable  -o $lib $predep_objects $libobjs $deplibs $postdep_objects $linker_flags'
+	  wlarc=
+	  _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='-R$libdir'
+	  _LT_TAGVAR(hardcode_direct, $1)=yes
+	  _LT_TAGVAR(hardcode_shlibpath_var, $1)=no
+	fi
+	# Workaround some broken pre-1.5 toolchains
+	output_verbose_link_cmd='$CC -shared $CFLAGS -v conftest.$objext 2>&1 | $GREP conftest.$objext | $SED -e "s:-lgcc -lc -lgcc::"'
+	;;
+
+      *nto* | *qnx*)
+        _LT_TAGVAR(ld_shlibs, $1)=yes
+	;;
+
+      openbsd2*)
+        # C++ shared libraries are fairly broken
+	_LT_TAGVAR(ld_shlibs, $1)=no
+	;;
+
+      openbsd*)
+	if test -f /usr/libexec/ld.so; then
+	  _LT_TAGVAR(hardcode_direct, $1)=yes
+	  _LT_TAGVAR(hardcode_shlibpath_var, $1)=no
+	  _LT_TAGVAR(hardcode_direct_absolute, $1)=yes
+	  _LT_TAGVAR(archive_cmds, $1)='$CC -shared $pic_flag $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags -o $lib'
+	  _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-rpath,$libdir'
+	  if test -z "`echo __ELF__ | $CC -E - | grep __ELF__`" || test "$host_os-$host_cpu" = "openbsd2.8-powerpc"; then
+	    _LT_TAGVAR(archive_expsym_cmds, $1)='$CC -shared $pic_flag $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-retain-symbols-file,$export_symbols -o $lib'
+	    _LT_TAGVAR(export_dynamic_flag_spec, $1)='${wl}-E'
+	    _LT_TAGVAR(whole_archive_flag_spec, $1)="$wlarc"'--whole-archive$convenience '"$wlarc"'--no-whole-archive'
+	  fi
+	  output_verbose_link_cmd=func_echo_all
+	else
+	  _LT_TAGVAR(ld_shlibs, $1)=no
+	fi
+	;;
+
+      osf3* | osf4* | osf5*)
+        case $cc_basename in
+          KCC*)
+	    # Kuck and Associates, Inc. (KAI) C++ Compiler
+
+	    # KCC will only create a shared library if the output file
+	    # ends with ".so" (or ".sl" for HP-UX), so rename the library
+	    # to its proper name (with version) after linking.
+	    _LT_TAGVAR(archive_cmds, $1)='tempext=`echo $shared_ext | $SED -e '\''s/\([[^()0-9A-Za-z{}]]\)/\\\\\1/g'\''`; templib=`echo "$lib" | $SED -e "s/\${tempext}\..*/.so/"`; $CC $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags --soname $soname -o \$templib; mv \$templib $lib'
+
+	    _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-rpath,$libdir'
+	    _LT_TAGVAR(hardcode_libdir_separator, $1)=:
+
+	    # Archives containing C++ object files must be created using
+	    # the KAI C++ compiler.
+	    case $host in
+	      osf3*) _LT_TAGVAR(old_archive_cmds, $1)='$CC -Bstatic -o $oldlib $oldobjs' ;;
+	      *) _LT_TAGVAR(old_archive_cmds, $1)='$CC -o $oldlib $oldobjs' ;;
+	    esac
+	    ;;
+          RCC*)
+	    # Rational C++ 2.4.1
+	    # FIXME: insert proper C++ library support
+	    _LT_TAGVAR(ld_shlibs, $1)=no
+	    ;;
+          cxx*)
+	    case $host in
+	      osf3*)
+	        _LT_TAGVAR(allow_undefined_flag, $1)=' ${wl}-expect_unresolved ${wl}\*'
+	        _LT_TAGVAR(archive_cmds, $1)='$CC -shared${allow_undefined_flag} $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-soname $soname `test -n "$verstring" && func_echo_all "${wl}-set_version $verstring"` -update_registry ${output_objdir}/so_locations -o $lib'
+	        _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-rpath ${wl}$libdir'
+		;;
+	      *)
+	        _LT_TAGVAR(allow_undefined_flag, $1)=' -expect_unresolved \*'
+	        _LT_TAGVAR(archive_cmds, $1)='$CC -shared${allow_undefined_flag} $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags -msym -soname $soname `test -n "$verstring" && func_echo_all "-set_version $verstring"` -update_registry ${output_objdir}/so_locations -o $lib'
+	        _LT_TAGVAR(archive_expsym_cmds, $1)='for i in `cat $export_symbols`; do printf "%s %s\\n" -exported_symbol "\$i" >> $lib.exp; done~
+	          echo "-hidden">> $lib.exp~
+	          $CC -shared$allow_undefined_flag $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags -msym -soname $soname ${wl}-input ${wl}$lib.exp  `test -n "$verstring" && $ECHO "-set_version $verstring"` -update_registry ${output_objdir}/so_locations -o $lib~
+	          $RM $lib.exp'
+	        _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='-rpath $libdir'
+		;;
+	    esac
+
+	    _LT_TAGVAR(hardcode_libdir_separator, $1)=:
+
+	    # Commands to make compiler produce verbose output that lists
+	    # what "hidden" libraries, object files and flags are used when
+	    # linking a shared library.
+	    #
+	    # There doesn't appear to be a way to prevent this compiler from
+	    # explicitly linking system object files so we need to strip them
+	    # from the output so that they don't get included in the library
+	    # dependencies.
+	    output_verbose_link_cmd='templist=`$CC -shared $CFLAGS -v conftest.$objext 2>&1 | $GREP "ld" | $GREP -v "ld:"`; templist=`func_echo_all "$templist" | $SED "s/\(^.*ld.*\)\( .*ld.*$\)/\1/"`; list=""; for z in $templist; do case $z in conftest.$objext) list="$list $z";; *.$objext);; *) list="$list $z";;esac; done; func_echo_all "$list"'
+	    ;;
+	  *)
+	    if test "$GXX" = yes && test "$with_gnu_ld" = no; then
+	      _LT_TAGVAR(allow_undefined_flag, $1)=' ${wl}-expect_unresolved ${wl}\*'
+	      case $host in
+	        osf3*)
+	          _LT_TAGVAR(archive_cmds, $1)='$CC -shared -nostdlib ${allow_undefined_flag} $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && func_echo_all "${wl}-set_version ${wl}$verstring"` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
+		  ;;
+	        *)
+	          _LT_TAGVAR(archive_cmds, $1)='$CC -shared $pic_flag -nostdlib ${allow_undefined_flag} $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-msym ${wl}-soname ${wl}$soname `test -n "$verstring" && func_echo_all "${wl}-set_version ${wl}$verstring"` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
+		  ;;
+	      esac
+
+	      _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-rpath ${wl}$libdir'
+	      _LT_TAGVAR(hardcode_libdir_separator, $1)=:
+
+	      # Commands to make compiler produce verbose output that lists
+	      # what "hidden" libraries, object files and flags are used when
+	      # linking a shared library.
+	      output_verbose_link_cmd='$CC -shared $CFLAGS -v conftest.$objext 2>&1 | $GREP -v "^Configured with:" | $GREP "\-L"'
+
+	    else
+	      # FIXME: insert proper C++ library support
+	      _LT_TAGVAR(ld_shlibs, $1)=no
+	    fi
+	    ;;
+        esac
+        ;;
+
+      psos*)
+        # FIXME: insert proper C++ library support
+        _LT_TAGVAR(ld_shlibs, $1)=no
+        ;;
+
+      sunos4*)
+        case $cc_basename in
+          CC*)
+	    # Sun C++ 4.x
+	    # FIXME: insert proper C++ library support
+	    _LT_TAGVAR(ld_shlibs, $1)=no
+	    ;;
+          lcc*)
+	    # Lucid
+	    # FIXME: insert proper C++ library support
+	    _LT_TAGVAR(ld_shlibs, $1)=no
+	    ;;
+          *)
+	    # FIXME: insert proper C++ library support
+	    _LT_TAGVAR(ld_shlibs, $1)=no
+	    ;;
+        esac
+        ;;
+
+      solaris*)
+        case $cc_basename in
+          CC* | sunCC*)
+	    # Sun C++ 4.2, 5.x and Centerline C++
+            _LT_TAGVAR(archive_cmds_need_lc,$1)=yes
+	    _LT_TAGVAR(no_undefined_flag, $1)=' -zdefs'
+	    _LT_TAGVAR(archive_cmds, $1)='$CC -G${allow_undefined_flag}  -h$soname -o $lib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags'
+	    _LT_TAGVAR(archive_expsym_cmds, $1)='echo "{ global:" > $lib.exp~cat $export_symbols | $SED -e "s/\(.*\)/\1;/" >> $lib.exp~echo "local: *; };" >> $lib.exp~
+	      $CC -G${allow_undefined_flag} ${wl}-M ${wl}$lib.exp -h$soname -o $lib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags~$RM $lib.exp'
+
+	    _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='-R$libdir'
+	    _LT_TAGVAR(hardcode_shlibpath_var, $1)=no
+	    case $host_os in
+	      solaris2.[[0-5]] | solaris2.[[0-5]].*) ;;
+	      *)
+		# The compiler driver will combine and reorder linker options,
+		# but understands `-z linker_flag'.
+	        # Supported since Solaris 2.6 (maybe 2.5.1?)
+		_LT_TAGVAR(whole_archive_flag_spec, $1)='-z allextract$convenience -z defaultextract'
+	        ;;
+	    esac
+	    _LT_TAGVAR(link_all_deplibs, $1)=yes
+
+	    output_verbose_link_cmd='func_echo_all'
+
+	    # Archives containing C++ object files must be created using
+	    # "CC -xar", where "CC" is the Sun C++ compiler.  This is
+	    # necessary to make sure instantiated templates are included
+	    # in the archive.
+	    _LT_TAGVAR(old_archive_cmds, $1)='$CC -xar -o $oldlib $oldobjs'
+	    ;;
+          gcx*)
+	    # Green Hills C++ Compiler
+	    _LT_TAGVAR(archive_cmds, $1)='$CC -shared $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-h $wl$soname -o $lib'
+
+	    # The C++ compiler must be used to create the archive.
+	    _LT_TAGVAR(old_archive_cmds, $1)='$CC $LDFLAGS -archive -o $oldlib $oldobjs'
+	    ;;
+          *)
+	    # GNU C++ compiler with Solaris linker
+	    if test "$GXX" = yes && test "$with_gnu_ld" = no; then
+	      _LT_TAGVAR(no_undefined_flag, $1)=' ${wl}-z ${wl}defs'
+	      if $CC --version | $GREP -v '^2\.7' > /dev/null; then
+	        _LT_TAGVAR(archive_cmds, $1)='$CC -shared $pic_flag -nostdlib $LDFLAGS $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-h $wl$soname -o $lib'
+	        _LT_TAGVAR(archive_expsym_cmds, $1)='echo "{ global:" > $lib.exp~cat $export_symbols | $SED -e "s/\(.*\)/\1;/" >> $lib.exp~echo "local: *; };" >> $lib.exp~
+		  $CC -shared $pic_flag -nostdlib ${wl}-M $wl$lib.exp -o $lib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags~$RM $lib.exp'
+
+	        # Commands to make compiler produce verbose output that lists
+	        # what "hidden" libraries, object files and flags are used when
+	        # linking a shared library.
+	        output_verbose_link_cmd='$CC -shared $CFLAGS -v conftest.$objext 2>&1 | $GREP -v "^Configured with:" | $GREP "\-L"'
+	      else
+	        # g++ 2.7 appears to require `-G' NOT `-shared' on this
+	        # platform.
+	        _LT_TAGVAR(archive_cmds, $1)='$CC -G -nostdlib $LDFLAGS $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-h $wl$soname -o $lib'
+	        _LT_TAGVAR(archive_expsym_cmds, $1)='echo "{ global:" > $lib.exp~cat $export_symbols | $SED -e "s/\(.*\)/\1;/" >> $lib.exp~echo "local: *; };" >> $lib.exp~
+		  $CC -G -nostdlib ${wl}-M $wl$lib.exp -o $lib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags~$RM $lib.exp'
+
+	        # Commands to make compiler produce verbose output that lists
+	        # what "hidden" libraries, object files and flags are used when
+	        # linking a shared library.
+	        output_verbose_link_cmd='$CC -G $CFLAGS -v conftest.$objext 2>&1 | $GREP -v "^Configured with:" | $GREP "\-L"'
+	      fi
+
+	      _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-R $wl$libdir'
+	      case $host_os in
+		solaris2.[[0-5]] | solaris2.[[0-5]].*) ;;
+		*)
+		  _LT_TAGVAR(whole_archive_flag_spec, $1)='${wl}-z ${wl}allextract$convenience ${wl}-z ${wl}defaultextract'
+		  ;;
+	      esac
+	    fi
+	    ;;
+        esac
+        ;;
+
+    sysv4*uw2* | sysv5OpenUNIX* | sysv5UnixWare7.[[01]].[[10]]* | unixware7* | sco3.2v5.0.[[024]]*)
+      _LT_TAGVAR(no_undefined_flag, $1)='${wl}-z,text'
+      _LT_TAGVAR(archive_cmds_need_lc, $1)=no
+      _LT_TAGVAR(hardcode_shlibpath_var, $1)=no
+      runpath_var='LD_RUN_PATH'
+
+      case $cc_basename in
+        CC*)
+	  _LT_TAGVAR(archive_cmds, $1)='$CC -G ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+	  _LT_TAGVAR(archive_expsym_cmds, $1)='$CC -G ${wl}-Bexport:$export_symbols ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+	  ;;
+	*)
+	  _LT_TAGVAR(archive_cmds, $1)='$CC -shared ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+	  _LT_TAGVAR(archive_expsym_cmds, $1)='$CC -shared ${wl}-Bexport:$export_symbols ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+	  ;;
+      esac
+      ;;
+
+      sysv5* | sco3.2v5* | sco5v6*)
+	# Note: We can NOT use -z defs as we might desire, because we do not
+	# link with -lc, and that would cause any symbols used from libc to
+	# always be unresolved, which means just about no library would
+	# ever link correctly.  If we're not using GNU ld we use -z text
+	# though, which does catch some bad symbols but isn't as heavy-handed
+	# as -z defs.
+	_LT_TAGVAR(no_undefined_flag, $1)='${wl}-z,text'
+	_LT_TAGVAR(allow_undefined_flag, $1)='${wl}-z,nodefs'
+	_LT_TAGVAR(archive_cmds_need_lc, $1)=no
+	_LT_TAGVAR(hardcode_shlibpath_var, $1)=no
+	_LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-R,$libdir'
+	_LT_TAGVAR(hardcode_libdir_separator, $1)=':'
+	_LT_TAGVAR(link_all_deplibs, $1)=yes
+	_LT_TAGVAR(export_dynamic_flag_spec, $1)='${wl}-Bexport'
+	runpath_var='LD_RUN_PATH'
+
+	case $cc_basename in
+          CC*)
+	    _LT_TAGVAR(archive_cmds, $1)='$CC -G ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+	    _LT_TAGVAR(archive_expsym_cmds, $1)='$CC -G ${wl}-Bexport:$export_symbols ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+	    _LT_TAGVAR(old_archive_cmds, $1)='$CC -Tprelink_objects $oldobjs~
+	      '"$_LT_TAGVAR(old_archive_cmds, $1)"
+	    _LT_TAGVAR(reload_cmds, $1)='$CC -Tprelink_objects $reload_objs~
+	      '"$_LT_TAGVAR(reload_cmds, $1)"
+	    ;;
+	  *)
+	    _LT_TAGVAR(archive_cmds, $1)='$CC -shared ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+	    _LT_TAGVAR(archive_expsym_cmds, $1)='$CC -shared ${wl}-Bexport:$export_symbols ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+	    ;;
+	esac
+      ;;
+
+      tandem*)
+        case $cc_basename in
+          NCC*)
+	    # NonStop-UX NCC 3.20
+	    # FIXME: insert proper C++ library support
+	    _LT_TAGVAR(ld_shlibs, $1)=no
+	    ;;
+          *)
+	    # FIXME: insert proper C++ library support
+	    _LT_TAGVAR(ld_shlibs, $1)=no
+	    ;;
+        esac
+        ;;
+
+      vxworks*)
+        # FIXME: insert proper C++ library support
+        _LT_TAGVAR(ld_shlibs, $1)=no
+        ;;
+
+      *)
+        # FIXME: insert proper C++ library support
+        _LT_TAGVAR(ld_shlibs, $1)=no
+        ;;
+    esac
+
+    AC_MSG_RESULT([$_LT_TAGVAR(ld_shlibs, $1)])
+    test "$_LT_TAGVAR(ld_shlibs, $1)" = no && can_build_shared=no
+
+    _LT_TAGVAR(GCC, $1)="$GXX"
+    _LT_TAGVAR(LD, $1)="$LD"
+
+    ## CAVEAT EMPTOR:
+    ## There is no encapsulation within the following macros, do not change
+    ## the running order or otherwise move them around unless you know exactly
+    ## what you are doing...
+    _LT_SYS_HIDDEN_LIBDEPS($1)
+    _LT_COMPILER_PIC($1)
+    _LT_COMPILER_C_O($1)
+    _LT_COMPILER_FILE_LOCKS($1)
+    _LT_LINKER_SHLIBS($1)
+    _LT_SYS_DYNAMIC_LINKER($1)
+    _LT_LINKER_HARDCODE_LIBPATH($1)
+
+    _LT_CONFIG($1)
+  fi # test -n "$compiler"
+
+  CC=$lt_save_CC
+  CFLAGS=$lt_save_CFLAGS
+  LDCXX=$LD
+  LD=$lt_save_LD
+  GCC=$lt_save_GCC
+  with_gnu_ld=$lt_save_with_gnu_ld
+  lt_cv_path_LDCXX=$lt_cv_path_LD
+  lt_cv_path_LD=$lt_save_path_LD
+  lt_cv_prog_gnu_ldcxx=$lt_cv_prog_gnu_ld
+  lt_cv_prog_gnu_ld=$lt_save_with_gnu_ld
+fi # test "$_lt_caught_CXX_error" != yes
+
+AC_LANG_POP
+])# _LT_LANG_CXX_CONFIG
+
+
+# _LT_FUNC_STRIPNAME_CNF
+# ----------------------
+# func_stripname_cnf prefix suffix name
+# strip PREFIX and SUFFIX off of NAME.
+# PREFIX and SUFFIX must not contain globbing or regex special
+# characters, hashes, percent signs, but SUFFIX may contain a leading
+# dot (in which case that matches only a dot).
+#
+# This function is identical to the (non-XSI) version of func_stripname,
+# except this one can be used by m4 code that may be executed by configure,
+# rather than the libtool script.
+m4_defun([_LT_FUNC_STRIPNAME_CNF],[dnl
+AC_REQUIRE([_LT_DECL_SED])
+AC_REQUIRE([_LT_PROG_ECHO_BACKSLASH])
+func_stripname_cnf ()
+{
+  case ${2} in
+  .*) func_stripname_result=`$ECHO "${3}" | $SED "s%^${1}%%; s%\\\\${2}\$%%"`;;
+  *)  func_stripname_result=`$ECHO "${3}" | $SED "s%^${1}%%; s%${2}\$%%"`;;
+  esac
+} # func_stripname_cnf
+])# _LT_FUNC_STRIPNAME_CNF
+
+# _LT_SYS_HIDDEN_LIBDEPS([TAGNAME])
+# ---------------------------------
+# Figure out "hidden" library dependencies from verbose
+# compiler output when linking a shared library.
+# Parse the compiler output and extract the necessary
+# objects, libraries and library flags.
+m4_defun([_LT_SYS_HIDDEN_LIBDEPS],
+[m4_require([_LT_FILEUTILS_DEFAULTS])dnl
+AC_REQUIRE([_LT_FUNC_STRIPNAME_CNF])dnl
+# Dependencies to place before and after the object being linked:
+_LT_TAGVAR(predep_objects, $1)=
+_LT_TAGVAR(postdep_objects, $1)=
+_LT_TAGVAR(predeps, $1)=
+_LT_TAGVAR(postdeps, $1)=
+_LT_TAGVAR(compiler_lib_search_path, $1)=
+
+dnl we can't use the lt_simple_compile_test_code here,
+dnl because it contains code intended for an executable,
+dnl not a library.  It's possible we should let each
+dnl tag define a new lt_????_link_test_code variable,
+dnl but it's only used here...
+m4_if([$1], [], [cat > conftest.$ac_ext <<_LT_EOF
+int a;
+void foo (void) { a = 0; }
+_LT_EOF
+], [$1], [CXX], [cat > conftest.$ac_ext <<_LT_EOF
+class Foo
+{
+public:
+  Foo (void) { a = 0; }
+private:
+  int a;
+};
+_LT_EOF
+], [$1], [F77], [cat > conftest.$ac_ext <<_LT_EOF
+      subroutine foo
+      implicit none
+      integer*4 a
+      a=0
+      return
+      end
+_LT_EOF
+], [$1], [FC], [cat > conftest.$ac_ext <<_LT_EOF
+      subroutine foo
+      implicit none
+      integer a
+      a=0
+      return
+      end
+_LT_EOF
+], [$1], [GCJ], [cat > conftest.$ac_ext <<_LT_EOF
+public class foo {
+  private int a;
+  public void bar (void) {
+    a = 0;
+  }
+};
+_LT_EOF
+], [$1], [GO], [cat > conftest.$ac_ext <<_LT_EOF
+package foo
+func foo() {
+}
+_LT_EOF
+])
+
+_lt_libdeps_save_CFLAGS=$CFLAGS
+case "$CC $CFLAGS " in #(
+*\ -flto*\ *) CFLAGS="$CFLAGS -fno-lto" ;;
+*\ -fwhopr*\ *) CFLAGS="$CFLAGS -fno-whopr" ;;
+*\ -fuse-linker-plugin*\ *) CFLAGS="$CFLAGS -fno-use-linker-plugin" ;;
+esac
+
+dnl Parse the compiler output and extract the necessary
+dnl objects, libraries and library flags.
+if AC_TRY_EVAL(ac_compile); then
+  # Parse the compiler output and extract the necessary
+  # objects, libraries and library flags.
+
+  # Sentinel used to keep track of whether or not we are before
+  # the conftest object file.
+  pre_test_object_deps_done=no
+
+  for p in `eval "$output_verbose_link_cmd"`; do
+    case ${prev}${p} in
+
+    -L* | -R* | -l*)
+       # Some compilers place space between "-{L,R}" and the path.
+       # Remove the space.
+       if test $p = "-L" ||
+          test $p = "-R"; then
+	 prev=$p
+	 continue
+       fi
+
+       # Expand the sysroot to ease extracting the directories later.
+       if test -z "$prev"; then
+         case $p in
+         -L*) func_stripname_cnf '-L' '' "$p"; prev=-L; p=$func_stripname_result ;;
+         -R*) func_stripname_cnf '-R' '' "$p"; prev=-R; p=$func_stripname_result ;;
+         -l*) func_stripname_cnf '-l' '' "$p"; prev=-l; p=$func_stripname_result ;;
+         esac
+       fi
+       case $p in
+       =*) func_stripname_cnf '=' '' "$p"; p=$lt_sysroot$func_stripname_result ;;
+       esac
+       if test "$pre_test_object_deps_done" = no; then
+	 case ${prev} in
+	 -L | -R)
+	   # Internal compiler library paths should come after those
+	   # provided the user.  The postdeps already come after the
+	   # user supplied libs so there is no need to process them.
+	   if test -z "$_LT_TAGVAR(compiler_lib_search_path, $1)"; then
+	     _LT_TAGVAR(compiler_lib_search_path, $1)="${prev}${p}"
+	   else
+	     _LT_TAGVAR(compiler_lib_search_path, $1)="${_LT_TAGVAR(compiler_lib_search_path, $1)} ${prev}${p}"
+	   fi
+	   ;;
+	 # The "-l" case would never come before the object being
+	 # linked, so don't bother handling this case.
+	 esac
+       else
+	 if test -z "$_LT_TAGVAR(postdeps, $1)"; then
+	   _LT_TAGVAR(postdeps, $1)="${prev}${p}"
+	 else
+	   _LT_TAGVAR(postdeps, $1)="${_LT_TAGVAR(postdeps, $1)} ${prev}${p}"
+	 fi
+       fi
+       prev=
+       ;;
+
+    *.lto.$objext) ;; # Ignore GCC LTO objects
+    *.$objext)
+       # This assumes that the test object file only shows up
+       # once in the compiler output.
+       if test "$p" = "conftest.$objext"; then
+	 pre_test_object_deps_done=yes
+	 continue
+       fi
+
+       if test "$pre_test_object_deps_done" = no; then
+	 if test -z "$_LT_TAGVAR(predep_objects, $1)"; then
+	   _LT_TAGVAR(predep_objects, $1)="$p"
+	 else
+	   _LT_TAGVAR(predep_objects, $1)="$_LT_TAGVAR(predep_objects, $1) $p"
+	 fi
+       else
+	 if test -z "$_LT_TAGVAR(postdep_objects, $1)"; then
+	   _LT_TAGVAR(postdep_objects, $1)="$p"
+	 else
+	   _LT_TAGVAR(postdep_objects, $1)="$_LT_TAGVAR(postdep_objects, $1) $p"
+	 fi
+       fi
+       ;;
+
+    *) ;; # Ignore the rest.
+
+    esac
+  done
+
+  # Clean up.
+  rm -f a.out a.exe
+else
+  echo "libtool.m4: error: problem compiling $1 test program"
+fi
+
+$RM -f confest.$objext
+CFLAGS=$_lt_libdeps_save_CFLAGS
+
+# PORTME: override above test on systems where it is broken
+m4_if([$1], [CXX],
+[case $host_os in
+interix[[3-9]]*)
+  # Interix 3.5 installs completely hosed .la files for C++, so rather than
+  # hack all around it, let's just trust "g++" to DTRT.
+  _LT_TAGVAR(predep_objects,$1)=
+  _LT_TAGVAR(postdep_objects,$1)=
+  _LT_TAGVAR(postdeps,$1)=
+  ;;
+
+linux*)
+  case `$CC -V 2>&1 | sed 5q` in
+  *Sun\ C*)
+    # Sun C++ 5.9
+
+    # The more standards-conforming stlport4 library is
+    # incompatible with the Cstd library. Avoid specifying
+    # it if it's in CXXFLAGS. Ignore libCrun as
+    # -library=stlport4 depends on it.
+    case " $CXX $CXXFLAGS " in
+    *" -library=stlport4 "*)
+      solaris_use_stlport4=yes
+      ;;
+    esac
+
+    if test "$solaris_use_stlport4" != yes; then
+      _LT_TAGVAR(postdeps,$1)='-library=Cstd -library=Crun'
+    fi
+    ;;
+  esac
+  ;;
+
+solaris*)
+  case $cc_basename in
+  CC* | sunCC*)
+    # The more standards-conforming stlport4 library is
+    # incompatible with the Cstd library. Avoid specifying
+    # it if it's in CXXFLAGS. Ignore libCrun as
+    # -library=stlport4 depends on it.
+    case " $CXX $CXXFLAGS " in
+    *" -library=stlport4 "*)
+      solaris_use_stlport4=yes
+      ;;
+    esac
+
+    # Adding this requires a known-good setup of shared libraries for
+    # Sun compiler versions before 5.6, else PIC objects from an old
+    # archive will be linked into the output, leading to subtle bugs.
+    if test "$solaris_use_stlport4" != yes; then
+      _LT_TAGVAR(postdeps,$1)='-library=Cstd -library=Crun'
+    fi
+    ;;
+  esac
+  ;;
+esac
+])
+
+case " $_LT_TAGVAR(postdeps, $1) " in
+*" -lc "*) _LT_TAGVAR(archive_cmds_need_lc, $1)=no ;;
+esac
+ _LT_TAGVAR(compiler_lib_search_dirs, $1)=
+if test -n "${_LT_TAGVAR(compiler_lib_search_path, $1)}"; then
+ _LT_TAGVAR(compiler_lib_search_dirs, $1)=`echo " ${_LT_TAGVAR(compiler_lib_search_path, $1)}" | ${SED} -e 's! -L! !g' -e 's!^ !!'`
+fi
+_LT_TAGDECL([], [compiler_lib_search_dirs], [1],
+    [The directories searched by this compiler when creating a shared library])
+_LT_TAGDECL([], [predep_objects], [1],
+    [Dependencies to place before and after the objects being linked to
+    create a shared library])
+_LT_TAGDECL([], [postdep_objects], [1])
+_LT_TAGDECL([], [predeps], [1])
+_LT_TAGDECL([], [postdeps], [1])
+_LT_TAGDECL([], [compiler_lib_search_path], [1],
+    [The library search path used internally by the compiler when linking
+    a shared library])
+])# _LT_SYS_HIDDEN_LIBDEPS
+
+
+# _LT_LANG_F77_CONFIG([TAG])
+# --------------------------
+# Ensure that the configuration variables for a Fortran 77 compiler are
+# suitably defined.  These variables are subsequently used by _LT_CONFIG
+# to write the compiler configuration to `libtool'.
+m4_defun([_LT_LANG_F77_CONFIG],
+[AC_LANG_PUSH(Fortran 77)
+if test -z "$F77" || test "X$F77" = "Xno"; then
+  _lt_disable_F77=yes
+fi
+
+_LT_TAGVAR(archive_cmds_need_lc, $1)=no
+_LT_TAGVAR(allow_undefined_flag, $1)=
+_LT_TAGVAR(always_export_symbols, $1)=no
+_LT_TAGVAR(archive_expsym_cmds, $1)=
+_LT_TAGVAR(export_dynamic_flag_spec, $1)=
+_LT_TAGVAR(hardcode_direct, $1)=no
+_LT_TAGVAR(hardcode_direct_absolute, $1)=no
+_LT_TAGVAR(hardcode_libdir_flag_spec, $1)=
+_LT_TAGVAR(hardcode_libdir_separator, $1)=
+_LT_TAGVAR(hardcode_minus_L, $1)=no
+_LT_TAGVAR(hardcode_automatic, $1)=no
+_LT_TAGVAR(inherit_rpath, $1)=no
+_LT_TAGVAR(module_cmds, $1)=
+_LT_TAGVAR(module_expsym_cmds, $1)=
+_LT_TAGVAR(link_all_deplibs, $1)=unknown
+_LT_TAGVAR(old_archive_cmds, $1)=$old_archive_cmds
+_LT_TAGVAR(reload_flag, $1)=$reload_flag
+_LT_TAGVAR(reload_cmds, $1)=$reload_cmds
+_LT_TAGVAR(no_undefined_flag, $1)=
+_LT_TAGVAR(whole_archive_flag_spec, $1)=
+_LT_TAGVAR(enable_shared_with_static_runtimes, $1)=no
+
+# Source file extension for f77 test sources.
+ac_ext=f
+
+# Object file extension for compiled f77 test sources.
+objext=o
+_LT_TAGVAR(objext, $1)=$objext
+
+# No sense in running all these tests if we already determined that
+# the F77 compiler isn't working.  Some variables (like enable_shared)
+# are currently assumed to apply to all compilers on this platform,
+# and will be corrupted by setting them based on a non-working compiler.
+if test "$_lt_disable_F77" != yes; then
+  # Code to be used in simple compile tests
+  lt_simple_compile_test_code="\
+      subroutine t
+      return
+      end
+"
+
+  # Code to be used in simple link tests
+  lt_simple_link_test_code="\
+      program t
+      end
+"
+
+  # ltmain only uses $CC for tagged configurations so make sure $CC is set.
+  _LT_TAG_COMPILER
+
+  # save warnings/boilerplate of simple test code
+  _LT_COMPILER_BOILERPLATE
+  _LT_LINKER_BOILERPLATE
+
+  # Allow CC to be a program name with arguments.
+  lt_save_CC="$CC"
+  lt_save_GCC=$GCC
+  lt_save_CFLAGS=$CFLAGS
+  CC=${F77-"f77"}
+  CFLAGS=$FFLAGS
+  compiler=$CC
+  _LT_TAGVAR(compiler, $1)=$CC
+  _LT_CC_BASENAME([$compiler])
+  GCC=$G77
+  if test -n "$compiler"; then
+    AC_MSG_CHECKING([if libtool supports shared libraries])
+    AC_MSG_RESULT([$can_build_shared])
+
+    AC_MSG_CHECKING([whether to build shared libraries])
+    test "$can_build_shared" = "no" && enable_shared=no
+
+    # On AIX, shared libraries and static libraries use the same namespace, and
+    # are all built from PIC.
+    case $host_os in
+      aix3*)
+        test "$enable_shared" = yes && enable_static=no
+        if test -n "$RANLIB"; then
+          archive_cmds="$archive_cmds~\$RANLIB \$lib"
+          postinstall_cmds='$RANLIB $lib'
+        fi
+        ;;
+      aix[[4-9]]*)
+	if test "$host_cpu" != ia64 && test "$aix_use_runtimelinking" = no ; then
+	  test "$enable_shared" = yes && enable_static=no
+	fi
+        ;;
+    esac
+    AC_MSG_RESULT([$enable_shared])
+
+    AC_MSG_CHECKING([whether to build static libraries])
+    # Make sure either enable_shared or enable_static is yes.
+    test "$enable_shared" = yes || enable_static=yes
+    AC_MSG_RESULT([$enable_static])
+
+    _LT_TAGVAR(GCC, $1)="$G77"
+    _LT_TAGVAR(LD, $1)="$LD"
+
+    ## CAVEAT EMPTOR:
+    ## There is no encapsulation within the following macros, do not change
+    ## the running order or otherwise move them around unless you know exactly
+    ## what you are doing...
+    _LT_COMPILER_PIC($1)
+    _LT_COMPILER_C_O($1)
+    _LT_COMPILER_FILE_LOCKS($1)
+    _LT_LINKER_SHLIBS($1)
+    _LT_SYS_DYNAMIC_LINKER($1)
+    _LT_LINKER_HARDCODE_LIBPATH($1)
+
+    _LT_CONFIG($1)
+  fi # test -n "$compiler"
+
+  GCC=$lt_save_GCC
+  CC="$lt_save_CC"
+  CFLAGS="$lt_save_CFLAGS"
+fi # test "$_lt_disable_F77" != yes
+
+AC_LANG_POP
+])# _LT_LANG_F77_CONFIG
+
+
+# _LT_LANG_FC_CONFIG([TAG])
+# -------------------------
+# Ensure that the configuration variables for a Fortran compiler are
+# suitably defined.  These variables are subsequently used by _LT_CONFIG
+# to write the compiler configuration to `libtool'.
+m4_defun([_LT_LANG_FC_CONFIG],
+[AC_LANG_PUSH(Fortran)
+
+if test -z "$FC" || test "X$FC" = "Xno"; then
+  _lt_disable_FC=yes
+fi
+
+_LT_TAGVAR(archive_cmds_need_lc, $1)=no
+_LT_TAGVAR(allow_undefined_flag, $1)=
+_LT_TAGVAR(always_export_symbols, $1)=no
+_LT_TAGVAR(archive_expsym_cmds, $1)=
+_LT_TAGVAR(export_dynamic_flag_spec, $1)=
+_LT_TAGVAR(hardcode_direct, $1)=no
+_LT_TAGVAR(hardcode_direct_absolute, $1)=no
+_LT_TAGVAR(hardcode_libdir_flag_spec, $1)=
+_LT_TAGVAR(hardcode_libdir_separator, $1)=
+_LT_TAGVAR(hardcode_minus_L, $1)=no
+_LT_TAGVAR(hardcode_automatic, $1)=no
+_LT_TAGVAR(inherit_rpath, $1)=no
+_LT_TAGVAR(module_cmds, $1)=
+_LT_TAGVAR(module_expsym_cmds, $1)=
+_LT_TAGVAR(link_all_deplibs, $1)=unknown
+_LT_TAGVAR(old_archive_cmds, $1)=$old_archive_cmds
+_LT_TAGVAR(reload_flag, $1)=$reload_flag
+_LT_TAGVAR(reload_cmds, $1)=$reload_cmds
+_LT_TAGVAR(no_undefined_flag, $1)=
+_LT_TAGVAR(whole_archive_flag_spec, $1)=
+_LT_TAGVAR(enable_shared_with_static_runtimes, $1)=no
+
+# Source file extension for fc test sources.
+ac_ext=${ac_fc_srcext-f}
+
+# Object file extension for compiled fc test sources.
+objext=o
+_LT_TAGVAR(objext, $1)=$objext
+
+# No sense in running all these tests if we already determined that
+# the FC compiler isn't working.  Some variables (like enable_shared)
+# are currently assumed to apply to all compilers on this platform,
+# and will be corrupted by setting them based on a non-working compiler.
+if test "$_lt_disable_FC" != yes; then
+  # Code to be used in simple compile tests
+  lt_simple_compile_test_code="\
+      subroutine t
+      return
+      end
+"
+
+  # Code to be used in simple link tests
+  lt_simple_link_test_code="\
+      program t
+      end
+"
+
+  # ltmain only uses $CC for tagged configurations so make sure $CC is set.
+  _LT_TAG_COMPILER
+
+  # save warnings/boilerplate of simple test code
+  _LT_COMPILER_BOILERPLATE
+  _LT_LINKER_BOILERPLATE
+
+  # Allow CC to be a program name with arguments.
+  lt_save_CC="$CC"
+  lt_save_GCC=$GCC
+  lt_save_CFLAGS=$CFLAGS
+  CC=${FC-"f95"}
+  CFLAGS=$FCFLAGS
+  compiler=$CC
+  GCC=$ac_cv_fc_compiler_gnu
+
+  _LT_TAGVAR(compiler, $1)=$CC
+  _LT_CC_BASENAME([$compiler])
+
+  if test -n "$compiler"; then
+    AC_MSG_CHECKING([if libtool supports shared libraries])
+    AC_MSG_RESULT([$can_build_shared])
+
+    AC_MSG_CHECKING([whether to build shared libraries])
+    test "$can_build_shared" = "no" && enable_shared=no
+
+    # On AIX, shared libraries and static libraries use the same namespace, and
+    # are all built from PIC.
+    case $host_os in
+      aix3*)
+        test "$enable_shared" = yes && enable_static=no
+        if test -n "$RANLIB"; then
+          archive_cmds="$archive_cmds~\$RANLIB \$lib"
+          postinstall_cmds='$RANLIB $lib'
+        fi
+        ;;
+      aix[[4-9]]*)
+	if test "$host_cpu" != ia64 && test "$aix_use_runtimelinking" = no ; then
+	  test "$enable_shared" = yes && enable_static=no
+	fi
+        ;;
+    esac
+    AC_MSG_RESULT([$enable_shared])
+
+    AC_MSG_CHECKING([whether to build static libraries])
+    # Make sure either enable_shared or enable_static is yes.
+    test "$enable_shared" = yes || enable_static=yes
+    AC_MSG_RESULT([$enable_static])
+
+    _LT_TAGVAR(GCC, $1)="$ac_cv_fc_compiler_gnu"
+    _LT_TAGVAR(LD, $1)="$LD"
+
+    ## CAVEAT EMPTOR:
+    ## There is no encapsulation within the following macros, do not change
+    ## the running order or otherwise move them around unless you know exactly
+    ## what you are doing...
+    _LT_SYS_HIDDEN_LIBDEPS($1)
+    _LT_COMPILER_PIC($1)
+    _LT_COMPILER_C_O($1)
+    _LT_COMPILER_FILE_LOCKS($1)
+    _LT_LINKER_SHLIBS($1)
+    _LT_SYS_DYNAMIC_LINKER($1)
+    _LT_LINKER_HARDCODE_LIBPATH($1)
+
+    _LT_CONFIG($1)
+  fi # test -n "$compiler"
+
+  GCC=$lt_save_GCC
+  CC=$lt_save_CC
+  CFLAGS=$lt_save_CFLAGS
+fi # test "$_lt_disable_FC" != yes
+
+AC_LANG_POP
+])# _LT_LANG_FC_CONFIG
+
+
+# _LT_LANG_GCJ_CONFIG([TAG])
+# --------------------------
+# Ensure that the configuration variables for the GNU Java Compiler compiler
+# are suitably defined.  These variables are subsequently used by _LT_CONFIG
+# to write the compiler configuration to `libtool'.
+m4_defun([_LT_LANG_GCJ_CONFIG],
+[AC_REQUIRE([LT_PROG_GCJ])dnl
+AC_LANG_SAVE
+
+# Source file extension for Java test sources.
+ac_ext=java
+
+# Object file extension for compiled Java test sources.
+objext=o
+_LT_TAGVAR(objext, $1)=$objext
+
+# Code to be used in simple compile tests
+lt_simple_compile_test_code="class foo {}"
+
+# Code to be used in simple link tests
+lt_simple_link_test_code='public class conftest { public static void main(String[[]] argv) {}; }'
+
+# ltmain only uses $CC for tagged configurations so make sure $CC is set.
+_LT_TAG_COMPILER
+
+# save warnings/boilerplate of simple test code
+_LT_COMPILER_BOILERPLATE
+_LT_LINKER_BOILERPLATE
+
+# Allow CC to be a program name with arguments.
+lt_save_CC=$CC
+lt_save_CFLAGS=$CFLAGS
+lt_save_GCC=$GCC
+GCC=yes
+CC=${GCJ-"gcj"}
+CFLAGS=$GCJFLAGS
+compiler=$CC
+_LT_TAGVAR(compiler, $1)=$CC
+_LT_TAGVAR(LD, $1)="$LD"
+_LT_CC_BASENAME([$compiler])
+
+# GCJ did not exist at the time GCC didn't implicitly link libc in.
+_LT_TAGVAR(archive_cmds_need_lc, $1)=no
+
+_LT_TAGVAR(old_archive_cmds, $1)=$old_archive_cmds
+_LT_TAGVAR(reload_flag, $1)=$reload_flag
+_LT_TAGVAR(reload_cmds, $1)=$reload_cmds
+
+## CAVEAT EMPTOR:
+## There is no encapsulation within the following macros, do not change
+## the running order or otherwise move them around unless you know exactly
+## what you are doing...
+if test -n "$compiler"; then
+  _LT_COMPILER_NO_RTTI($1)
+  _LT_COMPILER_PIC($1)
+  _LT_COMPILER_C_O($1)
+  _LT_COMPILER_FILE_LOCKS($1)
+  _LT_LINKER_SHLIBS($1)
+  _LT_LINKER_HARDCODE_LIBPATH($1)
+
+  _LT_CONFIG($1)
+fi
+
+AC_LANG_RESTORE
+
+GCC=$lt_save_GCC
+CC=$lt_save_CC
+CFLAGS=$lt_save_CFLAGS
+])# _LT_LANG_GCJ_CONFIG
+
+
+# _LT_LANG_GO_CONFIG([TAG])
+# --------------------------
+# Ensure that the configuration variables for the GNU Go compiler
+# are suitably defined.  These variables are subsequently used by _LT_CONFIG
+# to write the compiler configuration to `libtool'.
+m4_defun([_LT_LANG_GO_CONFIG],
+[AC_REQUIRE([LT_PROG_GO])dnl
+AC_LANG_SAVE
+
+# Source file extension for Go test sources.
+ac_ext=go
+
+# Object file extension for compiled Go test sources.
+objext=o
+_LT_TAGVAR(objext, $1)=$objext
+
+# Code to be used in simple compile tests
+lt_simple_compile_test_code="package main; func main() { }"
+
+# Code to be used in simple link tests
+lt_simple_link_test_code='package main; func main() { }'
+
+# ltmain only uses $CC for tagged configurations so make sure $CC is set.
+_LT_TAG_COMPILER
+
+# save warnings/boilerplate of simple test code
+_LT_COMPILER_BOILERPLATE
+_LT_LINKER_BOILERPLATE
+
+# Allow CC to be a program name with arguments.
+lt_save_CC=$CC
+lt_save_CFLAGS=$CFLAGS
+lt_save_GCC=$GCC
+GCC=yes
+CC=${GOC-"gccgo"}
+CFLAGS=$GOFLAGS
+compiler=$CC
+_LT_TAGVAR(compiler, $1)=$CC
+_LT_TAGVAR(LD, $1)="$LD"
+_LT_CC_BASENAME([$compiler])
+
+# Go did not exist at the time GCC didn't implicitly link libc in.
+_LT_TAGVAR(archive_cmds_need_lc, $1)=no
+
+_LT_TAGVAR(old_archive_cmds, $1)=$old_archive_cmds
+_LT_TAGVAR(reload_flag, $1)=$reload_flag
+_LT_TAGVAR(reload_cmds, $1)=$reload_cmds
+
+## CAVEAT EMPTOR:
+## There is no encapsulation within the following macros, do not change
+## the running order or otherwise move them around unless you know exactly
+## what you are doing...
+if test -n "$compiler"; then
+  _LT_COMPILER_NO_RTTI($1)
+  _LT_COMPILER_PIC($1)
+  _LT_COMPILER_C_O($1)
+  _LT_COMPILER_FILE_LOCKS($1)
+  _LT_LINKER_SHLIBS($1)
+  _LT_LINKER_HARDCODE_LIBPATH($1)
+
+  _LT_CONFIG($1)
+fi
+
+AC_LANG_RESTORE
+
+GCC=$lt_save_GCC
+CC=$lt_save_CC
+CFLAGS=$lt_save_CFLAGS
+])# _LT_LANG_GO_CONFIG
+
+
+# _LT_LANG_RC_CONFIG([TAG])
+# -------------------------
+# Ensure that the configuration variables for the Windows resource compiler
+# are suitably defined.  These variables are subsequently used by _LT_CONFIG
+# to write the compiler configuration to `libtool'.
+m4_defun([_LT_LANG_RC_CONFIG],
+[AC_REQUIRE([LT_PROG_RC])dnl
+AC_LANG_SAVE
+
+# Source file extension for RC test sources.
+ac_ext=rc
+
+# Object file extension for compiled RC test sources.
+objext=o
+_LT_TAGVAR(objext, $1)=$objext
+
+# Code to be used in simple compile tests
+lt_simple_compile_test_code='sample MENU { MENUITEM "&Soup", 100, CHECKED }'
+
+# Code to be used in simple link tests
+lt_simple_link_test_code="$lt_simple_compile_test_code"
+
+# ltmain only uses $CC for tagged configurations so make sure $CC is set.
+_LT_TAG_COMPILER
+
+# save warnings/boilerplate of simple test code
+_LT_COMPILER_BOILERPLATE
+_LT_LINKER_BOILERPLATE
+
+# Allow CC to be a program name with arguments.
+lt_save_CC="$CC"
+lt_save_CFLAGS=$CFLAGS
+lt_save_GCC=$GCC
+GCC=
+CC=${RC-"windres"}
+CFLAGS=
+compiler=$CC
+_LT_TAGVAR(compiler, $1)=$CC
+_LT_CC_BASENAME([$compiler])
+_LT_TAGVAR(lt_cv_prog_compiler_c_o, $1)=yes
+
+if test -n "$compiler"; then
+  :
+  _LT_CONFIG($1)
+fi
+
+GCC=$lt_save_GCC
+AC_LANG_RESTORE
+CC=$lt_save_CC
+CFLAGS=$lt_save_CFLAGS
+])# _LT_LANG_RC_CONFIG
+
+
+# LT_PROG_GCJ
+# -----------
+AC_DEFUN([LT_PROG_GCJ],
+[m4_ifdef([AC_PROG_GCJ], [AC_PROG_GCJ],
+  [m4_ifdef([A][M_PROG_GCJ], [A][M_PROG_GCJ],
+    [AC_CHECK_TOOL(GCJ, gcj,)
+      test "x${GCJFLAGS+set}" = xset || GCJFLAGS="-g -O2"
+      AC_SUBST(GCJFLAGS)])])[]dnl
+])
+
+# Old name:
+AU_ALIAS([LT_AC_PROG_GCJ], [LT_PROG_GCJ])
+dnl aclocal-1.4 backwards compatibility:
+dnl AC_DEFUN([LT_AC_PROG_GCJ], [])
+
+
+# LT_PROG_GO
+# ----------
+AC_DEFUN([LT_PROG_GO],
+[AC_CHECK_TOOL(GOC, gccgo,)
+])
+
+
+# LT_PROG_RC
+# ----------
+AC_DEFUN([LT_PROG_RC],
+[AC_CHECK_TOOL(RC, windres,)
+])
+
+# Old name:
+AU_ALIAS([LT_AC_PROG_RC], [LT_PROG_RC])
+dnl aclocal-1.4 backwards compatibility:
+dnl AC_DEFUN([LT_AC_PROG_RC], [])
+
+
+# _LT_DECL_EGREP
+# --------------
+# If we don't have a new enough Autoconf to choose the best grep
+# available, choose the one first in the user's PATH.
+m4_defun([_LT_DECL_EGREP],
+[AC_REQUIRE([AC_PROG_EGREP])dnl
+AC_REQUIRE([AC_PROG_FGREP])dnl
+test -z "$GREP" && GREP=grep
+_LT_DECL([], [GREP], [1], [A grep program that handles long lines])
+_LT_DECL([], [EGREP], [1], [An ERE matcher])
+_LT_DECL([], [FGREP], [1], [A literal string matcher])
+dnl Non-bleeding-edge autoconf doesn't subst GREP, so do it here too
+AC_SUBST([GREP])
+])
+
+
+# _LT_DECL_OBJDUMP
+# --------------
+# If we don't have a new enough Autoconf to choose the best objdump
+# available, choose the one first in the user's PATH.
+m4_defun([_LT_DECL_OBJDUMP],
+[AC_CHECK_TOOL(OBJDUMP, objdump, false)
+test -z "$OBJDUMP" && OBJDUMP=objdump
+_LT_DECL([], [OBJDUMP], [1], [An object symbol dumper])
+AC_SUBST([OBJDUMP])
+])
+
+# _LT_DECL_DLLTOOL
+# ----------------
+# Ensure DLLTOOL variable is set.
+m4_defun([_LT_DECL_DLLTOOL],
+[AC_CHECK_TOOL(DLLTOOL, dlltool, false)
+test -z "$DLLTOOL" && DLLTOOL=dlltool
+_LT_DECL([], [DLLTOOL], [1], [DLL creation program])
+AC_SUBST([DLLTOOL])
+])
+
+# _LT_DECL_SED
+# ------------
+# Check for a fully-functional sed program, that truncates
+# as few characters as possible.  Prefer GNU sed if found.
+m4_defun([_LT_DECL_SED],
+[AC_PROG_SED
+test -z "$SED" && SED=sed
+Xsed="$SED -e 1s/^X//"
+_LT_DECL([], [SED], [1], [A sed program that does not truncate output])
+_LT_DECL([], [Xsed], ["\$SED -e 1s/^X//"],
+    [Sed that helps us avoid accidentally triggering echo(1) options like -n])
+])# _LT_DECL_SED
+
+m4_ifndef([AC_PROG_SED], [
+############################################################
+# NOTE: This macro has been submitted for inclusion into   #
+#  GNU Autoconf as AC_PROG_SED.  When it is available in   #
+#  a released version of Autoconf we should remove this    #
+#  macro and use it instead.                               #
+############################################################
+
+m4_defun([AC_PROG_SED],
+[AC_MSG_CHECKING([for a sed that does not truncate output])
+AC_CACHE_VAL(lt_cv_path_SED,
+[# Loop through the user's path and test for sed and gsed.
+# Then use that list of sed's as ones to test for truncation.
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for lt_ac_prog in sed gsed; do
+    for ac_exec_ext in '' $ac_executable_extensions; do
+      if $as_executable_p "$as_dir/$lt_ac_prog$ac_exec_ext"; then
+        lt_ac_sed_list="$lt_ac_sed_list $as_dir/$lt_ac_prog$ac_exec_ext"
+      fi
+    done
+  done
+done
+IFS=$as_save_IFS
+lt_ac_max=0
+lt_ac_count=0
+# Add /usr/xpg4/bin/sed as it is typically found on Solaris
+# along with /bin/sed that truncates output.
+for lt_ac_sed in $lt_ac_sed_list /usr/xpg4/bin/sed; do
+  test ! -f $lt_ac_sed && continue
+  cat /dev/null > conftest.in
+  lt_ac_count=0
+  echo $ECHO_N "0123456789$ECHO_C" >conftest.in
+  # Check for GNU sed and select it if it is found.
+  if "$lt_ac_sed" --version 2>&1 < /dev/null | grep 'GNU' > /dev/null; then
+    lt_cv_path_SED=$lt_ac_sed
+    break
+  fi
+  while true; do
+    cat conftest.in conftest.in >conftest.tmp
+    mv conftest.tmp conftest.in
+    cp conftest.in conftest.nl
+    echo >>conftest.nl
+    $lt_ac_sed -e 's/a$//' < conftest.nl >conftest.out || break
+    cmp -s conftest.out conftest.nl || break
+    # 10000 chars as input seems more than enough
+    test $lt_ac_count -gt 10 && break
+    lt_ac_count=`expr $lt_ac_count + 1`
+    if test $lt_ac_count -gt $lt_ac_max; then
+      lt_ac_max=$lt_ac_count
+      lt_cv_path_SED=$lt_ac_sed
+    fi
+  done
+done
+])
+SED=$lt_cv_path_SED
+AC_SUBST([SED])
+AC_MSG_RESULT([$SED])
+])#AC_PROG_SED
+])#m4_ifndef
+
+# Old name:
+AU_ALIAS([LT_AC_PROG_SED], [AC_PROG_SED])
+dnl aclocal-1.4 backwards compatibility:
+dnl AC_DEFUN([LT_AC_PROG_SED], [])
+
+
+# _LT_CHECK_SHELL_FEATURES
+# ------------------------
+# Find out whether the shell is Bourne or XSI compatible,
+# or has some other useful features.
+m4_defun([_LT_CHECK_SHELL_FEATURES],
+[AC_MSG_CHECKING([whether the shell understands some XSI constructs])
+# Try some XSI features
+xsi_shell=no
+( _lt_dummy="a/b/c"
+  test "${_lt_dummy##*/},${_lt_dummy%/*},${_lt_dummy#??}"${_lt_dummy%"$_lt_dummy"}, \
+      = c,a/b,b/c, \
+    && eval 'test $(( 1 + 1 )) -eq 2 \
+    && test "${#_lt_dummy}" -eq 5' ) >/dev/null 2>&1 \
+  && xsi_shell=yes
+AC_MSG_RESULT([$xsi_shell])
+_LT_CONFIG_LIBTOOL_INIT([xsi_shell='$xsi_shell'])
+
+AC_MSG_CHECKING([whether the shell understands "+="])
+lt_shell_append=no
+( foo=bar; set foo baz; eval "$[1]+=\$[2]" && test "$foo" = barbaz ) \
+    >/dev/null 2>&1 \
+  && lt_shell_append=yes
+AC_MSG_RESULT([$lt_shell_append])
+_LT_CONFIG_LIBTOOL_INIT([lt_shell_append='$lt_shell_append'])
+
+if ( (MAIL=60; unset MAIL) || exit) >/dev/null 2>&1; then
+  lt_unset=unset
+else
+  lt_unset=false
+fi
+_LT_DECL([], [lt_unset], [0], [whether the shell understands "unset"])dnl
+
+# test EBCDIC or ASCII
+case `echo X|tr X '\101'` in
+ A) # ASCII based system
+    # \n is not interpreted correctly by Solaris 8 /usr/ucb/tr
+  lt_SP2NL='tr \040 \012'
+  lt_NL2SP='tr \015\012 \040\040'
+  ;;
+ *) # EBCDIC based system
+  lt_SP2NL='tr \100 \n'
+  lt_NL2SP='tr \r\n \100\100'
+  ;;
+esac
+_LT_DECL([SP2NL], [lt_SP2NL], [1], [turn spaces into newlines])dnl
+_LT_DECL([NL2SP], [lt_NL2SP], [1], [turn newlines into spaces])dnl
+])# _LT_CHECK_SHELL_FEATURES
+
+
+# _LT_PROG_FUNCTION_REPLACE (FUNCNAME, REPLACEMENT-BODY)
+# ------------------------------------------------------
+# In `$cfgfile', look for function FUNCNAME delimited by `^FUNCNAME ()$' and
+# '^} FUNCNAME ', and replace its body with REPLACEMENT-BODY.
+m4_defun([_LT_PROG_FUNCTION_REPLACE],
+[dnl {
+sed -e '/^$1 ()$/,/^} # $1 /c\
+$1 ()\
+{\
+m4_bpatsubsts([$2], [$], [\\], [^\([	 ]\)], [\\\1])
+} # Extended-shell $1 implementation' "$cfgfile" > $cfgfile.tmp \
+  && mv -f "$cfgfile.tmp" "$cfgfile" \
+    || (rm -f "$cfgfile" && cp "$cfgfile.tmp" "$cfgfile" && rm -f "$cfgfile.tmp")
+test 0 -eq $? || _lt_function_replace_fail=:
+])
+
+
+# _LT_PROG_REPLACE_SHELLFNS
+# -------------------------
+# Replace existing portable implementations of several shell functions with
+# equivalent extended shell implementations where those features are available..
+m4_defun([_LT_PROG_REPLACE_SHELLFNS],
+[if test x"$xsi_shell" = xyes; then
+  _LT_PROG_FUNCTION_REPLACE([func_dirname], [dnl
+    case ${1} in
+      */*) func_dirname_result="${1%/*}${2}" ;;
+      *  ) func_dirname_result="${3}" ;;
+    esac])
+
+  _LT_PROG_FUNCTION_REPLACE([func_basename], [dnl
+    func_basename_result="${1##*/}"])
+
+  _LT_PROG_FUNCTION_REPLACE([func_dirname_and_basename], [dnl
+    case ${1} in
+      */*) func_dirname_result="${1%/*}${2}" ;;
+      *  ) func_dirname_result="${3}" ;;
+    esac
+    func_basename_result="${1##*/}"])
+
+  _LT_PROG_FUNCTION_REPLACE([func_stripname], [dnl
+    # pdksh 5.2.14 does not do ${X%$Y} correctly if both X and Y are
+    # positional parameters, so assign one to ordinary parameter first.
+    func_stripname_result=${3}
+    func_stripname_result=${func_stripname_result#"${1}"}
+    func_stripname_result=${func_stripname_result%"${2}"}])
+
+  _LT_PROG_FUNCTION_REPLACE([func_split_long_opt], [dnl
+    func_split_long_opt_name=${1%%=*}
+    func_split_long_opt_arg=${1#*=}])
+
+  _LT_PROG_FUNCTION_REPLACE([func_split_short_opt], [dnl
+    func_split_short_opt_arg=${1#??}
+    func_split_short_opt_name=${1%"$func_split_short_opt_arg"}])
+
+  _LT_PROG_FUNCTION_REPLACE([func_lo2o], [dnl
+    case ${1} in
+      *.lo) func_lo2o_result=${1%.lo}.${objext} ;;
+      *)    func_lo2o_result=${1} ;;
+    esac])
+
+  _LT_PROG_FUNCTION_REPLACE([func_xform], [    func_xform_result=${1%.*}.lo])
+
+  _LT_PROG_FUNCTION_REPLACE([func_arith], [    func_arith_result=$(( $[*] ))])
+
+  _LT_PROG_FUNCTION_REPLACE([func_len], [    func_len_result=${#1}])
+fi
+
+if test x"$lt_shell_append" = xyes; then
+  _LT_PROG_FUNCTION_REPLACE([func_append], [    eval "${1}+=\\${2}"])
+
+  _LT_PROG_FUNCTION_REPLACE([func_append_quoted], [dnl
+    func_quote_for_eval "${2}"
+dnl m4 expansion turns \\\\ into \\, and then the shell eval turns that into \
+    eval "${1}+=\\\\ \\$func_quote_for_eval_result"])
+
+  # Save a `func_append' function call where possible by direct use of '+='
+  sed -e 's%func_append \([[a-zA-Z_]]\{1,\}\) "%\1+="%g' $cfgfile > $cfgfile.tmp \
+    && mv -f "$cfgfile.tmp" "$cfgfile" \
+      || (rm -f "$cfgfile" && cp "$cfgfile.tmp" "$cfgfile" && rm -f "$cfgfile.tmp")
+  test 0 -eq $? || _lt_function_replace_fail=:
+else
+  # Save a `func_append' function call even when '+=' is not available
+  sed -e 's%func_append \([[a-zA-Z_]]\{1,\}\) "%\1="$\1%g' $cfgfile > $cfgfile.tmp \
+    && mv -f "$cfgfile.tmp" "$cfgfile" \
+      || (rm -f "$cfgfile" && cp "$cfgfile.tmp" "$cfgfile" && rm -f "$cfgfile.tmp")
+  test 0 -eq $? || _lt_function_replace_fail=:
+fi
+
+if test x"$_lt_function_replace_fail" = x":"; then
+  AC_MSG_WARN([Unable to substitute extended shell functions in $ofile])
+fi
+])
+
+# _LT_PATH_CONVERSION_FUNCTIONS
+# -----------------------------
+# Determine which file name conversion functions should be used by
+# func_to_host_file (and, implicitly, by func_to_host_path).  These are needed
+# for certain cross-compile configurations and native mingw.
+m4_defun([_LT_PATH_CONVERSION_FUNCTIONS],
+[AC_REQUIRE([AC_CANONICAL_HOST])dnl
+AC_REQUIRE([AC_CANONICAL_BUILD])dnl
+AC_MSG_CHECKING([how to convert $build file names to $host format])
+AC_CACHE_VAL(lt_cv_to_host_file_cmd,
+[case $host in
+  *-*-mingw* )
+    case $build in
+      *-*-mingw* ) # actually msys
+        lt_cv_to_host_file_cmd=func_convert_file_msys_to_w32
+        ;;
+      *-*-cygwin* )
+        lt_cv_to_host_file_cmd=func_convert_file_cygwin_to_w32
+        ;;
+      * ) # otherwise, assume *nix
+        lt_cv_to_host_file_cmd=func_convert_file_nix_to_w32
+        ;;
+    esac
+    ;;
+  *-*-cygwin* )
+    case $build in
+      *-*-mingw* ) # actually msys
+        lt_cv_to_host_file_cmd=func_convert_file_msys_to_cygwin
+        ;;
+      *-*-cygwin* )
+        lt_cv_to_host_file_cmd=func_convert_file_noop
+        ;;
+      * ) # otherwise, assume *nix
+        lt_cv_to_host_file_cmd=func_convert_file_nix_to_cygwin
+        ;;
+    esac
+    ;;
+  * ) # unhandled hosts (and "normal" native builds)
+    lt_cv_to_host_file_cmd=func_convert_file_noop
+    ;;
+esac
+])
+to_host_file_cmd=$lt_cv_to_host_file_cmd
+AC_MSG_RESULT([$lt_cv_to_host_file_cmd])
+_LT_DECL([to_host_file_cmd], [lt_cv_to_host_file_cmd],
+         [0], [convert $build file names to $host format])dnl
+
+AC_MSG_CHECKING([how to convert $build file names to toolchain format])
+AC_CACHE_VAL(lt_cv_to_tool_file_cmd,
+[#assume ordinary cross tools, or native build.
+lt_cv_to_tool_file_cmd=func_convert_file_noop
+case $host in
+  *-*-mingw* )
+    case $build in
+      *-*-mingw* ) # actually msys
+        lt_cv_to_tool_file_cmd=func_convert_file_msys_to_w32
+        ;;
+    esac
+    ;;
+esac
+])
+to_tool_file_cmd=$lt_cv_to_tool_file_cmd
+AC_MSG_RESULT([$lt_cv_to_tool_file_cmd])
+_LT_DECL([to_tool_file_cmd], [lt_cv_to_tool_file_cmd],
+         [0], [convert $build files to toolchain format])dnl
+])# _LT_PATH_CONVERSION_FUNCTIONS
diff --git a/clib/expeyes-clib/m4/ltoptions.m4 b/clib/expeyes-clib/m4/ltoptions.m4
new file mode 100644
index 0000000..5d9acd8
--- /dev/null
+++ b/clib/expeyes-clib/m4/ltoptions.m4
@@ -0,0 +1,384 @@
+# Helper functions for option handling.                    -*- Autoconf -*-
+#
+#   Copyright (C) 2004, 2005, 2007, 2008, 2009 Free Software Foundation,
+#   Inc.
+#   Written by Gary V. Vaughan, 2004
+#
+# This file is free software; the Free Software Foundation gives
+# unlimited permission to copy and/or distribute it, with or without
+# modifications, as long as this notice is preserved.
+
+# serial 7 ltoptions.m4
+
+# This is to help aclocal find these macros, as it can't see m4_define.
+AC_DEFUN([LTOPTIONS_VERSION], [m4_if([1])])
+
+
+# _LT_MANGLE_OPTION(MACRO-NAME, OPTION-NAME)
+# ------------------------------------------
+m4_define([_LT_MANGLE_OPTION],
+[[_LT_OPTION_]m4_bpatsubst($1__$2, [[^a-zA-Z0-9_]], [_])])
+
+
+# _LT_SET_OPTION(MACRO-NAME, OPTION-NAME)
+# ---------------------------------------
+# Set option OPTION-NAME for macro MACRO-NAME, and if there is a
+# matching handler defined, dispatch to it.  Other OPTION-NAMEs are
+# saved as a flag.
+m4_define([_LT_SET_OPTION],
+[m4_define(_LT_MANGLE_OPTION([$1], [$2]))dnl
+m4_ifdef(_LT_MANGLE_DEFUN([$1], [$2]),
+        _LT_MANGLE_DEFUN([$1], [$2]),
+    [m4_warning([Unknown $1 option `$2'])])[]dnl
+])
+
+
+# _LT_IF_OPTION(MACRO-NAME, OPTION-NAME, IF-SET, [IF-NOT-SET])
+# ------------------------------------------------------------
+# Execute IF-SET if OPTION is set, IF-NOT-SET otherwise.
+m4_define([_LT_IF_OPTION],
+[m4_ifdef(_LT_MANGLE_OPTION([$1], [$2]), [$3], [$4])])
+
+
+# _LT_UNLESS_OPTIONS(MACRO-NAME, OPTION-LIST, IF-NOT-SET)
+# -------------------------------------------------------
+# Execute IF-NOT-SET unless all options in OPTION-LIST for MACRO-NAME
+# are set.
+m4_define([_LT_UNLESS_OPTIONS],
+[m4_foreach([_LT_Option], m4_split(m4_normalize([$2])),
+	    [m4_ifdef(_LT_MANGLE_OPTION([$1], _LT_Option),
+		      [m4_define([$0_found])])])[]dnl
+m4_ifdef([$0_found], [m4_undefine([$0_found])], [$3
+])[]dnl
+])
+
+
+# _LT_SET_OPTIONS(MACRO-NAME, OPTION-LIST)
+# ----------------------------------------
+# OPTION-LIST is a space-separated list of Libtool options associated
+# with MACRO-NAME.  If any OPTION has a matching handler declared with
+# LT_OPTION_DEFINE, dispatch to that macro; otherwise complain about
+# the unknown option and exit.
+m4_defun([_LT_SET_OPTIONS],
+[# Set options
+m4_foreach([_LT_Option], m4_split(m4_normalize([$2])),
+    [_LT_SET_OPTION([$1], _LT_Option)])
+
+m4_if([$1],[LT_INIT],[
+  dnl
+  dnl Simply set some default values (i.e off) if boolean options were not
+  dnl specified:
+  _LT_UNLESS_OPTIONS([LT_INIT], [dlopen], [enable_dlopen=no
+  ])
+  _LT_UNLESS_OPTIONS([LT_INIT], [win32-dll], [enable_win32_dll=no
+  ])
+  dnl
+  dnl If no reference was made to various pairs of opposing options, then
+  dnl we run the default mode handler for the pair.  For example, if neither
+  dnl `shared' nor `disable-shared' was passed, we enable building of shared
+  dnl archives by default:
+  _LT_UNLESS_OPTIONS([LT_INIT], [shared disable-shared], [_LT_ENABLE_SHARED])
+  _LT_UNLESS_OPTIONS([LT_INIT], [static disable-static], [_LT_ENABLE_STATIC])
+  _LT_UNLESS_OPTIONS([LT_INIT], [pic-only no-pic], [_LT_WITH_PIC])
+  _LT_UNLESS_OPTIONS([LT_INIT], [fast-install disable-fast-install],
+  		   [_LT_ENABLE_FAST_INSTALL])
+  ])
+])# _LT_SET_OPTIONS
+
+
+## --------------------------------- ##
+## Macros to handle LT_INIT options. ##
+## --------------------------------- ##
+
+# _LT_MANGLE_DEFUN(MACRO-NAME, OPTION-NAME)
+# -----------------------------------------
+m4_define([_LT_MANGLE_DEFUN],
+[[_LT_OPTION_DEFUN_]m4_bpatsubst(m4_toupper([$1__$2]), [[^A-Z0-9_]], [_])])
+
+
+# LT_OPTION_DEFINE(MACRO-NAME, OPTION-NAME, CODE)
+# -----------------------------------------------
+m4_define([LT_OPTION_DEFINE],
+[m4_define(_LT_MANGLE_DEFUN([$1], [$2]), [$3])[]dnl
+])# LT_OPTION_DEFINE
+
+
+# dlopen
+# ------
+LT_OPTION_DEFINE([LT_INIT], [dlopen], [enable_dlopen=yes
+])
+
+AU_DEFUN([AC_LIBTOOL_DLOPEN],
+[_LT_SET_OPTION([LT_INIT], [dlopen])
+AC_DIAGNOSE([obsolete],
+[$0: Remove this warning and the call to _LT_SET_OPTION when you
+put the `dlopen' option into LT_INIT's first parameter.])
+])
+
+dnl aclocal-1.4 backwards compatibility:
+dnl AC_DEFUN([AC_LIBTOOL_DLOPEN], [])
+
+
+# win32-dll
+# ---------
+# Declare package support for building win32 dll's.
+LT_OPTION_DEFINE([LT_INIT], [win32-dll],
+[enable_win32_dll=yes
+
+case $host in
+*-*-cygwin* | *-*-mingw* | *-*-pw32* | *-*-cegcc*)
+  AC_CHECK_TOOL(AS, as, false)
+  AC_CHECK_TOOL(DLLTOOL, dlltool, false)
+  AC_CHECK_TOOL(OBJDUMP, objdump, false)
+  ;;
+esac
+
+test -z "$AS" && AS=as
+_LT_DECL([], [AS],      [1], [Assembler program])dnl
+
+test -z "$DLLTOOL" && DLLTOOL=dlltool
+_LT_DECL([], [DLLTOOL], [1], [DLL creation program])dnl
+
+test -z "$OBJDUMP" && OBJDUMP=objdump
+_LT_DECL([], [OBJDUMP], [1], [Object dumper program])dnl
+])# win32-dll
+
+AU_DEFUN([AC_LIBTOOL_WIN32_DLL],
+[AC_REQUIRE([AC_CANONICAL_HOST])dnl
+_LT_SET_OPTION([LT_INIT], [win32-dll])
+AC_DIAGNOSE([obsolete],
+[$0: Remove this warning and the call to _LT_SET_OPTION when you
+put the `win32-dll' option into LT_INIT's first parameter.])
+])
+
+dnl aclocal-1.4 backwards compatibility:
+dnl AC_DEFUN([AC_LIBTOOL_WIN32_DLL], [])
+
+
+# _LT_ENABLE_SHARED([DEFAULT])
+# ----------------------------
+# implement the --enable-shared flag, and supports the `shared' and
+# `disable-shared' LT_INIT options.
+# DEFAULT is either `yes' or `no'.  If omitted, it defaults to `yes'.
+m4_define([_LT_ENABLE_SHARED],
+[m4_define([_LT_ENABLE_SHARED_DEFAULT], [m4_if($1, no, no, yes)])dnl
+AC_ARG_ENABLE([shared],
+    [AS_HELP_STRING([--enable-shared@<:@=PKGS@:>@],
+	[build shared libraries @<:@default=]_LT_ENABLE_SHARED_DEFAULT[@:>@])],
+    [p=${PACKAGE-default}
+    case $enableval in
+    yes) enable_shared=yes ;;
+    no) enable_shared=no ;;
+    *)
+      enable_shared=no
+      # Look at the argument we got.  We use all the common list separators.
+      lt_save_ifs="$IFS"; IFS="${IFS}$PATH_SEPARATOR,"
+      for pkg in $enableval; do
+	IFS="$lt_save_ifs"
+	if test "X$pkg" = "X$p"; then
+	  enable_shared=yes
+	fi
+      done
+      IFS="$lt_save_ifs"
+      ;;
+    esac],
+    [enable_shared=]_LT_ENABLE_SHARED_DEFAULT)
+
+    _LT_DECL([build_libtool_libs], [enable_shared], [0],
+	[Whether or not to build shared libraries])
+])# _LT_ENABLE_SHARED
+
+LT_OPTION_DEFINE([LT_INIT], [shared], [_LT_ENABLE_SHARED([yes])])
+LT_OPTION_DEFINE([LT_INIT], [disable-shared], [_LT_ENABLE_SHARED([no])])
+
+# Old names:
+AC_DEFUN([AC_ENABLE_SHARED],
+[_LT_SET_OPTION([LT_INIT], m4_if([$1], [no], [disable-])[shared])
+])
+
+AC_DEFUN([AC_DISABLE_SHARED],
+[_LT_SET_OPTION([LT_INIT], [disable-shared])
+])
+
+AU_DEFUN([AM_ENABLE_SHARED], [AC_ENABLE_SHARED($@)])
+AU_DEFUN([AM_DISABLE_SHARED], [AC_DISABLE_SHARED($@)])
+
+dnl aclocal-1.4 backwards compatibility:
+dnl AC_DEFUN([AM_ENABLE_SHARED], [])
+dnl AC_DEFUN([AM_DISABLE_SHARED], [])
+
+
+
+# _LT_ENABLE_STATIC([DEFAULT])
+# ----------------------------
+# implement the --enable-static flag, and support the `static' and
+# `disable-static' LT_INIT options.
+# DEFAULT is either `yes' or `no'.  If omitted, it defaults to `yes'.
+m4_define([_LT_ENABLE_STATIC],
+[m4_define([_LT_ENABLE_STATIC_DEFAULT], [m4_if($1, no, no, yes)])dnl
+AC_ARG_ENABLE([static],
+    [AS_HELP_STRING([--enable-static@<:@=PKGS@:>@],
+	[build static libraries @<:@default=]_LT_ENABLE_STATIC_DEFAULT[@:>@])],
+    [p=${PACKAGE-default}
+    case $enableval in
+    yes) enable_static=yes ;;
+    no) enable_static=no ;;
+    *)
+     enable_static=no
+      # Look at the argument we got.  We use all the common list separators.
+      lt_save_ifs="$IFS"; IFS="${IFS}$PATH_SEPARATOR,"
+      for pkg in $enableval; do
+	IFS="$lt_save_ifs"
+	if test "X$pkg" = "X$p"; then
+	  enable_static=yes
+	fi
+      done
+      IFS="$lt_save_ifs"
+      ;;
+    esac],
+    [enable_static=]_LT_ENABLE_STATIC_DEFAULT)
+
+    _LT_DECL([build_old_libs], [enable_static], [0],
+	[Whether or not to build static libraries])
+])# _LT_ENABLE_STATIC
+
+LT_OPTION_DEFINE([LT_INIT], [static], [_LT_ENABLE_STATIC([yes])])
+LT_OPTION_DEFINE([LT_INIT], [disable-static], [_LT_ENABLE_STATIC([no])])
+
+# Old names:
+AC_DEFUN([AC_ENABLE_STATIC],
+[_LT_SET_OPTION([LT_INIT], m4_if([$1], [no], [disable-])[static])
+])
+
+AC_DEFUN([AC_DISABLE_STATIC],
+[_LT_SET_OPTION([LT_INIT], [disable-static])
+])
+
+AU_DEFUN([AM_ENABLE_STATIC], [AC_ENABLE_STATIC($@)])
+AU_DEFUN([AM_DISABLE_STATIC], [AC_DISABLE_STATIC($@)])
+
+dnl aclocal-1.4 backwards compatibility:
+dnl AC_DEFUN([AM_ENABLE_STATIC], [])
+dnl AC_DEFUN([AM_DISABLE_STATIC], [])
+
+
+
+# _LT_ENABLE_FAST_INSTALL([DEFAULT])
+# ----------------------------------
+# implement the --enable-fast-install flag, and support the `fast-install'
+# and `disable-fast-install' LT_INIT options.
+# DEFAULT is either `yes' or `no'.  If omitted, it defaults to `yes'.
+m4_define([_LT_ENABLE_FAST_INSTALL],
+[m4_define([_LT_ENABLE_FAST_INSTALL_DEFAULT], [m4_if($1, no, no, yes)])dnl
+AC_ARG_ENABLE([fast-install],
+    [AS_HELP_STRING([--enable-fast-install@<:@=PKGS@:>@],
+    [optimize for fast installation @<:@default=]_LT_ENABLE_FAST_INSTALL_DEFAULT[@:>@])],
+    [p=${PACKAGE-default}
+    case $enableval in
+    yes) enable_fast_install=yes ;;
+    no) enable_fast_install=no ;;
+    *)
+      enable_fast_install=no
+      # Look at the argument we got.  We use all the common list separators.
+      lt_save_ifs="$IFS"; IFS="${IFS}$PATH_SEPARATOR,"
+      for pkg in $enableval; do
+	IFS="$lt_save_ifs"
+	if test "X$pkg" = "X$p"; then
+	  enable_fast_install=yes
+	fi
+      done
+      IFS="$lt_save_ifs"
+      ;;
+    esac],
+    [enable_fast_install=]_LT_ENABLE_FAST_INSTALL_DEFAULT)
+
+_LT_DECL([fast_install], [enable_fast_install], [0],
+	 [Whether or not to optimize for fast installation])dnl
+])# _LT_ENABLE_FAST_INSTALL
+
+LT_OPTION_DEFINE([LT_INIT], [fast-install], [_LT_ENABLE_FAST_INSTALL([yes])])
+LT_OPTION_DEFINE([LT_INIT], [disable-fast-install], [_LT_ENABLE_FAST_INSTALL([no])])
+
+# Old names:
+AU_DEFUN([AC_ENABLE_FAST_INSTALL],
+[_LT_SET_OPTION([LT_INIT], m4_if([$1], [no], [disable-])[fast-install])
+AC_DIAGNOSE([obsolete],
+[$0: Remove this warning and the call to _LT_SET_OPTION when you put
+the `fast-install' option into LT_INIT's first parameter.])
+])
+
+AU_DEFUN([AC_DISABLE_FAST_INSTALL],
+[_LT_SET_OPTION([LT_INIT], [disable-fast-install])
+AC_DIAGNOSE([obsolete],
+[$0: Remove this warning and the call to _LT_SET_OPTION when you put
+the `disable-fast-install' option into LT_INIT's first parameter.])
+])
+
+dnl aclocal-1.4 backwards compatibility:
+dnl AC_DEFUN([AC_ENABLE_FAST_INSTALL], [])
+dnl AC_DEFUN([AM_DISABLE_FAST_INSTALL], [])
+
+
+# _LT_WITH_PIC([MODE])
+# --------------------
+# implement the --with-pic flag, and support the `pic-only' and `no-pic'
+# LT_INIT options.
+# MODE is either `yes' or `no'.  If omitted, it defaults to `both'.
+m4_define([_LT_WITH_PIC],
+[AC_ARG_WITH([pic],
+    [AS_HELP_STRING([--with-pic@<:@=PKGS@:>@],
+	[try to use only PIC/non-PIC objects @<:@default=use both@:>@])],
+    [lt_p=${PACKAGE-default}
+    case $withval in
+    yes|no) pic_mode=$withval ;;
+    *)
+      pic_mode=default
+      # Look at the argument we got.  We use all the common list separators.
+      lt_save_ifs="$IFS"; IFS="${IFS}$PATH_SEPARATOR,"
+      for lt_pkg in $withval; do
+	IFS="$lt_save_ifs"
+	if test "X$lt_pkg" = "X$lt_p"; then
+	  pic_mode=yes
+	fi
+      done
+      IFS="$lt_save_ifs"
+      ;;
+    esac],
+    [pic_mode=default])
+
+test -z "$pic_mode" && pic_mode=m4_default([$1], [default])
+
+_LT_DECL([], [pic_mode], [0], [What type of objects to build])dnl
+])# _LT_WITH_PIC
+
+LT_OPTION_DEFINE([LT_INIT], [pic-only], [_LT_WITH_PIC([yes])])
+LT_OPTION_DEFINE([LT_INIT], [no-pic], [_LT_WITH_PIC([no])])
+
+# Old name:
+AU_DEFUN([AC_LIBTOOL_PICMODE],
+[_LT_SET_OPTION([LT_INIT], [pic-only])
+AC_DIAGNOSE([obsolete],
+[$0: Remove this warning and the call to _LT_SET_OPTION when you
+put the `pic-only' option into LT_INIT's first parameter.])
+])
+
+dnl aclocal-1.4 backwards compatibility:
+dnl AC_DEFUN([AC_LIBTOOL_PICMODE], [])
+
+## ----------------- ##
+## LTDL_INIT Options ##
+## ----------------- ##
+
+m4_define([_LTDL_MODE], [])
+LT_OPTION_DEFINE([LTDL_INIT], [nonrecursive],
+		 [m4_define([_LTDL_MODE], [nonrecursive])])
+LT_OPTION_DEFINE([LTDL_INIT], [recursive],
+		 [m4_define([_LTDL_MODE], [recursive])])
+LT_OPTION_DEFINE([LTDL_INIT], [subproject],
+		 [m4_define([_LTDL_MODE], [subproject])])
+
+m4_define([_LTDL_TYPE], [])
+LT_OPTION_DEFINE([LTDL_INIT], [installable],
+		 [m4_define([_LTDL_TYPE], [installable])])
+LT_OPTION_DEFINE([LTDL_INIT], [convenience],
+		 [m4_define([_LTDL_TYPE], [convenience])])
diff --git a/clib/expeyes-clib/m4/ltsugar.m4 b/clib/expeyes-clib/m4/ltsugar.m4
new file mode 100644
index 0000000..9000a05
--- /dev/null
+++ b/clib/expeyes-clib/m4/ltsugar.m4
@@ -0,0 +1,123 @@
+# ltsugar.m4 -- libtool m4 base layer.                         -*-Autoconf-*-
+#
+# Copyright (C) 2004, 2005, 2007, 2008 Free Software Foundation, Inc.
+# Written by Gary V. Vaughan, 2004
+#
+# This file is free software; the Free Software Foundation gives
+# unlimited permission to copy and/or distribute it, with or without
+# modifications, as long as this notice is preserved.
+
+# serial 6 ltsugar.m4
+
+# This is to help aclocal find these macros, as it can't see m4_define.
+AC_DEFUN([LTSUGAR_VERSION], [m4_if([0.1])])
+
+
+# lt_join(SEP, ARG1, [ARG2...])
+# -----------------------------
+# Produce ARG1SEPARG2...SEPARGn, omitting [] arguments and their
+# associated separator.
+# Needed until we can rely on m4_join from Autoconf 2.62, since all earlier
+# versions in m4sugar had bugs.
+m4_define([lt_join],
+[m4_if([$#], [1], [],
+       [$#], [2], [[$2]],
+       [m4_if([$2], [], [], [[$2]_])$0([$1], m4_shift(m4_shift($@)))])])
+m4_define([_lt_join],
+[m4_if([$#$2], [2], [],
+       [m4_if([$2], [], [], [[$1$2]])$0([$1], m4_shift(m4_shift($@)))])])
+
+
+# lt_car(LIST)
+# lt_cdr(LIST)
+# ------------
+# Manipulate m4 lists.
+# These macros are necessary as long as will still need to support
+# Autoconf-2.59 which quotes differently.
+m4_define([lt_car], [[$1]])
+m4_define([lt_cdr],
+[m4_if([$#], 0, [m4_fatal([$0: cannot be called without arguments])],
+       [$#], 1, [],
+       [m4_dquote(m4_shift($@))])])
+m4_define([lt_unquote], $1)
+
+
+# lt_append(MACRO-NAME, STRING, [SEPARATOR])
+# ------------------------------------------
+# Redefine MACRO-NAME to hold its former content plus `SEPARATOR'`STRING'.
+# Note that neither SEPARATOR nor STRING are expanded; they are appended
+# to MACRO-NAME as is (leaving the expansion for when MACRO-NAME is invoked).
+# No SEPARATOR is output if MACRO-NAME was previously undefined (different
+# than defined and empty).
+#
+# This macro is needed until we can rely on Autoconf 2.62, since earlier
+# versions of m4sugar mistakenly expanded SEPARATOR but not STRING.
+m4_define([lt_append],
+[m4_define([$1],
+	   m4_ifdef([$1], [m4_defn([$1])[$3]])[$2])])
+
+
+
+# lt_combine(SEP, PREFIX-LIST, INFIX, SUFFIX1, [SUFFIX2...])
+# ----------------------------------------------------------
+# Produce a SEP delimited list of all paired combinations of elements of
+# PREFIX-LIST with SUFFIX1 through SUFFIXn.  Each element of the list
+# has the form PREFIXmINFIXSUFFIXn.
+# Needed until we can rely on m4_combine added in Autoconf 2.62.
+m4_define([lt_combine],
+[m4_if(m4_eval([$# > 3]), [1],
+       [m4_pushdef([_Lt_sep], [m4_define([_Lt_sep], m4_defn([lt_car]))])]]dnl
+[[m4_foreach([_Lt_prefix], [$2],
+	     [m4_foreach([_Lt_suffix],
+		]m4_dquote(m4_dquote(m4_shift(m4_shift(m4_shift($@)))))[,
+	[_Lt_sep([$1])[]m4_defn([_Lt_prefix])[$3]m4_defn([_Lt_suffix])])])])])
+
+
+# lt_if_append_uniq(MACRO-NAME, VARNAME, [SEPARATOR], [UNIQ], [NOT-UNIQ])
+# -----------------------------------------------------------------------
+# Iff MACRO-NAME does not yet contain VARNAME, then append it (delimited
+# by SEPARATOR if supplied) and expand UNIQ, else NOT-UNIQ.
+m4_define([lt_if_append_uniq],
+[m4_ifdef([$1],
+	  [m4_if(m4_index([$3]m4_defn([$1])[$3], [$3$2$3]), [-1],
+		 [lt_append([$1], [$2], [$3])$4],
+		 [$5])],
+	  [lt_append([$1], [$2], [$3])$4])])
+
+
+# lt_dict_add(DICT, KEY, VALUE)
+# -----------------------------
+m4_define([lt_dict_add],
+[m4_define([$1($2)], [$3])])
+
+
+# lt_dict_add_subkey(DICT, KEY, SUBKEY, VALUE)
+# --------------------------------------------
+m4_define([lt_dict_add_subkey],
+[m4_define([$1($2:$3)], [$4])])
+
+
+# lt_dict_fetch(DICT, KEY, [SUBKEY])
+# ----------------------------------
+m4_define([lt_dict_fetch],
+[m4_ifval([$3],
+	m4_ifdef([$1($2:$3)], [m4_defn([$1($2:$3)])]),
+    m4_ifdef([$1($2)], [m4_defn([$1($2)])]))])
+
+
+# lt_if_dict_fetch(DICT, KEY, [SUBKEY], VALUE, IF-TRUE, [IF-FALSE])
+# -----------------------------------------------------------------
+m4_define([lt_if_dict_fetch],
+[m4_if(lt_dict_fetch([$1], [$2], [$3]), [$4],
+	[$5],
+    [$6])])
+
+
+# lt_dict_filter(DICT, [SUBKEY], VALUE, [SEPARATOR], KEY, [...])
+# --------------------------------------------------------------
+m4_define([lt_dict_filter],
+[m4_if([$5], [], [],
+  [lt_join(m4_quote(m4_default([$4], [[, ]])),
+           lt_unquote(m4_split(m4_normalize(m4_foreach(_Lt_key, lt_car([m4_shiftn(4, $@)]),
+		      [lt_if_dict_fetch([$1], _Lt_key, [$2], [$3], [_Lt_key ])])))))])[]dnl
+])
diff --git a/clib/expeyes-clib/m4/ltversion.m4 b/clib/expeyes-clib/m4/ltversion.m4
new file mode 100644
index 0000000..07a8602
--- /dev/null
+++ b/clib/expeyes-clib/m4/ltversion.m4
@@ -0,0 +1,23 @@
+# ltversion.m4 -- version numbers			-*- Autoconf -*-
+#
+#   Copyright (C) 2004 Free Software Foundation, Inc.
+#   Written by Scott James Remnant, 2004
+#
+# This file is free software; the Free Software Foundation gives
+# unlimited permission to copy and/or distribute it, with or without
+# modifications, as long as this notice is preserved.
+
+# @configure_input@
+
+# serial 3337 ltversion.m4
+# This file is part of GNU Libtool
+
+m4_define([LT_PACKAGE_VERSION], [2.4.2])
+m4_define([LT_PACKAGE_REVISION], [1.3337])
+
+AC_DEFUN([LTVERSION_VERSION],
+[macro_version='2.4.2'
+macro_revision='1.3337'
+_LT_DECL(, macro_version, 0, [Which release of libtool.m4 was used?])
+_LT_DECL(, macro_revision, 0)
+])
diff --git a/clib/expeyes-clib/m4/lt~obsolete.m4 b/clib/expeyes-clib/m4/lt~obsolete.m4
new file mode 100644
index 0000000..c573da9
--- /dev/null
+++ b/clib/expeyes-clib/m4/lt~obsolete.m4
@@ -0,0 +1,98 @@
+# lt~obsolete.m4 -- aclocal satisfying obsolete definitions.    -*-Autoconf-*-
+#
+#   Copyright (C) 2004, 2005, 2007, 2009 Free Software Foundation, Inc.
+#   Written by Scott James Remnant, 2004.
+#
+# This file is free software; the Free Software Foundation gives
+# unlimited permission to copy and/or distribute it, with or without
+# modifications, as long as this notice is preserved.
+
+# serial 5 lt~obsolete.m4
+
+# These exist entirely to fool aclocal when bootstrapping libtool.
+#
+# In the past libtool.m4 has provided macros via AC_DEFUN (or AU_DEFUN)
+# which have later been changed to m4_define as they aren't part of the
+# exported API, or moved to Autoconf or Automake where they belong.
+#
+# The trouble is, aclocal is a bit thick.  It'll see the old AC_DEFUN
+# in /usr/share/aclocal/libtool.m4 and remember it, then when it sees us
+# using a macro with the same name in our local m4/libtool.m4 it'll
+# pull the old libtool.m4 in (it doesn't see our shiny new m4_define
+# and doesn't know about Autoconf macros at all.)
+#
+# So we provide this file, which has a silly filename so it's always
+# included after everything else.  This provides aclocal with the
+# AC_DEFUNs it wants, but when m4 processes it, it doesn't do anything
+# because those macros already exist, or will be overwritten later.
+# We use AC_DEFUN over AU_DEFUN for compatibility with aclocal-1.6. 
+#
+# Anytime we withdraw an AC_DEFUN or AU_DEFUN, remember to add it here.
+# Yes, that means every name once taken will need to remain here until
+# we give up compatibility with versions before 1.7, at which point
+# we need to keep only those names which we still refer to.
+
+# This is to help aclocal find these macros, as it can't see m4_define.
+AC_DEFUN([LTOBSOLETE_VERSION], [m4_if([1])])
+
+m4_ifndef([AC_LIBTOOL_LINKER_OPTION],	[AC_DEFUN([AC_LIBTOOL_LINKER_OPTION])])
+m4_ifndef([AC_PROG_EGREP],		[AC_DEFUN([AC_PROG_EGREP])])
+m4_ifndef([_LT_AC_PROG_ECHO_BACKSLASH],	[AC_DEFUN([_LT_AC_PROG_ECHO_BACKSLASH])])
+m4_ifndef([_LT_AC_SHELL_INIT],		[AC_DEFUN([_LT_AC_SHELL_INIT])])
+m4_ifndef([_LT_AC_SYS_LIBPATH_AIX],	[AC_DEFUN([_LT_AC_SYS_LIBPATH_AIX])])
+m4_ifndef([_LT_PROG_LTMAIN],		[AC_DEFUN([_LT_PROG_LTMAIN])])
+m4_ifndef([_LT_AC_TAGVAR],		[AC_DEFUN([_LT_AC_TAGVAR])])
+m4_ifndef([AC_LTDL_ENABLE_INSTALL],	[AC_DEFUN([AC_LTDL_ENABLE_INSTALL])])
+m4_ifndef([AC_LTDL_PREOPEN],		[AC_DEFUN([AC_LTDL_PREOPEN])])
+m4_ifndef([_LT_AC_SYS_COMPILER],	[AC_DEFUN([_LT_AC_SYS_COMPILER])])
+m4_ifndef([_LT_AC_LOCK],		[AC_DEFUN([_LT_AC_LOCK])])
+m4_ifndef([AC_LIBTOOL_SYS_OLD_ARCHIVE],	[AC_DEFUN([AC_LIBTOOL_SYS_OLD_ARCHIVE])])
+m4_ifndef([_LT_AC_TRY_DLOPEN_SELF],	[AC_DEFUN([_LT_AC_TRY_DLOPEN_SELF])])
+m4_ifndef([AC_LIBTOOL_PROG_CC_C_O],	[AC_DEFUN([AC_LIBTOOL_PROG_CC_C_O])])
+m4_ifndef([AC_LIBTOOL_SYS_HARD_LINK_LOCKS], [AC_DEFUN([AC_LIBTOOL_SYS_HARD_LINK_LOCKS])])
+m4_ifndef([AC_LIBTOOL_OBJDIR],		[AC_DEFUN([AC_LIBTOOL_OBJDIR])])
+m4_ifndef([AC_LTDL_OBJDIR],		[AC_DEFUN([AC_LTDL_OBJDIR])])
+m4_ifndef([AC_LIBTOOL_PROG_LD_HARDCODE_LIBPATH], [AC_DEFUN([AC_LIBTOOL_PROG_LD_HARDCODE_LIBPATH])])
+m4_ifndef([AC_LIBTOOL_SYS_LIB_STRIP],	[AC_DEFUN([AC_LIBTOOL_SYS_LIB_STRIP])])
+m4_ifndef([AC_PATH_MAGIC],		[AC_DEFUN([AC_PATH_MAGIC])])
+m4_ifndef([AC_PROG_LD_GNU],		[AC_DEFUN([AC_PROG_LD_GNU])])
+m4_ifndef([AC_PROG_LD_RELOAD_FLAG],	[AC_DEFUN([AC_PROG_LD_RELOAD_FLAG])])
+m4_ifndef([AC_DEPLIBS_CHECK_METHOD],	[AC_DEFUN([AC_DEPLIBS_CHECK_METHOD])])
+m4_ifndef([AC_LIBTOOL_PROG_COMPILER_NO_RTTI], [AC_DEFUN([AC_LIBTOOL_PROG_COMPILER_NO_RTTI])])
+m4_ifndef([AC_LIBTOOL_SYS_GLOBAL_SYMBOL_PIPE], [AC_DEFUN([AC_LIBTOOL_SYS_GLOBAL_SYMBOL_PIPE])])
+m4_ifndef([AC_LIBTOOL_PROG_COMPILER_PIC], [AC_DEFUN([AC_LIBTOOL_PROG_COMPILER_PIC])])
+m4_ifndef([AC_LIBTOOL_PROG_LD_SHLIBS],	[AC_DEFUN([AC_LIBTOOL_PROG_LD_SHLIBS])])
+m4_ifndef([AC_LIBTOOL_POSTDEP_PREDEP],	[AC_DEFUN([AC_LIBTOOL_POSTDEP_PREDEP])])
+m4_ifndef([LT_AC_PROG_EGREP],		[AC_DEFUN([LT_AC_PROG_EGREP])])
+m4_ifndef([LT_AC_PROG_SED],		[AC_DEFUN([LT_AC_PROG_SED])])
+m4_ifndef([_LT_CC_BASENAME],		[AC_DEFUN([_LT_CC_BASENAME])])
+m4_ifndef([_LT_COMPILER_BOILERPLATE],	[AC_DEFUN([_LT_COMPILER_BOILERPLATE])])
+m4_ifndef([_LT_LINKER_BOILERPLATE],	[AC_DEFUN([_LT_LINKER_BOILERPLATE])])
+m4_ifndef([_AC_PROG_LIBTOOL],		[AC_DEFUN([_AC_PROG_LIBTOOL])])
+m4_ifndef([AC_LIBTOOL_SETUP],		[AC_DEFUN([AC_LIBTOOL_SETUP])])
+m4_ifndef([_LT_AC_CHECK_DLFCN],		[AC_DEFUN([_LT_AC_CHECK_DLFCN])])
+m4_ifndef([AC_LIBTOOL_SYS_DYNAMIC_LINKER],	[AC_DEFUN([AC_LIBTOOL_SYS_DYNAMIC_LINKER])])
+m4_ifndef([_LT_AC_TAGCONFIG],		[AC_DEFUN([_LT_AC_TAGCONFIG])])
+m4_ifndef([AC_DISABLE_FAST_INSTALL],	[AC_DEFUN([AC_DISABLE_FAST_INSTALL])])
+m4_ifndef([_LT_AC_LANG_CXX],		[AC_DEFUN([_LT_AC_LANG_CXX])])
+m4_ifndef([_LT_AC_LANG_F77],		[AC_DEFUN([_LT_AC_LANG_F77])])
+m4_ifndef([_LT_AC_LANG_GCJ],		[AC_DEFUN([_LT_AC_LANG_GCJ])])
+m4_ifndef([AC_LIBTOOL_LANG_C_CONFIG],	[AC_DEFUN([AC_LIBTOOL_LANG_C_CONFIG])])
+m4_ifndef([_LT_AC_LANG_C_CONFIG],	[AC_DEFUN([_LT_AC_LANG_C_CONFIG])])
+m4_ifndef([AC_LIBTOOL_LANG_CXX_CONFIG],	[AC_DEFUN([AC_LIBTOOL_LANG_CXX_CONFIG])])
+m4_ifndef([_LT_AC_LANG_CXX_CONFIG],	[AC_DEFUN([_LT_AC_LANG_CXX_CONFIG])])
+m4_ifndef([AC_LIBTOOL_LANG_F77_CONFIG],	[AC_DEFUN([AC_LIBTOOL_LANG_F77_CONFIG])])
+m4_ifndef([_LT_AC_LANG_F77_CONFIG],	[AC_DEFUN([_LT_AC_LANG_F77_CONFIG])])
+m4_ifndef([AC_LIBTOOL_LANG_GCJ_CONFIG],	[AC_DEFUN([AC_LIBTOOL_LANG_GCJ_CONFIG])])
+m4_ifndef([_LT_AC_LANG_GCJ_CONFIG],	[AC_DEFUN([_LT_AC_LANG_GCJ_CONFIG])])
+m4_ifndef([AC_LIBTOOL_LANG_RC_CONFIG],	[AC_DEFUN([AC_LIBTOOL_LANG_RC_CONFIG])])
+m4_ifndef([_LT_AC_LANG_RC_CONFIG],	[AC_DEFUN([_LT_AC_LANG_RC_CONFIG])])
+m4_ifndef([AC_LIBTOOL_CONFIG],		[AC_DEFUN([AC_LIBTOOL_CONFIG])])
+m4_ifndef([_LT_AC_FILE_LTDLL_C],	[AC_DEFUN([_LT_AC_FILE_LTDLL_C])])
+m4_ifndef([_LT_REQUIRED_DARWIN_CHECKS],	[AC_DEFUN([_LT_REQUIRED_DARWIN_CHECKS])])
+m4_ifndef([_LT_AC_PROG_CXXCPP],		[AC_DEFUN([_LT_AC_PROG_CXXCPP])])
+m4_ifndef([_LT_PREPARE_SED_QUOTE_VARS],	[AC_DEFUN([_LT_PREPARE_SED_QUOTE_VARS])])
+m4_ifndef([_LT_PROG_ECHO_BACKSLASH],	[AC_DEFUN([_LT_PROG_ECHO_BACKSLASH])])
+m4_ifndef([_LT_PROG_F77],		[AC_DEFUN([_LT_PROG_F77])])
+m4_ifndef([_LT_PROG_FC],		[AC_DEFUN([_LT_PROG_FC])])
+m4_ifndef([_LT_PROG_CXX],		[AC_DEFUN([_LT_PROG_CXX])])
diff --git a/clib/expeyes-clib/missing b/clib/expeyes-clib/missing
new file mode 100755
index 0000000..86a8fc3
--- /dev/null
+++ b/clib/expeyes-clib/missing
@@ -0,0 +1,331 @@
+#! /bin/sh
+# Common stub for a few missing GNU programs while installing.
+
+scriptversion=2012-01-06.13; # UTC
+
+# Copyright (C) 1996, 1997, 1999, 2000, 2002, 2003, 2004, 2005, 2006,
+# 2008, 2009, 2010, 2011, 2012 Free Software Foundation, Inc.
+# Originally by Fran,cois Pinard <pinard at iro.umontreal.ca>, 1996.
+
+# This program is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 2, or (at your option)
+# any later version.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+
+# You should have received a copy of the GNU General Public License
+# along with this program.  If not, see <http://www.gnu.org/licenses/>.
+
+# As a special exception to the GNU General Public License, if you
+# distribute this file as part of a program that contains a
+# configuration script generated by Autoconf, you may include it under
+# the same distribution terms that you use for the rest of that program.
+
+if test $# -eq 0; then
+  echo 1>&2 "Try \`$0 --help' for more information"
+  exit 1
+fi
+
+run=:
+sed_output='s/.* --output[ =]\([^ ]*\).*/\1/p'
+sed_minuso='s/.* -o \([^ ]*\).*/\1/p'
+
+# In the cases where this matters, `missing' is being run in the
+# srcdir already.
+if test -f configure.ac; then
+  configure_ac=configure.ac
+else
+  configure_ac=configure.in
+fi
+
+msg="missing on your system"
+
+case $1 in
+--run)
+  # Try to run requested program, and just exit if it succeeds.
+  run=
+  shift
+  "$@" && exit 0
+  # Exit code 63 means version mismatch.  This often happens
+  # when the user try to use an ancient version of a tool on
+  # a file that requires a minimum version.  In this case we
+  # we should proceed has if the program had been absent, or
+  # if --run hadn't been passed.
+  if test $? = 63; then
+    run=:
+    msg="probably too old"
+  fi
+  ;;
+
+  -h|--h|--he|--hel|--help)
+    echo "\
+$0 [OPTION]... PROGRAM [ARGUMENT]...
+
+Handle \`PROGRAM [ARGUMENT]...' for when PROGRAM is missing, or return an
+error status if there is no known handling for PROGRAM.
+
+Options:
+  -h, --help      display this help and exit
+  -v, --version   output version information and exit
+  --run           try to run the given command, and emulate it if it fails
+
+Supported PROGRAM values:
+  aclocal      touch file \`aclocal.m4'
+  autoconf     touch file \`configure'
+  autoheader   touch file \`config.h.in'
+  autom4te     touch the output file, or create a stub one
+  automake     touch all \`Makefile.in' files
+  bison        create \`y.tab.[ch]', if possible, from existing .[ch]
+  flex         create \`lex.yy.c', if possible, from existing .c
+  help2man     touch the output file
+  lex          create \`lex.yy.c', if possible, from existing .c
+  makeinfo     touch the output file
+  yacc         create \`y.tab.[ch]', if possible, from existing .[ch]
+
+Version suffixes to PROGRAM as well as the prefixes \`gnu-', \`gnu', and
+\`g' are ignored when checking the name.
+
+Send bug reports to <bug-automake at gnu.org>."
+    exit $?
+    ;;
+
+  -v|--v|--ve|--ver|--vers|--versi|--versio|--version)
+    echo "missing $scriptversion (GNU Automake)"
+    exit $?
+    ;;
+
+  -*)
+    echo 1>&2 "$0: Unknown \`$1' option"
+    echo 1>&2 "Try \`$0 --help' for more information"
+    exit 1
+    ;;
+
+esac
+
+# normalize program name to check for.
+program=`echo "$1" | sed '
+  s/^gnu-//; t
+  s/^gnu//; t
+  s/^g//; t'`
+
+# Now exit if we have it, but it failed.  Also exit now if we
+# don't have it and --version was passed (most likely to detect
+# the program).  This is about non-GNU programs, so use $1 not
+# $program.
+case $1 in
+  lex*|yacc*)
+    # Not GNU programs, they don't have --version.
+    ;;
+
+  *)
+    if test -z "$run" && ($1 --version) > /dev/null 2>&1; then
+       # We have it, but it failed.
+       exit 1
+    elif test "x$2" = "x--version" || test "x$2" = "x--help"; then
+       # Could not run --version or --help.  This is probably someone
+       # running `$TOOL --version' or `$TOOL --help' to check whether
+       # $TOOL exists and not knowing $TOOL uses missing.
+       exit 1
+    fi
+    ;;
+esac
+
+# If it does not exist, or fails to run (possibly an outdated version),
+# try to emulate it.
+case $program in
+  aclocal*)
+    echo 1>&2 "\
+WARNING: \`$1' is $msg.  You should only need it if
+         you modified \`acinclude.m4' or \`${configure_ac}'.  You might want
+         to install the \`Automake' and \`Perl' packages.  Grab them from
+         any GNU archive site."
+    touch aclocal.m4
+    ;;
+
+  autoconf*)
+    echo 1>&2 "\
+WARNING: \`$1' is $msg.  You should only need it if
+         you modified \`${configure_ac}'.  You might want to install the
+         \`Autoconf' and \`GNU m4' packages.  Grab them from any GNU
+         archive site."
+    touch configure
+    ;;
+
+  autoheader*)
+    echo 1>&2 "\
+WARNING: \`$1' is $msg.  You should only need it if
+         you modified \`acconfig.h' or \`${configure_ac}'.  You might want
+         to install the \`Autoconf' and \`GNU m4' packages.  Grab them
+         from any GNU archive site."
+    files=`sed -n 's/^[ ]*A[CM]_CONFIG_HEADER(\([^)]*\)).*/\1/p' ${configure_ac}`
+    test -z "$files" && files="config.h"
+    touch_files=
+    for f in $files; do
+      case $f in
+      *:*) touch_files="$touch_files "`echo "$f" |
+				       sed -e 's/^[^:]*://' -e 's/:.*//'`;;
+      *) touch_files="$touch_files $f.in";;
+      esac
+    done
+    touch $touch_files
+    ;;
+
+  automake*)
+    echo 1>&2 "\
+WARNING: \`$1' is $msg.  You should only need it if
+         you modified \`Makefile.am', \`acinclude.m4' or \`${configure_ac}'.
+         You might want to install the \`Automake' and \`Perl' packages.
+         Grab them from any GNU archive site."
+    find . -type f -name Makefile.am -print |
+	   sed 's/\.am$/.in/' |
+	   while read f; do touch "$f"; done
+    ;;
+
+  autom4te*)
+    echo 1>&2 "\
+WARNING: \`$1' is needed, but is $msg.
+         You might have modified some files without having the
+         proper tools for further handling them.
+         You can get \`$1' as part of \`Autoconf' from any GNU
+         archive site."
+
+    file=`echo "$*" | sed -n "$sed_output"`
+    test -z "$file" && file=`echo "$*" | sed -n "$sed_minuso"`
+    if test -f "$file"; then
+	touch $file
+    else
+	test -z "$file" || exec >$file
+	echo "#! /bin/sh"
+	echo "# Created by GNU Automake missing as a replacement of"
+	echo "#  $ $@"
+	echo "exit 0"
+	chmod +x $file
+	exit 1
+    fi
+    ;;
+
+  bison*|yacc*)
+    echo 1>&2 "\
+WARNING: \`$1' $msg.  You should only need it if
+         you modified a \`.y' file.  You may need the \`Bison' package
+         in order for those modifications to take effect.  You can get
+         \`Bison' from any GNU archive site."
+    rm -f y.tab.c y.tab.h
+    if test $# -ne 1; then
+        eval LASTARG=\${$#}
+	case $LASTARG in
+	*.y)
+	    SRCFILE=`echo "$LASTARG" | sed 's/y$/c/'`
+	    if test -f "$SRCFILE"; then
+	         cp "$SRCFILE" y.tab.c
+	    fi
+	    SRCFILE=`echo "$LASTARG" | sed 's/y$/h/'`
+	    if test -f "$SRCFILE"; then
+	         cp "$SRCFILE" y.tab.h
+	    fi
+	  ;;
+	esac
+    fi
+    if test ! -f y.tab.h; then
+	echo >y.tab.h
+    fi
+    if test ! -f y.tab.c; then
+	echo 'main() { return 0; }' >y.tab.c
+    fi
+    ;;
+
+  lex*|flex*)
+    echo 1>&2 "\
+WARNING: \`$1' is $msg.  You should only need it if
+         you modified a \`.l' file.  You may need the \`Flex' package
+         in order for those modifications to take effect.  You can get
+         \`Flex' from any GNU archive site."
+    rm -f lex.yy.c
+    if test $# -ne 1; then
+        eval LASTARG=\${$#}
+	case $LASTARG in
+	*.l)
+	    SRCFILE=`echo "$LASTARG" | sed 's/l$/c/'`
+	    if test -f "$SRCFILE"; then
+	         cp "$SRCFILE" lex.yy.c
+	    fi
+	  ;;
+	esac
+    fi
+    if test ! -f lex.yy.c; then
+	echo 'main() { return 0; }' >lex.yy.c
+    fi
+    ;;
+
+  help2man*)
+    echo 1>&2 "\
+WARNING: \`$1' is $msg.  You should only need it if
+	 you modified a dependency of a manual page.  You may need the
+	 \`Help2man' package in order for those modifications to take
+	 effect.  You can get \`Help2man' from any GNU archive site."
+
+    file=`echo "$*" | sed -n "$sed_output"`
+    test -z "$file" && file=`echo "$*" | sed -n "$sed_minuso"`
+    if test -f "$file"; then
+	touch $file
+    else
+	test -z "$file" || exec >$file
+	echo ".ab help2man is required to generate this page"
+	exit $?
+    fi
+    ;;
+
+  makeinfo*)
+    echo 1>&2 "\
+WARNING: \`$1' is $msg.  You should only need it if
+         you modified a \`.texi' or \`.texinfo' file, or any other file
+         indirectly affecting the aspect of the manual.  The spurious
+         call might also be the consequence of using a buggy \`make' (AIX,
+         DU, IRIX).  You might want to install the \`Texinfo' package or
+         the \`GNU make' package.  Grab either from any GNU archive site."
+    # The file to touch is that specified with -o ...
+    file=`echo "$*" | sed -n "$sed_output"`
+    test -z "$file" && file=`echo "$*" | sed -n "$sed_minuso"`
+    if test -z "$file"; then
+      # ... or it is the one specified with @setfilename ...
+      infile=`echo "$*" | sed 's/.* \([^ ]*\) *$/\1/'`
+      file=`sed -n '
+	/^@setfilename/{
+	  s/.* \([^ ]*\) *$/\1/
+	  p
+	  q
+	}' $infile`
+      # ... or it is derived from the source name (dir/f.texi becomes f.info)
+      test -z "$file" && file=`echo "$infile" | sed 's,.*/,,;s,.[^.]*$,,'`.info
+    fi
+    # If the file does not exist, the user really needs makeinfo;
+    # let's fail without touching anything.
+    test -f $file || exit 1
+    touch $file
+    ;;
+
+  *)
+    echo 1>&2 "\
+WARNING: \`$1' is needed, and is $msg.
+         You might have modified some files without having the
+         proper tools for further handling them.  Check the \`README' file,
+         it often tells you about the needed prerequisites for installing
+         this package.  You may also peek at any GNU archive site, in case
+         some other package would contain this missing \`$1' program."
+    exit 1
+    ;;
+esac
+
+exit 0
+
+# Local variables:
+# eval: (add-hook 'write-file-hooks 'time-stamp)
+# time-stamp-start: "scriptversion="
+# time-stamp-format: "%:y-%02m-%02d.%02H"
+# time-stamp-time-zone: "UTC"
+# time-stamp-end: "; # UTC"
+# End:
diff --git a/clib/expeyes-clib/src/LICENSE.txt b/clib/expeyes-clib/src/LICENSE.txt
new file mode 100644
index 0000000..65c5ca8
--- /dev/null
+++ b/clib/expeyes-clib/src/LICENSE.txt
@@ -0,0 +1,165 @@
+                   GNU LESSER GENERAL PUBLIC LICENSE
+                       Version 3, 29 June 2007
+
+ Copyright (C) 2007 Free Software Foundation, Inc. <http://fsf.org/>
+ Everyone is permitted to copy and distribute verbatim copies
+ of this license document, but changing it is not allowed.
+
+
+  This version of the GNU Lesser General Public License incorporates
+the terms and conditions of version 3 of the GNU General Public
+License, supplemented by the additional permissions listed below.
+
+  0. Additional Definitions.
+
+  As used herein, "this License" refers to version 3 of the GNU Lesser
+General Public License, and the "GNU GPL" refers to version 3 of the GNU
+General Public License.
+
+  "The Library" refers to a covered work governed by this License,
+other than an Application or a Combined Work as defined below.
+
+  An "Application" is any work that makes use of an interface provided
+by the Library, but which is not otherwise based on the Library.
+Defining a subclass of a class defined by the Library is deemed a mode
+of using an interface provided by the Library.
+
+  A "Combined Work" is a work produced by combining or linking an
+Application with the Library.  The particular version of the Library
+with which the Combined Work was made is also called the "Linked
+Version".
+
+  The "Minimal Corresponding Source" for a Combined Work means the
+Corresponding Source for the Combined Work, excluding any source code
+for portions of the Combined Work that, considered in isolation, are
+based on the Application, and not on the Linked Version.
+
+  The "Corresponding Application Code" for a Combined Work means the
+object code and/or source code for the Application, including any data
+and utility programs needed for reproducing the Combined Work from the
+Application, but excluding the System Libraries of the Combined Work.
+
+  1. Exception to Section 3 of the GNU GPL.
+
+  You may convey a covered work under sections 3 and 4 of this License
+without being bound by section 3 of the GNU GPL.
+
+  2. Conveying Modified Versions.
+
+  If you modify a copy of the Library, and, in your modifications, a
+facility refers to a function or data to be supplied by an Application
+that uses the facility (other than as an argument passed when the
+facility is invoked), then you may convey a copy of the modified
+version:
+
+   a) under this License, provided that you make a good faith effort to
+   ensure that, in the event an Application does not supply the
+   function or data, the facility still operates, and performs
+   whatever part of its purpose remains meaningful, or
+
+   b) under the GNU GPL, with none of the additional permissions of
+   this License applicable to that copy.
+
+  3. Object Code Incorporating Material from Library Header Files.
+
+  The object code form of an Application may incorporate material from
+a header file that is part of the Library.  You may convey such object
+code under terms of your choice, provided that, if the incorporated
+material is not limited to numerical parameters, data structure
+layouts and accessors, or small macros, inline functions and templates
+(ten or fewer lines in length), you do both of the following:
+
+   a) Give prominent notice with each copy of the object code that the
+   Library is used in it and that the Library and its use are
+   covered by this License.
+
+   b) Accompany the object code with a copy of the GNU GPL and this license
+   document.
+
+  4. Combined Works.
+
+  You may convey a Combined Work under terms of your choice that,
+taken together, effectively do not restrict modification of the
+portions of the Library contained in the Combined Work and reverse
+engineering for debugging such modifications, if you also do each of
+the following:
+
+   a) Give prominent notice with each copy of the Combined Work that
+   the Library is used in it and that the Library and its use are
+   covered by this License.
+
+   b) Accompany the Combined Work with a copy of the GNU GPL and this license
+   document.
+
+   c) For a Combined Work that displays copyright notices during
+   execution, include the copyright notice for the Library among
+   these notices, as well as a reference directing the user to the
+   copies of the GNU GPL and this license document.
+
+   d) Do one of the following:
+
+       0) Convey the Minimal Corresponding Source under the terms of this
+       License, and the Corresponding Application Code in a form
+       suitable for, and under terms that permit, the user to
+       recombine or relink the Application with a modified version of
+       the Linked Version to produce a modified Combined Work, in the
+       manner specified by section 6 of the GNU GPL for conveying
+       Corresponding Source.
+
+       1) Use a suitable shared library mechanism for linking with the
+       Library.  A suitable mechanism is one that (a) uses at run time
+       a copy of the Library already present on the user's computer
+       system, and (b) will operate properly with a modified version
+       of the Library that is interface-compatible with the Linked
+       Version.
+
+   e) Provide Installation Information, but only if you would otherwise
+   be required to provide such information under section 6 of the
+   GNU GPL, and only to the extent that such information is
+   necessary to install and execute a modified version of the
+   Combined Work produced by recombining or relinking the
+   Application with a modified version of the Linked Version. (If
+   you use option 4d0, the Installation Information must accompany
+   the Minimal Corresponding Source and Corresponding Application
+   Code. If you use option 4d1, you must provide the Installation
+   Information in the manner specified by section 6 of the GNU GPL
+   for conveying Corresponding Source.)
+
+  5. Combined Libraries.
+
+  You may place library facilities that are a work based on the
+Library side by side in a single library together with other library
+facilities that are not Applications and are not covered by this
+License, and convey such a combined library under terms of your
+choice, if you do both of the following:
+
+   a) Accompany the combined library with a copy of the same work based
+   on the Library, uncombined with any other library facilities,
+   conveyed under the terms of this License.
+
+   b) Give prominent notice with the combined library that part of it
+   is a work based on the Library, and explaining where to find the
+   accompanying uncombined form of the same work.
+
+  6. Revised Versions of the GNU Lesser General Public License.
+
+  The Free Software Foundation may publish revised and/or new versions
+of the GNU Lesser General Public License from time to time. Such new
+versions will be similar in spirit to the present version, but may
+differ in detail to address new problems or concerns.
+
+  Each version is given a distinguishing version number. If the
+Library as you received it specifies that a certain numbered version
+of the GNU Lesser General Public License "or any later version"
+applies to it, you have the option of following the terms and
+conditions either of that published version or of any later version
+published by the Free Software Foundation. If the Library as you
+received it does not specify a version number of the GNU Lesser
+General Public License, you may choose any version of the GNU Lesser
+General Public License ever published by the Free Software Foundation.
+
+  If the Library as you received it specifies that a proxy can decide
+whether future versions of the GNU Lesser General Public License shall
+apply, that proxy's public statement of acceptance of any version is
+permanent authorization for you to choose that version for the
+Library.
diff --git a/clib/expeyes-clib/src/Makefile.am b/clib/expeyes-clib/src/Makefile.am
new file mode 100644
index 0000000..55f7d9a
--- /dev/null
+++ b/clib/expeyes-clib/src/Makefile.am
@@ -0,0 +1,30 @@
+bin_PROGRAMS = capdemo1 capdemo2 capdemo3 capdemo4 capdemo capdemo-hr ccs-cap basic-io
+
+lib_LTLIBRARIES     = libej.la
+
+LDADD = -ldl #-L must be use to specify the folder for dl library
+
+AM_CFLAGS = -Wall
+
+# suggested by autoreconf --install (after activating libtool)
+ACLOCAL_AMFLAGS = -I ../m4
+
+libej_la_SOURCES = ejlib.c
+ejlib_CFLAGS = -DPIC -Wl-export-dynamic
+
+capdemo1_SOURCES = capdemo1.c
+capdemo1_LDADD = -lm -L.libs -lej
+capdemo2_SOURCES = capdemo2.c
+capdemo2_LDADD = -lm -L.libs -lej
+capdemo3_SOURCES = capdemo3.c
+capdemo3_LDADD = -lm -L.libs -lej
+capdemo4_SOURCES = capdemo4.c
+capdemo4_LDADD = -lm -L.libs -lej
+capdemo_SOURCES = capdemo.c
+capdemo_LDADD = -lm -L.libs -lej
+capdemo_hr_SOURCES = capdemo-hr.c
+capdemo_hr_LDADD = -lm -L.libs -lej
+ccs_cap_SOURCES = ccs-cap.c
+ccs_cap_LDADD = -lm -L.libs -lej
+basic_io_SOURCES = basic-io.c
+basic_io_LDADD = -lm -L.libs -lej
diff --git a/clib/expeyes-clib/src/Makefile.in b/clib/expeyes-clib/src/Makefile.in
new file mode 100644
index 0000000..191b5bb
--- /dev/null
+++ b/clib/expeyes-clib/src/Makefile.in
@@ -0,0 +1,774 @@
+# Makefile.in generated by automake 1.14 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994-2013 Free Software Foundation, Inc.
+
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+ at SET_MAKE@
+
+
+VPATH = @srcdir@
+am__is_gnu_make = test -n '$(MAKEFILE_LIST)' && test -n '$(MAKELEVEL)'
+am__make_running_with_option = \
+  case $${target_option-} in \
+      ?) ;; \
+      *) echo "am__make_running_with_option: internal error: invalid" \
+              "target option '$${target_option-}' specified" >&2; \
+         exit 1;; \
+  esac; \
+  has_opt=no; \
+  sane_makeflags=$$MAKEFLAGS; \
+  if $(am__is_gnu_make); then \
+    sane_makeflags=$$MFLAGS; \
+  else \
+    case $$MAKEFLAGS in \
+      *\\[\ \	]*) \
+        bs=\\; \
+        sane_makeflags=`printf '%s\n' "$$MAKEFLAGS" \
+          | sed "s/$$bs$$bs[$$bs $$bs	]*//g"`;; \
+    esac; \
+  fi; \
+  skip_next=no; \
+  strip_trailopt () \
+  { \
+    flg=`printf '%s\n' "$$flg" | sed "s/$$1.*$$//"`; \
+  }; \
+  for flg in $$sane_makeflags; do \
+    test $$skip_next = yes && { skip_next=no; continue; }; \
+    case $$flg in \
+      *=*|--*) continue;; \
+        -*I) strip_trailopt 'I'; skip_next=yes;; \
+      -*I?*) strip_trailopt 'I';; \
+        -*O) strip_trailopt 'O'; skip_next=yes;; \
+      -*O?*) strip_trailopt 'O';; \
+        -*l) strip_trailopt 'l'; skip_next=yes;; \
+      -*l?*) strip_trailopt 'l';; \
+      -[dEDm]) skip_next=yes;; \
+      -[JT]) skip_next=yes;; \
+    esac; \
+    case $$flg in \
+      *$$target_option*) has_opt=yes; break;; \
+    esac; \
+  done; \
+  test $$has_opt = yes
+am__make_dryrun = (target_option=n; $(am__make_running_with_option))
+am__make_keepgoing = (target_option=k; $(am__make_running_with_option))
+pkgdatadir = $(datadir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkglibexecdir = $(libexecdir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+bin_PROGRAMS = capdemo1$(EXEEXT) capdemo2$(EXEEXT) capdemo3$(EXEEXT) \
+	capdemo4$(EXEEXT) capdemo$(EXEEXT) capdemo-hr$(EXEEXT) \
+	ccs-cap$(EXEEXT) basic-io$(EXEEXT)
+subdir = src
+DIST_COMMON = $(srcdir)/Makefile.in $(srcdir)/Makefile.am \
+	$(top_srcdir)/depcomp
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/m4/libtool.m4 \
+	$(top_srcdir)/m4/ltoptions.m4 $(top_srcdir)/m4/ltsugar.m4 \
+	$(top_srcdir)/m4/ltversion.m4 $(top_srcdir)/m4/lt~obsolete.m4 \
+	$(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+	$(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_CLEAN_FILES =
+CONFIG_CLEAN_VPATH_FILES =
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = f=`echo $$p | sed -e 's|^.*/||'`;
+am__install_max = 40
+am__nobase_strip_setup = \
+  srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*|]/\\\\&/g'`
+am__nobase_strip = \
+  for p in $$list; do echo "$$p"; done | sed -e "s|$$srcdirstrip/||"
+am__nobase_list = $(am__nobase_strip_setup); \
+  for p in $$list; do echo "$$p $$p"; done | \
+  sed "s| $$srcdirstrip/| |;"' / .*\//!s/ .*/ ./; s,\( .*\)/[^/]*$$,\1,' | \
+  $(AWK) 'BEGIN { files["."] = "" } { files[$$2] = files[$$2] " " $$1; \
+    if (++n[$$2] == $(am__install_max)) \
+      { print $$2, files[$$2]; n[$$2] = 0; files[$$2] = "" } } \
+    END { for (dir in files) print dir, files[dir] }'
+am__base_list = \
+  sed '$$!N;$$!N;$$!N;$$!N;$$!N;$$!N;$$!N;s/\n/ /g' | \
+  sed '$$!N;$$!N;$$!N;$$!N;s/\n/ /g'
+am__uninstall_files_from_dir = { \
+  test -z "$$files" \
+    || { test ! -d "$$dir" && test ! -f "$$dir" && test ! -r "$$dir"; } \
+    || { echo " ( cd '$$dir' && rm -f" $$files ")"; \
+         $(am__cd) "$$dir" && rm -f $$files; }; \
+  }
+am__installdirs = "$(DESTDIR)$(libdir)" "$(DESTDIR)$(bindir)"
+LTLIBRARIES = $(lib_LTLIBRARIES)
+libej_la_LIBADD =
+am_libej_la_OBJECTS = ejlib.lo
+libej_la_OBJECTS = $(am_libej_la_OBJECTS)
+AM_V_lt = $(am__v_lt_ at AM_V@)
+am__v_lt_ = $(am__v_lt_ at AM_DEFAULT_V@)
+am__v_lt_0 = --silent
+am__v_lt_1 = 
+PROGRAMS = $(bin_PROGRAMS)
+am_basic_io_OBJECTS = basic-io.$(OBJEXT)
+basic_io_OBJECTS = $(am_basic_io_OBJECTS)
+basic_io_DEPENDENCIES =
+am_capdemo_OBJECTS = capdemo.$(OBJEXT)
+capdemo_OBJECTS = $(am_capdemo_OBJECTS)
+capdemo_DEPENDENCIES =
+am_capdemo_hr_OBJECTS = capdemo-hr.$(OBJEXT)
+capdemo_hr_OBJECTS = $(am_capdemo_hr_OBJECTS)
+capdemo_hr_DEPENDENCIES =
+am_capdemo1_OBJECTS = capdemo1.$(OBJEXT)
+capdemo1_OBJECTS = $(am_capdemo1_OBJECTS)
+capdemo1_DEPENDENCIES =
+am_capdemo2_OBJECTS = capdemo2.$(OBJEXT)
+capdemo2_OBJECTS = $(am_capdemo2_OBJECTS)
+capdemo2_DEPENDENCIES =
+am_capdemo3_OBJECTS = capdemo3.$(OBJEXT)
+capdemo3_OBJECTS = $(am_capdemo3_OBJECTS)
+capdemo3_DEPENDENCIES =
+am_capdemo4_OBJECTS = capdemo4.$(OBJEXT)
+capdemo4_OBJECTS = $(am_capdemo4_OBJECTS)
+capdemo4_DEPENDENCIES =
+am_ccs_cap_OBJECTS = ccs-cap.$(OBJEXT)
+ccs_cap_OBJECTS = $(am_ccs_cap_OBJECTS)
+ccs_cap_DEPENDENCIES =
+AM_V_P = $(am__v_P_ at AM_V@)
+am__v_P_ = $(am__v_P_ at AM_DEFAULT_V@)
+am__v_P_0 = false
+am__v_P_1 = :
+AM_V_GEN = $(am__v_GEN_ at AM_V@)
+am__v_GEN_ = $(am__v_GEN_ at AM_DEFAULT_V@)
+am__v_GEN_0 = @echo "  GEN     " $@;
+am__v_GEN_1 = 
+AM_V_at = $(am__v_at_ at AM_V@)
+am__v_at_ = $(am__v_at_ at AM_DEFAULT_V@)
+am__v_at_0 = @
+am__v_at_1 = 
+DEFAULT_INCLUDES = -I. at am__isrc@
+depcomp = $(SHELL) $(top_srcdir)/depcomp
+am__depfiles_maybe = depfiles
+am__mv = mv -f
+COMPILE = $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) \
+	$(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS)
+LTCOMPILE = $(LIBTOOL) $(AM_V_lt) --tag=CC $(AM_LIBTOOLFLAGS) \
+	$(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) \
+	$(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) \
+	$(AM_CFLAGS) $(CFLAGS)
+AM_V_CC = $(am__v_CC_ at AM_V@)
+am__v_CC_ = $(am__v_CC_ at AM_DEFAULT_V@)
+am__v_CC_0 = @echo "  CC      " $@;
+am__v_CC_1 = 
+CCLD = $(CC)
+LINK = $(LIBTOOL) $(AM_V_lt) --tag=CC $(AM_LIBTOOLFLAGS) \
+	$(LIBTOOLFLAGS) --mode=link $(CCLD) $(AM_CFLAGS) $(CFLAGS) \
+	$(AM_LDFLAGS) $(LDFLAGS) -o $@
+AM_V_CCLD = $(am__v_CCLD_ at AM_V@)
+am__v_CCLD_ = $(am__v_CCLD_ at AM_DEFAULT_V@)
+am__v_CCLD_0 = @echo "  CCLD    " $@;
+am__v_CCLD_1 = 
+SOURCES = $(libej_la_SOURCES) $(basic_io_SOURCES) $(capdemo_SOURCES) \
+	$(capdemo_hr_SOURCES) $(capdemo1_SOURCES) $(capdemo2_SOURCES) \
+	$(capdemo3_SOURCES) $(capdemo4_SOURCES) $(ccs_cap_SOURCES)
+DIST_SOURCES = $(libej_la_SOURCES) $(basic_io_SOURCES) \
+	$(capdemo_SOURCES) $(capdemo_hr_SOURCES) $(capdemo1_SOURCES) \
+	$(capdemo2_SOURCES) $(capdemo3_SOURCES) $(capdemo4_SOURCES) \
+	$(ccs_cap_SOURCES)
+am__can_run_installinfo = \
+  case $$AM_UPDATE_INFO_DIR in \
+    n|no|NO) false;; \
+    *) (install-info --version) >/dev/null 2>&1;; \
+  esac
+am__tagged_files = $(HEADERS) $(SOURCES) $(TAGS_FILES) $(LISP)
+# Read a list of newline-separated strings from the standard input,
+# and print each of them once, without duplicates.  Input order is
+# *not* preserved.
+am__uniquify_input = $(AWK) '\
+  BEGIN { nonempty = 0; } \
+  { items[$$0] = 1; nonempty = 1; } \
+  END { if (nonempty) { for (i in items) print i; }; } \
+'
+# Make sure the list of sources is unique.  This is necessary because,
+# e.g., the same source file might be shared among _SOURCES variables
+# for different programs/libraries.
+am__define_uniq_tagged_files = \
+  list='$(am__tagged_files)'; \
+  unique=`for i in $$list; do \
+    if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+  done | $(am__uniquify_input)`
+ETAGS = etags
+CTAGS = ctags
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+AMTAR = @AMTAR@
+AM_DEFAULT_VERBOSITY = @AM_DEFAULT_VERBOSITY@
+AR = @AR@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+CC = @CC@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CYGPATH_W = @CYGPATH_W@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+FGREP = @FGREP@
+GREP = @GREP@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIBTOOL_DEPS = @LIBTOOL_DEPS@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MANIFEST_TOOL = @MANIFEST_TOOL@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_URL = @PACKAGE_URL@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+RANLIB = @RANLIB@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+STRIP = @STRIP@
+VERSION = @VERSION@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_AR = @ac_ct_AR@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+dvidir = @dvidir@
+exec_prefix = @exec_prefix@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+mandir = @mandir@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+pdfdir = @pdfdir@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+srcdir = @srcdir@
+sysconfdir = @sysconfdir@
+target_alias = @target_alias@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+lib_LTLIBRARIES = libej.la
+LDADD = -ldl #-L must be use to specify the folder for dl library
+AM_CFLAGS = -Wall
+
+# suggested by autoreconf --install (after activating libtool)
+ACLOCAL_AMFLAGS = -I ../m4
+libej_la_SOURCES = ejlib.c
+ejlib_CFLAGS = -DPIC -Wl-export-dynamic
+capdemo1_SOURCES = capdemo1.c
+capdemo1_LDADD = -lm -L.libs -lej
+capdemo2_SOURCES = capdemo2.c
+capdemo2_LDADD = -lm -L.libs -lej
+capdemo3_SOURCES = capdemo3.c
+capdemo3_LDADD = -lm -L.libs -lej
+capdemo4_SOURCES = capdemo4.c
+capdemo4_LDADD = -lm -L.libs -lej
+capdemo_SOURCES = capdemo.c
+capdemo_LDADD = -lm -L.libs -lej
+capdemo_hr_SOURCES = capdemo-hr.c
+capdemo_hr_LDADD = -lm -L.libs -lej
+ccs_cap_SOURCES = ccs-cap.c
+ccs_cap_LDADD = -lm -L.libs -lej
+basic_io_SOURCES = basic-io.c
+basic_io_LDADD = -lm -L.libs -lej
+all: all-am
+
+.SUFFIXES:
+.SUFFIXES: .c .lo .o .obj
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am  $(am__configure_deps)
+	@for dep in $?; do \
+	  case '$(am__configure_deps)' in \
+	    *$$dep*) \
+	      ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+	        && { if test -f $@; then exit 0; else break; fi; }; \
+	      exit 1;; \
+	  esac; \
+	done; \
+	echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu src/Makefile'; \
+	$(am__cd) $(top_srcdir) && \
+	  $(AUTOMAKE) --gnu src/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+	@case '$?' in \
+	  *config.status*) \
+	    cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+	  *) \
+	    echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+	    cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+	esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+	cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+	cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+	cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(am__aclocal_m4_deps):
+
+install-libLTLIBRARIES: $(lib_LTLIBRARIES)
+	@$(NORMAL_INSTALL)
+	@list='$(lib_LTLIBRARIES)'; test -n "$(libdir)" || list=; \
+	list2=; for p in $$list; do \
+	  if test -f $$p; then \
+	    list2="$$list2 $$p"; \
+	  else :; fi; \
+	done; \
+	test -z "$$list2" || { \
+	  echo " $(MKDIR_P) '$(DESTDIR)$(libdir)'"; \
+	  $(MKDIR_P) "$(DESTDIR)$(libdir)" || exit 1; \
+	  echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(INSTALL) $(INSTALL_STRIP_FLAG) $$list2 '$(DESTDIR)$(libdir)'"; \
+	  $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(INSTALL) $(INSTALL_STRIP_FLAG) $$list2 "$(DESTDIR)$(libdir)"; \
+	}
+
+uninstall-libLTLIBRARIES:
+	@$(NORMAL_UNINSTALL)
+	@list='$(lib_LTLIBRARIES)'; test -n "$(libdir)" || list=; \
+	for p in $$list; do \
+	  $(am__strip_dir) \
+	  echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f '$(DESTDIR)$(libdir)/$$f'"; \
+	  $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f "$(DESTDIR)$(libdir)/$$f"; \
+	done
+
+clean-libLTLIBRARIES:
+	-test -z "$(lib_LTLIBRARIES)" || rm -f $(lib_LTLIBRARIES)
+	@list='$(lib_LTLIBRARIES)'; \
+	locs=`for p in $$list; do echo $$p; done | \
+	      sed 's|^[^/]*$$|.|; s|/[^/]*$$||; s|$$|/so_locations|' | \
+	      sort -u`; \
+	test -z "$$locs" || { \
+	  echo rm -f $${locs}; \
+	  rm -f $${locs}; \
+	}
+
+libej.la: $(libej_la_OBJECTS) $(libej_la_DEPENDENCIES) $(EXTRA_libej_la_DEPENDENCIES) 
+	$(AM_V_CCLD)$(LINK) -rpath $(libdir) $(libej_la_OBJECTS) $(libej_la_LIBADD) $(LIBS)
+install-binPROGRAMS: $(bin_PROGRAMS)
+	@$(NORMAL_INSTALL)
+	@list='$(bin_PROGRAMS)'; test -n "$(bindir)" || list=; \
+	if test -n "$$list"; then \
+	  echo " $(MKDIR_P) '$(DESTDIR)$(bindir)'"; \
+	  $(MKDIR_P) "$(DESTDIR)$(bindir)" || exit 1; \
+	fi; \
+	for p in $$list; do echo "$$p $$p"; done | \
+	sed 's/$(EXEEXT)$$//' | \
+	while read p p1; do if test -f $$p \
+	 || test -f $$p1 \
+	  ; then echo "$$p"; echo "$$p"; else :; fi; \
+	done | \
+	sed -e 'p;s,.*/,,;n;h' \
+	    -e 's|.*|.|' \
+	    -e 'p;x;s,.*/,,;s/$(EXEEXT)$$//;$(transform);s/$$/$(EXEEXT)/' | \
+	sed 'N;N;N;s,\n, ,g' | \
+	$(AWK) 'BEGIN { files["."] = ""; dirs["."] = 1 } \
+	  { d=$$3; if (dirs[d] != 1) { print "d", d; dirs[d] = 1 } \
+	    if ($$2 == $$4) files[d] = files[d] " " $$1; \
+	    else { print "f", $$3 "/" $$4, $$1; } } \
+	  END { for (d in files) print "f", d, files[d] }' | \
+	while read type dir files; do \
+	    if test "$$dir" = .; then dir=; else dir=/$$dir; fi; \
+	    test -z "$$files" || { \
+	    echo " $(INSTALL_PROGRAM_ENV) $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(INSTALL_PROGRAM) $$files '$(DESTDIR)$(bindir)$$dir'"; \
+	    $(INSTALL_PROGRAM_ENV) $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(INSTALL_PROGRAM) $$files "$(DESTDIR)$(bindir)$$dir" || exit $$?; \
+	    } \
+	; done
+
+uninstall-binPROGRAMS:
+	@$(NORMAL_UNINSTALL)
+	@list='$(bin_PROGRAMS)'; test -n "$(bindir)" || list=; \
+	files=`for p in $$list; do echo "$$p"; done | \
+	  sed -e 'h;s,^.*/,,;s/$(EXEEXT)$$//;$(transform)' \
+	      -e 's/$$/$(EXEEXT)/' \
+	`; \
+	test -n "$$list" || exit 0; \
+	echo " ( cd '$(DESTDIR)$(bindir)' && rm -f" $$files ")"; \
+	cd "$(DESTDIR)$(bindir)" && rm -f $$files
+
+clean-binPROGRAMS:
+	@list='$(bin_PROGRAMS)'; test -n "$$list" || exit 0; \
+	echo " rm -f" $$list; \
+	rm -f $$list || exit $$?; \
+	test -n "$(EXEEXT)" || exit 0; \
+	list=`for p in $$list; do echo "$$p"; done | sed 's/$(EXEEXT)$$//'`; \
+	echo " rm -f" $$list; \
+	rm -f $$list
+
+basic-io$(EXEEXT): $(basic_io_OBJECTS) $(basic_io_DEPENDENCIES) $(EXTRA_basic_io_DEPENDENCIES) 
+	@rm -f basic-io$(EXEEXT)
+	$(AM_V_CCLD)$(LINK) $(basic_io_OBJECTS) $(basic_io_LDADD) $(LIBS)
+
+capdemo$(EXEEXT): $(capdemo_OBJECTS) $(capdemo_DEPENDENCIES) $(EXTRA_capdemo_DEPENDENCIES) 
+	@rm -f capdemo$(EXEEXT)
+	$(AM_V_CCLD)$(LINK) $(capdemo_OBJECTS) $(capdemo_LDADD) $(LIBS)
+
+capdemo-hr$(EXEEXT): $(capdemo_hr_OBJECTS) $(capdemo_hr_DEPENDENCIES) $(EXTRA_capdemo_hr_DEPENDENCIES) 
+	@rm -f capdemo-hr$(EXEEXT)
+	$(AM_V_CCLD)$(LINK) $(capdemo_hr_OBJECTS) $(capdemo_hr_LDADD) $(LIBS)
+
+capdemo1$(EXEEXT): $(capdemo1_OBJECTS) $(capdemo1_DEPENDENCIES) $(EXTRA_capdemo1_DEPENDENCIES) 
+	@rm -f capdemo1$(EXEEXT)
+	$(AM_V_CCLD)$(LINK) $(capdemo1_OBJECTS) $(capdemo1_LDADD) $(LIBS)
+
+capdemo2$(EXEEXT): $(capdemo2_OBJECTS) $(capdemo2_DEPENDENCIES) $(EXTRA_capdemo2_DEPENDENCIES) 
+	@rm -f capdemo2$(EXEEXT)
+	$(AM_V_CCLD)$(LINK) $(capdemo2_OBJECTS) $(capdemo2_LDADD) $(LIBS)
+
+capdemo3$(EXEEXT): $(capdemo3_OBJECTS) $(capdemo3_DEPENDENCIES) $(EXTRA_capdemo3_DEPENDENCIES) 
+	@rm -f capdemo3$(EXEEXT)
+	$(AM_V_CCLD)$(LINK) $(capdemo3_OBJECTS) $(capdemo3_LDADD) $(LIBS)
+
+capdemo4$(EXEEXT): $(capdemo4_OBJECTS) $(capdemo4_DEPENDENCIES) $(EXTRA_capdemo4_DEPENDENCIES) 
+	@rm -f capdemo4$(EXEEXT)
+	$(AM_V_CCLD)$(LINK) $(capdemo4_OBJECTS) $(capdemo4_LDADD) $(LIBS)
+
+ccs-cap$(EXEEXT): $(ccs_cap_OBJECTS) $(ccs_cap_DEPENDENCIES) $(EXTRA_ccs_cap_DEPENDENCIES) 
+	@rm -f ccs-cap$(EXEEXT)
+	$(AM_V_CCLD)$(LINK) $(ccs_cap_OBJECTS) $(ccs_cap_LDADD) $(LIBS)
+
+mostlyclean-compile:
+	-rm -f *.$(OBJEXT)
+
+distclean-compile:
+	-rm -f *.tab.c
+
+ at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/basic-io.Po at am__quote@
+ at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/capdemo-hr.Po at am__quote@
+ at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/capdemo.Po at am__quote@
+ at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/capdemo1.Po at am__quote@
+ at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/capdemo2.Po at am__quote@
+ at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/capdemo3.Po at am__quote@
+ at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/capdemo4.Po at am__quote@
+ at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/ccs-cap.Po at am__quote@
+ at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/ejlib.Plo at am__quote@
+
+.c.o:
+ at am__fastdepCC_TRUE@	$(AM_V_CC)$(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+ at am__fastdepCC_TRUE@	$(AM_V_at)$(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+ at AMDEP_TRUE@@am__fastdepCC_FALSE@	$(AM_V_CC)source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+ at AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+ at am__fastdepCC_FALSE@	$(AM_V_CC at am__nodep@)$(COMPILE) -c -o $@ $<
+
+.c.obj:
+ at am__fastdepCC_TRUE@	$(AM_V_CC)$(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
+ at am__fastdepCC_TRUE@	$(AM_V_at)$(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+ at AMDEP_TRUE@@am__fastdepCC_FALSE@	$(AM_V_CC)source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+ at AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+ at am__fastdepCC_FALSE@	$(AM_V_CC at am__nodep@)$(COMPILE) -c -o $@ `$(CYGPATH_W) '$<'`
+
+.c.lo:
+ at am__fastdepCC_TRUE@	$(AM_V_CC)$(LTCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+ at am__fastdepCC_TRUE@	$(AM_V_at)$(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Plo
+ at AMDEP_TRUE@@am__fastdepCC_FALSE@	$(AM_V_CC)source='$<' object='$@' libtool=yes @AMDEPBACKSLASH@
+ at AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+ at am__fastdepCC_FALSE@	$(AM_V_CC at am__nodep@)$(LTCOMPILE) -c -o $@ $<
+
+mostlyclean-libtool:
+	-rm -f *.lo
+
+clean-libtool:
+	-rm -rf .libs _libs
+
+ID: $(am__tagged_files)
+	$(am__define_uniq_tagged_files); mkid -fID $$unique
+tags: tags-am
+TAGS: tags
+
+tags-am: $(TAGS_DEPENDENCIES) $(am__tagged_files)
+	set x; \
+	here=`pwd`; \
+	$(am__define_uniq_tagged_files); \
+	shift; \
+	if test -z "$(ETAGS_ARGS)$$*$$unique"; then :; else \
+	  test -n "$$unique" || unique=$$empty_fix; \
+	  if test $$# -gt 0; then \
+	    $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+	      "$$@" $$unique; \
+	  else \
+	    $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+	      $$unique; \
+	  fi; \
+	fi
+ctags: ctags-am
+
+CTAGS: ctags
+ctags-am: $(TAGS_DEPENDENCIES) $(am__tagged_files)
+	$(am__define_uniq_tagged_files); \
+	test -z "$(CTAGS_ARGS)$$unique" \
+	  || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+	     $$unique
+
+GTAGS:
+	here=`$(am__cd) $(top_builddir) && pwd` \
+	  && $(am__cd) $(top_srcdir) \
+	  && gtags -i $(GTAGS_ARGS) "$$here"
+cscopelist: cscopelist-am
+
+cscopelist-am: $(am__tagged_files)
+	list='$(am__tagged_files)'; \
+	case "$(srcdir)" in \
+	  [\\/]* | ?:[\\/]*) sdir="$(srcdir)" ;; \
+	  *) sdir=$(subdir)/$(srcdir) ;; \
+	esac; \
+	for i in $$list; do \
+	  if test -f "$$i"; then \
+	    echo "$(subdir)/$$i"; \
+	  else \
+	    echo "$$sdir/$$i"; \
+	  fi; \
+	done >> $(top_builddir)/cscope.files
+
+distclean-tags:
+	-rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+	@srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+	topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+	list='$(DISTFILES)'; \
+	  dist_files=`for file in $$list; do echo $$file; done | \
+	  sed -e "s|^$$srcdirstrip/||;t" \
+	      -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+	case $$dist_files in \
+	  */*) $(MKDIR_P) `echo "$$dist_files" | \
+			   sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+			   sort -u` ;; \
+	esac; \
+	for file in $$dist_files; do \
+	  if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+	  if test -d $$d/$$file; then \
+	    dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+	    if test -d "$(distdir)/$$file"; then \
+	      find "$(distdir)/$$file" -type d ! -perm -700 -exec chmod u+rwx {} \;; \
+	    fi; \
+	    if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+	      cp -fpR $(srcdir)/$$file "$(distdir)$$dir" || exit 1; \
+	      find "$(distdir)/$$file" -type d ! -perm -700 -exec chmod u+rwx {} \;; \
+	    fi; \
+	    cp -fpR $$d/$$file "$(distdir)$$dir" || exit 1; \
+	  else \
+	    test -f "$(distdir)/$$file" \
+	    || cp -p $$d/$$file "$(distdir)/$$file" \
+	    || exit 1; \
+	  fi; \
+	done
+check-am: all-am
+check: check-am
+all-am: Makefile $(LTLIBRARIES) $(PROGRAMS)
+install-binPROGRAMS: install-libLTLIBRARIES
+
+installdirs:
+	for dir in "$(DESTDIR)$(libdir)" "$(DESTDIR)$(bindir)"; do \
+	  test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+	done
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+	@$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+	if test -z '$(STRIP)'; then \
+	  $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+	    install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+	      install; \
+	else \
+	  $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+	    install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+	    "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'" install; \
+	fi
+mostlyclean-generic:
+
+clean-generic:
+
+distclean-generic:
+	-test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+	-test . = "$(srcdir)" || test -z "$(CONFIG_CLEAN_VPATH_FILES)" || rm -f $(CONFIG_CLEAN_VPATH_FILES)
+
+maintainer-clean-generic:
+	@echo "This command is intended for maintainers to use"
+	@echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-binPROGRAMS clean-generic clean-libLTLIBRARIES \
+	clean-libtool mostlyclean-am
+
+distclean: distclean-am
+	-rm -rf ./$(DEPDIR)
+	-rm -f Makefile
+distclean-am: clean-am distclean-compile distclean-generic \
+	distclean-tags
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+html-am:
+
+info: info-am
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-am
+
+install-dvi-am:
+
+install-exec-am: install-binPROGRAMS install-libLTLIBRARIES
+
+install-html: install-html-am
+
+install-html-am:
+
+install-info: install-info-am
+
+install-info-am:
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-pdf-am:
+
+install-ps: install-ps-am
+
+install-ps-am:
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+	-rm -rf ./$(DEPDIR)
+	-rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-compile mostlyclean-generic \
+	mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-binPROGRAMS uninstall-libLTLIBRARIES
+
+.MAKE: install-am install-strip
+
+.PHONY: CTAGS GTAGS TAGS all all-am check check-am clean \
+	clean-binPROGRAMS clean-generic clean-libLTLIBRARIES \
+	clean-libtool cscopelist-am ctags ctags-am distclean \
+	distclean-compile distclean-generic distclean-libtool \
+	distclean-tags distdir dvi dvi-am html html-am info info-am \
+	install install-am install-binPROGRAMS install-data \
+	install-data-am install-dvi install-dvi-am install-exec \
+	install-exec-am install-html install-html-am install-info \
+	install-info-am install-libLTLIBRARIES install-man install-pdf \
+	install-pdf-am install-ps install-ps-am install-strip \
+	installcheck installcheck-am installdirs maintainer-clean \
+	maintainer-clean-generic mostlyclean mostlyclean-compile \
+	mostlyclean-generic mostlyclean-libtool pdf pdf-am ps ps-am \
+	tags tags-am uninstall uninstall-am uninstall-binPROGRAMS \
+	uninstall-libLTLIBRARIES
+
+
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/clib/expeyes-clib/src/basic-io.c b/clib/expeyes-clib/src/basic-io.c
new file mode 100644
index 0000000..5dcabb3
--- /dev/null
+++ b/clib/expeyes-clib/src/basic-io.c
@@ -0,0 +1,68 @@
+/* 
+Test program demonstrating single Input/Output & waveform generation. Compile & run using
+$ gcc -Wall -o basic-io basic-io.c -lm
+$ ./basic-io
+*/
+
+#include "ejlib.h" 
+/* extern */ int fd;
+
+int main()
+{
+byte ss[10], st;
+float v, fr, ti;	
+
+fd = open_eyesj();
+if(fd < 0)
+	{
+	fprintf(stderr,"EYES Open Failed\n");
+	exit(0);
+	}
+
+if(get_version(ss)) exit(1);
+printf("The version of EYES-Junior found is %s\n",ss);
+
+// Set PVS voltage
+if(set_voltage(5.0, &v))exit(1);
+printf("The voltage at PVS is set to %5.3f V\n", v);
+
+// Read voltage from a channel. Connect PVS to IN1
+if(get_voltage(3, &v)) exit(1);
+printf("Voltage at IN1 is %5.3f V\n", v);
+
+// Reads Logic level at a channel. Connect PVS to IN1
+if(get_state(3, &st)) exit(1);
+printf("Level at IN1 is %d\n", st);
+
+// Sets Logic level at a channel.
+if(set_state(10, 1)) exit(1);		// Check OD1 with a voltmeter. Or connect it to IN2
+if(get_state(4, &st)) exit(1);		// and Read IN2
+printf("Level at IN2 is %d\n", st);
+
+// Sets square wave
+if(set_sqr1(100.0, &fr)) exit(1);		
+printf("SQR1 set to %5.3f Hz\n", fr);
+
+// Sets square wave
+if(set_sqrs(1000.0, 25.0, &fr)) exit(1);		// 25% => 90 degree phase shift between SQR1 & SQR2
+printf("SQR1 & SQR2 set to %5.3f Hz\n", fr);
+
+
+// The 1000Hz set on SQR1 & SQR2 are available on Readback channels 6 & 7. 
+// We will use them for Time interval measurements.
+
+if(r2ftime(6,6, &ti)) exit(1);		// Rising edge to Falling Edge
+printf("Rise to Fall time on channel 6 = %5.0f usec\n", ti);
+
+if(r2rtime(6,7, &ti)) exit(1);		// Rising edge to rising edge, delay between two channels
+printf("Rise to Rise time from channel 6 to 7 = %5.0f usec\n", ti);
+
+if(multi_r2rtime(6, 0, &ti)) exit(1);		// Time between two rising edges, same input
+printf("Rise to Rise time for 6  = %5.0f usec\n", ti);
+
+if(get_frequency(6, &fr)) exit(1);		// Frequency of squarewave on input 
+printf("Rise to Rise time for 6  = %5.3f Hz\n", fr);
+
+return 0;
+}
+
diff --git a/clib/expeyes-clib/src/capdemo-hr.c b/clib/expeyes-clib/src/capdemo-hr.c
new file mode 100644
index 0000000..184419f
--- /dev/null
+++ b/clib/expeyes-clib/src/capdemo-hr.c
@@ -0,0 +1,52 @@
+/* 
+Test program demonstrating Analog I/O functions.
+Connect SINE to A1 before running the code. Compile & run using
+$ gcc -Wall -o capdemo capdemo.c -lm
+$ ./capdemo > log.dat
+$ xmgrace log.dat
+*/
+
+#include "ejlib.h" 
+/* extern */ int fd;
+
+void err(char* s)
+{
+fprintf(stderr,"Error: %s\n",s);
+exit(0);
+}
+
+int main()
+{
+float fr, tvbuf[MAXBUF*2], *fp;		// Array to store Time & Voltage data from capture.
+int	ch, ns, tg, k;
+
+fd = open_eyesj();
+if(fd < 0)
+	{
+	fprintf(stderr,"EYES Open Failed\n");
+	exit(0);
+	}
+
+set_sqr1(100.0, &fr);		// Sets 100Hz on SQR1 & SQR2 , with 50% phase difference
+
+tg = 100;
+
+// Capture single channel, 12 bit resolution
+ns = 900;
+if(capture_hr(1, ns, 100, tvbuf))err("capture_hr");
+for(k=0; k < ns; ++k) printf("%f  %f\n", tvbuf[k], tvbuf[ns+k]);
+printf("\n");
+
+// Capture two channes, 12 bit resolution
+ns = 450;
+if(capture2(1, 6, ns, tg, tvbuf))err("capture2_hr");
+for(ch=0; ch < 2; ++ch)
+	{
+	fp = tvbuf + ch*2*ns;		// Point to the location where desired T,V is stored.
+	for(k=0; k < ns; ++k) printf("%f  %f\n", fp[k], fp[ns+k]);
+	printf("\n");
+	}
+
+return 0;
+}
+
diff --git a/clib/expeyes-clib/src/capdemo.c b/clib/expeyes-clib/src/capdemo.c
new file mode 100644
index 0000000..d7aa856
--- /dev/null
+++ b/clib/expeyes-clib/src/capdemo.c
@@ -0,0 +1,89 @@
+/* 
+Test program demonstrating Analog I/O functions.
+Connect SINE to A1 before running the code. Compile & run using
+$ gcc -Wall -o capdemo capdemo.c -lm
+$ ./capdemo > log.dat
+$ xmgrace log.dat
+*/
+
+#include "ejlib.h" 
+/* extern */ int fd;
+
+void err(char* s)
+{
+fprintf(stderr,"Error: %s\n",s);
+exit(0);
+}
+
+int main()
+{
+float fr, tvbuf[MAXBUF*2], *fp;		// Array to store Time & Voltage data from capture.
+int	ch, ns, tg, k;
+
+fd = open_eyesj();
+if(fd < 0)
+	{
+	fprintf(stderr,"EYES Open Failed\n");
+	exit(0);
+	}
+
+set_sqr1(100.0, &fr);		// Sets 100Hz on SQR1 & SQR2 , with 50% phase difference
+
+tg = 100;
+
+// Capture single channel, 8 bit resolution
+ns = 1800;
+if(capture(1, ns, 100, tvbuf))err("capture");
+for(k=0; k < ns; ++k) printf("%f  %f\n", tvbuf[k], tvbuf[ns+k]);
+printf("\n");
+
+// Capture two channes, 8 bit resolution
+ns = 900;
+if(capture2(1, 6, ns, tg, tvbuf))err("capture2");
+for(ch=0; ch < 2; ++ch)
+	{
+	fp = tvbuf + ch*2*ns;
+	for(k=0; k < ns; ++k) printf("%f  %f\n", fp[k], fp[ns+k]);
+	printf("\n");
+	}
+exit(0);
+
+// Capture three channes, 8 bit resolution
+ns = 600;
+if(capture3(1, 6, 7, ns, tg, tvbuf))err("capture3");
+for(ch=0; ch < 3; ++ch)
+	{
+	fp = tvbuf + ch*2*ns;
+	for(k=0; k < ns; ++k) printf("%f  %f\n", fp[k], fp[ns+k]);
+	printf("\n");
+	}
+
+// Capture four channes, 8 bit resolution
+ns = 450;
+if(capture4(1, 6, 7, 1, ns, tg, tvbuf))err("capture4");
+for(ch=0; ch < 4; ++ch)
+	{
+	fp = tvbuf + ch*2*ns;
+	for(k=0; k < ns; ++k) printf("%f  %f\n", fp[k], fp[ns+k]);
+	printf("\n");
+	}
+
+// Capture single channel, 12 bit resolution
+ns = 900;
+if(capture_hr(1, ns, 100, tvbuf))err("capture_hr");
+for(k=0; k < ns; ++k) printf("%f  %f\n", tvbuf[k], tvbuf[ns+k]);
+printf("\n");
+
+// Capture two channes, 12 bit resolution
+ns = 450;
+if(capture2(1, 6, ns, tg, tvbuf))err("capture2_hr");
+for(ch=0; ch < 2; ++ch)
+	{
+	fp = tvbuf + ch*2*ns;		// Point to the location where desired T,V is stored.
+	for(k=0; k < ns; ++k) printf("%f  %f\n", fp[k], fp[ns+k]);
+	printf("\n");
+	}
+
+return 0;
+}
+
diff --git a/clib/expeyes-clib/src/capdemo1.c b/clib/expeyes-clib/src/capdemo1.c
new file mode 100644
index 0000000..5d14c96
--- /dev/null
+++ b/clib/expeyes-clib/src/capdemo1.c
@@ -0,0 +1,41 @@
+/* 
+Test program demonstrating Analog I/O functions. Connect SINE to A1 before running the code. 
+Compile & run using
+$ gcc -Wall -o capdemo capdemo.c -lm
+$ ./capdemo > log.dat
+$ xmgrace log.dat
+*/
+
+#include "ejlib.h" 
+/* extern */ int fd;
+
+void err(char* s)
+{
+fprintf(stderr,"Error: %s\n",s);
+exit(0);
+}
+
+int main()
+{
+float fr, tvbuf[MAXBUF*2];		// Array to store Time & Voltage data from capture.
+int	ns, tg, k;
+
+fd = open_eyesj();
+if(fd < 0)
+	{
+	fprintf(stderr,"EYES Open Failed\n");
+	exit(0);
+	}
+
+set_sqr1(100.0, &fr);		// Sets 100Hz on SQR1
+ns = 1800;
+tg = 100;
+
+// Capture single channel, 8 bit resolution
+if(capture(1, ns, tg, tvbuf))err("capture");
+for(k=0; k < ns; ++k) printf("%f  %f\n", tvbuf[k], tvbuf[ns+k]);
+printf("\n");
+
+return 0;
+}
+
diff --git a/clib/expeyes-clib/src/capdemo2.c b/clib/expeyes-clib/src/capdemo2.c
new file mode 100644
index 0000000..acb898f
--- /dev/null
+++ b/clib/expeyes-clib/src/capdemo2.c
@@ -0,0 +1,46 @@
+/* 
+Test program demonstrating Analog I/O functions.
+Connect SINE to A1 before running the code. Compile & run using
+$ gcc -Wall -o capdemo2 capdemo2.c -lm
+$ ./capdemo2 > log.dat
+$ xmgrace log.dat
+*/
+
+#include "ejlib.h" 
+/* extern */ int fd;
+
+void err(char* s)
+{
+fprintf(stderr,"Error: %s\n",s);
+exit(0);
+}
+
+int main()
+{
+float fr, tvbuf[MAXBUF*2], *fp;		// Array to store Time & Voltage data from capture.
+int	ch, ns, tg, k;
+
+fd = open_eyesj();
+if(fd < 0)
+	{
+	fprintf(stderr,"EYES Open Failed\n");
+	exit(0);
+	}
+
+set_sqr1(100.0, &fr);		// Sets 100Hz on SQR1 & SQR2 , with 50% phase difference
+
+tg = 100;
+
+// Capture two channes, 8 bit resolution
+ns = 900;
+if(capture2(1, 6, ns, tg, tvbuf))err("capture2");
+for(ch=0; ch < 2; ++ch)
+	{
+	fp = tvbuf + ch*2*ns;
+	for(k=0; k < ns; ++k) printf("%f  %f\n", fp[k], fp[ns+k]);
+	printf("\n");
+	}
+
+return 0;
+}
+
diff --git a/clib/expeyes-clib/src/capdemo3.c b/clib/expeyes-clib/src/capdemo3.c
new file mode 100644
index 0000000..10b6a07
--- /dev/null
+++ b/clib/expeyes-clib/src/capdemo3.c
@@ -0,0 +1,45 @@
+/* 
+Test program demonstrating Analog I/O functions.
+Connect SINE to A1 before running the code. Compile & run using
+$ gcc -Wall -o capdemo3 capdemo3.c -lm
+$ ./capdemo3 > log.dat
+$ xmgrace log.dat
+*/
+
+#include "ejlib.h" 
+/* extern */ int fd;
+
+void err(char* s)
+{
+fprintf(stderr,"Error: %s\n",s);
+exit(0);
+}
+
+int main()
+{
+float fr, tvbuf[MAXBUF*2], *fp;		// Array to store Time & Voltage data from capture.
+int	ch, ns, tg, k;
+
+fd = open_eyesj();
+if(fd < 0)
+	{
+	fprintf(stderr,"EYES Open Failed\n");
+	exit(0);
+	}
+
+set_sqrs(100.0, 25, &fr);		// Sets 100Hz on SQR1 & SQR2 , with 50% phase difference
+
+ns = 600;
+tg = 100;
+
+// Capture three channes, 8 bit resolution
+if(capture3(1, 6, 7, ns, tg, tvbuf))err("capture3");
+for(ch=0; ch < 3; ++ch)
+	{
+	fp = tvbuf + ch*2*ns;
+	for(k=0; k < ns; ++k) printf("%f  %f\n", fp[k], fp[ns+k]);
+	printf("\n");
+	}
+
+return 0;
+}
diff --git a/clib/expeyes-clib/src/capdemo4.c b/clib/expeyes-clib/src/capdemo4.c
new file mode 100644
index 0000000..dc19e03
--- /dev/null
+++ b/clib/expeyes-clib/src/capdemo4.c
@@ -0,0 +1,46 @@
+/* 
+Test program demonstrating Analog I/O functions.
+Connect SINE to A1 before running the code. Compile & run using
+$ gcc -Wall -o capdemo capdemo4.c -lm
+$ ./capdemo4 > log.dat
+$ xmgrace log.dat
+*/
+
+#include "ejlib.h" 
+/* extern */ int fd;
+
+void err(char* s)
+{
+fprintf(stderr,"Error: %s\n",s);
+exit(0);
+}
+
+int main()
+{
+float fr, tvbuf[MAXBUF*2], *fp;		// Array to store Time & Voltage data from capture.
+int	ch, ns, tg, k;
+
+fd = open_eyesj();
+if(fd < 0)
+	{
+	fprintf(stderr,"EYES Open Failed\n");
+	exit(0);
+	}
+
+set_sqrs(100.0, 25, &fr);		// Sets 100Hz on SQR1 & SQR2 , with 50% phase difference
+
+ns = 600;
+tg = 100;
+
+// Capture four channes, 8 bit resolution
+ns = 450;
+if(capture4(1, 6, 7, 1, ns, tg, tvbuf))err("capture4");
+for(ch=0; ch < 4; ++ch)
+	{
+	fp = tvbuf + ch*2*ns;
+	for(k=0; k < ns; ++k) printf("%f  %f\n", fp[k], fp[ns+k]);
+	printf("\n");
+	}
+
+return 0;
+}
diff --git a/clib/expeyes-clib/src/ccs-cap.c b/clib/expeyes-clib/src/ccs-cap.c
new file mode 100644
index 0000000..fd826ac
--- /dev/null
+++ b/clib/expeyes-clib/src/ccs-cap.c
@@ -0,0 +1,35 @@
+/* 
+Test program demonstrating capacitance measurement using uC CTMU. Compile & run using
+$ gcc -Wall -o ccs-cap ccs-cap.c -lm
+$ ./basic-io
+*/
+
+#include "ejlib.h" 
+/* extern */ int fd;
+
+void err(char* s)
+{
+fprintf(stderr,"Error: %s\n",s);
+exit(0);
+}
+
+int main()
+{
+float pf;	
+
+fd = open_eyesj();
+if(fd < 0)
+	{
+	fprintf(stderr,"EYES Open Failed\n");
+	exit(0);
+	}
+
+// Connect a capacitance (100pF to 5000 pF range) between IN1 and GND
+if(measure_cap(&pf))err("Too high value ?");
+printf("The capacitance (+stray) = %5.3f pF\n", pf);
+
+// Repeat the experiment without connecting the capacitor, to measure the stray capacitance
+
+return 0;
+}
+
diff --git a/clib/expeyes-clib/src/ejlib.c b/clib/expeyes-clib/src/ejlib.c
new file mode 100644
index 0000000..ab59785
--- /dev/null
+++ b/clib/expeyes-clib/src/ejlib.c
@@ -0,0 +1,1064 @@
+/*
+EYES for Young Engineers and Scientists -Junior (EYES Junior 1.0)
+C library to communicate to the PIC24FV32KA302 uC running 'eyesj.c'
+Author  : Ajith Kumar B.P, bpajith at gmail.com, ajith at iuac.res.in
+License : GNU GPL version 3
+Started on 25-Jun-2012
+
+The micro-controller pins used are mapped into 13 I/O channels (numbered 0 to 12)
+and act like a kind of logical channels.  The Python function calls refer to them
+using the corresponding number, ie 0 => A0. 
+
+ * 0 : A0, Analog Comaparator(A5) output.
+ * 1 : A1, -5V to +5V range Analog Input 
+ * 2 : A2, -5V to +5V range Analog Input 
+ * 3 : IN1 , Can function as Digital or 0 to 5V Analog Input
+ * 4 : IN2, Can function as Digital or 0 to 5V Analog Input
+ * 5 : SEN, Simial to A3 & A4, but has a 5K external pullup resistor (Comp input)
+ * 6 : SQR1-read, Input wired to SQR1 output
+ * 7 : SQR2-read,  Input wired to SQR2 output
+ * 8 : SQR1 control, 0 to 5V programmable Squarewave. Setting Freq = 0 means 5V, Freq = -1 means 0V
+ * 9 : SQR2 control, 0 to 5V programmable Squarewave
+ * 10: Digital output OD1, 
+ * 11: CCS, Controls the 1mA constant current source. 
+ * A12: Analog Input  AN0 / RA0  (dummy entry for RA0), special case
+*/
+
+#include "ejlib.h"
+
+// The global variable below are an issue, if we want to make a DLL out of this. For the time being compile this file
+//with the main program.
+
+extern int fd;	// File handle, to be defined by the caller
+
+// Conversion factors m and c (y = mx+c) for 12bit and 8bit ADC resolutions. Total 13 channels (some unused)
+// Initialized by open() function.
+float m12[13], m8[13], c[13];
+float dacm = 5.0/4095;		// For DAC
+float tgap = 0.004;			// Time gap between digitization of two channels
+
+//================================= OS dependent code starts here ==================================
+
+struct 	termios oldtio, newtio;
+
+int sread(int nb, byte* data)	// Read 'nb' bytes. Returns nb(-1 on error). Result in 'data'
+{
+struct timezone tz;
+struct timeval 	tv;
+time_t start; 
+u16  br, tbr, bal;
+tbr = br = 0;
+bal = nb;
+
+gettimeofday(&tv,&tz);
+start = tv.tv_sec;
+while(tbr < nb)		// Total bytes receibed < nb
+	{
+	tbr += read(fd, data+tbr, nb-tbr);
+	gettimeofday(&tv,&tz);
+	if( (tv.tv_sec - start) >= 4)  // Timeout. Something wrong with communication
+		{
+		fprintf(stderr,"Read %d bytes. %d remaining. ERROR: Elapsed > %ld seconds\n", tbr, nb-tbr, tv.tv_sec - start);
+		return -1;
+		}
+	}
+return tbr;
+}
+
+boolean sendByte(byte data)		// Sends a single byte. Returns TRUE or FALSE
+{
+if(write(fd, &data, 1) != 1)  return FALSE;
+return TRUE;
+}
+
+boolean sendInt(u16 data)		// Sends a 16bit integer. Returns TRUE or FALSE
+{
+byte* buf = (byte*) &data;
+if(write(fd, buf, 1) != 1)  return FALSE;
+usleep(10000);
+if(write(fd, buf+1, 1) != 1)  return FALSE;
+return TRUE;
+}
+
+int search_eyesj(char *device)	
+// Search for the reply "ejx.x" (version x.x) on the specified port. Returns file handle or -1
+{
+  byte ss[10];
+  
+  fd = open (device, O_RDWR | O_NOCTTY);
+  if (fd < 0)
+	{
+	fprintf(stderr,"ERROR opening %s\n",device); 
+    return -1;
+	}
+
+  //printf("Opened Device %s\n", device);
+  tcgetattr (fd, &oldtio);			// save current port settings 
+  memset (&newtio, 0, sizeof (newtio));
+  newtio.c_cflag = BAUDRATE | CS8 | CLOCAL | CREAD | PARENB;
+  newtio.c_iflag = INPCK;
+  newtio.c_oflag = 0;
+  newtio.c_lflag = 0;				// non-canonical mode
+  newtio.c_cc[VTIME] = 40; //MAXWAIT;		// Timeout for read in deciseconds
+  newtio.c_cc[VMIN] = 0;			// read will return after VTIME for sure
+  tcflush (fd, TCIOFLUSH);
+  tcsetattr (fd, TCSANOW, &newtio);
+
+  sendByte(GETVERSION);
+  sread(1,ss);
+  if(*ss != 'D')
+	{
+	fprintf(stderr,"No expEYES Found : %c:", *ss);
+	return -1;
+	}
+  sread(5,ss);
+  if(!strncmp((char*)ss,"ej",2))			// found proper version of hardware
+		return fd;
+  return -1;
+}
+
+void close_eyesj(void)
+{
+  tcflush (fd, TCIOFLUSH);
+  tcsetattr (fd, TCSANOW, &oldtio);
+}
+//============================== OS dependent code ends here ==========================================
+
+int open_eyesj(void) // Returns file descriptor on success and -1 on error. 
+{
+	int 	k;
+	FILE*	fp = fopen("eyesj.cal","r");
+	float	m1, c1, m2, c2;
+
+	for(k=0; k <13; ++k) 			// Initialize the scale factors, assume 0 to 5V range
+		{
+		m12[k] = 5.0/4095; 
+		m8[k] = 5.0/255;
+		c[k] = 0.0;
+		}
+	m12[1] = m12[2] = 10.0/4095;	// Default for 1 & 2, have -5 to +5 volts range
+	m8[1] = m8[2] = 10.0/255;
+	c[1] = c[2] = -5.0;
+
+	// Try to load calibration for A1 & A2 from file, 'eyesj.cal'.
+	if( (fp != NULL) && (fscanf(fp,"%f %f %f %f",&m1, &c1, &m2, &c2) == 4) )
+		{		
+		m12[1] = m1;
+		m8[1] = m1 * 4095.0/ 255;
+		c[1] = c1;
+		m12[2] = m2;
+		m8[2] = m2 * 4095.0/ 255;
+		c[2] = c2;
+		//printf("%f %f %f %f\n", m1, c1, m2, c2);
+		}
+
+#ifdef WINDOWS						// Under MS-Windows, compile with option -DWINDOWS
+	#define MAXPORT		255
+	for(k= 0; k < MAXPORT; ++k)		// to be tested
+		{
+		char ss[10];
+		sprintf(ss,"COM%d",k);
+		fd = search_eyesj(ss);
+		if(fd > 0)
+			return fd;
+		}
+#else
+	#define MAXPORT		2
+	char *devlist[MAXPORT] = {"/dev/ttyACM0","/dev/ttyACM1"}; 
+	for(k= 0; k < MAXPORT; ++k)
+		{
+		fd = search_eyesj(devlist[k]);
+		if(fd > 0)
+			return fd;
+		}
+#endif
+return -1;		
+}
+
+//========= expEYES Junior Functions. All will return zero on success, or some error code.===============
+
+//---------------- Square Wave Generation & Measuring the Frequency ------------------
+byte set_osc(byte osc, float freq, float* fset)
+	{
+	// Sets the output frequency of the SQR1 (osc=0) or SQR2. The actual value set is returned in fset.
+	static	float mtvals[4] = {0.125e-6, 8*0.125e-6, 64*0.125e-6, 256*0.125e-6};	// Possible Timer period values
+	float	per;
+	byte k, res[1], TCKPS = 0;		// TCKPS & OCRS are uC registers
+	u16 OCRS = 0;
+
+	if(freq < 0)	        // Disable Timer and Set Output LOW
+		TCKPS = 254;
+	else if(freq == 0)		// Disable Timer and Set Output HIGH
+		TCKPS = 255;
+	else					// Set the frequency
+		{
+		per = 1.0/freq;		// T requested
+		for(k=0; k < 4; ++k)				// Find the optimum scaling, OCR value
+			if(per < mtvals[k]*50000)
+				{
+				TCKPS = k;
+				OCRS = per/mtvals[k];
+				OCRS = (int)(OCRS+0.5);
+				freq = 1.0/(mtvals[k]*OCRS);
+				break;
+				}
+		if( (TCKPS < 4) && (OCRS == 0) )
+			return INVARG;
+		if(osc == 0)
+			sendByte(SETSQR1);
+		else
+			sendByte(SETSQR2);
+		sendByte(TCKPS);			// prescaling for timer
+		sendInt(OCRS);				// OCRS value
+		*res = COMERR;
+		sread(1, res);
+		if(*res != 'D')
+			return *res;
+		*fset = freq;
+		}
+	return 0;
+	}
+
+byte set_sqr1(float freq, float *fset)
+	{
+	//	Sets the frequency of SQR1 (between .7Hz and 200kHz). All intermediate values are not possible.
+	//	Returns the actual value set.
+	return set_osc(0, freq, fset);
+	}
+
+byte set_sqr2(float freq, float *fset)
+	{
+	//	Sets the frequency of SQR2 (between .7Hz and 200kHz). All intermediate values are not possible.
+	//	Returns the actual value set.
+	return set_osc(1, freq, fset);
+	}
+
+byte set_sqrs(float freq, float diff, float *fset)       // Freq in Hertz, phase difference in % of T
+	{
+	// Sets the output frequency of both SQR1 & SQR2. 'fset' returns actual value set. 
+	// The second argument is the phase difference between them  in percentage.
+	static	float mtvals[4] = {0.125e-6, 8*0.125e-6, 64*0.125e-6, 256*0.125e-6};	// Possible Timer period values
+	float	per;
+	byte k, res[1], TCKPS = 0;		// TCKPS, TG & OCRS are uC registers
+	u16 TG, OCRS = 0;
+
+	if(freq == 0)				// Disable both Square waves
+		{
+		set_sqr1(0, fset);
+		set_sqr2(0, fset);
+		return 0;
+		}
+	else if(freq < 0)			// Disable both Square waves
+		{
+		set_sqr1(-1, fset);
+		set_sqr2(-1, fset);
+		return 0;
+		}
+	if( (diff < 0) || (diff >= 100.0) )
+		{
+		fprintf(stderr,"Invalid phase difference\n");
+		return INVARG;
+		}
+	per = 1.0/freq;						// period T requested
+	for(k=0; k < 4; ++k)				// Find the optimum scaling, OCR value
+		if(per < mtvals[k]*50000)
+			{
+			TCKPS = k;
+			OCRS = per/mtvals[k];
+			OCRS = (int)(OCRS+0.5);
+			freq = 1./(mtvals[k]*OCRS);
+			break;
+			}
+	if( (TCKPS < 4) && (OCRS == 0) )
+		{
+		fprintf(stderr,"Invalid Freqency\n");
+		return INVARG;
+		}
+	TG = (int)(diff*OCRS/100.0 +0.5);
+	if(TG == 0) TG = 1;		// Need to examine this
+	//print 'TCKPS ', TCKPS, 'ocrs ', OCRS, TG
+	sendByte(SETSQRS);
+	sendByte(TCKPS);		// prescaling for timer
+	sendInt(OCRS);			// OCRS value
+	sendInt(TG)	;			// time difference
+	*res = COMERR;
+	sread(1, res);
+	if(*res != 'D')
+		return *res;
+	*fset = freq;
+	return 0;
+	}
+
+
+byte set_pwm(byte osc, float ds, byte resol) // osc#, duty cycle, resolution 
+	{
+	// Sets PWM on SQR1 / SQR2. The frequency is decided by the resolution in bits.
+	byte res[1];
+	u16 ocxrs, ocx;
+	
+	if( (ds > 100) || (resol < 6) || (resol > 16) )
+		return INVARG;
+	ocxrs = pow(2.0, resol);  
+	ocx = (u16)(0.01 * ds * ocxrs + 0.5);
+	if(osc == 0)
+		sendByte(SETPWM1);
+	else
+		sendByte(SETPWM2);
+	sendInt(ocxrs-1);			// ocxrs
+	sendInt(ocx);				//ocx
+	*res = COMERR;
+	sread(1, res);
+	if(*res != 'D')
+		{
+		fprintf(stderr, "SETPWM error\n");
+		return *res;
+		}
+	return 0;
+	}
+	
+byte set_sqr1_pwm(byte dc)
+	{
+	// 	Sets 488 Hz PWM on SQR1. Duty cycle is specified in percentage. The third argument, PWM resolution, is 
+	//	14 bits by default. Decreasing this by one doubles the frequency.
+	return set_pwm(0,dc,14);
+	}
+
+byte set_sqr2_pwm(byte dc)
+	{
+	// Sets 488 Hz PWM on SQR2. Duty cycle is specified in percentage. The third argument, PWM resolution, is 
+	//	14 bits by default. Decreasing this by one doubles the frequency.
+	return set_pwm(1,dc,14);
+	}
+
+byte set_sqr1_dc(float volt)
+	{
+	// PWM DAC on SQR1. Resolution is 10 bits (f = 7.8 kHz) by default. External Filter is required to get the DC
+	// The voltage can be set from 0 to 5 volts.
+	return set_pwm(0, volt * 20.0, 10)/20;   // 100% means 5 volts., 10 bit resolution, 8kHz 
+	}
+
+byte set_sqr2_dc(float volt)
+	{    
+	// PWM DAC on SQR2. Resolution is 10 bits (f = 7.8 kHz) by default. External Filter is required to get the DC
+	// The voltage can be set from 0 to 5 volts.
+	return set_pwm(1, volt * 20.0, 10)/20;   // 5V correspods to 100%
+	}
+
+//------------------------- Digital I/O-----------------------------
+byte set_state(byte pin, byte state)
+	{
+	// Sets the status of Digital outputs SQR1, SQR2, OD1 or CCS. 
+	// It will work on SQR1 & SQR2 only if the frequency is set to zero.
+	byte res[1];
+
+	sendByte(SETSTATE);
+	sendByte(pin);	
+	sendByte(state);	
+	*res = COMERR;
+	sread(1, res);
+	if (*res != 'D')
+		{
+		fprintf(stderr, "SETSTATE error \n");
+		return *res;
+		}
+	return 0;
+	}
+
+byte get_state(byte pin, byte *st)
+	{
+	//	gets the status of the digital input pin. IN1, IN2 & SEN are set to digital mode before sensing input level.
+	byte res[1];
+
+	sendByte(GETSTATE);	
+	sendByte(pin);	
+	*res = COMERR;
+	sread(1, res);
+	if(*res != 'D')
+		{
+		fprintf(stderr,"GETSTATE error\n");
+		return *res;
+		} 
+	if(sread(1,res) != 1) return COMERR;
+	*st = *res;
+	return 0;
+	}
+
+//---------- Time Interval Measurements ----------------------
+byte tim_helper(byte cmd, byte src, byte dst, float* ti)
+	{
+	// Helper function for all Time measurement calls. Command, Source and destination pins are imputs.
+	// Returns time in microseconds, -1 on error.
+	byte res[4];
+	unsigned int* up = (unsigned int*) res;
+
+	if(cmd == MULTIR2R)
+		{
+		if(src > 7)
+			{	
+			fprintf(stderr, "Pin should be digital input capable: 0,3,4,5,6 or 7\n");
+			return INVARG;
+			}
+		if(dst > 249)
+			{	
+			fprintf(stderr, "Skip should be less than 250\n");
+			return INVARG;
+			}
+		}
+
+	if( (cmd == R2RTIME) || (cmd == R2FTIME) || (cmd == F2RTIME) || (cmd == F2FTIME) )
+		{
+		if( (src > 7) || (dst > 7) )
+			{
+			fprintf(stderr,"Both pins should be digital input capable: 0,3,4,5,6 or 7\n");
+			return INVARG;
+			}
+		}
+
+	if( (cmd == SET2RTIME) || (cmd == CLR2RTIME) ||(cmd == SET2FTIME) ||(cmd == CLR2FTIME) ||
+		(cmd == HTPUL2RTIME) ||(cmd == HTPUL2FTIME) ||(cmd == LTPUL2RTIME) || (cmd == LTPUL2FTIME) )
+		{
+		if( (src < 8) || (src > 11) )
+			{
+			fprintf(stderr,"Starting pin should be digital output capable: 8,9,10 or 11\n");
+			return INVARG;
+			}
+		if(dst > 7)
+			{
+			fprintf(stderr,"Destination pin should be digital input capable: 0,3,4,5,6 or 7\n");
+			return INVARG;
+			}
+		}
+	sendByte(cmd);	
+	sendByte(src);	
+	sendByte(dst);	
+	*res = COMERR;
+	sread(1, res);
+	if(*res != 'D')
+		{
+		fprintf(stderr, "Time measurement error = %c\n", *res);
+		return *res;
+		}
+	sread(1, res);				// Read & ingnore this byte, due to word alignment of uC
+	if(sread(4, res) != 4) 
+		{
+		fprintf(stderr, "Time measurement Data read error\n");
+		return COMERR;
+		}
+	//printf("%d\n", *up);
+	*ti = (float)*up *0.125;		 //convert to microseconds
+	return 0;
+	}
+
+byte r2rtime(byte pin1, byte pin2, float *ti)
+	{
+	// Time between a rising edge to a rising edge. The pins must be distinct.
+	return tim_helper(R2RTIME, pin1, pin2, ti);
+	}
+
+byte f2ftime(byte pin1, byte pin2, float *ti)
+	{
+	// Time between a falling edge to a falling edge. The pins must be distinct.
+	return tim_helper(F2FTIME, pin1, pin2, ti);
+	}
+
+byte r2ftime(byte pin1, byte pin2, float *ti)
+	{
+	// Time between a rising edge to a falling edge. The pins could be same or distinct.
+	return tim_helper(R2FTIME, pin1, pin2, ti);
+	}
+
+byte f2rtime(byte pin1, byte pin2, float *ti)
+	{
+	// Time between a falling edge to a rising edge. The pins could be same or distinct.
+	return tim_helper(R2FTIME, pin1, pin2, ti);
+	}
+
+byte multi_r2rtime(byte pin, byte skip, float *ti)
+	{
+	//Time between rising edges, could skip desired number of edges in between. (pin, 9) will give time required for
+	//	10 cycles of a squarewave, increases resolution.
+	return tim_helper(MULTIR2R, pin, skip, ti);
+	}
+
+byte get_frequency(byte pin, float *fr)
+	{
+	// This function measures the frequency of an external 0 to 5V PULSE on digital inputs, by calling multi_r2rtime().
+	float ti;
+	if( multi_r2rtime(pin, 0, &ti)) return COMERR;
+	*fr = 1.0e6 / ti;
+	if(ti < 10000)			// increase accuracy by averaging
+		{
+		if(multi_r2rtime(pin,9, &ti))return COMERR;
+		*fr = 1.0e7/ti;
+		}
+	return 0;
+	}
+
+//======================== Active time interval measurements ==========================
+byte set2rtime(byte pin1, byte pin2, float *ti)
+	{
+	// Time from setting pin1 to a rising edge on pin2.
+	return tim_helper(SET2RTIME, pin1, pin2, ti);
+	}
+
+byte set2ftime(byte pin1, byte pin2, float *ti)
+	{
+	// Time from setting pin1 to a falling edge on pin2.
+	return tim_helper(SET2FTIME, pin1, pin2, ti);
+	}
+
+byte clr2rtime(byte pin1, byte pin2, float *ti)
+	{
+	// Time from clearing pin1 to a rising edge on pin2.
+	return tim_helper(CLR2RTIME, pin1, pin2, ti);
+	}
+
+byte clr2ftime(byte pin1, byte pin2, float *ti)
+	{
+	// Time from clearing pin1 to a falling edge on pin2.
+	return tim_helper(CLR2FTIME, pin1, pin2, ti);
+	}
+
+byte htpulse2rtime(byte pin1, byte pin2, float* ti)
+	{
+	// Time from a HIGH True pulse on pin1 to a rising edge on pin2.
+	return tim_helper(HTPUL2RTIME, pin1, pin2, ti);
+	}
+
+byte htpulse2ftime(byte pin1, byte pin2, float *ti)
+	{
+	// Time from HIGH True pulse on pin1 to a falling edge on pin2.
+	return tim_helper(HTPUL2FTIME, pin1, pin2, ti);
+	}
+
+byte ltpulse2rtime(byte pin1, byte pin2, float *ti)
+	{
+	// Time from a LOW True pulse on pin1 to a rising edge on pin2.
+	return tim_helper(LTPUL2RTIME, pin1, pin2, ti);
+	}
+
+byte ltpulse2ftime(byte pin1, byte pin2, float *ti)
+	{
+	// Time from LOW True pulse on pin1 to a falling edge on pin2.
+	return tim_helper(LTPUL2FTIME, pin1, pin2, ti);
+	}
+
+//=================== Charge Time Measurement Unit related functions ==========================
+byte read_temp(int* temp)
+	{
+//	Reads the temperature of uC, currently of no use. Have to see whether this can be used for correcting
+//	the drift of the 5V regulator with temeperature.
+	byte res[2];
+
+	sendByte(READTEMP);
+	*res = COMERR;		// Assume an error
+	sread(1,res);
+	if( *res != 'D') return *res;
+	if(sread(2,res) != 2) return COMERR;
+	*temp = res[0] | (res[1] << 8);
+	return 0;
+	}
+
+byte measure_cv(int ch, int ctime, float i, float* v)
+//	Using the CTMU of PIC, charges a capacitor connected to IN1, IN2 or SEN, for 'ctime' microseconds
+//		and then mesures the voltage across it.
+//		The value of current can be set to .55uA, 5.5 uA, 55uA or 550 uA
+	{  
+	byte res[2], irange;
+	int  iv;
+
+	if(i > 500)			// 550 uA range
+		irange = 0;
+	else if(i > 50)		//	55 uA
+		irange = 3;
+	else if(i > 5)		// 5.5 uA
+		irange = 2;
+	else				// 0.55 uA
+		irange = 1;
+
+	if( (ch != 3) && ( ch !=4) )
+		{
+		fprintf(stderr, "Current to be set only on IN1 or IN2. %d\n",ch);
+		return INVARG;
+		}
+	sendByte(MEASURECV);
+	sendByte(ch);
+	sendByte(irange);
+	sendInt(ctime);
+	*res = COMERR;
+	sread(1, res);
+	if (*res != 'D') return *res;
+	if(sread(2,res) != 2) return COMERR;
+	iv = res[0] | (res[1] << 8);
+	*v = m12[ch] * iv + c[ch];
+	return 0;
+	}
+
+byte measure_cap(float* pf)
+	{
+// Measures the capacitance (in picoFarads) connected between IN1 and GND. Stray capacitance should be
+// subtracted from the measured value. Measurement is done by charging the capacitor with 5.5 uA
+// for a given time interval. 
+	int ctime;
+	float v;
+	for(ctime= 10; ctime < 10000; ctime +=10)
+		{
+		if(measure_cv(3, ctime, 5.5, &v)) return COMERR;   // 5.5 uA range is chosen
+		if(v > 2.0) break;
+		if(v > 4)
+			{
+			fprintf(stderr,"Error measuring capacitance. V = %5.3f\n", v);
+			return INVARG;
+			}
+		}
+	if(v == 0) return INVSIZE;
+	*pf = 5.5 * ctime / v; 		// microAmp * microSecond makes the result in picoFarads 
+	printf("MC %d %f %f\n", ctime, v, *pf);
+	return 0;
+	}
+
+byte set_current(int ch, float i, float *v)
+	{
+	// Sets CTMU current 'i' on a channel 'ch' and returns the voltage measured across the load. 
+	// Allowed values of current are .55, 5.5, 55 and 550 micro ampleres. ch=0 puts CTMU Off.
+	byte res[2], irange;
+	int  iv;
+
+	if(i > 500)			// 550 uA range
+		irange = 0;
+	else if(i > 50)		//	55 uA
+		irange = 3;
+	else if(i > 5)		// 5.5 uA
+		irange = 2;
+	else				// 0.55 uA
+		irange = 1;
+
+	if( (ch != 0) && (ch != 3) && ( ch !=4) )
+		{
+		fprintf(stderr, "Current to be set only on IN1 or IN2. %d\n",ch);
+		return INVARG;
+		}
+	sendByte(SETCURRENT);
+	sendByte(ch);
+	sendByte(irange);
+	*res = COMERR;
+	sread(1, res);
+	if( *res != 'D') return *res;
+	if(sread(2,res)!= 2) return COMERR;
+	iv = res[0] | (res[1] << 8);
+	*v = m12[ch] * iv + c[ch];
+	return 0;
+	}
+
+//====================== Analog Set, Get & Capture functions =======================================
+byte read_adc(byte ch, u16* iv)  // Read ADC, in SLEEP mode
+	{
+	byte res[2];
+	if ((ch < 0) || (ch > 12))
+		return INVARG;
+	sendByte(READADCSM);
+	sendByte(ch);
+	*res = COMERR;
+	sread(1, res);
+	if (*res != 'D') return *res;
+	if(sread(2, res) != 2) return COMERR;
+	*iv = res[0] | (res[1] << 8);
+	return 0;
+	}
+
+byte read_adcNS(byte ch, u16* iv)	// Read ADC, without entering SLEEP mode
+	{
+	byte res[2];
+	if ((ch < 0) || (ch > 12))
+		return INVARG;
+	sendByte(READADC);
+	sendByte(ch);
+	*res = COMERR;
+	sread(1, res);
+	if (*res != 'D') return *res;
+	if(sread(2, res) != 2) return COMERR;
+	*iv = res[0] | (res[1] << 8);
+	return 0;
+	}
+
+byte get_voltage(byte ch, float* v)
+	{
+	u16 iv;
+	byte res;
+	res = read_adc(ch, &iv);
+	if(res != 0) return res;			// Error return
+	*v = m12[ch] * iv + c[ch];
+	return 0;
+	}
+
+byte get_voltageNS(byte ch, float* v)	// get_voltage, without entering SLEEP mode
+	{
+	u16 iv;
+	byte res;
+	res = read_adcNS(ch, &iv);
+	if(res != 0) return res;			// Error return
+	*v = m12[ch] * iv + c[ch];
+	return 0;
+	}
+
+byte write_dac(int iv)		// Returns zero on success
+	{
+	byte res[1];
+	if(iv < 0) iv = 0;		// Keep within limits
+	else if (iv > 4095) iv = 4095;
+
+	sendByte(SETDAC);
+	sendInt(iv);
+	*res = COMERR;   	// Assume an error
+	sread(1, res);
+	if(*res != 'D')	return *res;
+	return 0;
+	}
+
+byte set_voltage(float v, float* vset)
+	{
+	//	Sets the PVS voltage. Reads it back and applies correction in a loop.
+	u16 k, iv, isv, goal;
+	if ((v < 0) || (v > 5.0))
+		return INVARG;
+	goal = (int)(v / dacm + 0.5);
+	iv = goal;
+	for(k=0; k < 15; ++k)
+		{
+		if(write_dac(iv)) return COMERR;
+		if(read_adc(12, &isv)) return COMERR;	// Read channel 12.
+		if (abs(isv-goal) <= 1) break;
+		if (isv > goal) iv -= 1;
+		else if(isv < goal) iv += 1;
+		}
+	*vset = m12[12] * isv + c[12];		//The voltage actually set
+	return 0;
+	}
+
+/*------------ capture functions (8bit data)--------------------
+Accepts channel numbers (ch, ch2 etc), Number of samples "ns",and Time interval between two samples "tg".
+The return value consists of arrays of Time & Voltage, starting at location 'data'. The first 'ns' floats are the Time, 
+followed by another 'ns' floats of voltage. This repeats for each channel captured.
+*/
+byte capture(int ch, int ns, int tg, float* data) 
+// Returns 2 vectors(of size 'ns'), T1, V1 starting at pointer *data
+	{
+	byte 	res[MAXBUF], *bp=res;
+	u16		k;
+	
+	//	Arguments : channel number , number of samples and timegap. data out is Time (ns*float), Voltage(ns*float)
+	if( (ch < 0) || (ch > 12) || (tg < 4) || (ns > 1800))
+		{
+		fprintf(stderr,"ch= %d ns = %d tg = %d\n", ch, ns, tg);
+		return INVARG;
+		}
+	sendByte(CAPTURE);
+	sendByte(ch);
+	sendInt(ns);
+	sendInt(tg);
+	*res = COMERR;				// fill response with error, call should correct it.
+	sread(1, res);				// get response
+	if(*res != 'D')
+		{
+		fprintf(stderr,"Invalid argument list\n");
+		return *res;
+		}
+	sread(1, res);				// Read & ingnore this byte, due to word alignment of uC
+	k = sread(ns, res);			// Read 'ns' data bytes
+	if(k != ns)
+		{
+		fprintf(stderr, "CAPTURE:Expected %d bytes. Got %d only\n", ns, k);
+		return INVSIZE;
+		}
+	for(k=0; k < ns; ++k) *data++ = 0.001 * k * tg;			// Fill Time, microseconds to milliseconds
+	for(k=0; k < ns; ++k) *data++ = *bp++ * m8[ch] + c[ch]; // Fill voltage
+	return 0;
+	}
+
+byte capture2(int ch1, int ch2, int ns, int tg, float* data) 
+// Returns 4 vectors(of size 'ns'), T1, V1,T2,V2 starting at pointer *data
+	{
+	byte 	res[MAXBUF], *bp=res;
+	u16		k;
+
+	sendByte(CAPTURE2);
+	sendByte(ch1);
+	sendByte(ch2);
+	sendInt(ns);
+	sendInt(tg);
+	*res = COMERR;				// fill response with error, call should correct it.
+	sread(1, res);				// response byte
+	if(*res != 'D')
+		{
+		fprintf(stderr,"Invalid argument list\n");
+		return *res;
+		}
+	sread(1, res);				// Read & ingnore this byte, due to word alignment of uC
+	k = sread(2*ns, res);		// Read '2*ns' data bytes, comes inter leaved, like, a1, b1, a2, b2 ...
+	if(k != 2*ns)
+		{
+		fprintf(stderr, "CAPTURE:Expected %d bytes. Got %d only\n", 2*ns, k);
+		return INVSIZE;
+		}
+	for(k=0; k < ns; ++k)		// Fill the inter-leaved data as T1, V1, T2, V2
+		{
+		data[k] = 0.001 * k * tg;					// Fill T1, microseconds to milliseconds
+		data[k + 2*ns] = 0.001 * k * tg + tgap;		// Fill T2, with offset
+		data[k + ns] = *bp++ * m8[ch1] + c[ch1]; 	// Fill V1
+		data[k + 3*ns] = *bp++ * m8[ch2] + c[ch2]; 	// Fill V2
+		}
+	return 0;
+	}
+
+byte capture3(int ch1, int ch2, int ch3, int ns, int tg, float* data) 
+// Returns 6 vectors(of size 'ns'), T1, V1,T2,V2,T3,V3 starting at pointer *data
+	{
+	byte 	ch12, res[MAXBUF], *bp=res;
+	u16		k;
+	sendByte(CAPTURE3);
+	ch12 = (ch2 << 4) | ch1;		// ch1 & ch2 packed into one byte
+	sendByte(ch12);
+	sendByte(ch3);
+	sendInt(ns);
+	sendInt(tg);
+	*res = COMERR;				// fill response with error, call should correct it.
+	sread(1, res);				// response
+	if(*res != 'D')
+		{
+		fprintf(stderr,"Invalid argument list\n");
+		return *res;
+		}
+	sread(1, res);				// Read & ingnore this byte, due to word alignment of uC
+	k = sread(3*ns, res);			// Read '2*ns' data bytes
+	if(k != 3*ns)
+		{
+		fprintf(stderr, "CAPTURE:Expected %d bytes. Got %d only\n", 3*ns, k);
+		return INVSIZE;
+		}
+	for(k=0; k < ns; ++k)		// Fill the inter-leaved data as T1, V1, T2, V2
+		{
+		data[k] = 0.001 * k * tg;					// Fill T1, microseconds to milliseconds
+		data[k + 2*ns] = 0.001 * k * tg + tgap;		// Fill T2, with 1*offset
+		data[k + 4*ns] = 0.001 * k * tg + 2*tgap;	// Fill T3, with 2*offset
+		data[k + ns] = *bp++ * m8[ch1] + c[ch1]; 	// Fill V1
+		data[k + 3*ns] = *bp++ * m8[ch2] + c[ch2]; 	// Fill V2
+		data[k + 5*ns] = *bp++ * m8[ch3] + c[ch3]; 	// Fill V3
+		}
+	return 0;
+	}
+
+byte capture4(int ch1, int ch2, int ch3, int ch4, int ns, int tg, float* data) 
+// Returns 8 vectors(of size 'ns'), T1, V1,T2,V2,T3,V3,T4,V4 starting at pointer *data
+	{
+	byte 	ch12, ch34, res[MAXBUF], *bp=res;
+	u16		k;
+	sendByte(CAPTURE4);
+	ch12 = (ch2 << 4) | ch1;		// ch1 & ch2 packed into one byte
+	ch34 = (ch4 << 4) | ch3;		// ch3 & ch4 packed into one byte
+	sendByte(ch12);
+	sendByte(ch34);
+	sendInt(ns);
+	sendInt(tg);
+	*res = COMERR;				// fill response with error, call should correct it.
+	sread(1, res);				// response byte
+	if(*res != 'D')
+		{
+		fprintf(stderr,"Invalid argument list\n");
+		return *res;
+		}
+	sread(1, res);				// Read & ingnore this byte, due to word alignment of uC
+	k = sread(4*ns, res);			// Read '2*ns' data bytes
+	if(k != 4*ns)
+		{
+		fprintf(stderr, "CAPTURE:Expected %d bytes. Got %d only\n", 4*ns, k);
+		return INVSIZE;
+		}
+	for(k=0; k < ns; ++k)		// Fill the inter-leaved data as T1, V1, T2, V2
+		{
+		data[k] = 0.001 * k * tg;					// Fill T1, microseconds to milliseconds
+		data[k + 2*ns] = 0.001 * k * tg + tgap;		// Fill T2, with 1*offset
+		data[k + 4*ns] = 0.001 * k * tg + 2*tgap;	// Fill T3, with 2*offset
+		data[k + 6*ns] = 0.001 * k * tg + 3*tgap;	// Fill T4, with 3*offset
+		data[k + ns] = *bp++ * m8[ch1] + c[ch1]; 	// Fill V1
+		data[k + 3*ns] = *bp++ * m8[ch2] + c[ch2]; 	// Fill V2
+		data[k + 5*ns] = *bp++ * m8[ch3] + c[ch3]; 	// Fill V3
+		data[k + 7*ns] = *bp++ * m8[ch4] + c[ch4]; 	// Fill V3
+		}
+	return 0;
+	}
+
+//----------------------- Capture with 12 bit resolution, each item is 2byte in size -----------------
+byte capture_hr(int ch, int ns, int tg, float* data) 
+// Returns two vectors(of size 'ns'), T1, V1 starting at pointer *data
+	{
+	byte 	res[MAXBUF];
+	u16		k, *ip = (u16*)res;
+	
+	sendByte(CAPTURE_HR);
+	sendByte(ch);
+	sendInt(ns);
+	sendInt(tg);
+	sread(1, res);				// response byte
+	if(*res != 'D')
+		return COMERR;
+	sread(1, res);				// Read & ingnore this byte, due to word alignment of uC
+	k = sread(2*ns, res);		// Read 2*ns data bytes
+	if(k != 2*ns)
+		{
+		fprintf(stderr, "CAPTURE_HR:Expected %d bytes. Got %d only\n", 2*ns, k);
+		return INVSIZE;
+		}
+	for(k=0; k < ns; ++k) *data++ = 0.001 * k * tg;				// Fill Time, microseconds to milliseconds
+	ip = (u16*)res;
+	for(k=0; k < ns; ++k) *data++ = *ip++ * m12[ch] + c[ch]; 	// Fill voltage
+	return 0;
+	}
+
+byte capture2_hr(int ch1, int ch2, int ns, int tg, float* data) 
+// Returns four vectors, T1, V1, T2, V2 , starting at pointer *data
+	{
+	byte 	res[MAXBUF];
+	u16		k, *ip = (u16*)res;
+	
+	sendByte(CAPTURE2_HR);
+	sendByte(ch1);
+	sendByte(ch2);
+	sendInt(ns);
+	sendInt(tg);
+	sread(1, res);				// response byte
+	if(*res != 'D')
+		return COMERR;
+	sread(1, res);				// Read & ingnore this byte, due to word alignment of uC
+	k = sread(4*ns, res);		// Read 2*2*ns data bytes, each data 2 bytes
+	if(k != 4*ns)
+		{
+		fprintf(stderr, "CAPTURE:Expected %d bytes. Got %d only\n", 4*ns, k);
+		return INVSIZE;
+		}
+	for(k=0; k < ns; ++k)		// Fill the inter-leaved data as T1, V1, T2, V2
+		{
+		data[k] = 0.001 * k * tg;					// Fill T1, microseconds to milliseconds
+		data[k + 2*ns] = 0.001 * k * tg + tgap;		// Fill T2, with offset
+		data[k + ns] = *ip++ * m12[ch1] + c[ch1]; 	// Fill V1
+		data[k + 3*ns] = *ip++ * m12[ch2] + c[ch2];	// Fill V2
+		}
+	return 0;
+	}
+
+//------------------- Modifiers for Capture ------------------------------
+byte disable_actions()
+	{
+	// Disable all modifiers to the capture call. The capture calls will be set to 
+	// do analog triggering on the first channel captured.
+	byte res[1];
+
+	sendByte(SETACTION);
+	sendByte(AANATRIG);
+	sendByte(0);			//Self trigger on channel zero means the first channel captured
+	*res = COMERR;
+	sread(1,res);
+	if(*res != 'D') return *res;
+	return 0;
+	}
+
+byte enable_action(byte action, byte ch)
+	{
+	byte res[1];
+
+	if( (action < 0) || (action > 8) || (ch < 1) || (ch > 11) )
+		{
+		fprintf(stderr, "Invalid actions or source specified\n");
+		return INVARG;
+		}
+	sendByte(SETACTION);
+	sendByte(action);
+	sendByte(ch);
+	*res = COMERR;
+	sread(1,res);
+	if(*res != 'D') return *res;
+	return 0;
+	}
+
+byte set_trig_source(byte ch)
+	{
+	// Analog Trigger of the desired channel
+	return enable_action(AANATRIG, ch);
+	}
+
+byte enable_wait_high(byte ch)
+	{
+	// Wait for a HIGH on the speciied 'pin' just before every Capture.
+	return enable_action(AWAITHI, ch);
+	}
+
+byte enable_wait_low(byte ch)
+	{
+	// Wait for a LOW on the speciied 'pin' just before every Capture.
+	return enable_action(AWAITLO, ch);
+	}
+
+byte enable_wait_rising(byte ch)
+	{
+	// Wait for a rising EDGE on the speciied 'pin' just before every Capture.
+	return enable_action(AWAITRISE, ch);
+	}
+
+byte enable_wait_falling(byte ch)
+	{
+	// Wait for a falling EDGE on the speciied 'pin' just before every Capture.
+	return enable_action(AWAITFALL, ch);
+	}
+
+byte enable_set_high(byte ch)
+	{
+	// Sets the speciied 'pin' HIGH, just before every Capture.
+	return enable_action(ASET, ch);
+	}
+
+byte enable_set_low(byte ch)
+	{
+	// Sets the speciied 'pin' LOW, just before every Capture.
+	return enable_action(ACLR, ch);
+	}
+
+byte enable_pulse_high(byte ch)
+	{
+	// Generate a HIGH TRUE Pulse on the speciied 'pin', just before every Capture.
+	// width is specified by the set_pulsewidth() function.
+	return enable_action(APULSEHT, ch);
+	}
+
+byte enable_pulse_low(byte ch)
+	{
+	// Generate a LOW TRUE Pulse on the speciied 'pin', just before every Capture.
+	return enable_action(APULSELT, ch);
+	}
+	
+byte set_pulsewidth(u16 width)
+	{
+	// Sets the 'pulse_width' parameter for pulse2rtime() command. 
+	// Also used by usound_time() and the elable_pulse_high/low() functions
+	byte res[1];
+
+	if( (width < 1) || (width > 500) )
+		return INVARG;
+	sendByte(SETPULWIDTH);
+	sendInt(width);
+	*res = COMERR;
+	sread(1,res);
+	if(*res != 'D') return *res;
+	return 0;
+	}
+
+//==================== End Analog I/O ========================
+
+byte get_version(byte* res)
+	{
+	if(sendByte(GETVERSION)== FALSE) return COMERR;
+	*res = COMERR;	// Assume en error
+	sread(1, res);
+	if(*res != 'D') return *res;
+	if(sread(5,res)==5) return 0;
+	return COMERR;
+	}
+
diff --git a/clib/expeyes-clib/src/ejlib.h b/clib/expeyes-clib/src/ejlib.h
new file mode 100644
index 0000000..afca4cf
--- /dev/null
+++ b/clib/expeyes-clib/src/ejlib.h
@@ -0,0 +1,178 @@
+/*
+EYES for Young Engineers and Scientists -Junior (EYES Junior 1.0)
+Header file for the C library to communicate to the PIC24FV32KA302 uC running 'eyesj.c'
+Author  : Ajith Kumar B.P, bpajith at gmail.com, ajith at iuac.res.in
+License : GNU GPL version 3
+Started on 25-Jun-2012
+*/
+
+#include <sys/types.h>
+#include <sys/stat.h>
+#include <sys/time.h>
+#include <fcntl.h>
+#include <termios.h>
+#include <stdio.h>
+#include <stdlib.h>
+#include <string.h>
+#include <unistd.h>
+#include <math.h>
+
+#define FALSE 			0
+#define TRUE 			1
+#define BAUDRATE		B115200
+
+// commands without any arguments (1 to 40)
+#define GETVERSION		1		// 1 + 5 bytes
+#define READCMP         2       // 1 + 1
+#define READTEMP        3       // 1 + 2
+#define GETPORTB        4       // 1 + 2 Reads port B, in digital mode
+
+// Commands with One byte argument (41 to 80)
+#define READADC			41		// 1 + 2 , Read the specified ADC
+#define GETSTATE        42      // 1 + 1 , Digital Input Status
+#define NANODELAY       43      // 1 + 4 , TODO delay using CTMU
+#define SETADCREF       44      // 1 + 0 , Choose Vdd (0) or External +Vref (1)
+#define READADCSM		45		// 1 + 2 , Read ADC, in sleep mode
+
+// Commands with Two bytes argument (81 to 120)
+#define R2RTIME         81      // 1 + 1 + 4 bytes are returned for all time measurement calls.
+#define R2FTIME         82      //
+#define F2RTIME         83      //
+#define F2FTIME         84      //
+#define MULTIR2R        85      //
+#define SET2RTIME       86      // From a Dout transition to the Din transition
+#define SET2FTIME       87      //
+#define CLR2RTIME       88      //
+#define CLR2FTIME       89      //
+#define HTPUL2RTIME     90      // High True Pulse to HIGH
+#define HTPUL2FTIME     91      // High True Pulse to LOW
+#define LTPUL2RTIME     92      //
+#define LTPUL2FTIME     93      //
+#define SETPULWIDTH     94      //
+#define SETSTATE        95      // Pin number, hi/lo
+#define SETDAC			96		//
+#define	SETCURRENT		97		// channel, Irange
+#define SETACTION       98      // capture modifiers, action, target pin
+#define SETTRIGVAL      99      // Analog trigger level
+
+// Commands with Three bytes argument (121 to 160)
+#define	SETSQR1			121		// Set squarewave/level on OC2
+#define	SETSQR2			122		// Set squarewave/level on OC3
+
+// Commands with Four bytes argument (161 to 200)
+#define MEASURECV       163     // ch, irange, duration
+#define SETPWM1         164     // PWM on SQR1. scale, pr, ocrs
+#define SETPWM2         165     // PWM on SQR1. scale, pr, ocrs
+
+// Commands with Five bytes argument (201 to 240)
+#define	CAPTURE			201		// 1 byte CH, 2 byte NS, 2 byte TG
+#define	CAPTURE_HR		202		// 1 byte CH, 2 byte NS, 2 byte TG
+#define SETSQRS         203     // scale, ocr, time diff
+
+// Commands with Six bytes argument (241 to 255)
+#define	CAPTURE2		241		// CH1,CH2: 1byte, NS, TG : 8 bit data
+#define	CAPTURE2_HR		242		// same with 12 bit data
+#define CAPTURE3        243     // 3 channels, 12 bit
+#define CAPTURE4        244     // 3 channels, 12 bit
+
+#define AANATRIG    	0      // Trigger on analog input level, set by SETRIGVAL
+#define AWAITHI			1
+#define AWAITLO			2
+#define AWAITRISE		3
+#define AWAITFALL		4
+#define ASET			5
+#define ACLR			6
+#define APULSEHT		7
+#define APULSELT		8
+
+// Reply from PIC micro to the PC
+#define SUCCESS		'D'			// Command executed successfully
+#define	INVCMD		'C'			// Invalid Command
+#define INVARG		'A'			// Invalid input data
+#define INVBUFSIZE	'B'			// Resulting data exceeds buffersize
+#define TIMEOUT		'T'			// Time measurement timed out
+#define COMERR		'S'			// Serial Communication error
+#define INVSIZE		'Z'			// Size mismatch, result of capture
+
+#define MAXWAIT		40			// 40 deciseconds = 4 seconds
+#define MAXTG		1000    	// Maximum timegap for CAPTURE, usec
+#define MINTG		4			// Minimum timegap for CAPTURE, usec
+#define MAXBUF		1800		// uC has only a 1800 bytes buffer.
+
+typedef unsigned char byte;
+typedef unsigned short  u16;
+typedef	byte boolean;
+
+int 	open_eyesj(void);			// Opens eyesj on USB (virtual serial ports /dev/ttyACM0 / ACM1)
+int 	search_eyesj(char*);			// Search for EYESJ on the specified device
+void 	close_eyesj(void);			// Close connection
+boolean	sendByte(byte data);		// write one byte to serial port, returns TRUE or FALSE
+boolean	sendInt(u16 data);			// write a 2 byte integer to serial port, returns TRUE or FALSE
+int		sread(int nb, byte* data);	// reads nb bytes .Returns number of bytes read. -1 on error.
+
+//------------------------- Digital I/O-----------------------------
+byte set_state(byte pin, byte state);
+byte get_state(byte pin, byte *st);
+
+//---------------- Square Wave Generation & Measuring the Frequency ------------------
+byte set_pwm(byte osc, float ds, byte resol);
+byte set_sqr1_pwm(byte dc);
+byte set_sqr2_pwm(byte dc);
+byte set_sqr1_dc(float volt);
+byte set_sqr2_dc(float volt);
+
+byte set_osc(byte osc, float freq, float* fset);
+byte set_sqr1(float freq, float *fset);
+byte set_sqr2(float freq, float *fset);
+byte set_sqrs(float freq, float diff, float *fset);
+
+//====================== Time Interval measurements =============================
+byte r2rtime(byte pin1, byte pin2, float *ti);
+byte f2ftime(byte pin1, byte pin2, float *ti);
+byte r2ftime(byte pin1, byte pin2, float *ti);
+byte f2rtime(byte pin1, byte pin2, float *ti);
+byte multi_r2rtime(byte pin, byte skip, float *ti);
+byte get_frequency(byte pin, float *fr);
+byte set2rtime(byte pin1, byte pin2, float *ti);
+byte set2ftime(byte pin1, byte pin2, float *ti);
+byte clr2rtime(byte pin1, byte pin2, float *ti);
+byte clr2ftime(byte pin1, byte pin2, float *ti);
+byte htpulse2rtime(byte pin1, byte pin2, float* ti);
+byte htpulse2ftime(byte pin1, byte pin2, float *ti);
+byte ltpulse2rtime(byte pin1, byte pin2, float *ti);
+byte ltpulse2ftime(byte pin1, byte pin2, float *ti);
+
+//========== CTMU ===============================
+byte read_temp(int* temp);
+byte measure_cv(int ch, int ctime, float i, float* v);
+byte measure_cap(float *pf);
+byte set_current(int ch, float i, float *v);
+
+//============================ Analog I/O routines=====================================
+byte 	read_adc(byte ch, u16* iv);  // Read ADC, in SLEEP mode
+byte 	read_adcNS(byte ch, u16* iv);	// Read ADC, without entering SLEEP mode
+byte 	get_voltage(byte ch, float* v);
+byte 	get_voltageNS(byte ch, float* v);	// get_voltage, without entering SLEEP mode
+byte 	write_dac(int iv);		// Returns zero on success
+byte 	set_voltage(float v, float* vset);
+byte 	capture(int ch, int ns, int tg, float* data); 
+byte 	capture2(int ch1, int ch2, int ns, int tg, float* data); 
+byte 	capture3(int ch1, int ch2, int ch3, int ns, int tg, float* data);
+byte 	capture4(int ch1, int ch2, int ch3, int ch4, int ns, int tg, float* data);
+byte 	capture_hr(int ch1, int ns, int tg, float* data);
+byte 	capture2_hr(int ch1, int ch2, int ns, int tg, float* data);
+//------------------- Modifiers for Capture ------------------------------
+byte disable_actions();
+byte enable_action(byte action, byte ch);
+byte set_trig_source(byte ch);
+byte enable_wait_high(byte ch);
+byte enable_wait_low(byte ch);
+byte enable_wait_rising(byte ch);
+byte enable_wait_falling(byte ch);
+byte enable_set_high(byte ch);
+byte enable_set_low(byte ch);
+byte enable_pulse_high(byte ch);
+byte enable_pulse_low(byte ch);
+byte set_pulsewidth(u16 width);
+byte 	get_version(byte* res);
+
diff --git a/desktop/Phoenix-ASM.desktop b/desktop/Phoenix-ASM.desktop
new file mode 100644
index 0000000..facb357
--- /dev/null
+++ b/desktop/Phoenix-ASM.desktop
@@ -0,0 +1,15 @@
+[Desktop Entry]
+Version=1.0.1
+Type=Application
+
+Exec=python /usr/share/expeyes/eyes/alpha.py
+Icon=nuclear-icon.png
+Name=Phoenix-AlphaSpec
+Comment=Phoenix Alpha Spectrometer
+
+Categories=ExpEYES;Education;Science;Other;	
+MimeType=application/x-python
+
+Terminal=false
+NoDisplay=false
+StartupNotify=false
diff --git a/desktop/expeyes-doc.desktop b/desktop/expeyes-doc.desktop
new file mode 100644
index 0000000..9e4ff2d
--- /dev/null
+++ b/desktop/expeyes-doc.desktop
@@ -0,0 +1,16 @@
+[Desktop Entry]
+Version=0.9.0
+Type=Application
+
+Exec=expeyes-doc
+Icon=eyes-doc
+
+Name=expEYES Manual
+
+Comment=expEYES User Manual
+Categories=Electronics;Education;Science;
+MimeType=application/x-pdf
+
+Terminal=false
+NoDisplay=false
+StartupNotify=false
diff --git a/desktop/expeyes-junior-doc.desktop b/desktop/expeyes-junior-doc.desktop
new file mode 100644
index 0000000..cfd39c0
--- /dev/null
+++ b/desktop/expeyes-junior-doc.desktop
@@ -0,0 +1,16 @@
+[Desktop Entry]
+Version=1.0.0
+Type=Application
+
+Exec=expeyes-junior-doc
+Icon=eyesj-doc
+
+Name=expEYES Junior Manual
+
+Comment=expEYES Junior User Manual
+Categories=Electronics;Education;Science;
+MimeType=application/x-pdf
+
+Terminal=false
+NoDisplay=false
+StartupNotify=false
diff --git a/desktop/expeyes-junior.desktop b/desktop/expeyes-junior.desktop
new file mode 100755
index 0000000..835d940
--- /dev/null
+++ b/desktop/expeyes-junior.desktop
@@ -0,0 +1,15 @@
+[Desktop Entry]
+Version=1.0.1
+Type=Application
+
+Exec=/usr/bin/expeyes-junior
+Icon=expeyes-junior-icon.png
+
+Name=ExpEYES Junior
+Comment=EXPEYES Junior
+
+Categories=GNOME;Application;Education;Science;
+
+Terminal=false
+NoDisplay=false
+StartupNotify=false
diff --git a/desktop/expeyes.desktop b/desktop/expeyes.desktop
new file mode 100644
index 0000000..f0600f1
--- /dev/null
+++ b/desktop/expeyes.desktop
@@ -0,0 +1,12 @@
+[Desktop Entry]
+Encoding=UTF-8
+Version=1.0
+Type=Application
+Exec=/usr/bin/expeyes
+Icon=/usr/share/icons/expeyes.png
+Terminal=false
+Name=expEYES
+GenericName=expEYES
+Comment=hardware & software framework for developing science experiments
+Comment[fr_FR]=environnement matériel et logiciel pour développer des expériences scientifiques
+Categories=GNOME;Application;Education;Science;
diff --git a/desktop/progman-jr-doc.desktop b/desktop/progman-jr-doc.desktop
new file mode 100644
index 0000000..3ff5e23
--- /dev/null
+++ b/desktop/progman-jr-doc.desktop
@@ -0,0 +1,16 @@
+[Desktop Entry]
+Version=1.0.0
+Type=Application
+
+Exec=expeyes-progman-jr-doc
+Icon=expeyes-progman-jr-doc
+
+Name=expEYES Junior Programmer Manual
+
+Comment=expEYES Junior Programmer Manual
+Categories=Electronics;Education;Science;
+MimeType=application/x-pdf
+
+Terminal=false
+NoDisplay=false
+StartupNotify=false
diff --git a/doc/Makefile b/doc/Makefile
new file mode 100644
index 0000000..20a38b9
--- /dev/null
+++ b/doc/Makefile
@@ -0,0 +1,28 @@
+DESTDIR =
+LANG = en fr
+
+all:
+	for l in $(LANG); do \
+	  make -C $$l/Docs all; \
+	  make -C $$l/Docs-jr all; \
+	  make -C $$l/Progman-jr all; \
+	done
+
+clean:
+	for l in $(LANG); do \
+	  make -C $$l/Docs clean; \
+	  make -C $$l/Docs-jr clean; \
+	  make -C $$l/Progman-jr clean; \
+	done
+	# remove generated eps files
+	find . -name "*.eps" -exec rm {} \;
+
+install:
+	install -d $(DESTDIR)/usr/share/expeyes/doc
+	for l in $(LANG); do \
+	  for p in $$l/Docs/*.pdf $$l/Docs-jr/*.pdf $$l/Progman-jr/*.pdf; do \
+	    install -m 644 $$p $(DESTDIR)/usr/share/expeyes/doc/$$l-$$(basename $$p); \
+	  done; \
+	done
+
+.PHONY: all clean install
diff --git a/doc/README.md b/doc/README.md
new file mode 100644
index 0000000..4c9298a
--- /dev/null
+++ b/doc/README.md
@@ -0,0 +1,4 @@
+expeyes-doc
+===========
+
+Documentation for expEYES and expEYES-junior
\ No newline at end of file
diff --git a/doc/en/Docs-jr/Makefile b/doc/en/Docs-jr/Makefile
new file mode 100644
index 0000000..1ccedd4
--- /dev/null
+++ b/doc/en/Docs-jr/Makefile
@@ -0,0 +1,32 @@
+DESTDIR =
+LANG    = en
+SOURCES = $(shell ls *.lyx)
+PDFS    = $(patsubst %.lyx, %.pdf, $(SOURCES))
+TEXS    = $(patsubst %.lyx, %.tex, $(SOURCES))
+WARNING=LaTeX Warning: Label(s) may have changed. Rerun
+
+all: $(PDFS)
+
+install: all
+	install -m 644 $(PDFS) $(DESTDIR)
+
+%.pdf: %.lyx
+	@echo -n "Language=$(LANG), exporting $< to a LaTeX file ... "
+	@lyx -e latex $< >/dev/null 2>&1
+	@echo Done.
+	@echo -n "Running PDFLaTeX"
+	@ok=0; lyxfile=$<; \
+	logfile=$$(echo $${lyxfile} | sed 's/\.lyx/.log/'); \
+	texfile=$$(echo $${lyxfile} | sed 's/\.lyx/.tex/'); \
+	while [ $$ok = 0 ]; do \
+	  echo -n " ... "; \
+	  pdflatex -interaction=nonstopmode $${texfile} >/dev/null 2>&1 || true; \
+	  if ! grep -q "$(WARNING)" $${logfile}; then \
+	    ok=1; \
+	  fi; \
+	done
+	@echo Done.
+
+clean:
+	rm -f *~ *.aux *.log *.toc *.lof
+	[ -f /tmp/keep-expeyes-docs ] || rm -f $(PDFS) $(TEXS)
diff --git a/doc/en/Docs-jr/eyesj.lyx b/doc/en/Docs-jr/eyesj.lyx
new file mode 100644
index 0000000..359ee99
--- /dev/null
+++ b/doc/en/Docs-jr/eyesj.lyx
@@ -0,0 +1,9272 @@
+#LyX 2.0 created this file. For more info see http://www.lyx.org/
+\lyxformat 413
+\begin_document
+\begin_header
+\textclass book
+\begin_preamble
+\usepackage{wrapfig}
+ \setlength{\intextsep}{0cm plus1cm minus1cm}
+\newcommand{\menuitem}[1]{\textbf{\emph{#1}}}
+\end_preamble
+\use_default_options false
+\maintain_unincluded_children false
+\language american
+\language_package default
+\inputencoding auto
+\fontencoding global
+\font_roman default
+\font_sans default
+\font_typewriter default
+\font_default_family default
+\use_non_tex_fonts false
+\font_sc false
+\font_osf false
+\font_sf_scale 100
+\font_tt_scale 100
+
+\graphics default
+\default_output_format default
+\output_sync 1
+\bibtex_command default
+\index_command default
+\paperfontsize default
+\spacing single
+\use_hyperref false
+\papersize a4paper
+\use_geometry false
+\use_amsmath 1
+\use_esint 0
+\use_mhchem 1
+\use_mathdots 1
+\cite_engine basic
+\use_bibtopic false
+\use_indices false
+\paperorientation portrait
+\suppress_date false
+\use_refstyle 0
+\index Index
+\shortcut idx
+\color #008000
+\end_index
+\paperwidth 21cm
+\paperheight 29.5cm
+\leftmargin 1cm
+\topmargin 1cm
+\rightmargin 1cm
+\bottommargin 1cm
+\secnumdepth 2
+\tocdepth 3
+\paragraph_separation indent
+\paragraph_indentation default
+\quotes_language english
+\papercolumns 1
+\papersides 2
+\paperpagestyle plain
+\tracking_changes false
+\output_changes false
+\html_math_output 0
+\html_css_as_file 0
+\html_be_strict false
+\end_header
+
+\begin_body
+
+\begin_layout Standard
+\align left
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+thispagestyle{empty}
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+\begin_inset VSpace 0.2in
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+\align center
+
+\size giant
+expEYES 
+\size larger
+Junior
+\end_layout
+
+\begin_layout Standard
+\align center
+\begin_inset Graphics
+	filename pics/ej-with-netbook-hr.jpg
+	lyxscale 10
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+\align center
+
+\size large
+User's Manual 
+\end_layout
+
+\begin_layout Standard
+\align center
+
+\size largest
+Experiments for
+\begin_inset Newline newline
+\end_inset
+
+ Young Engineers and Scientists
+\end_layout
+
+\begin_layout Standard
+\align center
+http://expeyes.in
+\end_layout
+
+\begin_layout Standard
+\align center
+from
+\end_layout
+
+\begin_layout Standard
+\align center
+PHOENIX Project
+\begin_inset Newline newline
+\end_inset
+
+Inter-University Accelerator Centre 
+\begin_inset Newline newline
+\end_inset
+
+(A Research Centre of UGC)
+\begin_inset Newline newline
+\end_inset
+
+New Delhi 110 067
+\begin_inset Newline newline
+\end_inset
+
+www.iuac.res.in
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+Preface
+\end_layout
+
+\begin_layout Standard
+The PHOENIX (Physics with Home-made Equipment & Innovative Experiments)
+ project was started in 2004 by Inter-University Accelerator Centre with
+ the objective of improving the science education at Indian Universities.
+ Development of low cost laboratory equipment and training teachers are
+ the two major activities under this project.
+ 
+\end_layout
+
+\begin_layout Standard
+expEYES Junior is a modified version of expEYES released earlier.
+ It is meant to be a tool for learning by exploration, suitable for high
+ school classes and above.
+ We have tried optimizing the design to be simple, flexible, rugged and
+ low cost.
+ The low price makes it affordable to individuals and we hope to see students
+ performing experiments outside the four walls of the laboratory, that closes
+ when the bell rings.
+\end_layout
+
+\begin_layout Standard
+Hardware design is open and royalty-free.
+ The software is released under GNU General Public License.
+ The project has progressed due to the active participation and contributions
+ from the user community and many other persons outside IUAC.
+ We are thankful to S Venkataramanan and Prof.
+ R Nagarajan for correcting this document by carrying out the experiments
+ described independently.
+ 
+\end_layout
+
+\begin_layout Standard
+expEYES Junior user's manual is distributed under GNU Free Documentation
+ License.
+ For more details about the project visit the website 
+\shape italic
+expeyes.in
+\end_layout
+
+\begin_layout Standard
+\begin_inset space ~
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+Ajith Kumar B.P.
+ 
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset space ~
+\end_inset
+
+(ajith at iuac.res.in)
+\end_layout
+
+\begin_layout Standard
+V V V Satyanarayana
+\end_layout
+
+\begin_layout Standard
+Jimson Sacharias
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+\begin_inset CommandInset toc
+LatexCommand tableofcontents
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Chapter
+Getting Started
+\end_layout
+
+\begin_layout Section
+Introduction 
+\end_layout
+
+\begin_layout Standard
+Science is the study of the physical world by systematic observations and
+ experiments.
+ Proper science education is essential for cultivating a society where reasoning
+ and logical thinking prevails and not superstition and irrational beliefs.
+ Science education is also essential for training enough technicians, engineers
+ and scientists for the economy of the modern world.
+ It is widely accepted that personal experience in the form of experiments
+ and observations, either carried out by students or performed as demonstrations
+ by teachers, are essential to the pedagogy of science.
+ However, almost everywhere science is mostly taught from the text books
+ without giving importance to experiments, partly due to lack of equipment.
+ As a result, most of the students fail to correlate their classroom experience
+ to problems encountered in daily life.
+ To some extent this can be corrected by learning science based on exploration
+ and experimenting.
+ 
+\end_layout
+
+\begin_layout Standard
+The advent of personal computers and their easy availability has opened
+ up a new path for making laboratory equipment.
+ Addition of some hardware to an ordinary computer can convert it in to
+ a science laboratory.
+ Performing quick measurements with good accuracy enables one to study a
+ wide range of phenomena.
+ Science experiments generally involve measuring/controlling physical parameters
+ like temperature, pressure, velocity, acceleration, force, voltage, current
+ etc.
+ If the measured physical property is changing rapidly, the measurements
+ need to be automated and a computer becomes a useful tool.
+ For example, understanding the variation of AC mains voltage with time
+ requires measuring it after every millisecond.
+\end_layout
+
+\begin_layout Standard
+The ability to perform experiments with reasonable accuracy also opens up
+ the possibility of research oriented science education.
+ Students can compare the experimental data with mathematical models and
+ examine the fundamental laws governing various phenomena.
+ Something similar to what research scientists do but with less sophisticated
+ equipment.
+ The expEYES ( expEriments for Young Engineers & Scientists) kit is designed
+ to support a wide range of experiments, from school to post graduate level.
+ It also acts as a test equipment for electronics engineers and hobbyists.
+ The simple and open architecture of expEYES allows the users to 
+\shape italic
+develop new experiments, without getting into the details of electronics
+ or computer programming
+\shape default
+.
+ This User's manual describes 
+\shape italic
+expEYES
+\shape default
+ Junior along with several experiments, there is also a Programmer's manual
+ available.
+\end_layout
+
+\begin_layout Section
+The equipment
+\end_layout
+
+\begin_layout Standard
+ExpEYES Junior is interfaced and powered by the USB port of the computer.
+ For connecting external signals, it has several Input/Output terminals,
+ arranged on both sides, as shown in figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:The-ExpEYES-toppanel"
+
+\end_inset
+
+.
+ It can monitor and control the voltages at these terminals.
+ In order to measure other parameters (like temperature, pressure etc.),
+ we need to convert them in to electrical signals by using appropriate sensor
+ elements.
+ 
+\end_layout
+
+\begin_layout Standard
+Even though our primary objective is to do experiments, you are advised
+ to read through the brief description of the equipment given below.
+ The device can be also used as a test equipment for electrical and electronics
+ engineering experiments.
+\end_layout
+
+\begin_layout Paragraph*
+
+\shape italic
+IMPORTANT : 
+\end_layout
+
+\begin_layout Standard
+
+\shape italic
+The external voltages connected to expEYES must be within the allowed limits.
+ Inputs A1 and A2 must be within 
+\begin_inset Formula $\pm5$
+\end_inset
+
+ volts range and Inputs IN1 and IN2 must be in 0 to 5V range.
+ Exceeding these limits slightly will flash an error message.
+ If the program stops responding, exit and re-connect the USB to reset the
+ device.
+ Larger voltages will result in permanent damage.
+ To measure higher voltages, scale them down using resistive potential divider
+ networks.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/top-panel.png
+	lyxscale 50
+	height 9cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+The ExpEYES Junior top panel showing the external connections on both sides.The
+ channel numbers shown against some terminals are meant for those who write
+ software to access them.
+ The arrows indicates the direction of the signals, for example arrow from
+ 
+\begin_inset Formula $A1\Rightarrow1$
+\end_inset
+
+ means the signal from terminal A1 goes to channel number 1.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:The-ExpEYES-toppanel"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection
+External connections
+\end_layout
+
+\begin_layout Standard
+The functions of the external Input/Outputs terminals are briefly explained
+ below.
+\end_layout
+
+\begin_layout Paragraph*
+Programmable Voltage Source (PVS) :
+\end_layout
+
+\begin_layout Standard
+Can be set, from software, to any value in the 0 to +5V range.
+ The resolution is 12 bits, implies a minimum voltage step of around 1.25
+ millivolts.
+ There is a read-back to verify PVS.
+\end_layout
+
+\begin_layout Paragraph*
+\begin_inset Formula $\pm5V$
+\end_inset
+
+ Analog Inputs (A1 & A2) : 
+\end_layout
+
+\begin_layout Standard
+Can measure voltage within the 
+\begin_inset Formula $\pm5$
+\end_inset
+
+ volts range.
+ The resolution of ADC used is 12 bits.
+ Voltage at these terminals can be displayed as a function of time, giving
+ the functionality of a low frequency oscilloscope.
+ The maximum sampling rate is 250,000 per second.
+ Both have an input impedance of 
+\begin_inset Formula $10M\Omega$
+\end_inset
+
+ .
+\end_layout
+
+\begin_layout Paragraph*
+\begin_inset Formula $0-5V$
+\end_inset
+
+ Analog Inputs (IN1 & IN2):
+\end_layout
+
+\begin_layout Standard
+These terminals can measure voltages in the 0 to 5V range.
+ 
+\end_layout
+
+\begin_layout Paragraph*
+Resistive Sensor Input (SEN):
+\end_layout
+
+\begin_layout Standard
+This is mainly meant for sensors like Light Dependent Resistor, Thermistor,
+ Photo-transistor etc..
+ SEN is connected to 5 volts through a 
+\begin_inset Formula $5.1k\Omega$
+\end_inset
+
+ resistor.
+ It also has a built-in analog comparator.
+\end_layout
+
+\begin_layout Paragraph*
+Digital Inputs (IN1 & IN2):
+\end_layout
+
+\begin_layout Standard
+The inputs IN1, IN2 can act as both analog and digital inputs.
+ In the digital mode, any voltage less than 1 volt is treated as logic 0(LOW)
+ and anything higher than 2.5 volts is treated as logic 1(HIGH).
+ If the voltage input is changing periodically between HIGH and LOW, these
+ terminals can measure the frequency and duty-cycle of the connected signal.
+ Time interval between voltage transitions on these pins can be measured
+ with microsecond resolution.
+\end_layout
+
+\begin_layout Paragraph*
+Digital Output (OD1) :
+\end_layout
+
+\begin_layout Standard
+The voltage at OD1 can be set to 0 or 5 volts, using software.
+\end_layout
+
+\begin_layout Paragraph*
+Square Waves SQR1 & SQR2 : 
+\end_layout
+
+\begin_layout Standard
+Output swings from 0 to 5 volts and frequency can be varied 0.7Hz to 100kHz.
+ All intermediate values of frequency are not possible.
+ SQR1 and SQR2 can be set to different frequencies.
+ It is also possible to set them to same frequency, with a specific phase
+ shift between the two.
+ These outputs also can be programmed to generate Pulse Width Modulated
+ waveforms.
+ SQR1 is wired to channel 6 for read-back and SQR2 is wired to channel 7.
+\end_layout
+
+\begin_layout Standard
+Setting frequency to 
+\begin_inset Formula $0$
+\end_inset
+
+Hz will make the output HIGH and setting it to 
+\begin_inset Formula $-1$
+\end_inset
+
+ will make it LOW, in both cases the wave generation is disabled.
+ When the wave generation is disabled, SQR1 and SQR2 can act as digital
+ outputs on channel 8 and 9 respectively.
+\end_layout
+
+\begin_layout Standard
+SQR1 output has a
+\series bold
+\shape slanted
+ 
+\begin_inset Formula $100\Omega$
+\end_inset
+
+ series resistor
+\series default
+\shape default
+ so that it can drive LEDs directly.
+ 
+\end_layout
+
+\begin_layout Paragraph*
+Infrared Transmission
+\end_layout
+
+\begin_layout Standard
+An Infrared Diode connected to SQR1 can transmit data using IR transmission
+ protocol.
+ The 4 byte transmission can be used for emulating common TV remotes.
+ It also supports a single byte transmission that can be received by a program
+ running on a micro-controller
+\begin_inset Foot
+status collapsed
+
+\begin_layout Plain Layout
+http://expeyes.in/micro-controllers-for-hobby-projects-and-education
+\end_layout
+
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Paragraph*
+SINE wave: 
+\end_layout
+
+\begin_layout Standard
+Fixed frequency sine wave generator, frequency is around 150 Hz.
+ Bipolar signal output with an amplitude of around 4 volts.
+\end_layout
+
+\begin_layout Paragraph*
+Constant Current Source (CCS) : 
+\end_layout
+
+\begin_layout Standard
+The constant current source can be switched ON and OFF under software control.
+ The nominal value is 1mA but may vary from unit to unit, due to component
+ tolerances.
+ To measure the exact value, connect an ammeter from CCS to GND.
+ Another method is to connect a known resistance (~3.3k) and measure the
+ voltage drop across it.
+ The load resistor should be less than 4k for this current source.
+\end_layout
+
+\begin_layout Paragraph*
+Microphone (MIC) :
+\end_layout
+
+\begin_layout Standard
+There is a built-in condenser microphone (on the side, near CCS).
+ Its output, amplified 51 times, is available on MIC output.
+ Connect it to A1 or A2 for viewing.
+\end_layout
+
+\begin_layout Paragraph*
+Inverting Amplifier (IN->OUT) : 
+\end_layout
+
+\begin_layout Standard
+The inverting amplifier is implemented using TL084 op-amp.
+ Rf=51000 and Ri = 1000, giving a maximum gain of 
+\begin_inset Formula $\frac{51000}{1000}=51$
+\end_inset
+
+.
+ The gain can be reduced by feeding the input via a resistor.
+ For example, using a 50k series resistor will make it a unity gain inverter.
+\end_layout
+
+\begin_layout Paragraph*
+Ground : 
+\end_layout
+
+\begin_layout Standard
+The four terminals marked as GND are the reference ground.
+ All the generated/measured voltages are with respect to these terminals.
+\end_layout
+
+\begin_layout Subsection
+Accessory Set
+\end_layout
+
+\begin_layout Standard
+Some accessories are provided with expEYES Junior, a photograph is given
+ on back cover of the manual.
+\end_layout
+
+\begin_layout Itemize
+Crocodile Clips with leads (4) : If the connection to any terminal is changed
+ many times during an experiment, it is easier to make the connection using
+ the crocodile clip provided.
+\end_layout
+
+\begin_layout Itemize
+3000 Turns Coil (2) : 44SWG copper wire, Inductance 
+\begin_inset Formula $\approx$
+\end_inset
+
+ 125 mH, Resistance 
+\begin_inset Formula $\approx$
+\end_inset
+
+ 550 
+\begin_inset Formula $\Omega$
+\end_inset
+
+ .
+ These coils can be used for studying inductance, electromagnetic induction
+ etc.
+ 
+\end_layout
+
+\begin_layout Itemize
+Piezo Electric Discs (2) : Resonant frequency is around 3500 Hz.
+ Can be energized by SQR1 or SQR2.
+ Discs are enclosed in a plastic shell that forms a cavity, that enhances
+ the amplitude of sound produced.
+\end_layout
+
+\begin_layout Itemize
+DC Motor : Should be powered by a DC voltage less than 3 volts.
+\end_layout
+
+\begin_layout Itemize
+Permanent Magnets : (a) 10mm dia & length (b) 5 mm dia & 10 mm length (c)
+ Button size magnets(2)
+\end_layout
+
+\begin_layout Itemize
+5mm LEDS : RED, BLUE, GREEN, WHITE
+\end_layout
+
+\begin_layout Itemize
+Capacitors : 47uF, 1uF, 0.1uF & 0.01 uF
+\end_layout
+
+\begin_layout Itemize
+Resistors : 560
+\begin_inset Formula $\Omega$
+\end_inset
+
+, 1
+\begin_inset Formula $k\Omega$
+\end_inset
+
+, 2.2
+\begin_inset Formula $k\Omega$
+\end_inset
+
+ , 10
+\begin_inset Formula $k\Omega$
+\end_inset
+
+ , 51
+\begin_inset Formula $k\Omega$
+\end_inset
+
+ and 200 
+\begin_inset Formula $k\Omega$
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+LDR & Thermistor
+\end_layout
+
+\begin_layout Itemize
+Two silicon diodes (1N4148) and a Transistor( 2N2222)
+\end_layout
+
+\begin_layout Itemize
+5 pieces of wires (8cm) and a Screwdriver 
+\end_layout
+
+\begin_layout Section
+Software Installation
+\end_layout
+
+\begin_layout Standard
+ExpEYES can run on any computer having a Python Interpreter and a Python
+ module to access the Serial port.
+ The USB interface is handled by the device driver program that presents
+ the USB port as an RS232 port to the application programs.
+ The communication the expEYES is done using a library written in Python
+ language (also available in C language).
+ Programs with GUI have been written for many experiments.
+ There are many ways to get the software running:
+\end_layout
+
+\begin_layout Subsubsection*
+The expEYES Live CD 
+\end_layout
+
+\begin_layout Standard
+The easiest way to get started is to boot your PC with the expEYES Live-CD.
+ From the PC BIOS, make the CD drive as the first boot device, insert the
+ live CD and reboot the PC.
+ A desktop will appear and you can start expEYES Junior from the menu 
+\series bold
+Applications->Science
+\series default
+->EYES-Junior.
+ You can also start it from a Terminal using the command:
+\end_layout
+
+\begin_layout LyX-Code
+$ python /usr/share/expeyes-junior/croplus.py
+\end_layout
+
+\begin_layout Subsubsection*
+Installing on Debian or Ubuntu GNU/Linux distributions
+\end_layout
+
+\begin_layout Standard
+Download 
+\series bold
+expeyes-3.0.0.deb
+\series default
+ , or higher version, from the software section of 
+\series bold
+http://expeyes.in
+\series default
+ and install it.
+ It depends on python-serial, python-tk, python-scipy and grace (a 2D plotting
+ program).
+\end_layout
+
+\begin_layout Subsubsection*
+For other GNU/Linux distributions
+\end_layout
+
+\begin_layout Standard
+Download 
+\series bold
+expeyes-3.x.x.zip
+\series default
+ from 
+\series bold
+http://expeyes.in
+\series default
+ and follow the instructions in the README file.
+ It is important to give read/write permissions for all users on the USB
+ port where expEYES is connected.
+ This can be done by running the 
+\shape italic
+postint
+\shape default
+ shell script, included in the zip file.
+\end_layout
+
+\begin_layout Subsubsection*
+On MSWindows
+\end_layout
+
+\begin_layout Standard
+Even though expEYES is Free Software and is developed using Free and Open
+ software, it runs on non-free platforms also.
+ To install it on MS windows, you need (1) MCP2200 drivers (2) Python-2.x
+ version, python-serial, python-tk, python-numpy and python-scipy (3) expeyes-3.x.
+x.zip
+\end_layout
+
+\begin_layout Standard
+Unzip the file 
+\series bold
+expeyes-3.x.x.zip
+\series default
+, and double click on 
+\series bold
+croplus.py
+\series default
+ inside the newly created directory named expeyes-3.x.x
+\backslash
+eyes-junior.
+ If you have expEYES liveCD, browse inside the directory names WINEYES.
+ All the files mentioned above are inside that directory.
+ Double click on them in the order mentioned above to install them.
+ See the software section on the expeyes website for more details.
+\end_layout
+
+\begin_layout Section
+The main GUI program
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/benchmark.png
+	lyxscale 50
+	width 11cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+The croplus screen showing a sine-wave connected to A1.
+ 
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:The-croplus-screen."
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+Start Applications->Science->EYES-Junior from the menu.
+ A four channel oscilloscope screen with several extra features will open
+ as shown in figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:The-croplus-screen."
+
+\end_inset
+
+.
+ The 
+\shape italic
+
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{EXPERIMENTS}
+\end_layout
+
+\end_inset
+
+ 
+\shape default
+button pops up a menu of programs for several experiments.
+ The main window will become inactive when an experiment is selected and
+ running.
+\end_layout
+
+\begin_layout Subsubsection*
+The Plot Window
+\end_layout
+
+\begin_layout Standard
+The plot window works like a low frequency four channel oscilloscope.
+ The maximum sampling rate is 250 kHz only, sufficient for exploring audio
+ frequency range.
+ A brief description of this GUI program is given below.
+\end_layout
+
+\begin_layout Itemize
+On the left side, the Inputs (A1,A2,IN1,IN2,SEN and read backs of SQR1 &
+ SQR2) are shown.
+ 
+\shape italic
+Clicking on any of them will display the voltage/logic level present.
+ 
+\shape default
+To plot any of them, drag it to the desired channel (CH1 to CH4).
+ The names of inputs selected for display are shown on the right side of
+ the plot window, using a unique color for each channel.
+\end_layout
+
+\begin_layout Itemize
+For online help, place cursor on any item, press and hold the left mouse
+ button.
+\end_layout
+
+\begin_layout Itemize
+Dragging ATR to any of the inputs will make it the CRO trigger source.
+\end_layout
+
+\begin_layout Itemize
+This program allows different types of triggering.
+ For example, dragging WRE to IN1 will enable rising edge triggering on
+ it.
+ It also supports setting levels or generating pulses on Digital outputs
+ just before capturing the waveform.
+ Dragging SHI to OD1 will keep OD1 HIGH during the capture process.
+ For more details refer to the programmers manual.
+\end_layout
+
+\begin_layout Itemize
+Dragging any of the channels, CH1 to CH4, to FIT will enable calculating
+ amplitude and frequency by fitting the data using the equation 
+\begin_inset Formula $V=V_{0}\sin\left(2\pi ft+\theta\right)+C$
+\end_inset
+
+ , 
+\begin_inset Formula $V_{0}$
+\end_inset
+
+ and 
+\begin_inset Formula $f$
+\end_inset
+
+ will be displayed.
+ Dragging the channel to NML will disable the FIT option.
+\end_layout
+
+\begin_layout Itemize
+Right clicking on IN1, IN2, SEN, SQR1 or SQR2 will measure the frequency
+ and duty cycle of the voltage waveform present at the terminal.
+\end_layout
+
+\begin_layout Itemize
+If two adjacent channels are assigned, Right-clicking on the first will
+ calculate frequency and phase difference between the two inputs.
+\end_layout
+
+\begin_layout Itemize
+Dragging a channel to FTR will show the Fourier Spectrum of the waveform
+ in a separate window.
+\end_layout
+
+\begin_layout Itemize
+To remove a displayed input, drag it to DEL.
+\end_layout
+
+\begin_layout Itemize
+Horizontal scale (ms/division) adjustment.
+ Set this to the minimum value and increase to view more number of cycles
+ on the screen.
+ Drag the rider or click on the left/right sides of it.
+\end_layout
+
+\begin_layout Itemize
+Vertical scale (volts/division).
+ Maximum values is 5 volts per division.
+\end_layout
+
+\begin_layout Itemize
+Vertical offset sliders are provided for each channel to shift the trace
+ up or down.
+\end_layout
+
+\begin_layout Itemize
+The Check button LOOP selects Single/Continuous mode of scanning.
+\end_layout
+
+\begin_layout Itemize
+The traces can be transferred to an Grace plot window, using XMG.
+\end_layout
+
+\begin_layout Itemize
+SAVE button to save the data to the specified file in
+\shape italic
+ 
+\shape default
+two column text format.
+\end_layout
+
+\begin_layout Standard
+In addition to the CRO features, you can also control SQR1, SQR2, PVS etc.
+ from the GUI.
+ You can execute Python functions to access the hardware from a command
+ window.
+\end_layout
+
+\begin_layout Itemize
+For the Square waves , the frequency and phase difference in percentage
+ are entered in two text fields.
+ SQR1 & SQR2 can be set to different frequencies or to a single frequency
+ with desired phase difference.
+ Re-activate the check buttons after changing frequency or phase difference.
+ 
+\end_layout
+
+\begin_layout Itemize
+SQR1 can be set using a slider also.
+\end_layout
+
+\begin_layout Itemize
+To Set PVS, type the voltage (0 to 5) and press Enter key.
+ The PVS output has a readback and the read back value is displayed in the
+ message field.
+\end_layout
+
+\begin_layout Itemize
+Checkbuttons are provided to control OD1 and CCS.
+\end_layout
+
+\begin_layout Itemize
+Capacitance connected between IN1 and GND can be measured.
+\end_layout
+
+\begin_layout Itemize
+Python functions to communicate to the hardware can be entered in a Command
+ Window.
+\end_layout
+
+\begin_layout Section
+Basic measurements using expEYES
+\end_layout
+
+\begin_layout Standard
+Before proceeding with the experiments, let us do some simple exercises
+ to become familiar with expEYES Junior.
+ Boot your computer from the LiveCD, connect the device a USB port and start
+ the EYES-Junior program from the menu 'Applications->Science'.
+\end_layout
+
+\begin_layout Subsection
+Generate & measure voltages
+\end_layout
+
+\begin_layout Itemize
+Connect PVS to IN1 and Assign IN1 to CH1
+\end_layout
+
+\begin_layout Itemize
+Set PVS to some voltage and observe the trace
+\end_layout
+
+\begin_layout Itemize
+Click on IN1 to display the voltage.
+\end_layout
+
+\begin_layout Subsection
+Observe voltage waveforms
+\end_layout
+
+\begin_layout Itemize
+Connect SINE to A1 and Assign A1 to CH1
+\end_layout
+
+\begin_layout Itemize
+Adjust the horizontal scale (ms/Div) to view 4 or 5 cycles of the square
+ wave
+\end_layout
+
+\begin_layout Itemize
+Set frequency to to 100 and Check SQR1.
+\end_layout
+
+\begin_layout Itemize
+Assign SQR1 to CH2
+\end_layout
+
+\begin_layout Itemize
+Change frequency.
+ Uncheck and Check SQR1.
+\end_layout
+
+\begin_layout Itemize
+Explore the FIT and FTR options.
+\end_layout
+
+\begin_layout Subsection
+Measure frequency & Duty cycle
+\end_layout
+
+\begin_layout Itemize
+Set SQR1 to 1000
+\end_layout
+
+\begin_layout Itemize
+Right Click on SQR1 to display frequency and duty cycle.
+\end_layout
+
+\begin_layout Itemize
+To set 488 Hz 30% PWM, enter 
+\shape italic
+set_sqr1_pwm(30)
+\begin_inset Foot
+status collapsed
+
+\begin_layout Plain Layout
+
+\shape italic
+For information about all the commands, refer to the Programmer's manual
+\end_layout
+
+\end_inset
+
+ 
+\shape default
+inside the Command window.
+\end_layout
+
+\begin_layout Itemize
+Measure again by Right Clicking on SQR1
+\end_layout
+
+\begin_layout Subsection
+Accuracy and resolution
+\end_layout
+
+\begin_layout Standard
+Figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:The-croplus-screen."
+
+\end_inset
+
+ shows a 3V, 3000.5 Hz sine wave from an Agilent 33220A Function generator,
+ connected to A1.
+ The voltage at IN1 is measured as 3.000 by a Keithley 2100 multimeter, off
+ by 2mV.
+ The frequency of audio frequency sine wave is measured with less than 0.1%
+ error.
+ The voltage measurement has 12 bit resolution but the absolute accuracy
+ may change slightly with ambient temperature.
+\end_layout
+
+\begin_layout Section
+Experiments
+\end_layout
+
+\begin_layout Standard
+The expEYES hardware can generate/measure different kinds of voltage signals.
+ For measuring any other parameter it should be converted into a voltage,
+ using appropriate sensor elements.
+ For example a temperature sensor will give a voltage indicating the temperature.
+ 
+\end_layout
+
+\begin_layout Standard
+A GUI program is provided for every experiment given in this manual.
+ However, it is possible to do the same by writing few lines of code in
+ Python language.
+ All the communication to expEYES is done using a Python library called
+ 
+\shape italic
+eyesj.py
+\shape default
+.
+ Data analysis and graphical display is also done in Python.
+ If you are interested in developing new experiments based on expEYES, it
+ would be a good idea to learn Python programming language.
+ Almost every experiment can be extended in several ways and some hints
+ are given in this direction.
+ 
+\end_layout
+
+\begin_layout Standard
+The following chapters describe experiments from different topics like electrici
+ty, magnetism, electronics, sound, heat etc.
+ Since the expEYES kit is meant for self learning, we have included some
+ very trivial experiments in the beginning.
+\end_layout
+
+\begin_layout Chapter
+Electricity
+\end_layout
+
+\begin_layout Standard
+We start with the simple task of measuring the voltage of a dry-cell.
+ Current and resistance are introduced next, followed by resistances changing
+ with temperature and light.
+ The concept of Alternating Current is introduced by plotting the voltage
+ as a function of time.
+ The behavior of circuits elements like capacitors and inductors in AC and
+ DC circuits are explored, by measuring parameters like amplitude, frequency
+ and phase.
+ The transient response of a resistor and capacitor in series is used for
+ measuring the capacitance.
+ Inductance also is measured in the same manner.
+ The Fourier analysis of waveforms are done to study the harmonics.
+ Integration and differentiation of a square wave using RC circuits also
+ is explored.
+ 
+\end_layout
+
+\begin_layout Standard
+For each experiment, make connections as per the diagram given.
+\end_layout
+
+\begin_layout Section
+Measuring Voltage
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Learn to measure voltage using expEYES and get some idea about the concept
+ of Electrical Ground.
+ A dry-cell and two wires are required.
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/measure-dc.png
+	height 1cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Click on A1 to display the voltage
+\end_layout
+
+\begin_layout Itemize
+Repeat by reversing the cell connections.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+Voltages measured value is +1.5 volts and it becomes -1.5 after reversing
+ the connections.
+\end_layout
+
+\begin_layout Standard
+We are measuring the potential difference between two points.
+ One of them can be treated as at zero volts, or Ground potential.
+ The voltage measuring points of expEYES measure the voltage with respect
+ to the terminals marked GND.
+ We have connected the negative terminal of the cell to Ground.
+ The positive terminal is at +1.5 volts with respect to the negative terminal.
+ 
+\shape italic
+Will it show correct voltage if GND is not connected ?
+\end_layout
+
+\begin_layout Standard
+If the input voltage is within 0 to 5V range, use IN1, which is directly
+ connected to the ADC input.
+ Resolution of bipolar inputs A1 and A2 are half of that of IN1.
+ The offset and gain errors of the level shifting amplifiers also affect
+ the accuracy of A1 & A2.
+ 
+\end_layout
+
+\begin_layout Section
+Voltage, current & resistance
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Learn about Current, Resistance and Ohm's law, using a couple of resistors.
+ The voltage across a conductor is directly proportional to current flowing
+ through it.
+ The constant of proportionality is called Resistance.
+ This is known as Ohm's Law, expressed mathematically as
+\begin_inset Formula 
+\[
+V\varpropto I\,\,\,;\,\,\,\, V=IR\,\,\,\, or\,\,\, R=\frac{V}{I}
+\]
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/resistors.png
+	height 0.8cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Set PVS to some voltage, read the actual value set from the message field.
+\end_layout
+
+\begin_layout Itemize
+Click on IN1 to measure its voltage.
+\end_layout
+
+\begin_layout Itemize
+Repeat for different values of PVS.
+ 
+\end_layout
+
+\begin_layout Itemize
+Repeat for other resistance values.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+The total voltage and the voltage across R1 are measured.
+ The voltage across R2 is 
+\begin_inset Formula $V_{PVS}-V_{R1}$
+\end_inset
+
+.
+ The current through R1, 
+\begin_inset Formula $I=V_{R1}/R1$
+\end_inset
+
+.
+ The same amount of current flows through R2 and the voltage across R2 can
+ be calculated using 
+\begin_inset Formula $V_{R1}=IR1$
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Tabular
+<lyxtabular version="3" rows="4" columns="5">
+<features tabularvalignment="middle">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+
+\size footnotesize
+\begin_inset Formula $V_{PVS}$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+
+\size footnotesize
+\begin_inset Formula $V_{IN1}=V_{R1}$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+
+\size footnotesize
+\begin_inset Formula $I=\frac{V_{IN1}}{1000}$
+\end_inset
+
+A
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+
+\size footnotesize
+\begin_inset Formula $V_{R2}=V_{PVS}-V_{IN1}$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+
+\size footnotesize
+\begin_inset Formula $V_{R2}=I\times2.2k$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+.313
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+.313
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+.687
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+.688
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+2
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+.626
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+.626
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1.374
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1.377
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+3
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+.94
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+.94
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+2.06
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+2.07
+\end_layout
+
+\end_inset
+</cell>
+</row>
+</lyxtabular>
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+Expand this experiment by connecting three resistors in series and connecting
+ the junctions to IN1 and IN2.
+ Another exercise is to connect a 
+\begin_inset Formula $5.1k$
+\end_inset
+
+ resistor from SEN to GND and measure the voltage at SEN.
+ Remember that SEN is internally connected to 5 volts through a 
+\begin_inset Formula $5.1k$
+\end_inset
+
+ resistor.
+\end_layout
+
+\begin_layout Section
+Calibrating Current Source
+\begin_inset CommandInset label
+LatexCommand label
+name "sec:Calibrating-Current-Source"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+The actual output of constant current source may be different from the specified
+ 1 mA, due to the tolerance of the resistors used.
+ It can be measured by connecting an ammeter from CCS to GND, or by connecting
+ a known resistance to CCS and measuring the voltage across it.
+ The resistor should be in 2k to 4k range.
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/ccs-calib.png
+	height 0.8cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Enable CCS 
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+The measured values of the resistance is 3.876k and the voltage is 3.725 volts.
+ The actual value of the constant current source is 3.725/3.876 = .961 mA.
+ 
+\end_layout
+
+\begin_layout Standard
+For better accuracy, the measured value should be used in experiments using
+ CCS.
+\end_layout
+
+\begin_layout Section
+Resistances in series
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Finding the effective resistance of a series combination of resistors, 
+\begin_inset Formula $R=R1+R2+\cdots$
+\end_inset
+
+, using a constant current source.
+ A 
+\begin_inset Formula $560\Omega$
+\end_inset
+
+ and a
+\begin_inset Formula $1k\Omega$
+\end_inset
+
+ resistors are used.
+ 
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/res-series.png
+	height 0.8cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Connect R1, R2 alone and then both
+\end_layout
+
+\begin_layout Itemize
+Measure IN1 for each case
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+\begin_inset Tabular
+<lyxtabular version="3" rows="4" columns="2">
+<features tabularvalignment="middle">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+R(
+\begin_inset Formula $\Omega)$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+V(volts)
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+560
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+.558
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1000
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+0.998
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1000+560
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1.556
+\end_layout
+
+\end_inset
+</cell>
+</row>
+</lyxtabular>
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+Since the current is same, the total voltage drop gives the effective resistance.
+ It can be seen that it is the sum of the individual values, within the
+ measurement error.
+ For more accurate results, use the value of current measured as explained
+ in section 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "sec:Calibrating-Current-Source"
+
+\end_inset
+
+, instead of 1mA.
+\end_layout
+
+\begin_layout Section
+Resistances in parallel
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Find the effective resistance of parallel combination of resistors, given
+ by 
+\begin_inset Formula $\frac{1}{R}=\frac{1}{R1}+\frac{1}{R2}+\cdots$
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Procedure 
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/res-parallel.png
+	height 1cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Connect 1
+\begin_inset Formula $k\Omega$
+\end_inset
+
+ resistor from CCS to Ground.
+\end_layout
+
+\begin_layout Itemize
+Repeat the same with two resistors connected in parallel.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+\begin_inset Tabular
+<lyxtabular version="3" rows="3" columns="2">
+<features tabularvalignment="middle">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $R_{connected}(\Omega)$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $V_{measured}(V)$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1000
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1.008
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1000
+\begin_inset Formula $\parallel$
+\end_inset
+
+1000
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+0.503
+\end_layout
+
+\end_inset
+</cell>
+</row>
+</lyxtabular>
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+Since we know the current, we can calculate the resistance from the measured
+ voltage.
+ As per the measured voltage the resistance of the parallel combination
+ is 
+\begin_inset Formula $\frac{0.503V}{0.001A}=503\Omega$
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Section
+Measure resistance by comparison
+\begin_inset CommandInset label
+LatexCommand label
+name "sec:Measure-resistance-by"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Learn to apply Ohm's law to find the value of an unknown resistance by comparing
+ it with a known one.
+ Voltage across a resistor is given by 
+\begin_inset Formula $V=IR$
+\end_inset
+
+ .
+ If same amount of current is flowing through two different resistors, the
+ ratio of voltages will be the same as the ratio of resistances, 
+\begin_inset Formula $I=\frac{V1}{R1}=\frac{V2}{R2}$
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Subsection*
+Procedure 
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/res-compare.png
+	height 0.8cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Connect the unknown resistor R from PVS to IN1.
+\end_layout
+
+\begin_layout Itemize
+Connect 1
+\begin_inset Formula $k\Omega$
+\end_inset
+
+ (R1) from IN1 to Ground.
+\end_layout
+
+\begin_layout Itemize
+Set PVS to 4 volts.
+\end_layout
+
+\begin_layout Itemize
+Measure voltage at IN1
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+Voltage at IN1 = 1.254, implies voltage across the unknown resistor is 
+\begin_inset Formula $4-1.254=2.746$
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+Current 
+\begin_inset Formula $I=\frac{1.254}{1000}=1.254mA$
+\end_inset
+
+ .
+ Unknown resistor value = 
+\begin_inset Formula $\frac{2.746}{1.254mA}=2.19k\Omega$
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+What is the limitation of this method ? How do we choose the reference resistor
+ ? suppose the unknown value is in Mega Ohms, what will be the voltage drop
+ across a 
+\begin_inset Formula $1k\Omega$
+\end_inset
+
+ reference resistor ? Our voltage measurement is having a resolution of
+ 
+\begin_inset Formula $\frac{1}{4095}$
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Standard
+We will use this method later to measure the resistance of solutions, using
+ AC.
+\end_layout
+
+\begin_layout Section
+Voltage of a lemon cell 
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Make a voltage source by inserting Zinc and Copper plates into a lemon.
+ Explore the current driving capability and internal resistance.
+\end_layout
+
+\begin_layout Subsection*
+Procedure 
+\end_layout
+
+\begin_layout Subsection*
+\begin_inset Graphics
+	filename schematics/lemon-cell.png
+	height 1.3cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Click on A1 to measure voltage
+\end_layout
+
+\begin_layout Itemize
+Measure the voltage with and without the 1k resistor
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+Voltage across the Copper and Zinc terminals is nearly .9 volts.
+ Connecting the resistor reduces it to 0.33 volts.
+ When connected, current will start flowing through the resistor.
+ But why is the voltage going down ?
+\end_layout
+
+\begin_layout Standard
+What is the internal resistance of the cell ?
+\end_layout
+
+\begin_layout Standard
+Current is the flow of charges and it has to complete the path.
+ That means, current has to flow through the cell also.
+ Depending on the internal resistance of the cell, part of the voltage gets
+ dropped inside the cell itself.
+ Does the same happen with a new dry-cell ?
+\end_layout
+
+\begin_layout Section
+DC, AC and power line pickup
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Introduce the concept of time dependent voltages, using a V(t) graph.
+ Compare the graph of DC and AC.
+ Learn about the AC mains supply.
+ Explore the phenomenon of propagation of AC through free space.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/ad-dc.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+ 
+\begin_inset Graphics
+	filename pics/pickup.png
+	lyxscale 50
+	width 6cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Plotting Voltage Vs Time.
+ (a) graph of DC and AC (b) AC mains pickup 
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Graph-of-DC"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Procedure 
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/ac-dc.png
+	height 0.7cm
+
+\end_inset
+
+ 
+\end_layout
+
+\begin_layout Itemize
+Assign A1 to CH1 and A2 to CH2
+\end_layout
+
+\begin_layout Itemize
+Set PVS to 1 volt
+\end_layout
+
+\begin_layout Itemize
+Assign CH1 to FIT, to measure AC parameters.
+\end_layout
+
+\begin_layout Itemize
+Remove SINE and connect a long wire to A2
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/line-pickup.png
+	height 1cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+Figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Graph-of-DC"
+
+\end_inset
+
+(a) shows that the graph of DC is horizontal line and for AC it changes
+ direction and magnitude with time.
+ The voltage is changing with time.
+ It goes to both negative and positive around 150 cycles per second.
+ This voltage waveform is generated by using electronic circuits.
+\end_layout
+
+\begin_layout Standard
+Enabling FIT option calculates the amplitude and frequency by fitting the
+ data with the equation 
+\begin_inset Formula $V=V_{0}\sin(2\pi ft+\theta)$
+\end_inset
+
+ , where 
+\begin_inset Formula $V_{0}$
+\end_inset
+
+ is the amplitude and 
+\begin_inset Formula $f$
+\end_inset
+
+ 
+\begin_inset space ~
+\end_inset
+
+is the frequency.
+ What is the significance of 
+\begin_inset Formula $\theta$
+\end_inset
+
+ in this equation ?
+\end_layout
+
+\begin_layout Standard
+The power line pickup is shown in figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Graph-of-DC"
+
+\end_inset
+
+(b).
+ The frequency is obtained by fitting the data.
+ Without making any connection, how are we getting the AC voltage from the
+ mains supply ?
+\end_layout
+
+\begin_layout Section
+DC & AC components of a voltage
+\begin_inset CommandInset label
+LatexCommand label
+name "sec:DC-&-AC"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Separating AC and DC components of a voltage waveform using a capacitor.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/acdc-sep-screen.png
+	lyxscale 40
+	width 6cm
+
+\end_inset
+
+ 
+\begin_inset Graphics
+	filename pics/body-resistance.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+(a) A 0 to 5V square wave, with DC component blocked (b) Resuming electrical
+ resistance of human body
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Square-wave"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Procedure 
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/acdc-separating.png
+	height 0.8cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Set SQR1 to 500 Hz
+\end_layout
+
+\begin_layout Itemize
+Assign SQR1 to CH1 and A2 to CH2
+\end_layout
+
+\begin_layout Itemize
+Adjust the horizontal scale to see several cycles.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+The observed waveforms with and without the series capacitor are shown in
+ figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Square-wave"
+
+\end_inset
+
+.
+ The voltage is swinging between 0 and 5 volts.
+ After passing through the capacitor the voltage swings from -2.5 volts to
+ +2.5 volts.
+\end_layout
+
+\begin_layout Standard
+What will you get if you subtract a 2.5 from the y-coordinate of every point
+ of the first graph? That is what the capacitor did.
+ It did not allow the DC part to pass through.
+ This original square wave can be considered as a 2.5V AC superimposed on
+ a 2.5V DC.
+\end_layout
+
+\begin_layout Standard
+You may need to connect a resistor from A2 to GND to see a waveform swinging
+ between -2.5 to +2.5 volts.
+ Remove the resistor and observe the result.
+ 
+\end_layout
+
+\begin_layout Section
+Resistance of human body
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Get some idea about the resistance of the skin and how it varies.
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/res-body.png
+	height 0.8cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Assign A1 to CH1 and A2 to CH2
+\end_layout
+
+\begin_layout Itemize
+Join PVS and A2, through your body and measure voltage at CH2
+\end_layout
+
+\begin_layout Itemize
+Calculate your body's resistance, as given in section 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "sec:Measure-resistance-by"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Repeat using SINE instead of PVS.
+ Enable FIT to measure voltage.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+The observed waveform is shown in figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Square-wave"
+
+\end_inset
+
+(b).
+ Voltage at A2 is 3V, the variation is due to the 50Hz AC pickup.
+ 
+\end_layout
+
+\begin_layout Section
+Temperature dependent resistors 
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Show the dependence of resistance on temperature, using a thermistor,
+\begin_inset Formula $1k\Omega at 25^{0}C$
+\end_inset
+
+, with negative temperature coefficient.
+ Introduce temperature sensor.
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/thermistor.png
+	height 0.8cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Click on IN1 to measure the voltage
+\end_layout
+
+\begin_layout Itemize
+Repeat at different temperatures
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+\begin_inset Tabular
+<lyxtabular version="3" rows="3" columns="3">
+<features tabularvalignment="middle">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Setup
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+V=IR
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $R=\frac{V}{I}$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+In cold water
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1.2
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1200
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Room Temperature
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+0.935
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+935
+\end_layout
+
+\end_inset
+</cell>
+</row>
+</lyxtabular>
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Light dependent resistors
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Learn about LDR.
+ Measure intensity of light and its variation with distance from the source.
+ Use the comparison method to find out the resistance.
+\end_layout
+
+\begin_layout Subsection*
+Procedure 
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/ldr.png
+	height 0.8cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Set PVS to 4V and note down the value set
+\end_layout
+
+\begin_layout Itemize
+Click on IN1 to measure it, Assign IN1 to CH1.
+\end_layout
+
+\begin_layout Itemize
+Calculate the LDR's resistance, as explained in
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "sec:Measure-resistance-by"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Repeat by changing intensity of light falling on LDR
+\end_layout
+
+\begin_layout Itemize
+Connect an LED from SQR1 to GND.
+ Set SQR1 to 10 Hz
+\end_layout
+
+\begin_layout Itemize
+Show the LED above LDR and watch waveform at IN1
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+The resistance vary from 1k
+\begin_inset Formula $\Omega$
+\end_inset
+
+ to around 100 k
+\begin_inset Formula $\Omega$
+\end_inset
+
+ depending on the intensity of light falling on it.
+ The voltage is proportional to the resistance.
+ The resistance decreases with intensity of light.
+ If you use a point source of light, the resistance should increase as the
+ square of the distance.
+\end_layout
+
+\begin_layout Standard
+Illuminate the LDR using a fluorescent tube and watch the waveform at CH1.
+ The frequency of the ripple is related to the mains frequency.
+\end_layout
+
+\begin_layout Section
+Conductivity of water, using DC & AC
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Measure the resistance of ionic solutions, using both DC and AC voltages.
+ We have used normal tap water.
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Itemize
+R1 should be comparable to R, start with 10k.
+\end_layout
+
+\begin_layout Itemize
+Assign A1 to CH1 and A2 to CH2, enable FIT on both
+\end_layout
+
+\begin_layout Itemize
+Calculate the resistance as explained in section 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "sec:Measure-resistance-by"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Repeat using a DC voltage, PVS instead of SINE
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename schematics/res-water.png
+	width 5cm
+
+\end_inset
+
+ 
+\begin_inset Graphics
+	filename pics/water-conduct.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Conductivity of water.
+ (b)Total voltage applied and the voltage across the 10k resistor.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Conductivity-of-water."
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+\begin_inset Tabular
+<lyxtabular version="3" rows="3" columns="6">
+<features tabularvalignment="middle">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $V_{total}$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $V_{10k\Omega}$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $V_{liq}$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $I=\frac{V_{10k\Omega}}{1000}$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $R_{liq}=\frac{V_{liq}}{I}$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+SINE
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+3.25
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+2.6
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+0.65
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+.26 mA
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+2.5 k
+\begin_inset Formula $\Omega$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+PVS
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+4
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+2.3
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1.7
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+.23 mA
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+ 7.4 k
+\begin_inset Formula $\Omega$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+</lyxtabular>
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+Observed values are shown in the table.
+ The DC and AC resistances seems to be very different.
+ With DC, the resistance of the liquid changes with time, due to electrolysis
+ and bubble formation.
+ The resistance does not depend much on the distance between the electrodes,
+ the area of the electrode is having some effect.
+ The resistance depends on the ion concentration and presence of impurities
+ in the water used.
+\end_layout
+
+\begin_layout Standard
+Try changing the distance between electrodes.
+ Try adding some common salt and repeat the measurements.
+ Why is the behavior different for AC and DC ? What are the charge carriers
+ responsible for the flow of electricity through solutions ? Is there any
+ chemical reaction taking place ?
+\end_layout
+
+\begin_layout Section
+Measuring Capacitance
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+expEYES Junior has an internal programmable current source, that can be
+ enabled on IN1.
+ Connect a capacitance C and switch on current (5.5 
+\begin_inset Formula $\mu A$
+\end_inset
+
+) for a fixed time interval.
+ The accumulated charge 
+\begin_inset Formula $Q=It=CV$
+\end_inset
+
+ .
+ By measuring 
+\begin_inset Formula $V$
+\end_inset
+
+ , the value of C is calculated.
+ For better results the stray capacitance need to be subtracted.
+ Measure C without connecting anything to IN1, and subtract that value from
+ the C measured with capacitor.
+ This method can be used for values upto 10000 pF.
+\begin_inset Foot
+status collapsed
+
+\begin_layout Plain Layout
+Beyond that you need to use the Python function that can specify the charging
+ current, duration of charging etc.
+\end_layout
+
+\end_inset
+
+ Touching the capacitor during the measurement will corrupt the result.
+ 
+\end_layout
+
+\begin_layout Subsection*
+Procedure 
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/measure-cap.png
+	height 0.8cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Measure C without anything connected, to get the stray capacitance.
+\end_layout
+
+\begin_layout Itemize
+connect the capacitor from IN1 to ground.
+ 
+\end_layout
+
+\begin_layout Itemize
+Click on the Button
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{Measure C on IN1}
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Repeat with different capacitors
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+The empty socket measures 34 pF.
+ Several capacitors were measured.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Tabular
+<lyxtabular version="3" rows="6" columns="2">
+<features tabularvalignment="middle">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+ Value
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Measured value (pF) - 34pF
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+10
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+11
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+20
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+19
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+680
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+664
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+180
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+176
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+3000
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+2900
+\end_layout
+
+\end_inset
+</cell>
+</row>
+</lyxtabular>
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Measuring Dielectric Constant
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Measure the dielectric constant of materials like glass, paper, polyester
+ etc., by making a capacitor.
+ Capacitance 
+\begin_inset Formula $C=\epsilon_{0}k\frac{A}{d}$
+\end_inset
+
+, where 
+\begin_inset Formula $\epsilon_{0}$
+\end_inset
+
+ is the permittivity of free space, 
+\begin_inset Formula $k$
+\end_inset
+
+ the dielectric constant , 
+\begin_inset Formula $A$
+\end_inset
+
+ the overlapping area of plates and 
+\begin_inset Formula $d$
+\end_inset
+
+ the separation between them.
+ We have used a 13 cm x 10.6 cm piece of window glass having 4 mm thickness
+ to make a capacitor by pasting metal foil on both sides.
+\end_layout
+
+\begin_layout Subsection*
+Procedure 
+\end_layout
+
+\begin_layout Itemize
+connect the capacitor from IN1 to ground.
+ 
+\end_layout
+
+\begin_layout Itemize
+Click on the Button 
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{Measure C on IN1}
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Repeat without connecting anything to IN1
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+The measured capacitance is 225 pF.
+ The stray capacitance is measured after removing the wire from IN1 and
+ it is 30pF, means C = 195pF.
+ 
+\begin_inset Formula $k=\frac{Cd}{\epsilon_{0}A}=\frac{195e-12\times0.004}{8.854e-12\times.13\times.106}=6.37$
+\end_inset
+
+.
+ Touching the capacitor during the measurement gives wrong results.
+ 
+\end_layout
+
+\begin_layout Standard
+Using two parallel plates, the dielectric constant of liquids also can be
+ measured.
+\end_layout
+
+\begin_layout Section
+AC Phase shift in RC circuits
+\begin_inset CommandInset label
+LatexCommand label
+name "sec:Capacitor-in-AC"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Explore the effect of a series capacitor in AC circuits, under steady state
+ conditions.
+ Impedance of a Capacitor 
+\begin_inset Formula $X_{c}=\frac{1}{2\pi fC}$
+\end_inset
+
+ , where 
+\begin_inset Formula $f$
+\end_inset
+
+ is the frequency in Hertz and 
+\begin_inset Formula $C$
+\end_inset
+
+ is the capacitance in Farads.
+ 
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/rc-acphase.png
+	height 0.8cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Assign A1 to CH1 and A2 to CH2
+\end_layout
+
+\begin_layout Itemize
+Adjust the horizontal scale to view more than 4 cycles.
+\end_layout
+
+\begin_layout Itemize
+Right click on CH1 to calculate the phase shift.
+\end_layout
+
+\begin_layout Standard
+For a detailed study select 
+\shape italic
+
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{Study of AC Circuits}
+\end_layout
+
+\end_inset
+
+
+\shape default
+ from 
+\shape italic
+
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{EXPERIMENTS}
+\end_layout
+
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+The voltage waveform before and after the capacitor are shown in figure
+ 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:AC-Phase-in-RC"
+
+\end_inset
+
+(a),and the calculations are shown in the table.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Tabular
+<lyxtabular version="3" rows="2" columns="5">
+<features tabularvalignment="middle">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+C(uF)
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+R(
+\begin_inset Formula $\Omega$
+\end_inset
+
+)
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Freq (Hz)
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $\bigtriangleup\Phi$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $\arctan\left(\frac{X_{c}}{X_{R}}\right)$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1000
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+147.3
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+47.7
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+47.2
+\end_layout
+
+\end_inset
+</cell>
+</row>
+</lyxtabular>
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+where 
+\begin_inset Formula $X_{c}=\frac{1}{2\pi fC}$
+\end_inset
+
+ is the impedance of the capacitor, Frequency is 147.3 Hz.
+ 
+\begin_inset Formula $X_{R}$
+\end_inset
+
+ is the resistance.
+\end_layout
+
+\begin_layout Standard
+Current through a capacitor leads the voltage across it by 
+\begin_inset Formula $90^{0}$
+\end_inset
+
+.
+ Why ?
+\end_layout
+
+\begin_layout Standard
+Why does the phase of the voltage advance? Assume we have connected the
+ AC to plate A and at an instant 
+\begin_inset Formula $t=t_{0}$
+\end_inset
+
+ the input voltage is at zero volts.
+ We can see that the slope of the curve is maximum there, i.e.
+ the rate of change of voltage is maximum.
+ The capacitor gets charged very fast at this point.
+ The plate B also gathers the same charge as plate A , that is how a capacitor
+ works.
+ The current to plate B is flowing from ground through the resistor and
+ we are measuring the IR drop across the resistor, it will be already positive
+ when plate A is at zero.
+ This results in the phase advance.
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/rc-phaseshift.png
+	lyxscale 40
+	width 5cm
+
+\end_inset
+
+ 
+\begin_inset Graphics
+	filename pics/rl-phaseshift.png
+	lyxscale 40
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Phase shift of AC in an (a) RC circuit (b) RL circuit 
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:AC-Phase-in-RC"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+AC phase shift in RL circuits
+\begin_inset CommandInset label
+LatexCommand label
+name "sec:Inductor-in-AC"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Measure the AC voltage phase shift in an RL circuit.
+ Impedance of an Inductor 
+\begin_inset Formula $X_{L}=2\pi fL$
+\end_inset
+
+ , where 
+\begin_inset Formula $f$
+\end_inset
+
+ is the frequency in Hertz and L is the inductance in Henry.
+ In an LC circuit, the phase lag across the inductor is given by the equation
+ 
+\begin_inset Formula $\triangle\Phi=\arctan\left(\frac{X_{L}}{X_{R}}\right)$
+\end_inset
+
+, where R is the resistance in Ohms.
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/rl-acphase.png
+	height 0.8cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Assign A1 to CH1 and A2 to CH2
+\end_layout
+
+\begin_layout Itemize
+Adjust the horizontal scale to view more than 4 cycles.
+\end_layout
+
+\begin_layout Itemize
+Right Click on A1 to view voltage, frequency and phase difference.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+The measured phase shifts are shown below.
+ Waveforms for the 125 mH inductor is shown in figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:AC-Phase-in-RC"
+
+\end_inset
+
+(b).
+ The resistance of the inductor also should be included while calculating
+ the phase shift.
+\begin_inset Foot
+status collapsed
+
+\begin_layout Plain Layout
+http://www.play-hookey.com/ac_theory/ac_inductors.html
+\end_layout
+
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Tabular
+<lyxtabular version="3" rows="2" columns="4">
+<features tabularvalignment="middle">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+L(mH)
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $R=R_{coil}+R_{ext}$
+\end_inset
+
+(
+\begin_inset Formula $\Omega$
+\end_inset
+
+)
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $\bigtriangleup\Phi=\arctan\left(\frac{X_{L}}{X_{R}}\right)$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $\bigtriangleup\Phi_{measured}$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+125
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+565 + 560
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+3.71
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+-3.8
+\end_layout
+
+\end_inset
+</cell>
+</row>
+</lyxtabular>
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+Insert an iron or ferrite core to the coil and observe the effect of ferromagnet
+ic materials.
+ Self Inductance of a solenoid is given by 
+\begin_inset Formula $L=\frac{\mu N^{2}A}{l}$
+\end_inset
+
+ , where N is the number of turns, A is the cross sectional area, 
+\begin_inset Formula $\mu$
+\end_inset
+
+ is the permeability of the surrounding media and 
+\begin_inset Formula $l$
+\end_inset
+
+ is the length.
+\end_layout
+
+\begin_layout Section
+Transient Response of RC circuits
+\begin_inset CommandInset label
+LatexCommand label
+name "sec:Capacitor-charging-&"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Plot the voltage across a capacitor, when it is charged by applying a voltage
+ step through a resistor.
+ Calculate the value of the capacitance from the graph.
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/RCcircuit.png
+	height 0.8cm
+
+\end_inset
+
+ 
+\end_layout
+
+\begin_layout Itemize
+From 
+\shape italic
+
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{EXPERIMENTS}
+\end_layout
+
+\end_inset
+
+
+\shape default
+ , select 
+\shape italic
+
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{RC Circuit}
+\end_layout
+
+\end_inset
+
+
+\shape default
+ 
+\end_layout
+
+\begin_layout Itemize
+Click on 
+\shape italic
+0->5V STEP
+\shape default
+ and 
+\shape italic
+5->0V step
+\shape default
+ Buttons to plot the graphs
+\end_layout
+
+\begin_layout Itemize
+Adjust the horizontal scale, if required, and repeat.
+\end_layout
+
+\begin_layout Itemize
+Calculate RC time constant.
+\end_layout
+
+\begin_layout Itemize
+Use CCS instead of OD1 to charge capacitor with constant current.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/RC-curves.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+ 
+\begin_inset Graphics
+	filename pics/cap-linear.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+(a)Transient response of RC circuit.
+ (b) Charging of capacitor with constant current.
+ 
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Transient-RC"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+Applying a 0 to 5V step makes the voltage across the capacitor to rise exponenti
+ally as shown in the figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Transient-RC"
+
+\end_inset
+
+(a).
+ By fitting the discharge curve with 
+\begin_inset Formula $V(t)=V_{0}e^{-\frac{t}{RC}}$
+\end_inset
+
+ ,we can extract the RC time constant and find the values of capacitance
+ from it.
+ 
+\end_layout
+
+\begin_layout Standard
+The voltage across a capacitor is exponential only when it is charged trough
+ a linear element, a resistor for example.
+ When charged from a constant current source, the voltage shows linear increase,
+ as shown in figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Transient-RC"
+
+\end_inset
+
+(b), because 
+\begin_inset Formula $Q=It=CV$
+\end_inset
+
+ , and voltage increases linearly with time as 
+\begin_inset Formula $V=\left(\frac{I}{C}\right)t$
+\end_inset
+
+ .
+\end_layout
+
+\begin_layout Section
+Transient Response of RL circuits
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Explore the nature of current and voltage when a voltage step is applied
+ to resistor and inductor in series.
+ By measuring the voltage across the inductor as a function of time, we
+ can calculate its inductance.
+\end_layout
+
+\begin_layout Standard
+In an RL circuit 
+\begin_inset Formula $V=IR+L\frac{dI}{dt}$
+\end_inset
+
+ and solving this will give 
+\begin_inset Formula $I=I_{0}e^{-\frac{R}{L}t}$
+\end_inset
+
+.
+ The coefficient of the exponential term R/L can be extracted from the graph
+ of voltage across the inductor.
+ The resistance of the inductor coil should be included in the calculations,
+ 
+\begin_inset Formula $R=R_{ext}+R_{L}$
+\end_inset
+
+.
+ 
+\begin_inset Foot
+status collapsed
+
+\begin_layout Plain Layout
+http://nptel.iitm.ac.in/courses/Webcourse-contents/IIT-KANPUR/esc102/node14.html
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/RLcircuit.png
+	height 0.8cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Inductor is the 3000 Turn coil
+\end_layout
+
+\begin_layout Itemize
+From 
+\shape italic
+
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{EXPERIMENTS}
+\end_layout
+
+\end_inset
+
+
+\shape default
+ select 
+\shape italic
+
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{RL Circuit}
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Click on 
+\shape italic
+0->5V STEP
+\shape default
+ and 
+\shape italic
+5->0V step
+\shape default
+ Buttons to plot the graphs
+\end_layout
+
+\begin_layout Itemize
+Adjust the horizontal scale, if required, and repeat.
+\end_layout
+
+\begin_layout Itemize
+Calculate the value of inductance
+\end_layout
+
+\begin_layout Itemize
+Insert an iron core into the inductor and repeat
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/RL-curves.png
+	lyxscale 40
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Transient response of RL circuit
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Transient-RL"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+The transient response of the inductor is shown in figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Transient-RC"
+
+\end_inset
+
+.
+ The exponential curve is fitted to extract the L/R value.
+ The resistance of the coil is measured by comparing it with the known external
+ resistance under DC conditions.
+ IN1 is connected to OD1 for a more accurate measurement of the coil resistance.
+\end_layout
+
+\begin_layout Standard
+The applied voltages are above zero, but the graph went to negative voltages.
+ Why ?
+\end_layout
+
+\begin_layout Standard
+What was the current before doing the 5->0 step ? What is back EMF ?
+\end_layout
+
+\begin_layout Standard
+Repeat with two coils in series, by (a) placing them far away (b) placing
+ one over the other and (c) after changing the orientation.
+ The effect of mutual inductance can be seen.
+\end_layout
+
+\begin_layout Section
+Transient response of LCR circuits
+\begin_inset CommandInset label
+LatexCommand label
+name "sec:Step-Response-ofRLC"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Explore the oscillatory nature of L and C in series.
+ Resonant frequency of series LC circuit is given by 
+\begin_inset Formula $\omega_{0}=\frac{1}{2\pi\sqrt{LC}}$
+\end_inset
+
+.
+ The damping factor is 
+\begin_inset Formula $\frac{R}{2}\sqrt{\frac{C}{L}}$
+\end_inset
+
+, and it is equal to 1 for critical damping.
+\begin_inset Foot
+status collapsed
+
+\begin_layout Plain Layout
+http://en.wikiversity.org/wiki/RLC_circuit
+\end_layout
+
+\end_inset
+
+ Depending upon the value of C/L and R, the response could be under-damped,
+ critically-damped or over-damped.
+ 
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/RLC-curves.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+ 
+\begin_inset Graphics
+	filename pics/RLC-curve-damped.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Transient response of LCR circuit,(a)Under-damped (b)Over-damped.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:LCR Transient-response"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Procedure 
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/LCRcircuit.png
+	height 0.8cm
+
+\end_inset
+
+ 
+\begin_inset Graphics
+	filename schematics/LCRRcircuit.png
+	height 0.7cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+From 
+\shape italic
+
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{EXPERIMENTS}
+\end_layout
+
+\end_inset
+
+
+\shape default
+ select
+\shape italic
+ 
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{RLC Discharge}
+\end_layout
+
+\end_inset
+
+
+\shape default
+ 
+\end_layout
+
+\begin_layout Itemize
+Click on 5->0V STEP.
+ Adjust x-axis and repeat if required.
+\end_layout
+
+\begin_layout Itemize
+FIT the graph to find the resonant frequency & Damping.
+\end_layout
+
+\begin_layout Itemize
+Repeat the experiment with different values of L, C and R
+\end_layout
+
+\begin_layout Itemize
+Repeat with a resistor in series.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+We have used the 3000 turn coil and a 0.1uF capacitor, added a 2.2k series
+ resistor in the second case.
+ The voltage across the capacitor after a 5 to 0V step is shown in figure
+ 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:LCR Transient-response"
+
+\end_inset
+
+ .The measured resonant frequency tallies with 
+\begin_inset Formula $f=\frac{1}{2\pi}\sqrt{\frac{1}{LC}}$
+\end_inset
+
+ , within the component tolerance values.
+\end_layout
+
+\begin_layout Section
+RC Integration & Differentiation 
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+RC circuits can integrate or differentiate a voltage waveform with respect
+ to time.
+ A square wave is integrated to get a triangular wave and differentiated
+ to get spikes at the transitions.
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/rc-integ.png
+	height 0.8cm
+
+\end_inset
+
+ 
+\end_layout
+
+\begin_layout Itemize
+Set SQR2 to 1000Hz
+\end_layout
+
+\begin_layout Itemize
+Assign SQR2 to CH1 and A1 to CH2
+\end_layout
+
+\begin_layout Itemize
+Adjust the horizontal scale to view more than 4 cycles.
+\end_layout
+
+\begin_layout Itemize
+Set SQR2 to 1kHz (T = 1mS) and other values and view the waveforms.
+\end_layout
+
+\begin_layout Itemize
+Repeat the same for RC differentiator, at 100Hz.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/rc-diff.png
+	height 0.8cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/rc-integ1khz.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/rc-diff100Hz.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+(a)1kHz Squarewave after RC Integrator (b) 100Hz after RC Differentiator
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:RC-int-diff"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+Integration observed at 1kHz and differentiation at 100Hz are shown in figure
+ 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:RC-int-diff"
+
+\end_inset
+
+, using an RC value of 1 milliseconds.
+ When the time period becomes comparable with the RC value, the output waveform
+ is triangular.
+ The differentiation can only be shown at lower frequency since capturing
+ the narrow spike requires a fast oscilloscope.
+\end_layout
+
+\begin_layout Section
+Fourier Analysis
+\begin_inset CommandInset label
+LatexCommand label
+name "sec:Fourier-Transform-**"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Learn about Fourier Transform of a signal.
+ Time and Frequency domain representations.
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/ftran.png
+	height 0.8cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Set SQR1 to 150Hz
+\end_layout
+
+\begin_layout Itemize
+Assign A1 to CH1 and SQR1 to CH2
+\end_layout
+
+\begin_layout Itemize
+Assign CH1 & CH2 to FT to view the Fourier transform
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/fft-sine147Hz.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+ 
+\begin_inset Graphics
+	filename pics/fft-sqr150Hz.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Frequency spectrum of (a)Sine wave.
+ (b) Squarewave
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Frequency-spectrum-of"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+In the Fourier transform plot, frequency is on the x-axis and the y-axis
+ shows the relative strength of each frequency components of the signal.
+ This is called the frequency domain representation
+\begin_inset Foot
+status collapsed
+
+\begin_layout Plain Layout
+http://en.wikipedia.org/wiki/Fourier_transform
+\end_layout
+
+\end_inset
+
+.
+ For the sine wave there is only one dominant peak, the smaller ones are
+ a measure of distortion of the sine wave.
+ 
+\end_layout
+
+\begin_layout Standard
+A square wave function can be represented as 
+\begin_inset Formula $f(\theta)=sin(\theta)+\frac{sin(3\theta)}{3}+\frac{sin(5\theta)}{5}+\cdots$
+\end_inset
+
+.
+ In the Fourier transform of a square wave of frequency 
+\begin_inset Formula $f$
+\end_inset
+
+ , there will be a 
+\begin_inset Formula $3f$
+\end_inset
+
+ component (having an amplitude of one third of 
+\begin_inset Formula $f$
+\end_inset
+
+ ), 
+\begin_inset Formula $5f$
+\end_inset
+
+ component (amplitude one fifth) etc.
+ as shown in the figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Frequency-spectrum-of"
+
+\end_inset
+
+(b).
+ Note the peak at 0 Hz, due to the DC component.
+\end_layout
+
+\begin_layout Chapter
+Electricity & Magnetism
+\end_layout
+
+\begin_layout Standard
+Electromagnetic induction is demonstrated by dropping a magnet in to a coil.
+ Working of transformer is demonstrated using two coils.
+ A simple AC generator, capable of generating multi-phase output, is made
+ using a rotating magnet.
+\end_layout
+
+\begin_layout Section
+Electromagnetic induction
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Explore the voltage induced across a coil by a changing magnetic field,
+ by dropping a small cylindrical magnet into a coil.
+ Use a tube to guide the magnet through the coil.
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/induction.png
+	height 1cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+From 
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{EXPERIMENTS}
+\end_layout
+
+\end_inset
+
+open 
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{EM Induction}
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Click on Start Scanning.
+ A horizontal trace should appear
+\end_layout
+
+\begin_layout Itemize
+Drop the magnet through the coil, until a trace is caught.
+\end_layout
+
+\begin_layout Itemize
+Repeat the process by changing the parameters like magnet strength, speed
+ etc.
+\end_layout
+
+\begin_layout Subsection*
+Observation 
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/induction-screen.png
+	lyxscale 40
+	width 5cm
+
+\end_inset
+
+ 
+\begin_inset Graphics
+	filename pics/transformer-screen.png
+	lyxscale 40
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+(a)Voltage induced on a coil by a moving magnet.(b)Mutual Induction between
+ two coils, the applied and induced voltages are shown 
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Voltage-induced-on"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+The result is shown in figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Voltage-induced-on"
+
+\end_inset
+
+(a).
+ The amplitude increases with the speed of the magnet.
+ From the graph, we can find the time taken by the magnet to travel through
+ the coil.
+\end_layout
+
+\begin_layout Standard
+The second peak is bigger than the first peak.
+ Why ? Where will be the magnet at the zero crossing of the induced voltage?
+ Drop the magnet from different heights and plot the voltage vs square root
+ of the height.
+\end_layout
+
+\begin_layout Section
+Mutual induction, transformer
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Demonstrate mutual induction using two coils.
+ One coil is powered by the SINE output.
+ The axes of the coils are aligned and a ferrite core is inserted.
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Standard
+\align left
+\begin_inset Graphics
+	filename schematics/tran.png
+	height 1cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Assign A1 to CH1 and A2 to CH2
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+The applied waveform and the induced waveform are shown in figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Voltage-induced-on"
+
+\end_inset
+
+(2).
+ A changing magnetic filed is causing the induced voltage.
+ In the previous two experiments, the changing magnetic field was created
+ by the movement of permanent magnets.
+ In the present case the changing magnetic field is created by a time varying
+ current.
+\end_layout
+
+\begin_layout Standard
+The output should have been in phase with the input as per the theory.
+\begin_inset Foot
+status collapsed
+
+\begin_layout Plain Layout
+http://sound.westhost.com/xfmr.htm
+\end_layout
+
+\end_inset
+
+However, this is not happening if the coupling is not enough.
+ With more ferrite material, the phase shift is as expected from the theory.
+ Try doing this experiment using a squarewave of 100 Hz, 1000 Hz etc.
+ Connect a 1k
+\begin_inset Formula $\Omega$
+\end_inset
+
+ resistor across secondary coil to reduce ringing.
+\end_layout
+
+\begin_layout Section
+A simple AC generator
+\begin_inset CommandInset label
+LatexCommand label
+name "sec:A-simple-AC"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Measure the frequency and amplitude of the voltage induced across a solenoid
+ coil by a rotating magnet.
+ Gain some understanding about the AC generators by looking at the output
+ and the drawbacks of the setup.
+ Use the 10 mm x 10 mm magnet and the 3000T coils that comes with the kit.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename schematics/ac-generator.png
+	width 4cm
+
+\end_inset
+
+ 
+\begin_inset Graphics
+	filename pics/ac-gen-screen.png
+	lyxscale 40
+	width 4cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Wiring schematic and voltage output of the AC generator, with coils placed
+ on opposite sides of the rotating magnet.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:AC generator output"
+
+\end_inset
+
+.
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Itemize
+Mount the magnet horizontally and power the DC motor from a 1.5 volts cell
+\end_layout
+
+\begin_layout Itemize
+Hold the coil perpendicular to the axis of rotation of the motor, close
+ to the magnet.
+ Be careful not to touch it.
+\end_layout
+
+\begin_layout Itemize
+Assign A1 to CH1 & A2 to CH2
+\end_layout
+
+\begin_layout Itemize
+Assign CH1 and CH2 to FIT
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+The voltage output is shown in figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:AC generator output"
+
+\end_inset
+
+.
+ The phase difference between the two voltages depends on the angle between
+ the axes of the two coils.
+\end_layout
+
+\begin_layout Standard
+Bring a shorted coil near the magnet to observe the change in frequency.
+ The shorted coil is drawing energy from the generator and the speed get
+ reduced.
+ The magnetic field in this generator is very weak.
+ The resistance of the coil is very high and trying to draw any current
+ from it will drop most of the voltage across the coil itself.
+ 
+\end_layout
+
+\begin_layout Chapter
+Electronics
+\end_layout
+
+\begin_layout Standard
+The non-linear elements like diodes and transistors are studied by drawing
+ their characteristic curves and making simple circuits to demonstrate their
+ functioning.
+ Photo-transistor is used for transparency measurements, optical signal
+ transmission and for timing mechanical movements.
+ Amplitude and Frequency modulation are explored.
+ A bread board is required to carry out some of the experiments described
+ in this section.
+\end_layout
+
+\begin_layout Section
+Half wave rectifier, PN junction
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Learn the working of a PN junction diode.
+ Making DC from a sinusoidal AC.
+ Filtering to reduce the AC component.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/half-wave-screen.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+ 
+\begin_inset Graphics
+	filename pics/half-wave-filter-screen.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+(a) Half wave rectifier input and output.(b) With capacitor filter.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Diode-rectifier"
+
+\end_inset
+
+ 
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Procedure 
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/half-wave.png
+	height 0.8cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Assign A1 to CH1 and A2 to CH2
+\end_layout
+
+\begin_layout Itemize
+Add different values of filter capacitors from A2 to ground 
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+The negative half is removed by the diode as shown in figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Diode-rectifier"
+
+\end_inset
+
+(a).
+ Also notice that the voltage in the positive half is reduced by around
+ 0.7 volts, the voltage drop across a silicon diode.
+ A load resistor is required for the proper operation of the circuit, it
+ could be more than 1k
+\begin_inset Formula $\Omega$
+\end_inset
+
+ but do NOT use very low values since our AC source can drive only up to
+ 5 mA current.
+\end_layout
+
+\begin_layout Standard
+The effect of a capacitor is shown in figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Diode-rectifier"
+
+\end_inset
+
+(b).
+ We can see that the capacitor charges up and then during the missing cycle
+ it maintains the voltage.
+ The remaining AC component is called the ripple in the DC.
+\end_layout
+
+\begin_layout Standard
+Can we use very large capacitance to reduce the ripple ?
+\end_layout
+
+\begin_layout Standard
+During what part of the cycle does current flow through the diode ?
+\end_layout
+
+\begin_layout Standard
+Amount of peak current is decided by what ?
+\end_layout
+
+\begin_layout Section
+180
+\begin_inset Formula $^{\circ}$
+\end_inset
+
+out of phase sine waves
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+To demonstrate the working of a full-wave rectifier using two diodes, we
+ need two AC waveforms, differing by 180 degree in phase.
+ We do this by inverting the output of SINE using an inverting amplifier.
+ The gain is made near unity by feeding the amplifier input through a 51k
+\begin_inset Formula $\Omega$
+\end_inset
+
+ series resistor.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/ac-invert.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+ 
+\begin_inset Graphics
+	filename pics/full-wave.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+(a)Inverting Amplifier making 180
+\begin_inset Formula $^{\circ}$
+\end_inset
+
+out of phase sine wave.(b)Fullwave rectifier, two inputs and the output.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Inverting-Amplifier-making"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Procedure 
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/ac-invert.png
+	height 0.8cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Assign A1 to CH1 and A2 to CH2
+\end_layout
+
+\begin_layout Itemize
+Right-click on CH1 to measure phase difference
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+The result is shown in the figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Inverting-Amplifier-making"
+
+\end_inset
+
+.
+ The amplitudes are not exactly equal.
+ The gain is given by 
+\begin_inset Formula $G=\frac{51000}{51000+1000}$
+\end_inset
+
+.
+ 
+\end_layout
+
+\begin_layout Section
+Fullwave rectifier
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Make a full wave rectifier, using two diodes.
+ Two AC waveforms, differing by 180 degree in phase as required, are made
+ as described in the previous section.
+ The rectified output is connected to the third channel.
+ 
+\end_layout
+
+\begin_layout Subsection*
+Procedure 
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/full-wave.png
+	height 1cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Assign A1 to CH1, A2 to CH2 and IN1 to CH3
+\end_layout
+
+\begin_layout Itemize
+Add Capacitor from IN1 to ground , for filtering.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+The result is shown in the figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Inverting-Amplifier-making"
+
+\end_inset
+
+.
+ Adding capacitors to reduce the ripple is left as an exercise to the user.
+ This experiment is only to demonstrate the working of a full wave rectifier,
+ it cannot provide more than few milli amperes of current.
+ 
+\end_layout
+
+\begin_layout Standard
+Why full-wave rectifier is superior to half-wave rectifier ?
+\end_layout
+
+\begin_layout Section
+Diode I-V characteristic
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Draw the I-V Characteristic of diode and compare the result with the theory.
+ The IV characteristic of an ideal PN junction diode is given by equation
+ 
+\begin_inset Formula $I=I_{0}\left(e^{\frac{qV}{kT}}-1\right)$
+\end_inset
+
+, where 
+\begin_inset Formula $I_{0}$
+\end_inset
+
+ is the reverse saturation current, 
+\begin_inset Formula $q$
+\end_inset
+
+ the charge of electron, 
+\begin_inset Formula $k$
+\end_inset
+
+ the Boltzmann constant, 
+\begin_inset Formula $T$
+\end_inset
+
+ the temperature in Kelvin.
+ For a practical, non-ideal, diode, the equation is 
+\begin_inset Formula $I=I_{0}\left(e^{\frac{qV}{nkT}}-1\right)$
+\end_inset
+
+, where 
+\begin_inset Formula $n$
+\end_inset
+
+ is the ideality factor, that is 
+\begin_inset Formula $1$
+\end_inset
+
+ for an ideal diode.
+ For practical diodes it varies from 1 to 2.
+ We have used a IN4148 silicon diode.
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Standard
+\align left
+\begin_inset Graphics
+	filename schematics/diode-iv.png
+	width 3cm
+
+\end_inset
+
+ 
+\end_layout
+
+\begin_layout Itemize
+From 
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{EXPERIMENTS}
+\end_layout
+
+\end_inset
+
+ select 
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{Diode IV}
+\end_layout
+
+\end_inset
+
+ .
+\end_layout
+
+\begin_layout Itemize
+Click on START to draw the characteristic curve.
+\end_layout
+
+\begin_layout Itemize
+Click on FIT to calculate the Diode Ideality factor.
+\end_layout
+
+\begin_layout Itemize
+Plot the IV of LEDs
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/diode-iv-screen.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+ 
+\begin_inset Graphics
+	filename pics/led-iv-screen.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+I-V characteristic of (a) Silicon diode (b) several LEDs
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:I-V-characteristic-of"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+The curves obtained are shown in figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:I-V-characteristic-of"
+
+\end_inset
+
+(a).
+ The value of n for 1N4148 is around 2.
+ We have calculated the value of 
+\begin_inset Formula $n$
+\end_inset
+
+ by fitting the experimental data with the equation
+\begin_inset Foot
+status collapsed
+
+\begin_layout Plain Layout
+If the FIT is not successful, transfer data to 
+\shape italic
+xmGrace
+\shape default
+ and use the option Data->Transformations->Nonlinear curve fitting with
+ equation y=a0*exp(a1*x).
+ 
+\end_layout
+
+\end_inset
+
+.
+ Figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:I-V-characteristic-of"
+
+\end_inset
+
+(b) shows the IV curves of few LEDs, of different wavelengths.
+ 
+\end_layout
+
+\begin_layout Standard
+The voltage at which LED starts emitting light depends on its wavelength
+ and Planck's constant.
+ Energy of a photon is given by 
+\begin_inset Formula $E=h\nu=hc/\lambda$
+\end_inset
+
+ .
+ This energy is equal to the energy of an electron that overcomes the junction
+ barrier and is given by 
+\begin_inset Formula $E=eV_{0}$
+\end_inset
+
+.
+ So Planck's constant 
+\begin_inset Formula $h=eV_{0}\lambda/c$
+\end_inset
+
+ , where 
+\begin_inset Formula $\lambda$
+\end_inset
+
+ is the wavelength of light from the LED, 
+\begin_inset Formula $e$
+\end_inset
+
+ the charge of electron and 
+\begin_inset Formula $c$
+\end_inset
+
+ the velocity of light.
+ 
+\end_layout
+
+\begin_layout Standard
+Repeat the experiment by heating the diode to different temperatures.
+\end_layout
+
+\begin_layout Section
+Transistor CE characteristic
+\begin_inset CommandInset label
+LatexCommand label
+name "sec:Transistor-CE-Characteristic"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Plot the CE characteristic curve of a transistor.
+ Collector is connected to PVS through a 1K resistor.
+ The base voltage is obtained by filtering a variable duty cycle pulse from
+ SQR1.
+ Base current is decided by this voltage and the 200
+\begin_inset Formula $k\Omega$
+\end_inset
+
+ series resistor.
+ For better results use an external DC supply (1.5V cell will do) for base
+ voltage.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename schematics/transistor-ce.png
+	width 4cm
+
+\end_inset
+
+ 
+\begin_inset Graphics
+	filename pics/transistor-ce.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Transistor common emitter characteristics
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Transistor-common-emitter"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Itemize
+From 
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{EXPERIMENTS}
+\end_layout
+
+\end_inset
+
+ open 
+\shape italic
+
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{Transistor CE}
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Enter the Bias supply voltage to the base and START.
+ Repeat for different Vb.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+The characteristic curves for different base currents are shown in figure
+ 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Transistor-common-emitter"
+
+\end_inset
+
+.
+ The collector current is obtained from the voltage difference across the
+ 1k resistor.
+ 
+\end_layout
+
+\begin_layout Standard
+The base current is set by setting the voltage at one end of the 200 k
+\begin_inset Formula $\Omega$
+\end_inset
+
+ resistor, the other end is connected to the transistor base.
+ The value of base current is calculated by, 
+\begin_inset Formula $I_{b}=\frac{V_{bias}-0.6}{200\times10^{3}}\times10^{6}\mu A$
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Transmission of Light, Photo-transistor 
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Measure the transmission of light through semi-transparent material using
+ a photo-transistor.
+ The material is kept between an LED and the photo-transistor.
+ The collector current depends on the amount of light falling on the transistor.
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/light-tranmission.png
+	height 0.8cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Set SQR1 to 0 Hz, to turn on the LED
+\end_layout
+
+\begin_layout Itemize
+Assign SEN to CH1
+\end_layout
+
+\begin_layout Itemize
+Measure voltage at SEN, by clicking on it.
+\end_layout
+
+\begin_layout Itemize
+Repeat by changing the material between LED and photo-transistor.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+The voltage at the collector of the photo-transistor reduces with the intensity
+ of light falling on the transistor.
+ The voltage measured after placing a piece of paper between LED and photo-trans
+istor is shown in figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Phototransistor output"
+
+\end_inset
+
+(a).
+\end_layout
+
+\begin_layout Section
+Opto-electric signal transmission 
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Demonstrate the transmission of signals using light.
+ An LED is powered by a 1kHz signal and the light is made to fall on a photo-tra
+nsistor.
+ The SEN input is internally connected to 5 volts through a 5.1k resistor.
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/opto-electric.png
+	height 0.8cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Keep the LED facing the photo-transistor and set SQR1 to 1000Hz
+\end_layout
+
+\begin_layout Itemize
+Assign SQR1 to CH1 and SEN to CH2
+\end_layout
+
+\begin_layout Itemize
+Repeat the experiment by changing the frequency.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/light-transmission.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+ 
+\begin_inset Graphics
+	filename pics/opto-electric-transmission.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+(a) Voltage at the photo-transistor with light passing through a piece of
+ paper.
+ (b) Pulse transmission, voltage driving the LED and the voltage across
+ the photo-transistor.
+ 
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Phototransistor output"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+The output of the photo-transistor at 1kHz is shown in figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Phototransistor output"
+
+\end_inset
+
+.
+ The square trace is the voltage across the LED.
+ When the LED is ON, photo-transistor conducts and the voltage across the
+ collector drops to .2 volts.
+ When the LED is OFF the photo-transistor goes into cut off mode and the
+ collector shows almost the supply voltage.
+ The rise and fall times of the photo-transistor seem to be different.
+\end_layout
+
+\begin_layout Standard
+Repeat this experiment with a Fiber Optic cable to guide the light from
+ LED to the photo-transistor.
+\end_layout
+
+\begin_layout Section
+IC555 Oscillator
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Make an astable multivibrator using IC555 and measure its frequency and
+ duty cycle.
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Itemize
+Set OD1 to HIGH, to power IC555
+\end_layout
+
+\begin_layout Itemize
+Assign IN1 to CH1 and enable FIT on CH1
+\end_layout
+
+\begin_layout Itemize
+Right-click on IN1 to measure frequency and duty cycle.
+\end_layout
+
+\begin_layout Itemize
+Repeat by changing the value of R1
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename schematics/osc555.png
+	width 5cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/ic555-screen.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+IC555 astable multi-vibrator.
+ (a) schematic (b) Output waveform
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:IC555-astable-multi-vibrator."
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+IC555 Monostable multivibrator
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Make a monostable multi-vibrator using IC555 and measure the time delay,
+ at different RC values.
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Itemize
+Set SQR2 to 0 Hz (to set it to 5V DC)
+\end_layout
+
+\begin_layout Itemize
+Enter 
+\shape italic
+set_pulsewidth(1)
+\shape default
+ in the command window
+\end_layout
+
+\begin_layout Itemize
+Assign LTP (Low True Pulse) to OD1, trigger input for 555
+\end_layout
+
+\begin_layout Itemize
+Assign IN1 to CH1 , watch it by varying R1
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename schematics/mono555.png
+	lyxscale 80
+	width 5cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/mono555-screen.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+IC555 monostable multi-vibrator.
+ (a) schematic (b) Output waveform
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:IC555-astable-multi-vibrator.-1"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Logic gates
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Study of logic gates using two square waves with a phase difference, using
+ TTL logic ICs 7408 and 7432.
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/and-gate.png
+	height 1cm
+
+\end_inset
+
+ 
+\begin_inset Graphics
+	filename schematics/or-gate.png
+	height 1cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Assign SQR1 to CH1, SQR2 CH2 and IN1 to CH3
+\end_layout
+
+\begin_layout Itemize
+Set 100Hz, 25% and enable BOTH.
+ (SQR1 & SQR2)
+\end_layout
+
+\begin_layout Itemize
+Check OD1, to power the TTL AND gate 7408
+\end_layout
+
+\begin_layout Itemize
+Repeat using the OR gate, 7432
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/and-gate.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+ 
+\begin_inset Graphics
+	filename pics/or-gate.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Operation of logic gates with square wave inputs.(a)AND gate (b) OR gate
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Operation-of-logic"
+
+\end_inset
+
+ 
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Clock Divider
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Study of a clock divider, using a D flip-flop (TTL family, 7474).
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/clock-divider.png
+	height 1.5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Set SQR1 to 500 Hz.
+ Assign SQR1 to CH1 and IN1 to CH2
+\end_layout
+
+\begin_layout Itemize
+Check OD1, to power the flipflop
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+The output toggles at every rising edge of the input, resulting in a division
+ of frequency by two.
+ The output is a symmetric squarewave, irrespective of the duty cycle of
+ the input pulse.
+ The HIGH output of the TTL IC is around 4 volts only.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/clock-divider.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+ 
+\begin_inset Graphics
+	filename pics/clock-divider2.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+A clock divider circuit, using a D-flipflop.
+ Outputs for two different types of input are shown
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:A-clock-divider-1"
+
+\end_inset
+
+ 
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Non-inverting Amplifier
+\begin_inset CommandInset label
+LatexCommand label
+name "sec:Non-inverting-Amplifier"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Make a non-inverting amplifier, using op-amp OP27, and measure the gain.
+ The gain and input should be chosen such that the output is in the 0 to
+ 5 volts range, otherwise the device will malfunction.
+ The op-amp is powered by an external 
+\begin_inset Formula $\pm9V$
+\end_inset
+
+ supply.
+ A series resistor is added to prevent any damage to expEYES from over voltage.
+ This circuit will be useful while measuring temperature using PT100 and
+ expEYES.
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/amp-test.png
+	height 1.5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+To find out the offset, Ground the amplifier input and measure the output.
+ 
+\end_layout
+
+\begin_layout Itemize
+Set PVS to to .1 volts and Click on IN1 for the output voltage
+\end_layout
+
+\begin_layout Itemize
+Repeat it for several input voltages
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+\begin_inset Tabular
+<lyxtabular version="3" rows="2" columns="6">
+<features tabularvalignment="middle">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $Ri$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $Rf$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $1+\frac{Rf}{Ri}$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $Vin$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $Vout$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $\frac{Vout}{Vin}$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1k
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+10k
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+11
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+.1
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1.105
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+11.05
+\end_layout
+
+\end_inset
+</cell>
+</row>
+</lyxtabular>
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Amplitude & Frequency Modulation
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Study amplitude and frequency modulation of a signal.
+ Analyse the AM output mathematically to see the sidebands.
+ This experiment requires some source of modulated waveform, we have used
+ the PHOENIX Analog Box.
+\end_layout
+
+\begin_layout Standard
+Phoenix Analog Box has a sine wave generator (around 100 Hz) whose amplitude
+ can be controlled using a DC control voltage.
+ It also has a 4kHz sine wave generator with AM and FM control inputs.
+ Use PVS to change the depth of modulation by changing the amplitude of
+ the 100Hz sine wave.
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/am.png
+	height 1cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Connect Analog Box and expEYES grounds.
+\end_layout
+
+\begin_layout Itemize
+Assign A1 to CH1 and A2 to CH2
+\end_layout
+
+\begin_layout Itemize
+Capture 900 samples with 20 microsecond interval
+\end_layout
+
+\begin_layout Itemize
+De-select A2 and capture with 1800 samples 
+\end_layout
+
+\begin_layout Itemize
+Click on Power Spectrum to do a Fourier transform
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/am-screen.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+ 
+\begin_inset Graphics
+	filename pics/am-ftran.png
+	lyxscale 50
+	width 4.6cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Modulated wave and its Fourier Spectrum.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Amplitude-modulation"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+A carrier signal having a frequency of around 4kHz is modulated by a sinewave
+ of around 100Hz.
+ A small portion of the output (400 points with 20 usec gap) along with
+ the modulating signal is shown in figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Amplitude-modulation"
+
+\end_inset
+
+(b).
+ Power spectrum is calculated using Fourier transform.
+ To get better results a larger sample (1800 samples with 50 usec gap) is
+ taken for this purpose.
+ The two sidebands are clearly obtained on both sides of the carrier peak,
+ separated by the modulating frequency.
+\end_layout
+
+\begin_layout Standard
+The AM output looks similar to the sound beats we obtained in section 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "sec:Interference-of-sound"
+
+\end_inset
+
+, but taking a power spectrum of beats gives two peaks corresponding to
+ the individual frequencies.
+ How do they differ despite of the similar looks ?
+\end_layout
+
+\begin_layout Standard
+Doing frequency modulation, just changing the connection from AM to FM,
+ is left as an exercise to the user.
+\end_layout
+
+\begin_layout Chapter
+Sound
+\end_layout
+
+\begin_layout Standard
+Pressure variations, about an equilibrium pressure, transmitted through
+ a medium is called sound.
+ They are longitudinal waves.
+ Moving a sheet of paper back and forth in air can generate these kind of
+ pressure waves, like the paper cone of a loudspeaker.
+ When the frequency is within 20 to 20000Hz range, we can hear the sound.
+ In this chapter, we will generate sound from electrical signals, detect
+ them using the built-in microphone (a pressure sensor) and study the properties
+ like amplitude and frequency.
+ Velocity of sound is measured by observing the phase shift of digitized
+ sound with distance.
+\end_layout
+
+\begin_layout Section
+Frequency of sound
+\begin_inset CommandInset label
+LatexCommand label
+name "sec:Sound Frequency"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Digitize sound and measure its frequency.
+ Use the Piezo buzzer or any other source of sound like a tuning fork.
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/sound.png
+	height 0.8cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Set SQR1 around 3500Hz, keep buzzer in front of the microphone
+\end_layout
+
+\begin_layout Itemize
+Enable FIT to measure the frequency
+\end_layout
+
+\begin_layout Itemize
+Repeat with other sources of sound
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+The amplified output of the microphone is shown in figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Digitized-sound"
+
+\end_inset
+
+(a).
+ The amplitude is maximum near 3500 Hz, due to resonance.
+ Driving with 1200Hz gives more amplitude than 2000Hz, due to the third
+ harmonic of the square wave matching the resonant frequency.
+\end_layout
+
+\begin_layout Standard
+Sound waves create pressure variations in the medium through which it travel.
+ The microphone generates a voltage proportional to the pressure.
+ Since this signal is very small, we amplify it 51 times before digitizing
+ it.
+ The voltage variations are in tune with the pressure variations.
+ You can consider the microphone as a pressure sensor, but working only
+ for time varying pressures.
+ 
+\end_layout
+
+\begin_layout Section
+Frequency response of Piezo
+\begin_inset CommandInset label
+LatexCommand label
+name "sec:Resonance-frequency-of"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Plot the frequency response curve of the Piezo disk by scanning through
+ the frequency and measuring the amplitude of the microphone output.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/sound-frequency.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+ 
+\begin_inset Graphics
+	filename pics/piezo-freq-resp.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+(a) Digitized sound wave (b)Frequency response curve of the Piezo disc
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Digitized-sound"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/sound.png
+	height 0.8cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+From 
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{EXPERIMENTS}
+\end_layout
+
+\end_inset
+
+ select 
+\shape italic
+
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{Frequency Response}
+\end_layout
+
+\end_inset
+
+ 
+\end_layout
+
+\begin_layout Itemize
+Press START button
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+The Frequency Vs Amplitude plot is shown in figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Digitized-sound"
+
+\end_inset
+
+(b).
+ The amplitude is maximum around 3700 Hz.
+\end_layout
+
+\begin_layout Section
+Velocity of sound
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Calculate the velocity of sound by measuring the pressure variation with
+ distance.
+ Sound travels as a series of compressions and rarefactions.
+ Figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Sound-waves"
+
+\end_inset
+
+(a) shows the High and Low pressure regions along the direction of travel,
+ along with output of a pressure sensor at corresponding positions.
+\end_layout
+
+\begin_layout Standard
+We can display the pressure variation at any point with respect to the variation
+ at the starting point.
+ The phase of the microphone output changes as you change its distance from
+ the Piezo.
+ Moving by one wavelength changes the phase by 360 degrees.
+ If the phase changes by 
+\begin_inset Formula $X$
+\end_inset
+
+ degrees for 
+\begin_inset Formula $\triangle D$
+\end_inset
+
+ cm change in distance, the wavelength is given by 
+\begin_inset Formula $\lambda=\frac{360\times\triangle D}{X}$
+\end_inset
+
+ .
+ The velocity of sound can be calculated by multiplying the frequency with
+ this.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/sound_waves.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+ 
+\begin_inset Graphics
+	filename pics/velocity-sound.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+(a)Propagation of sound waves, variation of microphone output with pressure.
+ (b) Output of microphone
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Sound-waves"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/sound.png
+	height 0.8cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+From 
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{EXPERIMENTS}
+\end_layout
+
+\end_inset
+
+ start 
+\shape italic
+
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{Velocity of Sound}
+\end_layout
+
+\end_inset
+
+ 
+\end_layout
+
+\begin_layout Itemize
+Set frequency to resonant maximum by measuring the frequency response 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "sec:Resonance-frequency-of"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Keep the Piezo facing the microphone, on the same axis
+\end_layout
+
+\begin_layout Itemize
+Measure Phase difference at different distances.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+At 3500 Hz, for a 2 cm change in distance the phase changed from 176 to
+ 102.
+ Using the equation, 
+\begin_inset Formula $v=f\times\frac{360\times\triangle D}{X}=3500\times\frac{360\times2}{(176-102)}=34054$
+\end_inset
+
+ cm/sec.
+ It is important to keep the mic and the Piezo disc on the same axis, for
+ accurate results.
+\end_layout
+
+\begin_layout Section
+Interference of sound 
+\begin_inset CommandInset label
+LatexCommand label
+name "sec:Interference-of-sound"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Study the interference of sound from two individual sources.
+ Two Piezo buzzers are powered by two different sources, and the sound is
+ directed towards the microphone.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/inter-sound.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+ 
+\begin_inset Graphics
+	filename pics/beats-ftran.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+(a) Sum of sound having two nearby frequencies (b) Fourier transform showing
+ the frequency components.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:SoundBeats"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/sound-inter.png
+	height 0.8cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+From 
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{EXPERIMENTS}
+\end_layout
+
+\end_inset
+
+ start 
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{Interference of Sound}
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Set SQR1 to 3500 Hz and SQR2 to 3600 Hz
+\end_layout
+
+\begin_layout Itemize
+Adjust positions of Piezo buzzers, from the mic, to get clear beat pattern.
+\end_layout
+
+\begin_layout Itemize
+Repeat with other values of frequencies.
+\end_layout
+
+\begin_layout Itemize
+Capture with NC=1800 and take Fourier Transform
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+From figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:SoundBeats"
+
+\end_inset
+
+(a) it can be seen how the low frequency envelope is created.
+ Distance between two minimum pressure points., of the envelope, corresponds
+ to the beat wavelength.
+ The Fourier transform of the output is shown in figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:SoundBeats"
+
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Section
+Forced Oscillations of Piezo-electric crystal
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Study the behavior of a Piezo-electric disc at low excitation frequencies,
+ using a square wave.
+ 
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/sound.png
+	height 0.8cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+From 
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{EXPERIMENTS}
+\end_layout
+
+\end_inset
+
+ open 
+\shape italic
+
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{Interference of Sound}
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Tick only SQR1, set it to 100 
+\end_layout
+
+\begin_layout Itemize
+Press START to capture mic output
+\end_layout
+
+\begin_layout Itemize
+Try different frequencies
+\end_layout
+
+\begin_layout Itemize
+Capture with larger NS (<=1800) for doing Fourier transform.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+The resonant frequency of the Piezo crystal is around 3600 Hz.
+ Driven by a square wave, the piezo gets a kick on every rising and falling
+ edge, and it undergoes several cycles of oscillations at its natural resonant
+ frequency.
+ The Fourier transform shows a peak at the resonant frequency and side band
+ 200 Hz separated from the peak.
+ It may be interesting to repeat this study using a variable frequency sine
+ wave instead of the square wave.
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/piezo-drive100Hz.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+ 
+\begin_inset Graphics
+	filename pics/piezo-drive100hz-fft.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Sound output from Piezo, driven by 100Hz square wave and the Fourier transform
+ of the output.
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Capturing a burst of sound
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Digitize sound from a transient source.
+ A bell or two metal plates can be used as source of sound.
+ The capturing of sound is synchronized with the burst of sound by waiting
+ for microphone output to go above a threshold.
+ A better way is to make IN1 go HIGH with the sound and the capture routine
+ waiting for that.
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/sound-burst.png
+	height 1.2cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+From 
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{EXPERIMENTS}
+\end_layout
+
+\end_inset
+
+ select 
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{Capture Burst of Sound}
+\end_layout
+
+\end_inset
+
+ 
+\end_layout
+
+\begin_layout Itemize
+Check Wait on HIGH, if the diode and resistor are wired.
+\end_layout
+
+\begin_layout Itemize
+Click on 
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{Start Scanning}
+\end_layout
+
+\end_inset
+
+ and make the sound.
+ 
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+A burst of sound captured is shown below.
+\end_layout
+
+\begin_layout Standard
+\align center
+\begin_inset Graphics
+	filename pics/sound-burst.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Chapter
+Mechanics, Optics & Heat
+\end_layout
+
+\begin_layout Standard
+Resonance phenomena is studied using a driven pendulum.
+ Value of acceleration due to gravity is measured using a pendulum.
+ Cooling of a liquid is studied using a PT100 sensor.
+\end_layout
+
+\begin_layout Section
+Resonance of a driven pendulum
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Demonstrate the resonance of a driven pendulum.
+ .
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Standard
+Make a pendulum using two button magnets and a piece of paper.
+ Suspend it and place the 3000T coil near that.
+ Connect the coil between SQR1 and ground
+\end_layout
+
+\begin_layout Itemize
+From 
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{EXPERIMENTS}
+\end_layout
+
+\end_inset
+
+ select 
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{Driven Pendulum}
+\end_layout
+
+\end_inset
+
+ 
+\end_layout
+
+\begin_layout Itemize
+Scan the frequency upwards starting from 1Hz, very slowly.
+ 
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+When SQR1 reaches the resonant frequency of the pendulum, the amplitude
+ goes up due to resonance.
+ A 4 cm (from the center of the magnet to the axis of oscillation) long
+ pendulum resonated at around 2.5 Hz, almost tallying with its calculated
+ natural frequency.
+ The resonant frequency of the pendulum is given by 
+\begin_inset Formula $f=\frac{1}{2\pi}\sqrt{\frac{g}{\ell}}$
+\end_inset
+
+, where 
+\begin_inset Formula $\ell$
+\end_inset
+
+ is the distance from the center of the magnet to the point of suspension
+ and 
+\begin_inset Formula $g$
+\end_inset
+
+ is the acceleration due to gravity.
+ 
+\end_layout
+
+\begin_layout Standard
+Repeat the experiment by changing the length of the pendulum.
+ 
+\begin_inset Foot
+status collapsed
+
+\begin_layout Plain Layout
+SQR1 cannot go below 0.7 Hz
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Value of 'g', Rod pendulum
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Measure the period of oscillations of a rod pendulum using a light barrier
+ and calculate the value of acceleration due to gravity.
+ Period of oscillation of a uniform rod about one end is given by 
+\begin_inset Formula $T=2\pi\sqrt{\frac{2\ell}{3g}}$
+\end_inset
+
+, where 
+\begin_inset Formula $\ell$
+\end_inset
+
+ is the length and 
+\begin_inset Formula $g$
+\end_inset
+
+ is the acceleration due to gravity.
+ The pendulum (T-shaped, a knife edge attached to a 6mm dia rod) is made
+ to swing between an LED and photo-transistor, connected to expEYES.
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/light-barrier.png
+	height 1.5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+From 
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{EXPERIMENTS}
+\end_layout
+
+\end_inset
+
+ Start 
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{Rod Pendulum}
+\end_layout
+
+\end_inset
+
+ 
+\end_layout
+
+\begin_layout Itemize
+Oscillate the pendulum and click on START
+\end_layout
+
+\begin_layout Itemize
+Repeat with different pendulum lengths.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+The time period is measured 50 times, using a 14.6cm rod pendulum, and the
+ average value is 0.627 seconds.
+ The calculated value of 'g' is 977.4 
+\begin_inset Formula $cm/sec^{2}$
+\end_inset
+
+, slightly different from the actual value due to the following reasons.
+ The length is measured from the knife edge to the bottom and used in the
+ formula.
+ But there is a small mass projecting above the knife edge that is not included
+ in the calculation.
+ Another reason is that the pendulum may not be exactly vertical in the
+ resting position.
+\end_layout
+
+\begin_layout Section
+Oscillations of a pendulum
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+To study the nature of oscillations of a pendulum.
+ An angle encoder is required for measuring the angular displacement as
+ a function of time.
+ But using a DC motor as a sensor, we can measure the angular velocity as
+ a function of time.
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Itemize
+Attach some sort of rigid pendulum to the axis of the motor.
+\end_layout
+
+\begin_layout Itemize
+Connect the motor between IN and GND
+\end_layout
+
+\begin_layout Itemize
+Connect OUT to A1
+\end_layout
+
+\begin_layout Itemize
+From 
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{EXPERIMENTS}
+\end_layout
+
+\end_inset
+
+ start 
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{Pendulum Waveform}
+\end_layout
+
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Itemize
+Oscillate the pendulum and START digitizing
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+The observed waveform is shown in figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Cooling-curve-of"
+
+\end_inset
+
+(a).
+ Fitting it with equation 
+\begin_inset Formula $A=A_{0}sin\left(\omega t+\theta\right)*\exp\left(-dt\right)+C$
+\end_inset
+
+, using Grace gave an angular frequency of 10 Hz.
+\end_layout
+
+\begin_layout Standard
+The pendulum should be made with a heavy bob and a light weight rod connecting
+ it to the axis of the motor.
+ The DC motor acts like a generator in this case.
+\end_layout
+
+\begin_layout Section
+Temperature measurement, PT100
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Record the temperature of a liquid by using a Platinum Resistance Thermometer.
+ Resistance of a PT100 element is related to the temperature by the equation
+ 
+\begin_inset Formula $R_{T}=R_{0}\left[1+AT+BT^{2}\right]$
+\end_inset
+
+, where 
+\begin_inset Formula $A=3.9083e-3$
+\end_inset
+
+ and 
+\begin_inset Formula $B=-5.775e-7$
+\end_inset
+
+.
+ 
+\end_layout
+
+\begin_layout Standard
+This require a low offset non-inverting amplifier, so that we can use 0
+ to 5V input IN1.
+ Since that is not available on expEYES Junior, we use the inverting amplifier,
+ after reducing its gain by an input series resistance, and connecting the
+ output to A1.
+ The accuracy is not very good in this case but temperature variations can
+ be studied.
+ 
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Standard
+To measure the resistance of the PT100 element, we connect it from the CCS
+ to ground and measure the voltage across it.
+ The actual current of CCS should be measured as explained in section 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "sec:Calibrating-Current-Source"
+
+\end_inset
+
+.
+ 
+\end_layout
+
+\begin_layout Standard
+\align left
+\begin_inset Graphics
+	filename schematics/pt100.png
+	height 0.8cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+From 
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{EXPERIMENTS}
+\end_layout
+
+\end_inset
+
+ start 
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{PT100 Sensor}
+\end_layout
+
+\end_inset
+
+.
+ 
+\end_layout
+
+\begin_layout Itemize
+Enter the measured current value.
+ 
+\end_layout
+
+\begin_layout Itemize
+Select the required parameters and press START 
+\begin_inset Foot
+status open
+
+\begin_layout Plain Layout
+ The resistance of PT100 is
+\begin_inset Formula $100\Omega$
+\end_inset
+
+ at 
+\begin_inset Formula $0^{0}C$
+\end_inset
+
+ .
+ It changes nearly 
+\begin_inset Formula $0.4\Omega/C$
+\end_inset
+
+ , changing the voltage by 0.4 milli volts.
+ The 12 bit ADC output changes by 1 LSB for 1.22 mV change in input voltage,
+ hence any temperature change less than 3 degrees will not be detected.
+ Use an external non-inverting amplifier to increase the resolution.
+ The gain of the amplifier should be such that the maximum temperature measured
+ should give an output less than 5 volts.
+ Change the gain field entry accordingly.
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/pendulum-screen.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+ 
+\begin_inset Graphics
+	filename pics/cooling-curve.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+(a) Oscillations of a pendulum.
+ (b)Cooling curve of water
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Cooling-curve-of"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+Cooling curve of water is shown in figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Cooling-curve-of"
+
+\end_inset
+
+.
+ The temperature is changing in big steps, this can be improved by using
+ an amplifier between CCS and IN1, as explained in section 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "sec:Non-inverting-Amplifier"
+
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Standard
+Instead of measuring the current and calculating the actual amplifier gain,
+ one can follow a calibration procedure to obtain good results.
+ This procedure assumes a linear variation of resistance with temperature.
+ To do calibration, place the sensor in ice and click on 
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{Freezing Point}
+\end_layout
+
+\end_inset
+
+.
+ Immerse the sensor in boiling water and click on 
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{Boiling Point}
+\end_layout
+
+\end_inset
+
+.
+ After that click on 
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{Calibrate}
+\end_layout
+
+\end_inset
+
+.
+ Once the calibration is done the temperature is calculated using the calibratio
+n constants.
+\end_layout
+
+\begin_layout Section
+Stroboscope
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+An object executing periodic motion will appear stationary when it is illuminate
+d with a light pulse of the same frequency, since the object is illuminated
+ every time only when it reaches the same point.
+ If the frequencies are slightly different, it will appear to move with
+ the difference in frequency.
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Itemize
+From 
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{EXPERIMENTS}
+\end_layout
+
+\end_inset
+
+ select 
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{Stroboscope}
+\end_layout
+
+\end_inset
+
+ 
+\end_layout
+
+\begin_layout Itemize
+Connect the White LED from SQR1 to GND
+\end_layout
+
+\begin_layout Itemize
+Power the motor by a battery and illuminate it with the LED
+\end_layout
+
+\begin_layout Itemize
+Adjust SQR1 to make the motor appear stationary.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+As you adjust SQR2, the movement of the disc on the axis of the motor appears
+ to slow down and then at some point reverses the direction of motion.
+ Note down the frequency at the direction reversal.
+\end_layout
+
+\begin_layout Standard
+When viewed in a pulsed light source of frequency 11 Hz, a motor rotating
+ clockwise at 10 rotations per second will look like rotating anti-clockwise
+ once a second.
+ During stopping and starting, the ceiling fans sometimes looks like rotating
+ backwards, in the light of fluorescent tubes.
+\end_layout
+
+\begin_layout Standard
+How is the RPM of a car engine adjusted ?
+\end_layout
+
+\begin_layout Section
+Speed of rotation of a motor
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Learn about making sensors to detect mechanical movements.
+ Use a photo-transistor to find the rotational speed of a motor.
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Standard
+A single leaf is attached to the motor and it is placed between the photo-transi
+stor and the LED, intercepting the light once during every rotation.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/motor-rpm.png
+	height 1.5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Set SQR2 to 100Hz, to rotate the motor
+\end_layout
+
+\begin_layout Itemize
+Assign SEN to CH1
+\end_layout
+
+\begin_layout Itemize
+Right Click on SEN to measure the frequency (FIT option may not work for
+ these pulses)
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+The photo-transistor output shown spikes when the light is obstructed.
+ The observed values can be cross checked by using a magnet and coil as
+ explained in section 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "sec:A-simple-AC"
+
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Chapter
+Coding expEYES in Python
+\end_layout
+
+\begin_layout Standard
+The GUI programs described in the previous sections are meant for a fixed
+ set of experiments.
+ To develop new experiments, one should know how to access the features
+ of expEYES Junior from software.
+ Important function calls used for communicating with the device is given
+ below.
+ For more details, refer to the 
+\emph on
+Programmer's manual
+\emph default
+.
+\end_layout
+
+\begin_layout Section
+Installing the Python Libraries
+\end_layout
+
+\begin_layout Standard
+The expEYES Junior package consists of three files (eyesj.py, eyeplot.py and
+ eyemath.py) inside a subdirectory named expeyes.
+ This subdirectory should be inside your PYTHON LIBRARY PATH ( or inside
+ your working directory).
+ On Debian based GNU/Linux systems, this will be done by installing the
+ expeyes-3.x.x.deb file.
+ On other systems unzip the file expeyes-3.x.x.zip and follow the instructions
+ in the README file.
+\end_layout
+
+\begin_layout Section
+Start Communicating
+\end_layout
+
+\begin_layout Paragraph*
+Channel Numbers
+\end_layout
+
+\begin_layout Standard
+
+\emph on
+A channel number is assigned to identify every Analog/Digital signal, as
+ given in table 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "tab:Channel-numbers-of"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float table
+wide false
+sideways false
+status collapsed
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Tabular
+<lyxtabular version="3" rows="14" columns="2">
+<features tabularvalignment="middle">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Channel #
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Name
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+0
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Analog Comparator output
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+A1
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+2
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+A2
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+3
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+IN1
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+4
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+IN2
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+5
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+SEN
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+6
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+SQR1 readback
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+7
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+SQR2 readback
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+8
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+SQR1 output
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+9
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+SQR1 output
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+10
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+OD1 output
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+11
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+CCS output control
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+12
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+PVS Readback
+\end_layout
+
+\end_inset
+</cell>
+</row>
+</lyxtabular>
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Channel numbers of Input/Output terminals
+\begin_inset CommandInset label
+LatexCommand label
+name "tab:Channel-numbers-of"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+Start the Python Interpreter (from the directory where you have the expeyes
+ subdirectory), by the command;
+\end_layout
+
+\begin_layout LyX-Code
+$python
+\end_layout
+
+\begin_layout LyX-Code
+Python 2.7.3 (default, Apr 20 2012, 22:44:07)  
+\end_layout
+
+\begin_layout LyX-Code
+>>>
+\end_layout
+
+\begin_layout Standard
+The triple angle bracket implies that you using Python in the interactive
+ mode.
+ Type the following two lines to load the library and establish connection
+ to the device.
+\end_layout
+
+\begin_layout LyX-Code
+>>>import expeyes.eyesj
+\end_layout
+
+\begin_layout LyX-Code
+>>>p=expeyes.eyesj.open()
+\end_layout
+
+\begin_layout Standard
+If you get an error message, check the connections, and other programs already
+ using expEYES.
+ Only one program can use expEYES at a time.
+ We will start by measuring the stray capacitance of the socket IN1:
+\end_layout
+
+\begin_layout LyX-Code
+>>>p.measure_cap()   # measure C on IN1
+\end_layout
+
+\begin_layout Standard
+A value of 30 to 35 pF will be printed.
+ Connect a capacitor (smaller than 0.01 uF) from IN1 to GND and repeat the
+ command.
+ Subtract the stray capacitance from the values obtained.
+ 
+\end_layout
+
+\begin_layout Standard
+Digital Input/Output features are available.
+ To test them, connect OD1 to IN1 using a piece of wire and try the following:
+\end_layout
+
+\begin_layout LyX-Code
+>>>print p.get_state(3)    # status of IN1
+\end_layout
+
+\begin_layout LyX-Code
+>>>p.set_state(10,1)       # make OD1 logic high
+\end_layout
+
+\begin_layout LyX-Code
+>>>print p.get_state(3)    # new status of IN1
+\end_layout
+
+\begin_layout Standard
+For the second call, get_state(3) should print 1.
+ 
+\end_layout
+
+\begin_layout Standard
+Now let us start generating/measuring voltage signals.
+ Connect PVS to IN1 and try:
+\end_layout
+
+\begin_layout LyX-Code
+>>>print p.set_voltage(2.5) # returns the value set 
+\end_layout
+
+\begin_layout LyX-Code
+>>>print p.get_voltage(3)   # channel 3 is IN1
+\end_layout
+
+\begin_layout Standard
+It should print 2.5 volts, within 2-3 millivolts.
+\end_layout
+
+\begin_layout Standard
+Now connect SINE to A1 and try:
+\end_layout
+
+\begin_layout LyX-Code
+>>>print p.get_voltage(1)
+\end_layout
+
+\begin_layout Standard
+You will get different results every time you issue the command
+\begin_inset Foot
+status collapsed
+
+\begin_layout Plain Layout
+use up arrows to edit previous commands
+\end_layout
+
+\end_inset
+
+.
+ It makes better sense to measure this voltage for some duration and plot
+ it.
+ We will import the matplotlib library for plotting, capture the sine wave
+ and plot it.
+\end_layout
+
+\begin_layout LyX-Code
+>>>from pylab import *
+\end_layout
+
+\begin_layout LyX-Code
+>>>ion()   # set pylab interactive mode
+\end_layout
+
+\begin_layout LyX-Code
+>>>t,v = p.capture(1,300,100) 
+\end_layout
+
+\begin_layout LyX-Code
+>>>plot(t,v)
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/pylab-sine.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+ 
+\begin_inset Graphics
+	filename pics/pylab-sine-sqr.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Inputs captured and plotted using pylab(a) Sine wave (b)Sine and square
+ 
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Sine"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+We have sampled the voltage on A1 300 times with a delay of 100 micro seconds
+ between two consecutive readings, i.e.
+ the voltage is captured for total 30 milliseconds.
+ Each data word is 1 byte in size and the maximum number of samples possible
+ is 1800, limited by the RAM on expEYES.
+ 
+\end_layout
+
+\begin_layout Standard
+The graph will popup in a new window, as shown in figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Sine"
+
+\end_inset
+
+(a).
+ For measuring with higher resolution (12 bits), you may use capture_hr(),
+ but the total number of samples will be limited to 900 in that case.
+ 
+\end_layout
+
+\begin_layout LyX-Code
+>>>t,v = p.capture_hr(1,300,100) 
+\end_layout
+
+\begin_layout LyX-Code
+>>>plot(t,v)
+\end_layout
+
+\begin_layout Standard
+Now let us add a square wave to the plot by:
+\end_layout
+
+\begin_layout LyX-Code
+>>>print p.set_sqr1(100)         # set 100Hz on SQR1
+\end_layout
+
+\begin_layout LyX-Code
+>>>t,v = p.capture(6,300,100)    # channel 6 is SQR1 readback
+\end_layout
+
+\begin_layout LyX-Code
+>>>plot(t,v)
+\end_layout
+
+\begin_layout Standard
+The output is shown in figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Sine"
+
+\end_inset
+
+(b).
+\end_layout
+
+\begin_layout Standard
+Some experiments will require capturing more than one waveform with timing
+ correlation, use capture2, capture3 or capture4 for this.
+ For example to view the phase shift of a sine wave, connect SINE to A1,
+ a 1uF capacitor from A1 to A2 and a 1k resistor from A2 to GND.
+ Capture the voltage before and after the capacitor by;
+\end_layout
+
+\begin_layout LyX-Code
+>>>t1,v1,t2,v2 = p.capture2(1, 2,300,100)       
+\end_layout
+
+\begin_layout LyX-Code
+>>>plot(t1,v1, t2,v2)
+\end_layout
+
+\begin_layout Standard
+The out put is shown in figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:(a)Phase-shift-of"
+
+\end_inset
+
+(a).
+ The last line plots a Lissajous figure as shown in figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:(a)Phase-shift-of"
+
+\end_inset
+
+(b).
+ There are more than one ellipse since we captured more than one cycle.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/pylab-sine-phase.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+ 
+\begin_inset Graphics
+	filename pics/lissaj.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+(a)Phase shift of sine wave across a capacitor.
+ (b) Lissajous plot of the voltages
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:(a)Phase-shift-of"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+Most of the time the captured voltage is generated by some other actions
+ like setting a voltage.
+ This is done by implementing capture modifiers.
+ This can be easily explained by capturing the voltage across a capacitor,
+ just after applying a voltage step to it through a resistor.
+ Connect 1k resistor from OD1 to A1, a 1uF capacitor from A1 to GND, and
+ run;
+\end_layout
+
+\begin_layout LyX-Code
+>>>p.set_state(1)        # OD1 5 volts
+\end_layout
+
+\begin_layout LyX-Code
+>>>p.enable_set_low(10)  # Effect only during capture 
+\end_layout
+
+\begin_layout LyX-Code
+>>>t,v=p.capture_hr(1,300,20)  # OD1->0 before capture 
+\end_layout
+
+\begin_layout LyX-Code
+>>>p.disable_actions()   # No more actions on OD1
+\end_layout
+
+\begin_layout LyX-Code
+>>>plot(t,v)
+\end_layout
+
+\begin_layout Standard
+The result is shown in figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:(a)-PWM-waveform"
+
+\end_inset
+
+(a).
+\end_layout
+
+\begin_layout Standard
+The outputs SQR1 and SQR2 can generate square waves ranging from .7Hz to
+ 200kHz, function returns the actual frequency set.
+ They can also be programmed to generate Pulse Width Modulated (PWM) waveforms,
+ at some fixed frequencies.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/pylab-cap-discharge.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+ 
+\begin_inset Graphics
+	filename pics/pylab-pwm.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+(a) Capacitor discharge (b) PWM waveform
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:(a)-PWM-waveform"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout LyX-Code
+>>>print p.set_sqr1_pwm(30)    # 30% duty cycle, 488 Hz
+\end_layout
+
+\begin_layout LyX-Code
+>>>t,v=p.capture_hr(6, 300,50)   # get the wave form
+\end_layout
+
+\begin_layout LyX-Code
+>>>plot(t,v)
+\end_layout
+
+\begin_layout Standard
+The result is shown in figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:(a)-PWM-waveform"
+
+\end_inset
+
+(b).
+ 
+\end_layout
+
+\begin_layout Standard
+expEYES can measure time interval between voltage transitions at the digital
+ inputs.
+ Connect SQR1 to IN1 and try:
+\end_layout
+
+\begin_layout LyX-Code
+>>>print p.r2ftime(3,3)      
+\end_layout
+
+\begin_layout LyX-Code
+>>>print p.set_sqr1(1000)    # 1kHz square wave
+\end_layout
+
+\begin_layout LyX-Code
+>>>print p.r2ftime(3,3)      # rising to falling
+\end_layout
+
+\begin_layout LyX-Code
+>>>print p.multi_r2rtime(3)  # two rising edge 
+\end_layout
+
+\begin_layout LyX-Code
+>>>print p.measure_frequency(3)
+\end_layout
+
+\begin_layout Standard
+Try to set square waves of different frequencies and measure them.
+\end_layout
+
+\begin_layout Standard
+From a captured waveform, we can measure the amplitude and frequency by
+ curve fitting.
+ The results are accurate with a sine wave input but frequency measurement
+ works with other shapes also.
+ Connect SINE to A1 and try:
+\end_layout
+
+\begin_layout LyX-Code
+>>>import expeyes.eyemath as em
+\end_layout
+
+\begin_layout LyX-Code
+>>>t,v= p.capture_hr(1, 400,50)
+\end_layout
+
+\begin_layout LyX-Code
+>>>vfit, par = em.fit_sine(t,v)
+\end_layout
+
+\begin_layout LyX-Code
+>>>print par[0], par[1]*1000   # Amplitude & Frequency
+\end_layout
+
+\begin_layout Standard
+The peak voltage and the frequency will be printed.
+\end_layout
+
+\begin_layout Standard
+For more information read the Programmer's manual.
+ You can get a brief description of all the functions by giving the command
+\end_layout
+
+\begin_layout LyX-Code
+>>>help(expeyes.eyesj)
+\end_layout
+
+\begin_layout Standard
+Once you learn Python language, it will be easier to read through the source
+ code 
+\shape italic
+eyesj.py
+\shape default
+ to understand the working of the program.
+ In fact all the real-time measurements are done by the C program 
+\shape italic
+eyesj.c
+\shape default
+ running on the micro-controller.
+ The Python library sends commands to get the required data, and uses the
+ power of Python for data analysis and display.
+\end_layout
+
+\begin_layout Standard
+The latest PDF versions of this manual can be downloaded from http://expeyes.in
+\end_layout
+
+\begin_layout LyX-Code
+If you find mistakes, send a mail to ajith at iuac.res
+\end_layout
+
+\begin_layout LyX-Code
+
+\end_layout
+
+\end_body
+\end_document
diff --git a/doc/en/Docs-jr/pics/RC-curves.png b/doc/en/Docs-jr/pics/RC-curves.png
new file mode 100644
index 0000000..2bf6a9d
Binary files /dev/null and b/doc/en/Docs-jr/pics/RC-curves.png differ
diff --git a/doc/en/Docs-jr/pics/RL-curves.png b/doc/en/Docs-jr/pics/RL-curves.png
new file mode 100644
index 0000000..bb6cf4f
Binary files /dev/null and b/doc/en/Docs-jr/pics/RL-curves.png differ
diff --git a/doc/en/Docs-jr/pics/RLC-curve-damped.png b/doc/en/Docs-jr/pics/RLC-curve-damped.png
new file mode 100644
index 0000000..f6f4378
Binary files /dev/null and b/doc/en/Docs-jr/pics/RLC-curve-damped.png differ
diff --git a/doc/en/Docs-jr/pics/RLC-curves.png b/doc/en/Docs-jr/pics/RLC-curves.png
new file mode 100644
index 0000000..6b91159
Binary files /dev/null and b/doc/en/Docs-jr/pics/RLC-curves.png differ
diff --git a/doc/en/Docs-jr/pics/ac-gen-screen.png b/doc/en/Docs-jr/pics/ac-gen-screen.png
new file mode 100644
index 0000000..6eb7ec3
Binary files /dev/null and b/doc/en/Docs-jr/pics/ac-gen-screen.png differ
diff --git a/doc/en/Docs-jr/pics/ac-invert.png b/doc/en/Docs-jr/pics/ac-invert.png
new file mode 100644
index 0000000..9575835
Binary files /dev/null and b/doc/en/Docs-jr/pics/ac-invert.png differ
diff --git a/doc/en/Docs-jr/pics/acdc-sep-screen.png b/doc/en/Docs-jr/pics/acdc-sep-screen.png
new file mode 100644
index 0000000..fcef092
Binary files /dev/null and b/doc/en/Docs-jr/pics/acdc-sep-screen.png differ
diff --git a/doc/en/Docs-jr/pics/ad-dc.png b/doc/en/Docs-jr/pics/ad-dc.png
new file mode 100644
index 0000000..8f76742
Binary files /dev/null and b/doc/en/Docs-jr/pics/ad-dc.png differ
diff --git a/doc/en/Docs-jr/pics/am-ftran.png b/doc/en/Docs-jr/pics/am-ftran.png
new file mode 100644
index 0000000..348409a
Binary files /dev/null and b/doc/en/Docs-jr/pics/am-ftran.png differ
diff --git a/doc/en/Docs-jr/pics/am-screen.png b/doc/en/Docs-jr/pics/am-screen.png
new file mode 100644
index 0000000..03e75a7
Binary files /dev/null and b/doc/en/Docs-jr/pics/am-screen.png differ
diff --git a/doc/en/Docs-jr/pics/and-gate.png b/doc/en/Docs-jr/pics/and-gate.png
new file mode 100644
index 0000000..644957d
Binary files /dev/null and b/doc/en/Docs-jr/pics/and-gate.png differ
diff --git a/doc/en/Docs-jr/pics/beats-ftran.png b/doc/en/Docs-jr/pics/beats-ftran.png
new file mode 100644
index 0000000..6d8dffb
Binary files /dev/null and b/doc/en/Docs-jr/pics/beats-ftran.png differ
diff --git a/doc/en/Docs-jr/pics/benchmark.png b/doc/en/Docs-jr/pics/benchmark.png
new file mode 100644
index 0000000..f0472e8
Binary files /dev/null and b/doc/en/Docs-jr/pics/benchmark.png differ
diff --git a/doc/en/Docs-jr/pics/body-resistance.png b/doc/en/Docs-jr/pics/body-resistance.png
new file mode 100644
index 0000000..77024d6
Binary files /dev/null and b/doc/en/Docs-jr/pics/body-resistance.png differ
diff --git a/doc/en/Docs-jr/pics/cap-linear.png b/doc/en/Docs-jr/pics/cap-linear.png
new file mode 100644
index 0000000..2a3eac6
Binary files /dev/null and b/doc/en/Docs-jr/pics/cap-linear.png differ
diff --git a/doc/en/Docs-jr/pics/clock-divider.png b/doc/en/Docs-jr/pics/clock-divider.png
new file mode 100644
index 0000000..abdcf44
Binary files /dev/null and b/doc/en/Docs-jr/pics/clock-divider.png differ
diff --git a/doc/en/Docs-jr/pics/clock-divider2.png b/doc/en/Docs-jr/pics/clock-divider2.png
new file mode 100644
index 0000000..66e569e
Binary files /dev/null and b/doc/en/Docs-jr/pics/clock-divider2.png differ
diff --git a/doc/en/Docs-jr/pics/cooling-curve.png b/doc/en/Docs-jr/pics/cooling-curve.png
new file mode 100644
index 0000000..8371daf
Binary files /dev/null and b/doc/en/Docs-jr/pics/cooling-curve.png differ
diff --git a/doc/en/Docs-jr/pics/croplus.png b/doc/en/Docs-jr/pics/croplus.png
new file mode 100644
index 0000000..5290684
Binary files /dev/null and b/doc/en/Docs-jr/pics/croplus.png differ
diff --git a/doc/en/Docs-jr/pics/diode-iv-screen.png b/doc/en/Docs-jr/pics/diode-iv-screen.png
new file mode 100644
index 0000000..ce33f71
Binary files /dev/null and b/doc/en/Docs-jr/pics/diode-iv-screen.png differ
diff --git a/doc/en/Docs-jr/pics/ej-photo.jpg b/doc/en/Docs-jr/pics/ej-photo.jpg
new file mode 100644
index 0000000..db844dc
Binary files /dev/null and b/doc/en/Docs-jr/pics/ej-photo.jpg differ
diff --git a/doc/en/Docs-jr/pics/ej-with-netbook-hr.jpg b/doc/en/Docs-jr/pics/ej-with-netbook-hr.jpg
new file mode 100644
index 0000000..7871bda
Binary files /dev/null and b/doc/en/Docs-jr/pics/ej-with-netbook-hr.jpg differ
diff --git a/doc/en/Docs-jr/pics/fft-sine147Hz.png b/doc/en/Docs-jr/pics/fft-sine147Hz.png
new file mode 100644
index 0000000..c3eae63
Binary files /dev/null and b/doc/en/Docs-jr/pics/fft-sine147Hz.png differ
diff --git a/doc/en/Docs-jr/pics/fft-sqr150Hz.png b/doc/en/Docs-jr/pics/fft-sqr150Hz.png
new file mode 100644
index 0000000..0eaccef
Binary files /dev/null and b/doc/en/Docs-jr/pics/fft-sqr150Hz.png differ
diff --git a/doc/en/Docs-jr/pics/fm-screen.png b/doc/en/Docs-jr/pics/fm-screen.png
new file mode 100644
index 0000000..8fc2d7e
Binary files /dev/null and b/doc/en/Docs-jr/pics/fm-screen.png differ
diff --git a/doc/en/Docs-jr/pics/full-wave.png b/doc/en/Docs-jr/pics/full-wave.png
new file mode 100644
index 0000000..333ef38
Binary files /dev/null and b/doc/en/Docs-jr/pics/full-wave.png differ
diff --git a/doc/en/Docs-jr/pics/half-wave-filter-screen.png b/doc/en/Docs-jr/pics/half-wave-filter-screen.png
new file mode 100644
index 0000000..e55cb3e
Binary files /dev/null and b/doc/en/Docs-jr/pics/half-wave-filter-screen.png differ
diff --git a/doc/en/Docs-jr/pics/half-wave-screen.png b/doc/en/Docs-jr/pics/half-wave-screen.png
new file mode 100644
index 0000000..2fae6a6
Binary files /dev/null and b/doc/en/Docs-jr/pics/half-wave-screen.png differ
diff --git a/doc/en/Docs-jr/pics/ic555-screen.png b/doc/en/Docs-jr/pics/ic555-screen.png
new file mode 100644
index 0000000..a8f137e
Binary files /dev/null and b/doc/en/Docs-jr/pics/ic555-screen.png differ
diff --git a/doc/en/Docs-jr/pics/induction-screen.png b/doc/en/Docs-jr/pics/induction-screen.png
new file mode 100644
index 0000000..7174aea
Binary files /dev/null and b/doc/en/Docs-jr/pics/induction-screen.png differ
diff --git a/doc/en/Docs-jr/pics/inter-sound.png b/doc/en/Docs-jr/pics/inter-sound.png
new file mode 100644
index 0000000..40392dc
Binary files /dev/null and b/doc/en/Docs-jr/pics/inter-sound.png differ
diff --git a/doc/en/Docs-jr/pics/led-iv-screen.png b/doc/en/Docs-jr/pics/led-iv-screen.png
new file mode 100644
index 0000000..1086184
Binary files /dev/null and b/doc/en/Docs-jr/pics/led-iv-screen.png differ
diff --git a/doc/en/Docs-jr/pics/light-transmission.png b/doc/en/Docs-jr/pics/light-transmission.png
new file mode 100644
index 0000000..03d64a2
Binary files /dev/null and b/doc/en/Docs-jr/pics/light-transmission.png differ
diff --git a/doc/en/Docs-jr/pics/mono555-screen.png b/doc/en/Docs-jr/pics/mono555-screen.png
new file mode 100644
index 0000000..ed9b8d0
Binary files /dev/null and b/doc/en/Docs-jr/pics/mono555-screen.png differ
diff --git a/doc/en/Docs-jr/pics/opto-electric-transmission.png b/doc/en/Docs-jr/pics/opto-electric-transmission.png
new file mode 100644
index 0000000..f87f781
Binary files /dev/null and b/doc/en/Docs-jr/pics/opto-electric-transmission.png differ
diff --git a/doc/en/Docs-jr/pics/or-gate.png b/doc/en/Docs-jr/pics/or-gate.png
new file mode 100644
index 0000000..a13322e
Binary files /dev/null and b/doc/en/Docs-jr/pics/or-gate.png differ
diff --git a/doc/en/Docs-jr/pics/pendulum-screen.png b/doc/en/Docs-jr/pics/pendulum-screen.png
new file mode 100644
index 0000000..3666edb
Binary files /dev/null and b/doc/en/Docs-jr/pics/pendulum-screen.png differ
diff --git a/doc/en/Docs-jr/pics/pickup.png b/doc/en/Docs-jr/pics/pickup.png
new file mode 100644
index 0000000..76c4fb6
Binary files /dev/null and b/doc/en/Docs-jr/pics/pickup.png differ
diff --git a/doc/en/Docs-jr/pics/piezo-drive100Hz.png b/doc/en/Docs-jr/pics/piezo-drive100Hz.png
new file mode 100644
index 0000000..3377757
Binary files /dev/null and b/doc/en/Docs-jr/pics/piezo-drive100Hz.png differ
diff --git a/doc/en/Docs-jr/pics/piezo-drive100hz-fft.png b/doc/en/Docs-jr/pics/piezo-drive100hz-fft.png
new file mode 100644
index 0000000..385f3bd
Binary files /dev/null and b/doc/en/Docs-jr/pics/piezo-drive100hz-fft.png differ
diff --git a/doc/en/Docs-jr/pics/piezo-freq-resp.png b/doc/en/Docs-jr/pics/piezo-freq-resp.png
new file mode 100644
index 0000000..b3bc86c
Binary files /dev/null and b/doc/en/Docs-jr/pics/piezo-freq-resp.png differ
diff --git a/doc/en/Docs-jr/pics/pylab-cap-discharge.png b/doc/en/Docs-jr/pics/pylab-cap-discharge.png
new file mode 100644
index 0000000..2e958d4
Binary files /dev/null and b/doc/en/Docs-jr/pics/pylab-cap-discharge.png differ
diff --git a/doc/en/Docs-jr/pics/pylab-fitsine.png b/doc/en/Docs-jr/pics/pylab-fitsine.png
new file mode 100644
index 0000000..85c67c7
Binary files /dev/null and b/doc/en/Docs-jr/pics/pylab-fitsine.png differ
diff --git a/doc/en/Docs-jr/pics/pylab-pwm.png b/doc/en/Docs-jr/pics/pylab-pwm.png
new file mode 100644
index 0000000..5977703
Binary files /dev/null and b/doc/en/Docs-jr/pics/pylab-pwm.png differ
diff --git a/doc/en/Docs-jr/pics/pylab-sine-phase.png b/doc/en/Docs-jr/pics/pylab-sine-phase.png
new file mode 100644
index 0000000..61844cb
Binary files /dev/null and b/doc/en/Docs-jr/pics/pylab-sine-phase.png differ
diff --git a/doc/en/Docs-jr/pics/pylab-sine-sqr.png b/doc/en/Docs-jr/pics/pylab-sine-sqr.png
new file mode 100644
index 0000000..0d6e3f9
Binary files /dev/null and b/doc/en/Docs-jr/pics/pylab-sine-sqr.png differ
diff --git a/doc/en/Docs-jr/pics/pylab-sine.png b/doc/en/Docs-jr/pics/pylab-sine.png
new file mode 100644
index 0000000..15fae5f
Binary files /dev/null and b/doc/en/Docs-jr/pics/pylab-sine.png differ
diff --git a/doc/en/Docs-jr/pics/rc-diff100Hz.png b/doc/en/Docs-jr/pics/rc-diff100Hz.png
new file mode 100644
index 0000000..2435741
Binary files /dev/null and b/doc/en/Docs-jr/pics/rc-diff100Hz.png differ
diff --git a/doc/en/Docs-jr/pics/rc-integ1khz.png b/doc/en/Docs-jr/pics/rc-integ1khz.png
new file mode 100644
index 0000000..dbacc0b
Binary files /dev/null and b/doc/en/Docs-jr/pics/rc-integ1khz.png differ
diff --git a/doc/en/Docs-jr/pics/rc-integ20hz.png b/doc/en/Docs-jr/pics/rc-integ20hz.png
new file mode 100644
index 0000000..f2f6869
Binary files /dev/null and b/doc/en/Docs-jr/pics/rc-integ20hz.png differ
diff --git a/doc/en/Docs-jr/pics/rc-phaseshift.png b/doc/en/Docs-jr/pics/rc-phaseshift.png
new file mode 100644
index 0000000..79b6f71
Binary files /dev/null and b/doc/en/Docs-jr/pics/rc-phaseshift.png differ
diff --git a/doc/en/Docs-jr/pics/rl-phaseshift.png b/doc/en/Docs-jr/pics/rl-phaseshift.png
new file mode 100644
index 0000000..37d5988
Binary files /dev/null and b/doc/en/Docs-jr/pics/rl-phaseshift.png differ
diff --git a/doc/en/Docs-jr/pics/sound-burst.png b/doc/en/Docs-jr/pics/sound-burst.png
new file mode 100644
index 0000000..e3fbda0
Binary files /dev/null and b/doc/en/Docs-jr/pics/sound-burst.png differ
diff --git a/doc/en/Docs-jr/pics/sound-frequency.png b/doc/en/Docs-jr/pics/sound-frequency.png
new file mode 100644
index 0000000..a500d15
Binary files /dev/null and b/doc/en/Docs-jr/pics/sound-frequency.png differ
diff --git a/doc/en/Docs-jr/pics/sound_waves.png b/doc/en/Docs-jr/pics/sound_waves.png
new file mode 100644
index 0000000..64d142b
Binary files /dev/null and b/doc/en/Docs-jr/pics/sound_waves.png differ
diff --git a/doc/en/Docs-jr/pics/top-panel.png b/doc/en/Docs-jr/pics/top-panel.png
new file mode 100644
index 0000000..4b1883d
Binary files /dev/null and b/doc/en/Docs-jr/pics/top-panel.png differ
diff --git a/doc/en/Docs-jr/pics/transformer-screen.png b/doc/en/Docs-jr/pics/transformer-screen.png
new file mode 100644
index 0000000..9588d37
Binary files /dev/null and b/doc/en/Docs-jr/pics/transformer-screen.png differ
diff --git a/doc/en/Docs-jr/pics/transistor-ce.png b/doc/en/Docs-jr/pics/transistor-ce.png
new file mode 100644
index 0000000..b4d2b4a
Binary files /dev/null and b/doc/en/Docs-jr/pics/transistor-ce.png differ
diff --git a/doc/en/Docs-jr/pics/velocity-sound.png b/doc/en/Docs-jr/pics/velocity-sound.png
new file mode 100644
index 0000000..b634ab2
Binary files /dev/null and b/doc/en/Docs-jr/pics/velocity-sound.png differ
diff --git a/doc/en/Docs-jr/pics/water-conduct.png b/doc/en/Docs-jr/pics/water-conduct.png
new file mode 100644
index 0000000..1213c70
Binary files /dev/null and b/doc/en/Docs-jr/pics/water-conduct.png differ
diff --git a/doc/en/Docs-jr/schematics/LCRRcircuit.png b/doc/en/Docs-jr/schematics/LCRRcircuit.png
new file mode 100644
index 0000000..8818908
Binary files /dev/null and b/doc/en/Docs-jr/schematics/LCRRcircuit.png differ
diff --git a/doc/en/Docs-jr/schematics/LCRRcircuit.ps b/doc/en/Docs-jr/schematics/LCRRcircuit.ps
new file mode 100644
index 0000000..cca680f
--- /dev/null
+++ b/doc/en/Docs-jr/schematics/LCRRcircuit.ps
@@ -0,0 +1,251 @@
+%!PS-Adobe-3.0 EPSF-3.0
+%%Title: RRLcircuit.ps
+%%Creator: XCircuit v3.7 rev26
+%%CreationDate: Mon Jul 16 10:00:07 2012
+%%Pages: 1
+%%BoundingBox: 68 68 346 100
+%%DocumentNeededResources: font Helvetica Times-Roman 
+%%EndComments
+%%BeginProlog
+%
+%  PostScript prolog for output from xcircuit
+%  Version: 3.7
+%
+%  Electrical circuit (and otherwise general) drawing program
+%
+%  Written by Tim Edwards 8/5/93--11/1/10  (tim.edwards at multigig.com)
+%  The Johns Hopkins University (1993-2004)
+%  MultiGiG, Inc. (2004-present)
+%
+%%BeginResource: procset XCIRCproc 3.7 2
+%
+% supporting definitions --- these are the primary xcircuit types.
+
+/XCIRCsave save def
+/topmat matrix currentmatrix def
+
+/fontslant { /slant exch def [1 0 slant 1 0 0] 
+    exch findfont exch makefont dup length dict /ndict exch def
+    { 1 index /FID ne { ndict 3 1 roll put } { pop pop } ifelse } forall
+    ndict definefont pop} def
+/ul { dup type /stringtype eq showflag 1 eq and { gsave 
+   currentpoint topmat setmatrix 0 0 moveto 2 index stringwidth pop (_)
+   false charpath flattenpath pathbbox grestore exch pop 1 index
+   sub setlinewidth exch pop currentpoint 3 -1 roll add moveto 0
+   rlineto stroke moveto } if } def
+/ol { dup type /stringtype eq showflag 1 eq and { gsave gsave
+   currentpoint topmat setmatrix 2 index stringwidth pop 3 index
+   true charpath flattenpath pathbbox grestore exch pop
+   exch pop topmat setmatrix (_) true charpath pathbbox grestore
+   exch pop 1 index sub setlinewidth exch pop currentpoint
+   exch 4 1 roll exch sub add moveto pop 0 rlineto stroke
+   moveto } if } def
+/stW { gsave currentpoint newpath moveto true charpath flattenpath
+	pathbbox pop exch pop sub grestore } def
+/Ts {mark Tabs aload pop counttomark 1 add array astore /Tabs exch def Tabs
+	0 currentpoint pop put} def
+/Tbn {mark Tabs aload pop counttomark dup 2 add 1 roll cleartomark 1 sub} def
+/Tb { 0 1 Tbn {Tabs exch get dup currentpoint pop lt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/Tf { Tbn -1 0 {Tabs exch get dup currentpoint pop gt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/qS { (aa) stW (a a) stW sub 4 div 0 Kn } def
+/hS { qS qS } def
+/pspc 0 def
+/cf0 { scalefont setfont } bind def
+/Kn { dup kY add /kY exch def rmoveto } bind def
+/ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.33 mul neg Kn} def
+/Ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.67 mul Kn } def
+/ns { 0 kY neg Kn /kY 0 def /fscale 1.0 def xfont0 1.0 cf0 } def
+/CR { ns 0 /Bline Bline fscale0 neg add def Bline moveto } def
+/cf { dup type /realtype ne {1.0} if exch findfont exch kY 0 eq
+	{ 40 mul dup /fscale0 exch def cf0 /xfont0 currentfont def}
+	{fscale0 mul fscale mul cf0} ifelse } def
+/ctmk { counttomark dup 2 add -1 roll pop } bind def
+/label { gsave translate 0 0 moveto dup scale neg /rotval exch def
+	/just exch def just 384 and 0 gt {/mshow {pop} def} {/mshow {show}
+	def} ifelse just 16 and 0 gt {gsave rotval rotate 0 1 dtransform
+	gsave pagemat setmatrix idtransform exch grestore 1 0 dtransform
+	gsave pagemat setmatrix idtransform exch grestore dup abs 1e-9 lt
+	{pop mul 0 gt} {3 1 roll pop pop 0 lt} ifelse grestore {-1 /rotval
+	rotval neg def /just just dup 3 and 1 ne {3 xor} if def} {1} ifelse
+	exch -1e-9 lt {-1 /rotval rotval neg def /just just dup 12 and
+	4 ne {12 xor} if def} {1} ifelse scale } if /showflag 0 def
+	/fspc pspc def /Bline 0 def /Tabs 0 array def /fscale 1.0 def
+	/kY 0 def gsave dup 1 add copy 0 exch 1 0 dtransform exch atan rotate
+	{exch dup type /stringtype eq {true charpath flattenpath} {dup type
+	/arraytype eq {exec} {12 string cvs true charpath flattenpath} ifelse}
+	ifelse} repeat pop pathbbox grestore 3 -1 roll pop 3 1 roll just
+	1 and 0 gt {just 2 and 0 gt {exch pop neg fspc sub} {exch sub 0.5
+	mul neg} ifelse} {pop neg fspc add} ifelse exch Bline exch just 4
+	and 0 gt {just 8 and 0 gt {exch pop neg fspc sub} {add 0.5 mul neg}
+	ifelse} {pop neg fspc add} ifelse rotval rotate Kn currentpoint
+	translate /showflag 1 def /Bline 0 def /Tabs 0 array def /fscale
+	1.0 def /kY 0 def {dup type /stringtype eq {mshow} {dup type
+	/arraytype eq {exec} {12 string cvs mshow} ifelse} ifelse} repeat
+	grestore endclip} def
+/pinlabel { 4 index 32 and 0 ne hlevel 0 eq or { /pspc 10 def label
+	/pspc 0 def } { pop pop pop pop pop {pop} repeat } ifelse } def
+/pinglobal { pinlabel } def
+/infolabel { pinlabel } def
+/graphic { gsave 4 index cvx exec /DataSource get resetfile translate 0 0
+	moveto neg rotate dup scale cvx exec image grestore endclip} def
+
+/scb { setrgbcolor } bind def  /sce { defColor aload pop scb } bind def
+/cRedef {/defColor currentcolor 3 array astore def} def
+/begingate {dup type /dicttype ne {1 dict} if begin	% default params
+	dup type /dicttype ne {1 dict} if begin		% instanced params
+	/hlevel hlevel 1 add def /defColor currentcolor sce 3 array astore
+	def gsave sce translate 0 0 moveto neg rotate dup type /nametype
+	eq not { dup abs currentlinewidth exch div setlinewidth } { pop }
+	ifelse dup abs scale clipped 1 and 1 eq {/clipped clipped 1 add def}
+	if} bind def
+/endgate { /hlevel hlevel 1 sub def grestore defColor aload pop cRedef
+	scb end end endclip} bind def
+
+/hlevel 0 def
+/endclip {clipped 1 and 1 eq {grestore /clipped clipped 1 sub def} if} def
+/tmpa [1 0 0 1 0 0] def
+/gar {8 8 true tmpa {<c0 c0 00 00 0c 0c 00 00>} imagemask} bind
+{8 8 true tmpa {<30 70 60 02 03 07 06 20>} imagemask} bind
+{8 8 true tmpa {<0c 1e 1e 0c c0 e1 e1 c0>} imagemask} bind
+{8 8 true tmpa {<0f 0f 0f 0f f0 f0 f0 f0>} imagemask} bind
+{8 8 true tmpa {<3f f3 e1 e1 f3 3f 1e 1e>} imagemask} bind
+{8 8 true tmpa {<df cf 8f 9f fd fc f8 f9>} imagemask} bind
+{8 8 true tmpa {<ff 3f 3f ff ff f3 f3 ff>} imagemask} bind 7 array astore def
+/ppaint { gsave clip tmpa dup setmatrix pathbbox neg exch neg 4 2 roll
+  neg 4 -1 roll 2 copy gt {exch} if 8 div ceiling 8 mul 4 2 roll neg 2 copy
+  gt {exch} if 8 div ceiling 8 mul 3 -1 roll -8 5 -1 roll
+  { 3 index exch 5 exch put dup -8 3 index { 3 index
+  exch 4 exch put 3 index exec } for } for pop pop pop pop grestore } bind def
+/setstyles {
+  currentlinewidth mul setlinewidth /style exch def style 2048 and 0 gt
+  clipped 1 and 0 eq and {clip newpath /clipped clipped 1 add def} {
+  style 1 and 0 gt not {closepath} if
+  style 1024 and 0 gt {2 setlinecap} if
+  style 2 and 0 gt {currentlinewidth 4 mul dup 2 array astore 0 setdash} if
+  style 4 and 0 gt {0.5 currentlinewidth 4 mul 2 array astore 0 setdash} if
+  gsave style 16 and 0 gt { style 224 and -5 bitshift style 256 and 0 gt {
+  7 exch sub 8 div dup 1 exch sub currentrgbcolor 3 array astore
+  {3 copy mul add 4 1 roll pop} forall pop pop setrgbcolor eofill}
+  {dup 7 lt {gar exch get ppaint} {pop eofill} ifelse} ifelse}
+  {style 256 and 0 gt {1 setgray eofill} if} ifelse grestore style 8 and 0 gt 
+  style 512 eq or {newpath} {stroke} ifelse grestore endclip} ifelse} def     
+
+/addtoy {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll add
+	4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtoy1 {1 exch addtoy pop} def
+/addtoy3 {3 exch addtoy pop} def
+/addtoy4 {4 exch addtoy pop} def
+/addtox {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll 3 -1 roll
+	add exch 4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtox1 {1 exch addtox pop} def
+/addtox3 {3 exch addtox pop} def
+/addtox4 {4 exch addtox pop} def
+/polygon { gsave /num exch def moveto num 1 sub {lineto} repeat setstyles } def
+/xcarc   { gsave newpath arc setstyles } def
+/elb { matrix currentmatrix 7 -1 roll 7 -1 roll translate 5 1 roll 4 -1 roll
+	3 index div 1 scale } def
+/ele { 0 4 1 roll 0 4 1 roll } bind def
+/ellipse { gsave elb newpath ele arc setmatrix setstyles } def
+/pellip  { elb ele arc setmatrix } def
+/nellip  { elb ele arcn setmatrix } def
+/spline  { gsave moveto curveto setstyles } def
+/polyc   { {lineto} repeat } bind def
+/beginpath { gsave moveto } bind def
+/endpath { setstyles } bind def
+/bop	 { 1 setlinecap 0 setlinejoin 6 setmiterlimit 0 0 0 scb cRedef
+	/clipped 0 def} def
+/psinsertion {/PSobj save def /showpage {} def /setpagedevice {pop} def bop
+	rotate translate dup scale} def
+/end_insert {PSobj restore} def
+/setpagemat {/pagemat matrix currentmatrix def} def
+/inchscale  {setpagemat 0.375 mul dup scale} def
+/cmscale    {setpagemat 0.35433071 mul dup scale} def
+
+%%EndResource
+%%EndProlog
+
+% XCircuit output starts here.
+
+%%BeginSetup
+
+/analog::capacitor {
+begingate
+1 1.000 0 -64 0 -6 2 polygon
+1 1.000 0 64 0 6 2 polygon
+1 1.000 -32 6 32 6 2 polygon
+1 1.000 -32 -6 32 -6 2 polygon
+1.000 0.000 0.000 scb
+(c.1) {/Times-Roman cf} 2 9 0 1.000 0 64 pinlabel
+(c.2) {/Times-Roman cf} 2 13 0 1.000 0 -64 pinlabel
+0.180 0.545 0.341 scb
+(spice:C%i %pc.1 %pc.2 1.0P) {/Times-Roman cf} 2 0 0 1.000 -208 -160 infolabel
+(sim:c %pc.1 %pc.2) {/Times-Roman cf} 2 0 0 1.000 -208 -208 infolabel
+endgate
+} def
+
+/analog::inductor {
+begingate
+1 1.000 20 -22 20 -38 0 -42 0 -18 spline
+1 1.000 -20 -14 -20 -26 0 -22 0 -18 spline
+1 1.000 20 38 20 22 0 18 0 42 spline
+1 1.000 20 18 20 2 0 -2 0 22 spline
+1 1.000 20 -2 20 -18 0 -22 0 2 spline
+1 1.000 -20 26 -20 14 0 18 0 22 spline
+1 1.000 -20 6 -20 -6 0 -2 0 2 spline
+1 1.000 0 -42 0 -64 2 polygon
+1 1.000 0 42 0 64 2 polygon
+1.000 0.000 0.000 scb
+(l.1) {/Times-Roman cf} 2 9 0 1.000 0 64 pinlabel
+(l.2) {/Times-Roman cf} 2 13 0 1.000 0 -64 pinlabel
+0.180 0.545 0.341 scb
+(spice:L%i %pl.1 %pl.2 1.0U) {/Times-Roman cf} 2 0 0 1.000 -208 -160 infolabel
+(sim:l %pl.1 %pl.2) {/Times-Roman cf} 2 0 0 1.000 -208 -208 infolabel
+endgate
+} def
+
+/analog::resistor {
+begingate
+1 1.000 0 64 0 36 2 polygon
+1 1.000 0 -64 0 -36 2 polygon
+1 1.000 0 -36 14 -30 -14 -18 14 -6 -14 6 14 18 -14 30 0 36 8 polygon
+1.000 0.000 0.000 scb
+(r.1) {/Times-Roman cf} 2 9 0 1.000 0 64 pinlabel
+(r.2) {/Times-Roman cf} 2 13 0 1.000 0 -64 pinlabel
+0.180 0.545 0.341 scb
+(spice:R%i %pr.1 %pr.2 1.0K) {/Times-Roman cf} 2 0 0 1.000 -208 -160 infolabel
+(sim:r %pr.1 %pr.2) {/Times-Roman cf} 2 0 0 1.000 -208 -208 infolabel
+endgate
+} def
+
+
+%%EndSetup
+
+%%Page: RRLcircuit 1
+%%PageOrientation: Portrait
+/pgsave save def bop
+1.0000 inchscale
+2.6000 setlinewidth 432 192 translate
+
+(OD1) {/Helvetica cf} 2 16 0 1.000 -224 16 label
+(A1) {/Helvetica cf} 2 16 0 1.000 128 16 label
+(GND) {/Helvetica cf} 2 16 0 1.000 384 16 label
+1.000 270 256 32 analog::capacitor
+1.000 270 48 48 analog::inductor
+0 1.000 -240 64 -240 0 -144 0 -144 64 4 polygon
+0 1.000 112 64 112 0 192 0 192 64 4 polygon
+0 1.000 368 64 368 0 480 0 480 64 4 polygon
+(.1uF) {/Helvetica cf} 2 16 0 1.000 288 0 label
+1 1.000 320 32 368 32 2 polygon
+1.000 270 -80 48 analog::resistor
+(R) {/Helvetica cf} 2 16 0 1.000 -96 0 label
+(L+R) {/Helvetica cf} 2 16 0 1.000 16 0 label
+(C) {/Helvetica cf} 2 16 0 1.000 208 0 label
+pgsave restore showpage
+
+%%Trailer
+XCIRCsave restore
+%%EOF
diff --git a/doc/en/Docs-jr/schematics/LCRcircuit.png b/doc/en/Docs-jr/schematics/LCRcircuit.png
new file mode 100644
index 0000000..e3c36fd
Binary files /dev/null and b/doc/en/Docs-jr/schematics/LCRcircuit.png differ
diff --git a/doc/en/Docs-jr/schematics/LCRcircuit.ps b/doc/en/Docs-jr/schematics/LCRcircuit.ps
new file mode 100644
index 0000000..507bfaa
--- /dev/null
+++ b/doc/en/Docs-jr/schematics/LCRcircuit.ps
@@ -0,0 +1,218 @@
+%!PS-Adobe-3.0 EPSF-3.0
+%%Title: LCRcircuit
+%%Creator: XCircuit v3.6 rev135
+%%CreationDate: Tue Jul 10 15:58:00 2012
+%%Pages: 1
+%%BoundingBox: 68 68 298 106
+%%DocumentNeededResources: font Helvetica Times-Roman 
+%%EndComments
+%%BeginProlog
+%
+%  PostScript prolog for output from xcircuit
+%  Version: 3.3
+%
+%  Electrical circuit (and otherwise general) drawing program
+%
+%  Written by Tim Edwards 8/5/93--7/13/05  (tim.edwards at multigig.com)
+%  The Johns Hopkins University (1993-2004)
+%  MultiGiG, Inc. (2004-present)
+%
+%%BeginResource: procset XCIRCproc 3.3 0
+%
+% supporting definitions --- these are the primary xcircuit types.
+
+/XCIRCsave save def
+/topmat matrix currentmatrix def
+
+/fontslant { /slant exch def [1 0 slant 1 0 0] 
+    exch findfont exch makefont dup length dict /ndict exch def
+    { 1 index /FID ne { ndict 3 1 roll put } { pop pop } ifelse } forall
+    ndict definefont pop} def
+/ul { dup type /stringtype eq showflag 1 eq and { gsave 
+   currentpoint topmat setmatrix 0 0 moveto 2 index stringwidth pop (_)
+   false charpath flattenpath pathbbox grestore exch pop 1 index
+   sub setlinewidth exch pop currentpoint 3 -1 roll add moveto 0
+   rlineto stroke moveto } if } def
+/ol { dup type /stringtype eq showflag 1 eq and { gsave gsave
+   currentpoint topmat setmatrix 2 index stringwidth pop 3 index
+   true charpath flattenpath pathbbox grestore exch pop
+   exch pop topmat setmatrix (_) true charpath pathbbox grestore
+   exch pop 1 index sub setlinewidth exch pop currentpoint
+   exch 4 1 roll exch sub add moveto pop 0 rlineto stroke
+   moveto } if } def
+/stW { gsave currentpoint newpath moveto true charpath flattenpath
+	pathbbox pop exch pop sub grestore } def
+/Ts {mark Tabs aload pop counttomark 1 add array astore /Tabs exch def Tabs
+	0 currentpoint pop put} def
+/Tbn {mark Tabs aload pop counttomark dup 2 add 1 roll cleartomark 1 sub} def
+/Tb { 0 1 Tbn {Tabs exch get dup currentpoint pop lt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/Tf { Tbn -1 0 {Tabs exch get dup currentpoint pop gt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/qS { (aa) stW (a a) stW sub 4 div 0 Kn } def
+/hS { qS qS } def
+/pspc 0 def
+/cf0 { scalefont setfont } bind def
+/Kn { dup kY add /kY exch def rmoveto } bind def
+/ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.33 mul neg Kn} def
+/Ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.67 mul Kn } def
+/ns { 0 kY neg Kn /kY 0 def /fscale 1.0 def xfont0 1.0 cf0 } def
+/CR { ns 0 /Bline Bline fscale0 neg add def Bline moveto } def
+/cf { dup type /realtype ne {1.0} if exch findfont exch kY 0 eq
+	{ 40 mul dup /fscale0 exch def cf0 /xfont0 currentfont def}
+	{fscale0 mul fscale mul cf0} ifelse } def
+/ctmk { counttomark dup 2 add -1 roll pop } bind def
+/label { gsave translate 0 0 moveto dup scale neg /rotval exch def
+	/just exch def just 384 and 0 gt {/mshow {pop} def} {/mshow {show}
+	def} ifelse just 16 and 0 gt {gsave rotval rotate 0 1 dtransform
+	gsave pagemat setmatrix idtransform exch grestore 1 0 dtransform
+	gsave pagemat setmatrix idtransform exch grestore dup abs 1e-9 lt
+	{pop mul 0 gt} {3 1 roll pop pop 0 lt} ifelse grestore {-1 /rotval
+	rotval neg def /just just dup 3 and 1 ne {3 xor} if def} {1} ifelse
+	exch -1e-9 lt {-1 /rotval rotval neg def /just just dup 12 and
+	4 ne {12 xor} if def} {1} ifelse scale } if /showflag 0 def
+	/fspc pspc def /Bline 0 def /Tabs 0 array def /fscale 1.0 def
+	/kY 0 def gsave dup 1 add copy 0 exch 1 0 dtransform exch atan rotate
+	{exch dup type /stringtype eq {true charpath flattenpath} {dup type
+	/arraytype eq {exec} {12 string cvs true charpath flattenpath} ifelse}
+	ifelse} repeat pop pathbbox grestore 3 -1 roll pop 3 1 roll just
+	1 and 0 gt {just 2 and 0 gt {exch pop neg fspc sub} {exch sub 0.5
+	mul neg} ifelse} {pop neg fspc add} ifelse exch Bline exch just 4
+	and 0 gt {just 8 and 0 gt {exch pop neg fspc sub} {add 0.5 mul neg}
+	ifelse} {pop neg fspc add} ifelse rotval rotate Kn currentpoint
+	translate /showflag 1 def /Bline 0 def /Tabs 0 array def /fscale
+	1.0 def /kY 0 def {dup type /stringtype eq {mshow} {dup type
+	/arraytype eq {exec} {12 string cvs mshow} ifelse} ifelse} repeat
+	grestore } def
+/pinlabel { 4 index 32 and 0 ne hlevel 0 eq or { /pspc 10 def label
+	/pspc 0 def } { pop pop pop pop pop {pop} repeat } ifelse } def
+/pinglobal { pinlabel } def
+/infolabel { pinlabel } def
+/graphic { gsave 4 index cvx exec /DataSource get resetfile translate
+	0 0 moveto neg rotate dup scale cvx exec image grestore } def
+
+/scb { setrgbcolor } bind def  /sce { defColor aload pop scb } bind def
+/cRedef {/defColor currentcolor 3 array astore def} def
+/begingate {dup type /dicttype ne {1 dict} if begin	% default params
+	dup type /dicttype ne {1 dict} if begin		% instanced params
+	/hlevel hlevel 1 add def /defColor currentcolor sce 3 array
+	astore def gsave sce translate 0 0 moveto neg rotate dup abs scale
+	} bind def
+/endgate { /hlevel hlevel 1 sub def grestore defColor aload pop cRedef
+	scb end end} bind def
+
+/hlevel 0 def
+/tmpa [1 0 0 1 0 0] def
+/gar {8 8 true tmpa {<c0 c0 00 00 0c 0c 00 00>} imagemask} bind
+{8 8 true tmpa {<30 70 60 02 03 07 06 20>} imagemask} bind
+{8 8 true tmpa {<0c 1e 1e 0c c0 e1 e1 c0>} imagemask} bind
+{8 8 true tmpa {<0f 0f 0f 0f f0 f0 f0 f0>} imagemask} bind
+{8 8 true tmpa {<3f f3 e1 e1 f3 3f 1e 1e>} imagemask} bind
+{8 8 true tmpa {<df cf 8f 9f fd fc f8 f9>} imagemask} bind
+{8 8 true tmpa {<ff 3f 3f ff ff f3 f3 ff>} imagemask} bind 7 array astore def
+/ppaint { gsave clip tmpa dup setmatrix pathbbox neg exch neg 4 2 roll
+  neg 4 -1 roll 2 copy gt {exch} if 8 div ceiling 8 mul 4 2 roll neg 2 copy
+  gt {exch} if 8 div ceiling 8 mul 3 -1 roll -8 5 -1 roll
+  { 3 index exch 5 exch put dup -8 3 index { 3 index
+  exch 4 exch put 3 index exec } for } for pop pop pop pop grestore } bind def
+/setstyles {
+  currentlinewidth mul setlinewidth /style exch def
+  style 1 and 0 gt not {closepath} if
+  style 1024 and 0 gt {2 setlinecap} if
+  style 2 and 0 gt {currentlinewidth 4 mul dup 2 array astore 0 setdash} if
+  style 4 and 0 gt {0.5 currentlinewidth 4 mul 2 array astore 0 setdash} if
+  gsave style 16 and 0 gt { style 224 and -5 bitshift style 256 and 0 gt {
+  7 exch sub 8 div dup 1 exch sub currentrgbcolor 3 array astore
+  {3 copy mul add 4 1 roll pop} forall pop pop setrgbcolor eofill}
+  {dup 7 lt {gar exch get ppaint} {pop eofill} ifelse} ifelse}
+  {style 256 and 0 gt {1 setgray eofill} if} ifelse grestore style 8 and 0 gt 
+  style 512 eq or {newpath} {stroke} ifelse grestore} def     
+
+/polygon { gsave /num exch def moveto num 1 sub {lineto} repeat setstyles } def
+/xcarc   { gsave newpath arc setstyles } def
+/elb { matrix currentmatrix 7 -1 roll 7 -1 roll translate 5 1 roll 4 -1 roll
+	3 index div 1 scale } def
+/ele { 0 4 1 roll 0 4 1 roll } bind def
+/ellipse { gsave elb newpath ele arc setmatrix setstyles } def
+/pellip  { elb ele arc setmatrix } def
+/nellip  { elb ele arcn setmatrix } def
+/spline  { gsave moveto curveto setstyles } def
+/polyc   { {lineto} repeat } bind def
+/beginpath { gsave moveto } bind def
+/endpath { setstyles } bind def
+/bop	 { 1 setlinecap 0 setlinejoin 6 setmiterlimit 0 0 0 scb cRedef } def
+/psinsertion {/PSobj save def /showpage {} def /setpagedevice {pop} def bop
+	rotate translate dup scale} def
+/end_insert {PSobj restore} def
+/setpagemat {/pagemat matrix currentmatrix def} def
+/inchscale  {setpagemat 0.375 mul dup scale} def
+/cmscale    {setpagemat 0.35433071 mul dup scale} def
+
+%%EndResource
+%%EndProlog
+
+% XCircuit output starts here.
+
+%%BeginSetup
+
+/analog::capacitor {
+begingate
+1 1.000 0 -64 0 -6 2 polygon
+1 1.000 0 64 0 6 2 polygon
+1 1.000 -32 6 32 6 2 polygon
+1 1.000 -32 -6 32 -6 2 polygon
+1.000 0.000 0.000 scb
+(c.1) {/Times-Roman cf} 2 9 0 1.000 0 64 pinlabel
+(c.2) {/Times-Roman cf} 2 13 0 1.000 0 -64 pinlabel
+0.180 0.545 0.341 scb
+(spice:C%i %pc.1 %pc.2 1.0P) {/Times-Roman cf} 2 0 0 1.000 -208 -160 infolabel
+(sim:c %pc.1 %pc.2) {/Times-Roman cf} 2 0 0 1.000 -208 -208 infolabel
+endgate
+} def
+
+/analog::inductor {
+begingate
+1 1.000 20 -22 20 -38 0 -42 0 -18 spline
+1 1.000 -20 -14 -20 -26 0 -22 0 -18 spline
+1 1.000 20 38 20 22 0 18 0 42 spline
+1 1.000 20 18 20 2 0 -2 0 22 spline
+1 1.000 20 -2 20 -18 0 -22 0 2 spline
+1 1.000 -20 26 -20 14 0 18 0 22 spline
+1 1.000 -20 6 -20 -6 0 -2 0 2 spline
+1 1.000 0 -42 0 -64 2 polygon
+1 1.000 0 42 0 64 2 polygon
+1.000 0.000 0.000 scb
+(l.1) {/Times-Roman cf} 2 9 0 1.000 0 64 pinlabel
+(l.2) {/Times-Roman cf} 2 13 0 1.000 0 -64 pinlabel
+0.180 0.545 0.341 scb
+(spice:L%i %pl.1 %pl.2 1.0U) {/Times-Roman cf} 2 0 0 1.000 -208 -160 infolabel
+(sim:l %pl.1 %pl.2) {/Times-Roman cf} 2 0 0 1.000 -208 -208 infolabel
+endgate
+} def
+
+
+%%EndSetup
+
+%%Page: 1 1
+%%PageOrientation: Portrait
+/pgsave save def bop
+1.0000 inchscale
+2.6000 setlinewidth 304 208 translate
+
+(OD1) {/Helvetica cf} 2 16 0 1.000 -96 16 label
+(A1) {/Helvetica cf} 2 16 0 1.000 128 16 label
+(GND) {/Helvetica cf} 2 16 0 1.000 384 16 label
+1.000 270 256 32 analog::capacitor
+1.000 270 48 32 analog::inductor
+0 1.000 -112 64 -112 0 -16 0 -16 64 4 polygon
+0 1.000 112 64 112 0 192 0 192 64 4 polygon
+0 1.000 368 64 368 0 480 0 480 64 4 polygon
+(.1uF) {/Helvetica cf} 2 16 0 1.000 272 -16 label
+1 1.000 320 32 368 32 2 polygon
+pgsave restore showpage
+
+%%Trailer
+XCIRCsave restore
+%%EOF
diff --git a/doc/en/Docs-jr/schematics/RCcircuit.png b/doc/en/Docs-jr/schematics/RCcircuit.png
new file mode 100644
index 0000000..a24c50f
Binary files /dev/null and b/doc/en/Docs-jr/schematics/RCcircuit.png differ
diff --git a/doc/en/Docs-jr/schematics/RCcircuit.ps b/doc/en/Docs-jr/schematics/RCcircuit.ps
new file mode 100644
index 0000000..bbea681
--- /dev/null
+++ b/doc/en/Docs-jr/schematics/RCcircuit.ps
@@ -0,0 +1,214 @@
+%!PS-Adobe-3.0 EPSF-3.0
+%%Title: RCcircuit
+%%Creator: XCircuit v3.6 rev135
+%%CreationDate: Tue Jul 10 15:28:53 2012
+%%Pages: 1
+%%BoundingBox: 68 68 304 106
+%%DocumentNeededResources: font Helvetica Times-Roman 
+%%EndComments
+%%BeginProlog
+%
+%  PostScript prolog for output from xcircuit
+%  Version: 3.3
+%
+%  Electrical circuit (and otherwise general) drawing program
+%
+%  Written by Tim Edwards 8/5/93--7/13/05  (tim.edwards at multigig.com)
+%  The Johns Hopkins University (1993-2004)
+%  MultiGiG, Inc. (2004-present)
+%
+%%BeginResource: procset XCIRCproc 3.3 0
+%
+% supporting definitions --- these are the primary xcircuit types.
+
+/XCIRCsave save def
+/topmat matrix currentmatrix def
+
+/fontslant { /slant exch def [1 0 slant 1 0 0] 
+    exch findfont exch makefont dup length dict /ndict exch def
+    { 1 index /FID ne { ndict 3 1 roll put } { pop pop } ifelse } forall
+    ndict definefont pop} def
+/ul { dup type /stringtype eq showflag 1 eq and { gsave 
+   currentpoint topmat setmatrix 0 0 moveto 2 index stringwidth pop (_)
+   false charpath flattenpath pathbbox grestore exch pop 1 index
+   sub setlinewidth exch pop currentpoint 3 -1 roll add moveto 0
+   rlineto stroke moveto } if } def
+/ol { dup type /stringtype eq showflag 1 eq and { gsave gsave
+   currentpoint topmat setmatrix 2 index stringwidth pop 3 index
+   true charpath flattenpath pathbbox grestore exch pop
+   exch pop topmat setmatrix (_) true charpath pathbbox grestore
+   exch pop 1 index sub setlinewidth exch pop currentpoint
+   exch 4 1 roll exch sub add moveto pop 0 rlineto stroke
+   moveto } if } def
+/stW { gsave currentpoint newpath moveto true charpath flattenpath
+	pathbbox pop exch pop sub grestore } def
+/Ts {mark Tabs aload pop counttomark 1 add array astore /Tabs exch def Tabs
+	0 currentpoint pop put} def
+/Tbn {mark Tabs aload pop counttomark dup 2 add 1 roll cleartomark 1 sub} def
+/Tb { 0 1 Tbn {Tabs exch get dup currentpoint pop lt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/Tf { Tbn -1 0 {Tabs exch get dup currentpoint pop gt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/qS { (aa) stW (a a) stW sub 4 div 0 Kn } def
+/hS { qS qS } def
+/pspc 0 def
+/cf0 { scalefont setfont } bind def
+/Kn { dup kY add /kY exch def rmoveto } bind def
+/ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.33 mul neg Kn} def
+/Ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.67 mul Kn } def
+/ns { 0 kY neg Kn /kY 0 def /fscale 1.0 def xfont0 1.0 cf0 } def
+/CR { ns 0 /Bline Bline fscale0 neg add def Bline moveto } def
+/cf { dup type /realtype ne {1.0} if exch findfont exch kY 0 eq
+	{ 40 mul dup /fscale0 exch def cf0 /xfont0 currentfont def}
+	{fscale0 mul fscale mul cf0} ifelse } def
+/ctmk { counttomark dup 2 add -1 roll pop } bind def
+/label { gsave translate 0 0 moveto dup scale neg /rotval exch def
+	/just exch def just 384 and 0 gt {/mshow {pop} def} {/mshow {show}
+	def} ifelse just 16 and 0 gt {gsave rotval rotate 0 1 dtransform
+	gsave pagemat setmatrix idtransform exch grestore 1 0 dtransform
+	gsave pagemat setmatrix idtransform exch grestore dup abs 1e-9 lt
+	{pop mul 0 gt} {3 1 roll pop pop 0 lt} ifelse grestore {-1 /rotval
+	rotval neg def /just just dup 3 and 1 ne {3 xor} if def} {1} ifelse
+	exch -1e-9 lt {-1 /rotval rotval neg def /just just dup 12 and
+	4 ne {12 xor} if def} {1} ifelse scale } if /showflag 0 def
+	/fspc pspc def /Bline 0 def /Tabs 0 array def /fscale 1.0 def
+	/kY 0 def gsave dup 1 add copy 0 exch 1 0 dtransform exch atan rotate
+	{exch dup type /stringtype eq {true charpath flattenpath} {dup type
+	/arraytype eq {exec} {12 string cvs true charpath flattenpath} ifelse}
+	ifelse} repeat pop pathbbox grestore 3 -1 roll pop 3 1 roll just
+	1 and 0 gt {just 2 and 0 gt {exch pop neg fspc sub} {exch sub 0.5
+	mul neg} ifelse} {pop neg fspc add} ifelse exch Bline exch just 4
+	and 0 gt {just 8 and 0 gt {exch pop neg fspc sub} {add 0.5 mul neg}
+	ifelse} {pop neg fspc add} ifelse rotval rotate Kn currentpoint
+	translate /showflag 1 def /Bline 0 def /Tabs 0 array def /fscale
+	1.0 def /kY 0 def {dup type /stringtype eq {mshow} {dup type
+	/arraytype eq {exec} {12 string cvs mshow} ifelse} ifelse} repeat
+	grestore } def
+/pinlabel { 4 index 32 and 0 ne hlevel 0 eq or { /pspc 10 def label
+	/pspc 0 def } { pop pop pop pop pop {pop} repeat } ifelse } def
+/pinglobal { pinlabel } def
+/infolabel { pinlabel } def
+/graphic { gsave 4 index cvx exec /DataSource get resetfile translate
+	0 0 moveto neg rotate dup scale cvx exec image grestore } def
+
+/scb { setrgbcolor } bind def  /sce { defColor aload pop scb } bind def
+/cRedef {/defColor currentcolor 3 array astore def} def
+/begingate {dup type /dicttype ne {1 dict} if begin	% default params
+	dup type /dicttype ne {1 dict} if begin		% instanced params
+	/hlevel hlevel 1 add def /defColor currentcolor sce 3 array
+	astore def gsave sce translate 0 0 moveto neg rotate dup abs scale
+	} bind def
+/endgate { /hlevel hlevel 1 sub def grestore defColor aload pop cRedef
+	scb end end} bind def
+
+/hlevel 0 def
+/tmpa [1 0 0 1 0 0] def
+/gar {8 8 true tmpa {<c0 c0 00 00 0c 0c 00 00>} imagemask} bind
+{8 8 true tmpa {<30 70 60 02 03 07 06 20>} imagemask} bind
+{8 8 true tmpa {<0c 1e 1e 0c c0 e1 e1 c0>} imagemask} bind
+{8 8 true tmpa {<0f 0f 0f 0f f0 f0 f0 f0>} imagemask} bind
+{8 8 true tmpa {<3f f3 e1 e1 f3 3f 1e 1e>} imagemask} bind
+{8 8 true tmpa {<df cf 8f 9f fd fc f8 f9>} imagemask} bind
+{8 8 true tmpa {<ff 3f 3f ff ff f3 f3 ff>} imagemask} bind 7 array astore def
+/ppaint { gsave clip tmpa dup setmatrix pathbbox neg exch neg 4 2 roll
+  neg 4 -1 roll 2 copy gt {exch} if 8 div ceiling 8 mul 4 2 roll neg 2 copy
+  gt {exch} if 8 div ceiling 8 mul 3 -1 roll -8 5 -1 roll
+  { 3 index exch 5 exch put dup -8 3 index { 3 index
+  exch 4 exch put 3 index exec } for } for pop pop pop pop grestore } bind def
+/setstyles {
+  currentlinewidth mul setlinewidth /style exch def
+  style 1 and 0 gt not {closepath} if
+  style 1024 and 0 gt {2 setlinecap} if
+  style 2 and 0 gt {currentlinewidth 4 mul dup 2 array astore 0 setdash} if
+  style 4 and 0 gt {0.5 currentlinewidth 4 mul 2 array astore 0 setdash} if
+  gsave style 16 and 0 gt { style 224 and -5 bitshift style 256 and 0 gt {
+  7 exch sub 8 div dup 1 exch sub currentrgbcolor 3 array astore
+  {3 copy mul add 4 1 roll pop} forall pop pop setrgbcolor eofill}
+  {dup 7 lt {gar exch get ppaint} {pop eofill} ifelse} ifelse}
+  {style 256 and 0 gt {1 setgray eofill} if} ifelse grestore style 8 and 0 gt 
+  style 512 eq or {newpath} {stroke} ifelse grestore} def     
+
+/polygon { gsave /num exch def moveto num 1 sub {lineto} repeat setstyles } def
+/xcarc   { gsave newpath arc setstyles } def
+/elb { matrix currentmatrix 7 -1 roll 7 -1 roll translate 5 1 roll 4 -1 roll
+	3 index div 1 scale } def
+/ele { 0 4 1 roll 0 4 1 roll } bind def
+/ellipse { gsave elb newpath ele arc setmatrix setstyles } def
+/pellip  { elb ele arc setmatrix } def
+/nellip  { elb ele arcn setmatrix } def
+/spline  { gsave moveto curveto setstyles } def
+/polyc   { {lineto} repeat } bind def
+/beginpath { gsave moveto } bind def
+/endpath { setstyles } bind def
+/bop	 { 1 setlinecap 0 setlinejoin 6 setmiterlimit 0 0 0 scb cRedef } def
+/psinsertion {/PSobj save def /showpage {} def /setpagedevice {pop} def bop
+	rotate translate dup scale} def
+/end_insert {PSobj restore} def
+/setpagemat {/pagemat matrix currentmatrix def} def
+/inchscale  {setpagemat 0.375 mul dup scale} def
+/cmscale    {setpagemat 0.35433071 mul dup scale} def
+
+%%EndResource
+%%EndProlog
+
+% XCircuit output starts here.
+
+%%BeginSetup
+
+/analog::resistor {
+begingate
+1 1.000 0 64 0 36 2 polygon
+1 1.000 0 -64 0 -36 2 polygon
+1 1.000 0 -36 14 -30 -14 -18 14 -6 -14 6 14 18 -14 30 0 36 8 polygon
+1.000 0.000 0.000 scb
+(r.1) {/Times-Roman cf} 2 9 0 1.000 0 64 pinlabel
+(r.2) {/Times-Roman cf} 2 13 0 1.000 0 -64 pinlabel
+0.180 0.545 0.341 scb
+(spice:R%i %pr.1 %pr.2 1.0K) {/Times-Roman cf} 2 0 0 1.000 -208 -160 infolabel
+(sim:r %pr.1 %pr.2) {/Times-Roman cf} 2 0 0 1.000 -208 -208 infolabel
+endgate
+} def
+
+/analog::polarized {
+begingate
+1 1.000 0 -64 0 -6 2 polygon
+1 1.000 0 64 0 6 2 polygon
+1 1.000 -32 6 32 6 2 polygon
+1 1.000 0 -80 74 66.000 114.000 xcarc
+1.000 0.000 0.000 scb
+(t) {/Times-Roman cf} 2 9 0 1.000 0 64 pinlabel
+(b) {/Times-Roman cf} 2 13 0 1.000 0 -64 pinlabel
+0.180 0.545 0.341 scb
+(spice:C%i %pt %pb 1.0P) {/Times-Roman cf} 2 0 0 1.000 -208 -160 infolabel
+(sim:e %pt %pb %pb) {/Times-Roman cf} 2 0 0 1.000 -208 -208 infolabel
+endgate
+} def
+
+
+%%EndSetup
+
+%%Page: 1 1
+%%PageOrientation: Portrait
+/pgsave save def bop
+1.0000 inchscale
+2.6000 setlinewidth 512 208 translate
+
+(A1) {/Helvetica cf} 2 16 0 1.000 -80 16 label
+(OD1) {/Helvetica cf} 2 16 0 1.000 -304 16 label
+1.000 270 -160 32 analog::resistor
+1.000 270 112 32 analog::polarized
+0 1.000 -320 64 -320 0 -224 0 -224 64 4 polygon
+0 1.000 -96 64 -96 0 -16 0 -16 64 4 polygon
+(GND) {/Helvetica cf} 2 16 0 1.000 192 16 label
+0 1.000 176 64 176 0 288 0 288 64 4 polygon
+(1K) {/Helvetica cf} 2 16 0 1.000 -176 -16 label
+(1uF) {/Helvetica cf} 2 16 0 1.000 32 0 label
+1 1.000 48 32 0 32 2 polygon
+1 1.000 0 32 -16 32 2 polygon
+pgsave restore showpage
+
+%%Trailer
+XCIRCsave restore
+%%EOF
diff --git a/doc/en/Docs-jr/schematics/RLcircuit.png b/doc/en/Docs-jr/schematics/RLcircuit.png
new file mode 100644
index 0000000..1348322
Binary files /dev/null and b/doc/en/Docs-jr/schematics/RLcircuit.png differ
diff --git a/doc/en/Docs-jr/schematics/RLcircuit.ps b/doc/en/Docs-jr/schematics/RLcircuit.ps
new file mode 100644
index 0000000..ead74a9
--- /dev/null
+++ b/doc/en/Docs-jr/schematics/RLcircuit.ps
@@ -0,0 +1,235 @@
+%!PS-Adobe-3.0 EPSF-3.0
+%%Title: RLcircuit.ps
+%%Creator: XCircuit v3.7 rev26
+%%CreationDate: Mon Jul 16 08:56:44 2012
+%%Pages: 1
+%%BoundingBox: 68 68 340 106
+%%DocumentNeededResources: font Helvetica Times-Roman 
+%%EndComments
+%%BeginProlog
+%
+%  PostScript prolog for output from xcircuit
+%  Version: 3.7
+%
+%  Electrical circuit (and otherwise general) drawing program
+%
+%  Written by Tim Edwards 8/5/93--11/1/10  (tim.edwards at multigig.com)
+%  The Johns Hopkins University (1993-2004)
+%  MultiGiG, Inc. (2004-present)
+%
+%%BeginResource: procset XCIRCproc 3.7 2
+%
+% supporting definitions --- these are the primary xcircuit types.
+
+/XCIRCsave save def
+/topmat matrix currentmatrix def
+
+/fontslant { /slant exch def [1 0 slant 1 0 0] 
+    exch findfont exch makefont dup length dict /ndict exch def
+    { 1 index /FID ne { ndict 3 1 roll put } { pop pop } ifelse } forall
+    ndict definefont pop} def
+/ul { dup type /stringtype eq showflag 1 eq and { gsave 
+   currentpoint topmat setmatrix 0 0 moveto 2 index stringwidth pop (_)
+   false charpath flattenpath pathbbox grestore exch pop 1 index
+   sub setlinewidth exch pop currentpoint 3 -1 roll add moveto 0
+   rlineto stroke moveto } if } def
+/ol { dup type /stringtype eq showflag 1 eq and { gsave gsave
+   currentpoint topmat setmatrix 2 index stringwidth pop 3 index
+   true charpath flattenpath pathbbox grestore exch pop
+   exch pop topmat setmatrix (_) true charpath pathbbox grestore
+   exch pop 1 index sub setlinewidth exch pop currentpoint
+   exch 4 1 roll exch sub add moveto pop 0 rlineto stroke
+   moveto } if } def
+/stW { gsave currentpoint newpath moveto true charpath flattenpath
+	pathbbox pop exch pop sub grestore } def
+/Ts {mark Tabs aload pop counttomark 1 add array astore /Tabs exch def Tabs
+	0 currentpoint pop put} def
+/Tbn {mark Tabs aload pop counttomark dup 2 add 1 roll cleartomark 1 sub} def
+/Tb { 0 1 Tbn {Tabs exch get dup currentpoint pop lt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/Tf { Tbn -1 0 {Tabs exch get dup currentpoint pop gt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/qS { (aa) stW (a a) stW sub 4 div 0 Kn } def
+/hS { qS qS } def
+/pspc 0 def
+/cf0 { scalefont setfont } bind def
+/Kn { dup kY add /kY exch def rmoveto } bind def
+/ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.33 mul neg Kn} def
+/Ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.67 mul Kn } def
+/ns { 0 kY neg Kn /kY 0 def /fscale 1.0 def xfont0 1.0 cf0 } def
+/CR { ns 0 /Bline Bline fscale0 neg add def Bline moveto } def
+/cf { dup type /realtype ne {1.0} if exch findfont exch kY 0 eq
+	{ 40 mul dup /fscale0 exch def cf0 /xfont0 currentfont def}
+	{fscale0 mul fscale mul cf0} ifelse } def
+/ctmk { counttomark dup 2 add -1 roll pop } bind def
+/label { gsave translate 0 0 moveto dup scale neg /rotval exch def
+	/just exch def just 384 and 0 gt {/mshow {pop} def} {/mshow {show}
+	def} ifelse just 16 and 0 gt {gsave rotval rotate 0 1 dtransform
+	gsave pagemat setmatrix idtransform exch grestore 1 0 dtransform
+	gsave pagemat setmatrix idtransform exch grestore dup abs 1e-9 lt
+	{pop mul 0 gt} {3 1 roll pop pop 0 lt} ifelse grestore {-1 /rotval
+	rotval neg def /just just dup 3 and 1 ne {3 xor} if def} {1} ifelse
+	exch -1e-9 lt {-1 /rotval rotval neg def /just just dup 12 and
+	4 ne {12 xor} if def} {1} ifelse scale } if /showflag 0 def
+	/fspc pspc def /Bline 0 def /Tabs 0 array def /fscale 1.0 def
+	/kY 0 def gsave dup 1 add copy 0 exch 1 0 dtransform exch atan rotate
+	{exch dup type /stringtype eq {true charpath flattenpath} {dup type
+	/arraytype eq {exec} {12 string cvs true charpath flattenpath} ifelse}
+	ifelse} repeat pop pathbbox grestore 3 -1 roll pop 3 1 roll just
+	1 and 0 gt {just 2 and 0 gt {exch pop neg fspc sub} {exch sub 0.5
+	mul neg} ifelse} {pop neg fspc add} ifelse exch Bline exch just 4
+	and 0 gt {just 8 and 0 gt {exch pop neg fspc sub} {add 0.5 mul neg}
+	ifelse} {pop neg fspc add} ifelse rotval rotate Kn currentpoint
+	translate /showflag 1 def /Bline 0 def /Tabs 0 array def /fscale
+	1.0 def /kY 0 def {dup type /stringtype eq {mshow} {dup type
+	/arraytype eq {exec} {12 string cvs mshow} ifelse} ifelse} repeat
+	grestore endclip} def
+/pinlabel { 4 index 32 and 0 ne hlevel 0 eq or { /pspc 10 def label
+	/pspc 0 def } { pop pop pop pop pop {pop} repeat } ifelse } def
+/pinglobal { pinlabel } def
+/infolabel { pinlabel } def
+/graphic { gsave 4 index cvx exec /DataSource get resetfile translate 0 0
+	moveto neg rotate dup scale cvx exec image grestore endclip} def
+
+/scb { setrgbcolor } bind def  /sce { defColor aload pop scb } bind def
+/cRedef {/defColor currentcolor 3 array astore def} def
+/begingate {dup type /dicttype ne {1 dict} if begin	% default params
+	dup type /dicttype ne {1 dict} if begin		% instanced params
+	/hlevel hlevel 1 add def /defColor currentcolor sce 3 array astore
+	def gsave sce translate 0 0 moveto neg rotate dup type /nametype
+	eq not { dup abs currentlinewidth exch div setlinewidth } { pop }
+	ifelse dup abs scale clipped 1 and 1 eq {/clipped clipped 1 add def}
+	if} bind def
+/endgate { /hlevel hlevel 1 sub def grestore defColor aload pop cRedef
+	scb end end endclip} bind def
+
+/hlevel 0 def
+/endclip {clipped 1 and 1 eq {grestore /clipped clipped 1 sub def} if} def
+/tmpa [1 0 0 1 0 0] def
+/gar {8 8 true tmpa {<c0 c0 00 00 0c 0c 00 00>} imagemask} bind
+{8 8 true tmpa {<30 70 60 02 03 07 06 20>} imagemask} bind
+{8 8 true tmpa {<0c 1e 1e 0c c0 e1 e1 c0>} imagemask} bind
+{8 8 true tmpa {<0f 0f 0f 0f f0 f0 f0 f0>} imagemask} bind
+{8 8 true tmpa {<3f f3 e1 e1 f3 3f 1e 1e>} imagemask} bind
+{8 8 true tmpa {<df cf 8f 9f fd fc f8 f9>} imagemask} bind
+{8 8 true tmpa {<ff 3f 3f ff ff f3 f3 ff>} imagemask} bind 7 array astore def
+/ppaint { gsave clip tmpa dup setmatrix pathbbox neg exch neg 4 2 roll
+  neg 4 -1 roll 2 copy gt {exch} if 8 div ceiling 8 mul 4 2 roll neg 2 copy
+  gt {exch} if 8 div ceiling 8 mul 3 -1 roll -8 5 -1 roll
+  { 3 index exch 5 exch put dup -8 3 index { 3 index
+  exch 4 exch put 3 index exec } for } for pop pop pop pop grestore } bind def
+/setstyles {
+  currentlinewidth mul setlinewidth /style exch def style 2048 and 0 gt
+  clipped 1 and 0 eq and {clip newpath /clipped clipped 1 add def} {
+  style 1 and 0 gt not {closepath} if
+  style 1024 and 0 gt {2 setlinecap} if
+  style 2 and 0 gt {currentlinewidth 4 mul dup 2 array astore 0 setdash} if
+  style 4 and 0 gt {0.5 currentlinewidth 4 mul 2 array astore 0 setdash} if
+  gsave style 16 and 0 gt { style 224 and -5 bitshift style 256 and 0 gt {
+  7 exch sub 8 div dup 1 exch sub currentrgbcolor 3 array astore
+  {3 copy mul add 4 1 roll pop} forall pop pop setrgbcolor eofill}
+  {dup 7 lt {gar exch get ppaint} {pop eofill} ifelse} ifelse}
+  {style 256 and 0 gt {1 setgray eofill} if} ifelse grestore style 8 and 0 gt 
+  style 512 eq or {newpath} {stroke} ifelse grestore endclip} ifelse} def     
+
+/addtoy {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll add
+	4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtoy1 {1 exch addtoy pop} def
+/addtoy3 {3 exch addtoy pop} def
+/addtoy4 {4 exch addtoy pop} def
+/addtox {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll 3 -1 roll
+	add exch 4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtox1 {1 exch addtox pop} def
+/addtox3 {3 exch addtox pop} def
+/addtox4 {4 exch addtox pop} def
+/polygon { gsave /num exch def moveto num 1 sub {lineto} repeat setstyles } def
+/xcarc   { gsave newpath arc setstyles } def
+/elb { matrix currentmatrix 7 -1 roll 7 -1 roll translate 5 1 roll 4 -1 roll
+	3 index div 1 scale } def
+/ele { 0 4 1 roll 0 4 1 roll } bind def
+/ellipse { gsave elb newpath ele arc setmatrix setstyles } def
+/pellip  { elb ele arc setmatrix } def
+/nellip  { elb ele arcn setmatrix } def
+/spline  { gsave moveto curveto setstyles } def
+/polyc   { {lineto} repeat } bind def
+/beginpath { gsave moveto } bind def
+/endpath { setstyles } bind def
+/bop	 { 1 setlinecap 0 setlinejoin 6 setmiterlimit 0 0 0 scb cRedef
+	/clipped 0 def} def
+/psinsertion {/PSobj save def /showpage {} def /setpagedevice {pop} def bop
+	rotate translate dup scale} def
+/end_insert {PSobj restore} def
+/setpagemat {/pagemat matrix currentmatrix def} def
+/inchscale  {setpagemat 0.375 mul dup scale} def
+/cmscale    {setpagemat 0.35433071 mul dup scale} def
+
+%%EndResource
+%%EndProlog
+
+% XCircuit output starts here.
+
+%%BeginSetup
+
+/analog::inductor {
+begingate
+1 1.000 20 -22 20 -38 0 -42 0 -18 spline
+1 1.000 -20 -14 -20 -26 0 -22 0 -18 spline
+1 1.000 20 38 20 22 0 18 0 42 spline
+1 1.000 20 18 20 2 0 -2 0 22 spline
+1 1.000 20 -2 20 -18 0 -22 0 2 spline
+1 1.000 -20 26 -20 14 0 18 0 22 spline
+1 1.000 -20 6 -20 -6 0 -2 0 2 spline
+1 1.000 0 -42 0 -64 2 polygon
+1 1.000 0 42 0 64 2 polygon
+1.000 0.000 0.000 scb
+(l.1) {/Times-Roman cf} 2 9 0 1.000 0 64 pinlabel
+(l.2) {/Times-Roman cf} 2 13 0 1.000 0 -64 pinlabel
+0.180 0.545 0.341 scb
+(spice:L%i %pl.1 %pl.2 1.0U) {/Times-Roman cf} 2 0 0 1.000 -208 -160 infolabel
+(sim:l %pl.1 %pl.2) {/Times-Roman cf} 2 0 0 1.000 -208 -208 infolabel
+endgate
+} def
+
+/analog::resistor {
+begingate
+1 1.000 0 64 0 36 2 polygon
+1 1.000 0 -64 0 -36 2 polygon
+1 1.000 0 -36 14 -30 -14 -18 14 -6 -14 6 14 18 -14 30 0 36 8 polygon
+1.000 0.000 0.000 scb
+(r.1) {/Times-Roman cf} 2 9 0 1.000 0 64 pinlabel
+(r.2) {/Times-Roman cf} 2 13 0 1.000 0 -64 pinlabel
+0.180 0.545 0.341 scb
+(spice:R%i %pr.1 %pr.2 1.0K) {/Times-Roman cf} 2 0 0 1.000 -208 -160 infolabel
+(sim:r %pr.1 %pr.2) {/Times-Roman cf} 2 0 0 1.000 -208 -208 infolabel
+endgate
+} def
+
+
+%%EndSetup
+
+%%Page: RLcircuit 1
+%%PageOrientation: Portrait
+/pgsave save def bop
+1.0000 inchscale
+2.6000 setlinewidth 656 208 translate
+
+(A1) {/Helvetica cf} 2 16 0 1.000 -64 16 label
+1.000 270 64 32 analog::inductor
+1.000 90 -160 32 analog::resistor
+(IN1) {/Helvetica cf} 2 16 0 1.000 -448 16 label
+0 1.000 -464 64 -464 0 -384 0 -384 64 4 polygon
+(OD1) {/Helvetica cf} 2 16 0 1.000 -304 16 label
+0 1.000 -320 64 -320 0 -224 0 -224 64 4 polygon
+1 1.000 -384 32 -320 32 2 polygon
+0 1.000 -80 64 -80 0 0 0 0 64 4 polygon
+1 1.000 -96 32 -80 32 2 polygon
+(GND) {/Helvetica cf} 2 16 0 1.000 144 16 label
+0 1.000 128 64 128 0 240 0 240 64 4 polygon
+(1K) {/Helvetica cf} 2 16 0 1.000 -160 -16 label
+pgsave restore showpage
+
+%%Trailer
+XCIRCsave restore
+%%EOF
diff --git a/doc/en/Docs-jr/schematics/ac-dc.png b/doc/en/Docs-jr/schematics/ac-dc.png
new file mode 100644
index 0000000..e6bcf47
Binary files /dev/null and b/doc/en/Docs-jr/schematics/ac-dc.png differ
diff --git a/doc/en/Docs-jr/schematics/ac-dc.ps b/doc/en/Docs-jr/schematics/ac-dc.ps
new file mode 100644
index 0000000..e1450aa
--- /dev/null
+++ b/doc/en/Docs-jr/schematics/ac-dc.ps
@@ -0,0 +1,198 @@
+%!PS-Adobe-3.0 EPSF-3.0
+%%Title: ac-dc.ps
+%%Creator: XCircuit v3.7 rev26
+%%CreationDate: Mon Jul 16 08:52:59 2012
+%%Pages: 1
+%%BoundingBox: 68 68 304 100
+%%DocumentNeededResources: font Helvetica 
+%%EndComments
+%%BeginProlog
+%
+%  PostScript prolog for output from xcircuit
+%  Version: 3.7
+%
+%  Electrical circuit (and otherwise general) drawing program
+%
+%  Written by Tim Edwards 8/5/93--11/1/10  (tim.edwards at multigig.com)
+%  The Johns Hopkins University (1993-2004)
+%  MultiGiG, Inc. (2004-present)
+%
+%%BeginResource: procset XCIRCproc 3.7 2
+%
+% supporting definitions --- these are the primary xcircuit types.
+
+/XCIRCsave save def
+/topmat matrix currentmatrix def
+
+/fontslant { /slant exch def [1 0 slant 1 0 0] 
+    exch findfont exch makefont dup length dict /ndict exch def
+    { 1 index /FID ne { ndict 3 1 roll put } { pop pop } ifelse } forall
+    ndict definefont pop} def
+/ul { dup type /stringtype eq showflag 1 eq and { gsave 
+   currentpoint topmat setmatrix 0 0 moveto 2 index stringwidth pop (_)
+   false charpath flattenpath pathbbox grestore exch pop 1 index
+   sub setlinewidth exch pop currentpoint 3 -1 roll add moveto 0
+   rlineto stroke moveto } if } def
+/ol { dup type /stringtype eq showflag 1 eq and { gsave gsave
+   currentpoint topmat setmatrix 2 index stringwidth pop 3 index
+   true charpath flattenpath pathbbox grestore exch pop
+   exch pop topmat setmatrix (_) true charpath pathbbox grestore
+   exch pop 1 index sub setlinewidth exch pop currentpoint
+   exch 4 1 roll exch sub add moveto pop 0 rlineto stroke
+   moveto } if } def
+/stW { gsave currentpoint newpath moveto true charpath flattenpath
+	pathbbox pop exch pop sub grestore } def
+/Ts {mark Tabs aload pop counttomark 1 add array astore /Tabs exch def Tabs
+	0 currentpoint pop put} def
+/Tbn {mark Tabs aload pop counttomark dup 2 add 1 roll cleartomark 1 sub} def
+/Tb { 0 1 Tbn {Tabs exch get dup currentpoint pop lt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/Tf { Tbn -1 0 {Tabs exch get dup currentpoint pop gt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/qS { (aa) stW (a a) stW sub 4 div 0 Kn } def
+/hS { qS qS } def
+/pspc 0 def
+/cf0 { scalefont setfont } bind def
+/Kn { dup kY add /kY exch def rmoveto } bind def
+/ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.33 mul neg Kn} def
+/Ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.67 mul Kn } def
+/ns { 0 kY neg Kn /kY 0 def /fscale 1.0 def xfont0 1.0 cf0 } def
+/CR { ns 0 /Bline Bline fscale0 neg add def Bline moveto } def
+/cf { dup type /realtype ne {1.0} if exch findfont exch kY 0 eq
+	{ 40 mul dup /fscale0 exch def cf0 /xfont0 currentfont def}
+	{fscale0 mul fscale mul cf0} ifelse } def
+/ctmk { counttomark dup 2 add -1 roll pop } bind def
+/label { gsave translate 0 0 moveto dup scale neg /rotval exch def
+	/just exch def just 384 and 0 gt {/mshow {pop} def} {/mshow {show}
+	def} ifelse just 16 and 0 gt {gsave rotval rotate 0 1 dtransform
+	gsave pagemat setmatrix idtransform exch grestore 1 0 dtransform
+	gsave pagemat setmatrix idtransform exch grestore dup abs 1e-9 lt
+	{pop mul 0 gt} {3 1 roll pop pop 0 lt} ifelse grestore {-1 /rotval
+	rotval neg def /just just dup 3 and 1 ne {3 xor} if def} {1} ifelse
+	exch -1e-9 lt {-1 /rotval rotval neg def /just just dup 12 and
+	4 ne {12 xor} if def} {1} ifelse scale } if /showflag 0 def
+	/fspc pspc def /Bline 0 def /Tabs 0 array def /fscale 1.0 def
+	/kY 0 def gsave dup 1 add copy 0 exch 1 0 dtransform exch atan rotate
+	{exch dup type /stringtype eq {true charpath flattenpath} {dup type
+	/arraytype eq {exec} {12 string cvs true charpath flattenpath} ifelse}
+	ifelse} repeat pop pathbbox grestore 3 -1 roll pop 3 1 roll just
+	1 and 0 gt {just 2 and 0 gt {exch pop neg fspc sub} {exch sub 0.5
+	mul neg} ifelse} {pop neg fspc add} ifelse exch Bline exch just 4
+	and 0 gt {just 8 and 0 gt {exch pop neg fspc sub} {add 0.5 mul neg}
+	ifelse} {pop neg fspc add} ifelse rotval rotate Kn currentpoint
+	translate /showflag 1 def /Bline 0 def /Tabs 0 array def /fscale
+	1.0 def /kY 0 def {dup type /stringtype eq {mshow} {dup type
+	/arraytype eq {exec} {12 string cvs mshow} ifelse} ifelse} repeat
+	grestore endclip} def
+/pinlabel { 4 index 32 and 0 ne hlevel 0 eq or { /pspc 10 def label
+	/pspc 0 def } { pop pop pop pop pop {pop} repeat } ifelse } def
+/pinglobal { pinlabel } def
+/infolabel { pinlabel } def
+/graphic { gsave 4 index cvx exec /DataSource get resetfile translate 0 0
+	moveto neg rotate dup scale cvx exec image grestore endclip} def
+
+/scb { setrgbcolor } bind def  /sce { defColor aload pop scb } bind def
+/cRedef {/defColor currentcolor 3 array astore def} def
+/begingate {dup type /dicttype ne {1 dict} if begin	% default params
+	dup type /dicttype ne {1 dict} if begin		% instanced params
+	/hlevel hlevel 1 add def /defColor currentcolor sce 3 array astore
+	def gsave sce translate 0 0 moveto neg rotate dup type /nametype
+	eq not { dup abs currentlinewidth exch div setlinewidth } { pop }
+	ifelse dup abs scale clipped 1 and 1 eq {/clipped clipped 1 add def}
+	if} bind def
+/endgate { /hlevel hlevel 1 sub def grestore defColor aload pop cRedef
+	scb end end endclip} bind def
+
+/hlevel 0 def
+/endclip {clipped 1 and 1 eq {grestore /clipped clipped 1 sub def} if} def
+/tmpa [1 0 0 1 0 0] def
+/gar {8 8 true tmpa {<c0 c0 00 00 0c 0c 00 00>} imagemask} bind
+{8 8 true tmpa {<30 70 60 02 03 07 06 20>} imagemask} bind
+{8 8 true tmpa {<0c 1e 1e 0c c0 e1 e1 c0>} imagemask} bind
+{8 8 true tmpa {<0f 0f 0f 0f f0 f0 f0 f0>} imagemask} bind
+{8 8 true tmpa {<3f f3 e1 e1 f3 3f 1e 1e>} imagemask} bind
+{8 8 true tmpa {<df cf 8f 9f fd fc f8 f9>} imagemask} bind
+{8 8 true tmpa {<ff 3f 3f ff ff f3 f3 ff>} imagemask} bind 7 array astore def
+/ppaint { gsave clip tmpa dup setmatrix pathbbox neg exch neg 4 2 roll
+  neg 4 -1 roll 2 copy gt {exch} if 8 div ceiling 8 mul 4 2 roll neg 2 copy
+  gt {exch} if 8 div ceiling 8 mul 3 -1 roll -8 5 -1 roll
+  { 3 index exch 5 exch put dup -8 3 index { 3 index
+  exch 4 exch put 3 index exec } for } for pop pop pop pop grestore } bind def
+/setstyles {
+  currentlinewidth mul setlinewidth /style exch def style 2048 and 0 gt
+  clipped 1 and 0 eq and {clip newpath /clipped clipped 1 add def} {
+  style 1 and 0 gt not {closepath} if
+  style 1024 and 0 gt {2 setlinecap} if
+  style 2 and 0 gt {currentlinewidth 4 mul dup 2 array astore 0 setdash} if
+  style 4 and 0 gt {0.5 currentlinewidth 4 mul 2 array astore 0 setdash} if
+  gsave style 16 and 0 gt { style 224 and -5 bitshift style 256 and 0 gt {
+  7 exch sub 8 div dup 1 exch sub currentrgbcolor 3 array astore
+  {3 copy mul add 4 1 roll pop} forall pop pop setrgbcolor eofill}
+  {dup 7 lt {gar exch get ppaint} {pop eofill} ifelse} ifelse}
+  {style 256 and 0 gt {1 setgray eofill} if} ifelse grestore style 8 and 0 gt 
+  style 512 eq or {newpath} {stroke} ifelse grestore endclip} ifelse} def     
+
+/addtoy {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll add
+	4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtoy1 {1 exch addtoy pop} def
+/addtoy3 {3 exch addtoy pop} def
+/addtoy4 {4 exch addtoy pop} def
+/addtox {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll 3 -1 roll
+	add exch 4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtox1 {1 exch addtox pop} def
+/addtox3 {3 exch addtox pop} def
+/addtox4 {4 exch addtox pop} def
+/polygon { gsave /num exch def moveto num 1 sub {lineto} repeat setstyles } def
+/xcarc   { gsave newpath arc setstyles } def
+/elb { matrix currentmatrix 7 -1 roll 7 -1 roll translate 5 1 roll 4 -1 roll
+	3 index div 1 scale } def
+/ele { 0 4 1 roll 0 4 1 roll } bind def
+/ellipse { gsave elb newpath ele arc setmatrix setstyles } def
+/pellip  { elb ele arc setmatrix } def
+/nellip  { elb ele arcn setmatrix } def
+/spline  { gsave moveto curveto setstyles } def
+/polyc   { {lineto} repeat } bind def
+/beginpath { gsave moveto } bind def
+/endpath { setstyles } bind def
+/bop	 { 1 setlinecap 0 setlinejoin 6 setmiterlimit 0 0 0 scb cRedef
+	/clipped 0 def} def
+/psinsertion {/PSobj save def /showpage {} def /setpagedevice {pop} def bop
+	rotate translate dup scale} def
+/end_insert {PSobj restore} def
+/setpagemat {/pagemat matrix currentmatrix def} def
+/inchscale  {setpagemat 0.375 mul dup scale} def
+/cmscale    {setpagemat 0.35433071 mul dup scale} def
+
+%%EndResource
+%%EndProlog
+
+% XCircuit output starts here.
+
+%%BeginSetup
+
+
+%%EndSetup
+
+%%Page: ac-dc 1
+%%PageOrientation: Portrait
+/pgsave save def bop
+1.0000 inchscale
+2.6000 setlinewidth 384 64 translate
+
+(PVS) {/Helvetica cf} 2 16 0 1.000 -176 144 label
+0 1.000 -16 192 -16 128 64 128 64 192 4 polygon
+0 1.000 -192 192 -192 128 -80 128 -80 192 4 polygon
+0 1.000 160 192 160 128 256 128 256 192 4 polygon
+0 1.000 336 192 336 128 416 128 416 192 4 polygon
+1 1.000 256 160 336 160 2 polygon
+1 1.000 -80 160 -16 160 2 polygon
+(A2) {/Helvetica cf} 2 16 0 1.000 0 144 label
+(SINE) {/Helvetica cf} 2 16 0 1.000 160 144 label
+(A1) {/Helvetica cf} 2 16 0 1.000 352 144 label
+pgsave restore showpage
+
+%%Trailer
+XCIRCsave restore
+%%EOF
diff --git a/doc/en/Docs-jr/schematics/ac-generator.png b/doc/en/Docs-jr/schematics/ac-generator.png
new file mode 100644
index 0000000..d0f9fef
Binary files /dev/null and b/doc/en/Docs-jr/schematics/ac-generator.png differ
diff --git a/doc/en/Docs-jr/schematics/ac-generator.ps b/doc/en/Docs-jr/schematics/ac-generator.ps
new file mode 100644
index 0000000..8cc6fdc
--- /dev/null
+++ b/doc/en/Docs-jr/schematics/ac-generator.ps
@@ -0,0 +1,232 @@
+%!PS-Adobe-3.0 EPSF-3.0
+%%Title: ac-generator.ps
+%%Creator: XCircuit v3.7 rev26
+%%CreationDate: Thu Jul 19 15:46:09 2012
+%%Pages: 1
+%%BoundingBox: 68 68 328 184
+%%DocumentNeededResources: font Helvetica 
+%%EndComments
+%%BeginProlog
+%
+%  PostScript prolog for output from xcircuit
+%  Version: 3.7
+%
+%  Electrical circuit (and otherwise general) drawing program
+%
+%  Written by Tim Edwards 8/5/93--11/1/10  (tim.edwards at multigig.com)
+%  The Johns Hopkins University (1993-2004)
+%  MultiGiG, Inc. (2004-present)
+%
+%%BeginResource: procset XCIRCproc 3.7 2
+%
+% supporting definitions --- these are the primary xcircuit types.
+
+/XCIRCsave save def
+/topmat matrix currentmatrix def
+
+/fontslant { /slant exch def [1 0 slant 1 0 0] 
+    exch findfont exch makefont dup length dict /ndict exch def
+    { 1 index /FID ne { ndict 3 1 roll put } { pop pop } ifelse } forall
+    ndict definefont pop} def
+/ul { dup type /stringtype eq showflag 1 eq and { gsave 
+   currentpoint topmat setmatrix 0 0 moveto 2 index stringwidth pop (_)
+   false charpath flattenpath pathbbox grestore exch pop 1 index
+   sub setlinewidth exch pop currentpoint 3 -1 roll add moveto 0
+   rlineto stroke moveto } if } def
+/ol { dup type /stringtype eq showflag 1 eq and { gsave gsave
+   currentpoint topmat setmatrix 2 index stringwidth pop 3 index
+   true charpath flattenpath pathbbox grestore exch pop
+   exch pop topmat setmatrix (_) true charpath pathbbox grestore
+   exch pop 1 index sub setlinewidth exch pop currentpoint
+   exch 4 1 roll exch sub add moveto pop 0 rlineto stroke
+   moveto } if } def
+/stW { gsave currentpoint newpath moveto true charpath flattenpath
+	pathbbox pop exch pop sub grestore } def
+/Ts {mark Tabs aload pop counttomark 1 add array astore /Tabs exch def Tabs
+	0 currentpoint pop put} def
+/Tbn {mark Tabs aload pop counttomark dup 2 add 1 roll cleartomark 1 sub} def
+/Tb { 0 1 Tbn {Tabs exch get dup currentpoint pop lt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/Tf { Tbn -1 0 {Tabs exch get dup currentpoint pop gt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/qS { (aa) stW (a a) stW sub 4 div 0 Kn } def
+/hS { qS qS } def
+/pspc 0 def
+/cf0 { scalefont setfont } bind def
+/Kn { dup kY add /kY exch def rmoveto } bind def
+/ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.33 mul neg Kn} def
+/Ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.67 mul Kn } def
+/ns { 0 kY neg Kn /kY 0 def /fscale 1.0 def xfont0 1.0 cf0 } def
+/CR { ns 0 /Bline Bline fscale0 neg add def Bline moveto } def
+/cf { dup type /realtype ne {1.0} if exch findfont exch kY 0 eq
+	{ 40 mul dup /fscale0 exch def cf0 /xfont0 currentfont def}
+	{fscale0 mul fscale mul cf0} ifelse } def
+/ctmk { counttomark dup 2 add -1 roll pop } bind def
+/label { gsave translate 0 0 moveto dup scale neg /rotval exch def
+	/just exch def just 384 and 0 gt {/mshow {pop} def} {/mshow {show}
+	def} ifelse just 16 and 0 gt {gsave rotval rotate 0 1 dtransform
+	gsave pagemat setmatrix idtransform exch grestore 1 0 dtransform
+	gsave pagemat setmatrix idtransform exch grestore dup abs 1e-9 lt
+	{pop mul 0 gt} {3 1 roll pop pop 0 lt} ifelse grestore {-1 /rotval
+	rotval neg def /just just dup 3 and 1 ne {3 xor} if def} {1} ifelse
+	exch -1e-9 lt {-1 /rotval rotval neg def /just just dup 12 and
+	4 ne {12 xor} if def} {1} ifelse scale } if /showflag 0 def
+	/fspc pspc def /Bline 0 def /Tabs 0 array def /fscale 1.0 def
+	/kY 0 def gsave dup 1 add copy 0 exch 1 0 dtransform exch atan rotate
+	{exch dup type /stringtype eq {true charpath flattenpath} {dup type
+	/arraytype eq {exec} {12 string cvs true charpath flattenpath} ifelse}
+	ifelse} repeat pop pathbbox grestore 3 -1 roll pop 3 1 roll just
+	1 and 0 gt {just 2 and 0 gt {exch pop neg fspc sub} {exch sub 0.5
+	mul neg} ifelse} {pop neg fspc add} ifelse exch Bline exch just 4
+	and 0 gt {just 8 and 0 gt {exch pop neg fspc sub} {add 0.5 mul neg}
+	ifelse} {pop neg fspc add} ifelse rotval rotate Kn currentpoint
+	translate /showflag 1 def /Bline 0 def /Tabs 0 array def /fscale
+	1.0 def /kY 0 def {dup type /stringtype eq {mshow} {dup type
+	/arraytype eq {exec} {12 string cvs mshow} ifelse} ifelse} repeat
+	grestore endclip} def
+/pinlabel { 4 index 32 and 0 ne hlevel 0 eq or { /pspc 10 def label
+	/pspc 0 def } { pop pop pop pop pop {pop} repeat } ifelse } def
+/pinglobal { pinlabel } def
+/infolabel { pinlabel } def
+/graphic { gsave 4 index cvx exec /DataSource get resetfile translate 0 0
+	moveto neg rotate dup scale cvx exec image grestore endclip} def
+
+/scb { setrgbcolor } bind def  /sce { defColor aload pop scb } bind def
+/cRedef {/defColor currentcolor 3 array astore def} def
+/begingate {dup type /dicttype ne {1 dict} if begin	% default params
+	dup type /dicttype ne {1 dict} if begin		% instanced params
+	/hlevel hlevel 1 add def /defColor currentcolor sce 3 array astore
+	def gsave sce translate 0 0 moveto neg rotate dup type /nametype
+	eq not { dup abs currentlinewidth exch div setlinewidth } { pop }
+	ifelse dup abs scale clipped 1 and 1 eq {/clipped clipped 1 add def}
+	if} bind def
+/endgate { /hlevel hlevel 1 sub def grestore defColor aload pop cRedef
+	scb end end endclip} bind def
+
+/hlevel 0 def
+/endclip {clipped 1 and 1 eq {grestore /clipped clipped 1 sub def} if} def
+/tmpa [1 0 0 1 0 0] def
+/gar {8 8 true tmpa {<c0 c0 00 00 0c 0c 00 00>} imagemask} bind
+{8 8 true tmpa {<30 70 60 02 03 07 06 20>} imagemask} bind
+{8 8 true tmpa {<0c 1e 1e 0c c0 e1 e1 c0>} imagemask} bind
+{8 8 true tmpa {<0f 0f 0f 0f f0 f0 f0 f0>} imagemask} bind
+{8 8 true tmpa {<3f f3 e1 e1 f3 3f 1e 1e>} imagemask} bind
+{8 8 true tmpa {<df cf 8f 9f fd fc f8 f9>} imagemask} bind
+{8 8 true tmpa {<ff 3f 3f ff ff f3 f3 ff>} imagemask} bind 7 array astore def
+/ppaint { gsave clip tmpa dup setmatrix pathbbox neg exch neg 4 2 roll
+  neg 4 -1 roll 2 copy gt {exch} if 8 div ceiling 8 mul 4 2 roll neg 2 copy
+  gt {exch} if 8 div ceiling 8 mul 3 -1 roll -8 5 -1 roll
+  { 3 index exch 5 exch put dup -8 3 index { 3 index
+  exch 4 exch put 3 index exec } for } for pop pop pop pop grestore } bind def
+/setstyles {
+  currentlinewidth mul setlinewidth /style exch def style 2048 and 0 gt
+  clipped 1 and 0 eq and {clip newpath /clipped clipped 1 add def} {
+  style 1 and 0 gt not {closepath} if
+  style 1024 and 0 gt {2 setlinecap} if
+  style 2 and 0 gt {currentlinewidth 4 mul dup 2 array astore 0 setdash} if
+  style 4 and 0 gt {0.5 currentlinewidth 4 mul 2 array astore 0 setdash} if
+  gsave style 16 and 0 gt { style 224 and -5 bitshift style 256 and 0 gt {
+  7 exch sub 8 div dup 1 exch sub currentrgbcolor 3 array astore
+  {3 copy mul add 4 1 roll pop} forall pop pop setrgbcolor eofill}
+  {dup 7 lt {gar exch get ppaint} {pop eofill} ifelse} ifelse}
+  {style 256 and 0 gt {1 setgray eofill} if} ifelse grestore style 8 and 0 gt 
+  style 512 eq or {newpath} {stroke} ifelse grestore endclip} ifelse} def     
+
+/addtoy {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll add
+	4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtoy1 {1 exch addtoy pop} def
+/addtoy3 {3 exch addtoy pop} def
+/addtoy4 {4 exch addtoy pop} def
+/addtox {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll 3 -1 roll
+	add exch 4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtox1 {1 exch addtox pop} def
+/addtox3 {3 exch addtox pop} def
+/addtox4 {4 exch addtox pop} def
+/polygon { gsave /num exch def moveto num 1 sub {lineto} repeat setstyles } def
+/xcarc   { gsave newpath arc setstyles } def
+/elb { matrix currentmatrix 7 -1 roll 7 -1 roll translate 5 1 roll 4 -1 roll
+	3 index div 1 scale } def
+/ele { 0 4 1 roll 0 4 1 roll } bind def
+/ellipse { gsave elb newpath ele arc setmatrix setstyles } def
+/pellip  { elb ele arc setmatrix } def
+/nellip  { elb ele arcn setmatrix } def
+/spline  { gsave moveto curveto setstyles } def
+/polyc   { {lineto} repeat } bind def
+/beginpath { gsave moveto } bind def
+/endpath { setstyles } bind def
+/bop	 { 1 setlinecap 0 setlinejoin 6 setmiterlimit 0 0 0 scb cRedef
+	/clipped 0 def} def
+/psinsertion {/PSobj save def /showpage {} def /setpagedevice {pop} def bop
+	rotate translate dup scale} def
+/end_insert {PSobj restore} def
+/setpagemat {/pagemat matrix currentmatrix def} def
+/inchscale  {setpagemat 0.375 mul dup scale} def
+/cmscale    {setpagemat 0.35433071 mul dup scale} def
+
+%%EndResource
+%%EndProlog
+
+% XCircuit output starts here.
+
+%%BeginSetup
+
+/generic::arrowhead {
+% nonetwork
+begingate
+8 -28 beginpath
+3 -18 3 -15 0 0 curveto
+-3 -15 -3 -18 -8 -28 curveto
+-2 -26 2 -26 8 -28 curveto
+249 
+1.000 endpath
+endgate
+} def
+
+
+%%EndSetup
+
+%%Page: ac-generator 1
+%%PageOrientation: Portrait
+/pgsave save def bop
+1.0000 inchscale
+2.6000 setlinewidth 800 240 translate
+
+(DC) {/Helvetica cf} 2 16 0 1.000 -352 16 label
+(MOTOR) {/Helvetica cf} 2 16 0 1.000 -400 -32 label
+0 1.000 -416 64 -416 -48 -256 -48 -256 64 4 polygon
+0 1.000 -352 96 -352 64 -320 64 -320 96 4 polygon
+0 1.000 -384 160 -384 96 -288 96 -288 160 4 polygon
+1 1.000 -336 128 22 16 180.000 360.000 ellipse
+1.000 330 -368 144 generic::arrowhead
+(Magnet) {/Helvetica cf} 2 16 0 1.000 -400 176 label
+0 1.000 -256 176 -256 160 -176 160 -176 176 4 polygon
+0 1.000 -256 96 -256 80 -176 80 -176 96 4 polygon
+(COIL) {/Helvetica cf} 2 16 0 1.000 -256 112 label
+(A1) {/Helvetica cf} 2 16 0 1.000 -160 16 label
+0 1.000 -192 64 -192 0 -96 0 -96 64 4 polygon
+(GND) {/Helvetica cf} 2 16 0 1.000 -32 16 label
+0 1.000 -48 64 -48 0 64 0 64 64 4 polygon
+1 1.000 -176 80 -144 80 -144 64 3 polygon
+1 1.000 -176 160 0 160 0 64 3 polygon
+(Rotating) {/Helvetica cf} 2 16 0 1.000 -400 208 label
+(1.5V) {/Helvetica cf} 2 16 0 1.000 -560 0 label
+(CELL) {/Helvetica cf} 2 16 0 1.000 -576 -32 label
+0 1.000 -576 48 -576 -48 -464 -48 -464 48 4 polygon
+0 1.000 -464 16 -464 -16 -448 -16 -448 16 4 polygon
+1 1.000 -448 0 -416 0 2 polygon
+1 1.000 -416 48 -448 48 -448 64 -608 64 -608 0 -576 0 6 polygon
+0 1.000 -608 144 -608 80 -512 80 -512 144 4 polygon
+0 1.000 -496 176 -496 160 -416 160 -416 176 4 polygon
+0 1.000 -496 96 -496 80 -416 80 -416 96 4 polygon
+(COIL) {/Helvetica cf} 2 16 0 1.000 -496 112 label
+{/Helvetica cf} 1 16 0 1.000 -576 112 label
+(A2) {/Helvetica cf} 2 16 0 1.000 -576 96 label
+1 1.000 -496 80 -512 80 2 polygon
+1 1.000 -448 176 -448 240 0 240 0 160 4 polygon
+pgsave restore showpage
+
+%%Trailer
+XCIRCsave restore
+%%EOF
diff --git a/doc/en/Docs-jr/schematics/ac-invert.png b/doc/en/Docs-jr/schematics/ac-invert.png
new file mode 100644
index 0000000..fb7121f
Binary files /dev/null and b/doc/en/Docs-jr/schematics/ac-invert.png differ
diff --git a/doc/en/Docs-jr/schematics/ac-invert.ps b/doc/en/Docs-jr/schematics/ac-invert.ps
new file mode 100644
index 0000000..2f59a4c
--- /dev/null
+++ b/doc/en/Docs-jr/schematics/ac-invert.ps
@@ -0,0 +1,230 @@
+%!PS-Adobe-3.0 EPSF-3.0
+%%Title: ac-invert.ps
+%%Creator: XCircuit v3.7 rev26
+%%CreationDate: Mon Jul 23 12:06:10 2012
+%%Pages: 1
+%%BoundingBox: 68 68 364 100
+%%DocumentNeededResources: font Helvetica Times-Roman 
+%%EndComments
+%%BeginProlog
+%
+%  PostScript prolog for output from xcircuit
+%  Version: 3.7
+%
+%  Electrical circuit (and otherwise general) drawing program
+%
+%  Written by Tim Edwards 8/5/93--11/1/10  (tim.edwards at multigig.com)
+%  The Johns Hopkins University (1993-2004)
+%  MultiGiG, Inc. (2004-present)
+%
+%%BeginResource: procset XCIRCproc 3.7 2
+%
+% supporting definitions --- these are the primary xcircuit types.
+
+/XCIRCsave save def
+/topmat matrix currentmatrix def
+
+/fontslant { /slant exch def [1 0 slant 1 0 0] 
+    exch findfont exch makefont dup length dict /ndict exch def
+    { 1 index /FID ne { ndict 3 1 roll put } { pop pop } ifelse } forall
+    ndict definefont pop} def
+/ul { dup type /stringtype eq showflag 1 eq and { gsave 
+   currentpoint topmat setmatrix 0 0 moveto 2 index stringwidth pop (_)
+   false charpath flattenpath pathbbox grestore exch pop 1 index
+   sub setlinewidth exch pop currentpoint 3 -1 roll add moveto 0
+   rlineto stroke moveto } if } def
+/ol { dup type /stringtype eq showflag 1 eq and { gsave gsave
+   currentpoint topmat setmatrix 2 index stringwidth pop 3 index
+   true charpath flattenpath pathbbox grestore exch pop
+   exch pop topmat setmatrix (_) true charpath pathbbox grestore
+   exch pop 1 index sub setlinewidth exch pop currentpoint
+   exch 4 1 roll exch sub add moveto pop 0 rlineto stroke
+   moveto } if } def
+/stW { gsave currentpoint newpath moveto true charpath flattenpath
+	pathbbox pop exch pop sub grestore } def
+/Ts {mark Tabs aload pop counttomark 1 add array astore /Tabs exch def Tabs
+	0 currentpoint pop put} def
+/Tbn {mark Tabs aload pop counttomark dup 2 add 1 roll cleartomark 1 sub} def
+/Tb { 0 1 Tbn {Tabs exch get dup currentpoint pop lt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/Tf { Tbn -1 0 {Tabs exch get dup currentpoint pop gt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/qS { (aa) stW (a a) stW sub 4 div 0 Kn } def
+/hS { qS qS } def
+/pspc 0 def
+/cf0 { scalefont setfont } bind def
+/Kn { dup kY add /kY exch def rmoveto } bind def
+/ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.33 mul neg Kn} def
+/Ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.67 mul Kn } def
+/ns { 0 kY neg Kn /kY 0 def /fscale 1.0 def xfont0 1.0 cf0 } def
+/CR { ns 0 /Bline Bline fscale0 neg add def Bline moveto } def
+/cf { dup type /realtype ne {1.0} if exch findfont exch kY 0 eq
+	{ 40 mul dup /fscale0 exch def cf0 /xfont0 currentfont def}
+	{fscale0 mul fscale mul cf0} ifelse } def
+/ctmk { counttomark dup 2 add -1 roll pop } bind def
+/label { gsave translate 0 0 moveto dup scale neg /rotval exch def
+	/just exch def just 384 and 0 gt {/mshow {pop} def} {/mshow {show}
+	def} ifelse just 16 and 0 gt {gsave rotval rotate 0 1 dtransform
+	gsave pagemat setmatrix idtransform exch grestore 1 0 dtransform
+	gsave pagemat setmatrix idtransform exch grestore dup abs 1e-9 lt
+	{pop mul 0 gt} {3 1 roll pop pop 0 lt} ifelse grestore {-1 /rotval
+	rotval neg def /just just dup 3 and 1 ne {3 xor} if def} {1} ifelse
+	exch -1e-9 lt {-1 /rotval rotval neg def /just just dup 12 and
+	4 ne {12 xor} if def} {1} ifelse scale } if /showflag 0 def
+	/fspc pspc def /Bline 0 def /Tabs 0 array def /fscale 1.0 def
+	/kY 0 def gsave dup 1 add copy 0 exch 1 0 dtransform exch atan rotate
+	{exch dup type /stringtype eq {true charpath flattenpath} {dup type
+	/arraytype eq {exec} {12 string cvs true charpath flattenpath} ifelse}
+	ifelse} repeat pop pathbbox grestore 3 -1 roll pop 3 1 roll just
+	1 and 0 gt {just 2 and 0 gt {exch pop neg fspc sub} {exch sub 0.5
+	mul neg} ifelse} {pop neg fspc add} ifelse exch Bline exch just 4
+	and 0 gt {just 8 and 0 gt {exch pop neg fspc sub} {add 0.5 mul neg}
+	ifelse} {pop neg fspc add} ifelse rotval rotate Kn currentpoint
+	translate /showflag 1 def /Bline 0 def /Tabs 0 array def /fscale
+	1.0 def /kY 0 def {dup type /stringtype eq {mshow} {dup type
+	/arraytype eq {exec} {12 string cvs mshow} ifelse} ifelse} repeat
+	grestore endclip} def
+/pinlabel { 4 index 32 and 0 ne hlevel 0 eq or { /pspc 10 def label
+	/pspc 0 def } { pop pop pop pop pop {pop} repeat } ifelse } def
+/pinglobal { pinlabel } def
+/infolabel { pinlabel } def
+/graphic { gsave 4 index cvx exec /DataSource get resetfile translate 0 0
+	moveto neg rotate dup scale cvx exec image grestore endclip} def
+
+/scb { setrgbcolor } bind def  /sce { defColor aload pop scb } bind def
+/cRedef {/defColor currentcolor 3 array astore def} def
+/begingate {dup type /dicttype ne {1 dict} if begin	% default params
+	dup type /dicttype ne {1 dict} if begin		% instanced params
+	/hlevel hlevel 1 add def /defColor currentcolor sce 3 array astore
+	def gsave sce translate 0 0 moveto neg rotate dup type /nametype
+	eq not { dup abs currentlinewidth exch div setlinewidth } { pop }
+	ifelse dup abs scale clipped 1 and 1 eq {/clipped clipped 1 add def}
+	if} bind def
+/endgate { /hlevel hlevel 1 sub def grestore defColor aload pop cRedef
+	scb end end endclip} bind def
+
+/hlevel 0 def
+/endclip {clipped 1 and 1 eq {grestore /clipped clipped 1 sub def} if} def
+/tmpa [1 0 0 1 0 0] def
+/gar {8 8 true tmpa {<c0 c0 00 00 0c 0c 00 00>} imagemask} bind
+{8 8 true tmpa {<30 70 60 02 03 07 06 20>} imagemask} bind
+{8 8 true tmpa {<0c 1e 1e 0c c0 e1 e1 c0>} imagemask} bind
+{8 8 true tmpa {<0f 0f 0f 0f f0 f0 f0 f0>} imagemask} bind
+{8 8 true tmpa {<3f f3 e1 e1 f3 3f 1e 1e>} imagemask} bind
+{8 8 true tmpa {<df cf 8f 9f fd fc f8 f9>} imagemask} bind
+{8 8 true tmpa {<ff 3f 3f ff ff f3 f3 ff>} imagemask} bind 7 array astore def
+/ppaint { gsave clip tmpa dup setmatrix pathbbox neg exch neg 4 2 roll
+  neg 4 -1 roll 2 copy gt {exch} if 8 div ceiling 8 mul 4 2 roll neg 2 copy
+  gt {exch} if 8 div ceiling 8 mul 3 -1 roll -8 5 -1 roll
+  { 3 index exch 5 exch put dup -8 3 index { 3 index
+  exch 4 exch put 3 index exec } for } for pop pop pop pop grestore } bind def
+/setstyles {
+  currentlinewidth mul setlinewidth /style exch def style 2048 and 0 gt
+  clipped 1 and 0 eq and {clip newpath /clipped clipped 1 add def} {
+  style 1 and 0 gt not {closepath} if
+  style 1024 and 0 gt {2 setlinecap} if
+  style 2 and 0 gt {currentlinewidth 4 mul dup 2 array astore 0 setdash} if
+  style 4 and 0 gt {0.5 currentlinewidth 4 mul 2 array astore 0 setdash} if
+  gsave style 16 and 0 gt { style 224 and -5 bitshift style 256 and 0 gt {
+  7 exch sub 8 div dup 1 exch sub currentrgbcolor 3 array astore
+  {3 copy mul add 4 1 roll pop} forall pop pop setrgbcolor eofill}
+  {dup 7 lt {gar exch get ppaint} {pop eofill} ifelse} ifelse}
+  {style 256 and 0 gt {1 setgray eofill} if} ifelse grestore style 8 and 0 gt 
+  style 512 eq or {newpath} {stroke} ifelse grestore endclip} ifelse} def     
+
+/addtoy {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll add
+	4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtoy1 {1 exch addtoy pop} def
+/addtoy3 {3 exch addtoy pop} def
+/addtoy4 {4 exch addtoy pop} def
+/addtox {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll 3 -1 roll
+	add exch 4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtox1 {1 exch addtox pop} def
+/addtox3 {3 exch addtox pop} def
+/addtox4 {4 exch addtox pop} def
+/polygon { gsave /num exch def moveto num 1 sub {lineto} repeat setstyles } def
+/xcarc   { gsave newpath arc setstyles } def
+/elb { matrix currentmatrix 7 -1 roll 7 -1 roll translate 5 1 roll 4 -1 roll
+	3 index div 1 scale } def
+/ele { 0 4 1 roll 0 4 1 roll } bind def
+/ellipse { gsave elb newpath ele arc setmatrix setstyles } def
+/pellip  { elb ele arc setmatrix } def
+/nellip  { elb ele arcn setmatrix } def
+/spline  { gsave moveto curveto setstyles } def
+/polyc   { {lineto} repeat } bind def
+/beginpath { gsave moveto } bind def
+/endpath { setstyles } bind def
+/bop	 { 1 setlinecap 0 setlinejoin 6 setmiterlimit 0 0 0 scb cRedef
+	/clipped 0 def} def
+/psinsertion {/PSobj save def /showpage {} def /setpagedevice {pop} def bop
+	rotate translate dup scale} def
+/end_insert {PSobj restore} def
+/setpagemat {/pagemat matrix currentmatrix def} def
+/inchscale  {setpagemat 0.375 mul dup scale} def
+/cmscale    {setpagemat 0.35433071 mul dup scale} def
+
+%%EndResource
+%%EndProlog
+
+% XCircuit output starts here.
+
+%%BeginSetup
+
+/analog::diode {
+begingate
+248 1.000 -18 -16 0 16 18 -16 3 polygon
+1 1.000 0 -48 0 -16 2 polygon
+1 1.000 0 48 0 16 2 polygon
+1 1.000 -18 16 18 16 2 polygon
+1.000 0.000 0.000 scb
+(d.m) {/Times-Roman cf} 2 9 0 1.000 0 48 pinlabel
+(d.p) {/Times-Roman cf} 2 13 0 1.000 0 -48 pinlabel
+endgate
+} def
+
+/analog::resistor {
+begingate
+1 1.000 0 64 0 36 2 polygon
+1 1.000 0 -64 0 -36 2 polygon
+1 1.000 0 -36 14 -30 -14 -18 14 -6 -14 6 14 18 -14 30 0 36 8 polygon
+1.000 0.000 0.000 scb
+(r.1) {/Times-Roman cf} 2 9 0 1.000 0 64 pinlabel
+(r.2) {/Times-Roman cf} 2 13 0 1.000 0 -64 pinlabel
+0.180 0.545 0.341 scb
+(spice:R%i %pr.1 %pr.2 1.0K) {/Times-Roman cf} 2 0 0 1.000 -208 -160 infolabel
+(sim:r %pr.1 %pr.2) {/Times-Roman cf} 2 0 0 1.000 -208 -208 infolabel
+endgate
+} def
+
+
+%%EndSetup
+
+%%Page: full-wave 1
+%%PageOrientation: Portrait
+/pgsave save def bop
+1.0000 inchscale
+2.6000 setlinewidth 144 192 translate
+
+(SINE) {/Helvetica cf} 2 16 0 1.000 208 16 label
+(A1) {/Helvetica cf} 2 16 0 1.000 64 16 label
+(A2) {/Helvetica cf} 2 16 0 1.000 752 16 label
+0 1.000 192 64 192 0 304 0 304 64 4 polygon
+0 1.000 48 64 48 0 128 0 128 64 4 polygon
+1 1.000 128 32 192 32 2 polygon
+1.000 90 672 32 analog::diode
+{/Helvetica cf} 1 16 0 1.000 320 64 label
+(IN) {/Helvetica cf} 2 16 0 1.000 448 16 label
+(OUT) {/Helvetica cf} 2 16 0 1.000 528 16 label
+1.000 90 368 48 analog::resistor
+(51K) {/Helvetica cf} 2 16 0 1.000 336 0 label
+0 1.000 432 64 432 0 496 0 496 64 4 polygon
+0 1.000 528 64 528 0 624 0 624 64 4 polygon
+0 1.000 736 64 736 0 816 0 816 64 4 polygon
+1 1.000 720 32 736 32 2 polygon
+pgsave restore showpage
+
+%%Trailer
+XCIRCsave restore
+%%EOF
diff --git a/doc/en/Docs-jr/schematics/acdc-separating.png b/doc/en/Docs-jr/schematics/acdc-separating.png
new file mode 100644
index 0000000..772e725
Binary files /dev/null and b/doc/en/Docs-jr/schematics/acdc-separating.png differ
diff --git a/doc/en/Docs-jr/schematics/acdc-separating.ps b/doc/en/Docs-jr/schematics/acdc-separating.ps
new file mode 100644
index 0000000..b931f93
--- /dev/null
+++ b/doc/en/Docs-jr/schematics/acdc-separating.ps
@@ -0,0 +1,233 @@
+%!PS-Adobe-3.0 EPSF-3.0
+%%Title: acdc-separating.ps
+%%Creator: XCircuit v3.7 rev26
+%%CreationDate: Mon Jul 30 05:07:33 2012
+%%Pages: 1
+%%BoundingBox: 68 68 400 106
+%%DocumentNeededResources: font Helvetica Times-Roman 
+%%EndComments
+%%BeginProlog
+%
+%  PostScript prolog for output from xcircuit
+%  Version: 3.7
+%
+%  Electrical circuit (and otherwise general) drawing program
+%
+%  Written by Tim Edwards 8/5/93--11/1/10  (tim.edwards at multigig.com)
+%  The Johns Hopkins University (1993-2004)
+%  MultiGiG, Inc. (2004-present)
+%
+%%BeginResource: procset XCIRCproc 3.7 2
+%
+% supporting definitions --- these are the primary xcircuit types.
+
+/XCIRCsave save def
+/topmat matrix currentmatrix def
+
+/fontslant { /slant exch def [1 0 slant 1 0 0] 
+    exch findfont exch makefont dup length dict /ndict exch def
+    { 1 index /FID ne { ndict 3 1 roll put } { pop pop } ifelse } forall
+    ndict definefont pop} def
+/ul { dup type /stringtype eq showflag 1 eq and { gsave 
+   currentpoint topmat setmatrix 0 0 moveto 2 index stringwidth pop (_)
+   false charpath flattenpath pathbbox grestore exch pop 1 index
+   sub setlinewidth exch pop currentpoint 3 -1 roll add moveto 0
+   rlineto stroke moveto } if } def
+/ol { dup type /stringtype eq showflag 1 eq and { gsave gsave
+   currentpoint topmat setmatrix 2 index stringwidth pop 3 index
+   true charpath flattenpath pathbbox grestore exch pop
+   exch pop topmat setmatrix (_) true charpath pathbbox grestore
+   exch pop 1 index sub setlinewidth exch pop currentpoint
+   exch 4 1 roll exch sub add moveto pop 0 rlineto stroke
+   moveto } if } def
+/stW { gsave currentpoint newpath moveto true charpath flattenpath
+	pathbbox pop exch pop sub grestore } def
+/Ts {mark Tabs aload pop counttomark 1 add array astore /Tabs exch def Tabs
+	0 currentpoint pop put} def
+/Tbn {mark Tabs aload pop counttomark dup 2 add 1 roll cleartomark 1 sub} def
+/Tb { 0 1 Tbn {Tabs exch get dup currentpoint pop lt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/Tf { Tbn -1 0 {Tabs exch get dup currentpoint pop gt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/qS { (aa) stW (a a) stW sub 4 div 0 Kn } def
+/hS { qS qS } def
+/pspc 0 def
+/cf0 { scalefont setfont } bind def
+/Kn { dup kY add /kY exch def rmoveto } bind def
+/ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.33 mul neg Kn} def
+/Ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.67 mul Kn } def
+/ns { 0 kY neg Kn /kY 0 def /fscale 1.0 def xfont0 1.0 cf0 } def
+/CR { ns 0 /Bline Bline fscale0 neg add def Bline moveto } def
+/cf { dup type /realtype ne {1.0} if exch findfont exch kY 0 eq
+	{ 40 mul dup /fscale0 exch def cf0 /xfont0 currentfont def}
+	{fscale0 mul fscale mul cf0} ifelse } def
+/ctmk { counttomark dup 2 add -1 roll pop } bind def
+/label { gsave translate 0 0 moveto dup scale neg /rotval exch def
+	/just exch def just 384 and 0 gt {/mshow {pop} def} {/mshow {show}
+	def} ifelse just 16 and 0 gt {gsave rotval rotate 0 1 dtransform
+	gsave pagemat setmatrix idtransform exch grestore 1 0 dtransform
+	gsave pagemat setmatrix idtransform exch grestore dup abs 1e-9 lt
+	{pop mul 0 gt} {3 1 roll pop pop 0 lt} ifelse grestore {-1 /rotval
+	rotval neg def /just just dup 3 and 1 ne {3 xor} if def} {1} ifelse
+	exch -1e-9 lt {-1 /rotval rotval neg def /just just dup 12 and
+	4 ne {12 xor} if def} {1} ifelse scale } if /showflag 0 def
+	/fspc pspc def /Bline 0 def /Tabs 0 array def /fscale 1.0 def
+	/kY 0 def gsave dup 1 add copy 0 exch 1 0 dtransform exch atan rotate
+	{exch dup type /stringtype eq {true charpath flattenpath} {dup type
+	/arraytype eq {exec} {12 string cvs true charpath flattenpath} ifelse}
+	ifelse} repeat pop pathbbox grestore 3 -1 roll pop 3 1 roll just
+	1 and 0 gt {just 2 and 0 gt {exch pop neg fspc sub} {exch sub 0.5
+	mul neg} ifelse} {pop neg fspc add} ifelse exch Bline exch just 4
+	and 0 gt {just 8 and 0 gt {exch pop neg fspc sub} {add 0.5 mul neg}
+	ifelse} {pop neg fspc add} ifelse rotval rotate Kn currentpoint
+	translate /showflag 1 def /Bline 0 def /Tabs 0 array def /fscale
+	1.0 def /kY 0 def {dup type /stringtype eq {mshow} {dup type
+	/arraytype eq {exec} {12 string cvs mshow} ifelse} ifelse} repeat
+	grestore endclip} def
+/pinlabel { 4 index 32 and 0 ne hlevel 0 eq or { /pspc 10 def label
+	/pspc 0 def } { pop pop pop pop pop {pop} repeat } ifelse } def
+/pinglobal { pinlabel } def
+/infolabel { pinlabel } def
+/graphic { gsave 4 index cvx exec /DataSource get resetfile translate 0 0
+	moveto neg rotate dup scale cvx exec image grestore endclip} def
+
+/scb { setrgbcolor } bind def  /sce { defColor aload pop scb } bind def
+/cRedef {/defColor currentcolor 3 array astore def} def
+/begingate {dup type /dicttype ne {1 dict} if begin	% default params
+	dup type /dicttype ne {1 dict} if begin		% instanced params
+	/hlevel hlevel 1 add def /defColor currentcolor sce 3 array astore
+	def gsave sce translate 0 0 moveto neg rotate dup type /nametype
+	eq not { dup abs currentlinewidth exch div setlinewidth } { pop }
+	ifelse dup abs scale clipped 1 and 1 eq {/clipped clipped 1 add def}
+	if} bind def
+/endgate { /hlevel hlevel 1 sub def grestore defColor aload pop cRedef
+	scb end end endclip} bind def
+
+/hlevel 0 def
+/endclip {clipped 1 and 1 eq {grestore /clipped clipped 1 sub def} if} def
+/tmpa [1 0 0 1 0 0] def
+/gar {8 8 true tmpa {<c0 c0 00 00 0c 0c 00 00>} imagemask} bind
+{8 8 true tmpa {<30 70 60 02 03 07 06 20>} imagemask} bind
+{8 8 true tmpa {<0c 1e 1e 0c c0 e1 e1 c0>} imagemask} bind
+{8 8 true tmpa {<0f 0f 0f 0f f0 f0 f0 f0>} imagemask} bind
+{8 8 true tmpa {<3f f3 e1 e1 f3 3f 1e 1e>} imagemask} bind
+{8 8 true tmpa {<df cf 8f 9f fd fc f8 f9>} imagemask} bind
+{8 8 true tmpa {<ff 3f 3f ff ff f3 f3 ff>} imagemask} bind 7 array astore def
+/ppaint { gsave clip tmpa dup setmatrix pathbbox neg exch neg 4 2 roll
+  neg 4 -1 roll 2 copy gt {exch} if 8 div ceiling 8 mul 4 2 roll neg 2 copy
+  gt {exch} if 8 div ceiling 8 mul 3 -1 roll -8 5 -1 roll
+  { 3 index exch 5 exch put dup -8 3 index { 3 index
+  exch 4 exch put 3 index exec } for } for pop pop pop pop grestore } bind def
+/setstyles {
+  currentlinewidth mul setlinewidth /style exch def style 2048 and 0 gt
+  clipped 1 and 0 eq and {clip newpath /clipped clipped 1 add def} {
+  style 1 and 0 gt not {closepath} if
+  style 1024 and 0 gt {2 setlinecap} if
+  style 2 and 0 gt {currentlinewidth 4 mul dup 2 array astore 0 setdash} if
+  style 4 and 0 gt {0.5 currentlinewidth 4 mul 2 array astore 0 setdash} if
+  gsave style 16 and 0 gt { style 224 and -5 bitshift style 256 and 0 gt {
+  7 exch sub 8 div dup 1 exch sub currentrgbcolor 3 array astore
+  {3 copy mul add 4 1 roll pop} forall pop pop setrgbcolor eofill}
+  {dup 7 lt {gar exch get ppaint} {pop eofill} ifelse} ifelse}
+  {style 256 and 0 gt {1 setgray eofill} if} ifelse grestore style 8 and 0 gt 
+  style 512 eq or {newpath} {stroke} ifelse grestore endclip} ifelse} def     
+
+/addtoy {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll add
+	4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtoy1 {1 exch addtoy pop} def
+/addtoy3 {3 exch addtoy pop} def
+/addtoy4 {4 exch addtoy pop} def
+/addtox {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll 3 -1 roll
+	add exch 4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtox1 {1 exch addtox pop} def
+/addtox3 {3 exch addtox pop} def
+/addtox4 {4 exch addtox pop} def
+/polygon { gsave /num exch def moveto num 1 sub {lineto} repeat setstyles } def
+/xcarc   { gsave newpath arc setstyles } def
+/elb { matrix currentmatrix 7 -1 roll 7 -1 roll translate 5 1 roll 4 -1 roll
+	3 index div 1 scale } def
+/ele { 0 4 1 roll 0 4 1 roll } bind def
+/ellipse { gsave elb newpath ele arc setmatrix setstyles } def
+/pellip  { elb ele arc setmatrix } def
+/nellip  { elb ele arcn setmatrix } def
+/spline  { gsave moveto curveto setstyles } def
+/polyc   { {lineto} repeat } bind def
+/beginpath { gsave moveto } bind def
+/endpath { setstyles } bind def
+/bop	 { 1 setlinecap 0 setlinejoin 6 setmiterlimit 0 0 0 scb cRedef
+	/clipped 0 def} def
+/psinsertion {/PSobj save def /showpage {} def /setpagedevice {pop} def bop
+	rotate translate dup scale} def
+/end_insert {PSobj restore} def
+/setpagemat {/pagemat matrix currentmatrix def} def
+/inchscale  {setpagemat 0.375 mul dup scale} def
+/cmscale    {setpagemat 0.35433071 mul dup scale} def
+
+%%EndResource
+%%EndProlog
+
+% XCircuit output starts here.
+
+%%BeginSetup
+
+/analog::polarized {
+begingate
+1 1.000 0 -64 0 -6 2 polygon
+1 1.000 0 64 0 6 2 polygon
+1 1.000 -32 6 32 6 2 polygon
+1 1.000 0 -80 74 66.000 114.000 xcarc
+1.000 0.000 0.000 scb
+(t) {/Times-Roman cf} 2 9 0 1.000 0 64 pinlabel
+(b) {/Times-Roman cf} 2 13 0 1.000 0 -64 pinlabel
+0.180 0.545 0.341 scb
+(spice:C%i %pt %pb 1.0P) {/Times-Roman cf} 2 0 0 1.000 -208 -160 infolabel
+(sim:e %pt %pb %pb) {/Times-Roman cf} 2 0 0 1.000 -208 -208 infolabel
+endgate
+} def
+
+/analog::resistor {
+begingate
+1 1.000 0 64 0 36 2 polygon
+1 1.000 0 -64 0 -36 2 polygon
+1 1.000 0 -36 14 -30 -14 -18 14 -6 -14 6 14 18 -14 30 0 36 8 polygon
+1.000 0.000 0.000 scb
+(r.1) {/Times-Roman cf} 2 9 0 1.000 0 64 pinlabel
+(r.2) {/Times-Roman cf} 2 13 0 1.000 0 -64 pinlabel
+0.180 0.545 0.341 scb
+(spice:R%i %pr.1 %pr.2 1.0K) {/Times-Roman cf} 2 0 0 1.000 -208 -160 infolabel
+(sim:r %pr.1 %pr.2) {/Times-Roman cf} 2 0 0 1.000 -208 -208 infolabel
+endgate
+} def
+
+
+%%EndSetup
+
+%%Page: acdc-separating 1
+%%PageOrientation: Portrait
+/pgsave save def bop
+1.0000 inchscale
+2.6000 setlinewidth 432 -192 translate
+
+1.000 270 112 432 analog::polarized
+(SQR1) {/Helvetica cf} 2 16 0 1.000 -64 416 label
+0 1.000 -80 464 -80 400 48 400 48 464 4 polygon
+(A1) {/Helvetica cf} 2 16 0 1.000 -224 416 label
+0 1.000 -240 464 -240 400 -160 400 -160 464 4 polygon
+1 1.000 -160 432 -80 432 2 polygon
+(A2) {/Helvetica cf} 2 16 0 1.000 256 416 label
+0 1.000 240 464 240 400 320 400 320 464 4 polygon
+1 1.000 176 432 240 432 2 polygon
+1.000 270 416 432 analog::resistor
+1 1.000 320 432 352 432 2 polygon
+(GND) {/Helvetica cf} 2 16 0 1.000 528 416 label
+0 1.000 512 464 512 400 624 400 624 464 4 polygon
+1 1.000 512 432 464 432 2 polygon
+(10uF) {/Helvetica cf} 2 16 0 1.000 144 384 label
+(200K) {/Helvetica cf} 2 16 0 1.000 400 384 label
+pgsave restore showpage
+
+%%Trailer
+XCIRCsave restore
+%%EOF
diff --git a/doc/en/Docs-jr/schematics/am.png b/doc/en/Docs-jr/schematics/am.png
new file mode 100644
index 0000000..5b4a9f6
Binary files /dev/null and b/doc/en/Docs-jr/schematics/am.png differ
diff --git a/doc/en/Docs-jr/schematics/am.ps b/doc/en/Docs-jr/schematics/am.ps
new file mode 100644
index 0000000..1ca3571
--- /dev/null
+++ b/doc/en/Docs-jr/schematics/am.ps
@@ -0,0 +1,206 @@
+%!PS-Adobe-3.0 EPSF-3.0
+%%Title: am.ps
+%%Creator: XCircuit v3.7 rev26
+%%CreationDate: Sat Jul 28 11:17:58 2012
+%%Pages: 1
+%%BoundingBox: 68 68 400 112
+%%DocumentNeededResources: font Helvetica 
+%%EndComments
+%%BeginProlog
+%
+%  PostScript prolog for output from xcircuit
+%  Version: 3.7
+%
+%  Electrical circuit (and otherwise general) drawing program
+%
+%  Written by Tim Edwards 8/5/93--11/1/10  (tim.edwards at multigig.com)
+%  The Johns Hopkins University (1993-2004)
+%  MultiGiG, Inc. (2004-present)
+%
+%%BeginResource: procset XCIRCproc 3.7 2
+%
+% supporting definitions --- these are the primary xcircuit types.
+
+/XCIRCsave save def
+/topmat matrix currentmatrix def
+
+/fontslant { /slant exch def [1 0 slant 1 0 0] 
+    exch findfont exch makefont dup length dict /ndict exch def
+    { 1 index /FID ne { ndict 3 1 roll put } { pop pop } ifelse } forall
+    ndict definefont pop} def
+/ul { dup type /stringtype eq showflag 1 eq and { gsave 
+   currentpoint topmat setmatrix 0 0 moveto 2 index stringwidth pop (_)
+   false charpath flattenpath pathbbox grestore exch pop 1 index
+   sub setlinewidth exch pop currentpoint 3 -1 roll add moveto 0
+   rlineto stroke moveto } if } def
+/ol { dup type /stringtype eq showflag 1 eq and { gsave gsave
+   currentpoint topmat setmatrix 2 index stringwidth pop 3 index
+   true charpath flattenpath pathbbox grestore exch pop
+   exch pop topmat setmatrix (_) true charpath pathbbox grestore
+   exch pop 1 index sub setlinewidth exch pop currentpoint
+   exch 4 1 roll exch sub add moveto pop 0 rlineto stroke
+   moveto } if } def
+/stW { gsave currentpoint newpath moveto true charpath flattenpath
+	pathbbox pop exch pop sub grestore } def
+/Ts {mark Tabs aload pop counttomark 1 add array astore /Tabs exch def Tabs
+	0 currentpoint pop put} def
+/Tbn {mark Tabs aload pop counttomark dup 2 add 1 roll cleartomark 1 sub} def
+/Tb { 0 1 Tbn {Tabs exch get dup currentpoint pop lt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/Tf { Tbn -1 0 {Tabs exch get dup currentpoint pop gt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/qS { (aa) stW (a a) stW sub 4 div 0 Kn } def
+/hS { qS qS } def
+/pspc 0 def
+/cf0 { scalefont setfont } bind def
+/Kn { dup kY add /kY exch def rmoveto } bind def
+/ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.33 mul neg Kn} def
+/Ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.67 mul Kn } def
+/ns { 0 kY neg Kn /kY 0 def /fscale 1.0 def xfont0 1.0 cf0 } def
+/CR { ns 0 /Bline Bline fscale0 neg add def Bline moveto } def
+/cf { dup type /realtype ne {1.0} if exch findfont exch kY 0 eq
+	{ 40 mul dup /fscale0 exch def cf0 /xfont0 currentfont def}
+	{fscale0 mul fscale mul cf0} ifelse } def
+/ctmk { counttomark dup 2 add -1 roll pop } bind def
+/label { gsave translate 0 0 moveto dup scale neg /rotval exch def
+	/just exch def just 384 and 0 gt {/mshow {pop} def} {/mshow {show}
+	def} ifelse just 16 and 0 gt {gsave rotval rotate 0 1 dtransform
+	gsave pagemat setmatrix idtransform exch grestore 1 0 dtransform
+	gsave pagemat setmatrix idtransform exch grestore dup abs 1e-9 lt
+	{pop mul 0 gt} {3 1 roll pop pop 0 lt} ifelse grestore {-1 /rotval
+	rotval neg def /just just dup 3 and 1 ne {3 xor} if def} {1} ifelse
+	exch -1e-9 lt {-1 /rotval rotval neg def /just just dup 12 and
+	4 ne {12 xor} if def} {1} ifelse scale } if /showflag 0 def
+	/fspc pspc def /Bline 0 def /Tabs 0 array def /fscale 1.0 def
+	/kY 0 def gsave dup 1 add copy 0 exch 1 0 dtransform exch atan rotate
+	{exch dup type /stringtype eq {true charpath flattenpath} {dup type
+	/arraytype eq {exec} {12 string cvs true charpath flattenpath} ifelse}
+	ifelse} repeat pop pathbbox grestore 3 -1 roll pop 3 1 roll just
+	1 and 0 gt {just 2 and 0 gt {exch pop neg fspc sub} {exch sub 0.5
+	mul neg} ifelse} {pop neg fspc add} ifelse exch Bline exch just 4
+	and 0 gt {just 8 and 0 gt {exch pop neg fspc sub} {add 0.5 mul neg}
+	ifelse} {pop neg fspc add} ifelse rotval rotate Kn currentpoint
+	translate /showflag 1 def /Bline 0 def /Tabs 0 array def /fscale
+	1.0 def /kY 0 def {dup type /stringtype eq {mshow} {dup type
+	/arraytype eq {exec} {12 string cvs mshow} ifelse} ifelse} repeat
+	grestore endclip} def
+/pinlabel { 4 index 32 and 0 ne hlevel 0 eq or { /pspc 10 def label
+	/pspc 0 def } { pop pop pop pop pop {pop} repeat } ifelse } def
+/pinglobal { pinlabel } def
+/infolabel { pinlabel } def
+/graphic { gsave 4 index cvx exec /DataSource get resetfile translate 0 0
+	moveto neg rotate dup scale cvx exec image grestore endclip} def
+
+/scb { setrgbcolor } bind def  /sce { defColor aload pop scb } bind def
+/cRedef {/defColor currentcolor 3 array astore def} def
+/begingate {dup type /dicttype ne {1 dict} if begin	% default params
+	dup type /dicttype ne {1 dict} if begin		% instanced params
+	/hlevel hlevel 1 add def /defColor currentcolor sce 3 array astore
+	def gsave sce translate 0 0 moveto neg rotate dup type /nametype
+	eq not { dup abs currentlinewidth exch div setlinewidth } { pop }
+	ifelse dup abs scale clipped 1 and 1 eq {/clipped clipped 1 add def}
+	if} bind def
+/endgate { /hlevel hlevel 1 sub def grestore defColor aload pop cRedef
+	scb end end endclip} bind def
+
+/hlevel 0 def
+/endclip {clipped 1 and 1 eq {grestore /clipped clipped 1 sub def} if} def
+/tmpa [1 0 0 1 0 0] def
+/gar {8 8 true tmpa {<c0 c0 00 00 0c 0c 00 00>} imagemask} bind
+{8 8 true tmpa {<30 70 60 02 03 07 06 20>} imagemask} bind
+{8 8 true tmpa {<0c 1e 1e 0c c0 e1 e1 c0>} imagemask} bind
+{8 8 true tmpa {<0f 0f 0f 0f f0 f0 f0 f0>} imagemask} bind
+{8 8 true tmpa {<3f f3 e1 e1 f3 3f 1e 1e>} imagemask} bind
+{8 8 true tmpa {<df cf 8f 9f fd fc f8 f9>} imagemask} bind
+{8 8 true tmpa {<ff 3f 3f ff ff f3 f3 ff>} imagemask} bind 7 array astore def
+/ppaint { gsave clip tmpa dup setmatrix pathbbox neg exch neg 4 2 roll
+  neg 4 -1 roll 2 copy gt {exch} if 8 div ceiling 8 mul 4 2 roll neg 2 copy
+  gt {exch} if 8 div ceiling 8 mul 3 -1 roll -8 5 -1 roll
+  { 3 index exch 5 exch put dup -8 3 index { 3 index
+  exch 4 exch put 3 index exec } for } for pop pop pop pop grestore } bind def
+/setstyles {
+  currentlinewidth mul setlinewidth /style exch def style 2048 and 0 gt
+  clipped 1 and 0 eq and {clip newpath /clipped clipped 1 add def} {
+  style 1 and 0 gt not {closepath} if
+  style 1024 and 0 gt {2 setlinecap} if
+  style 2 and 0 gt {currentlinewidth 4 mul dup 2 array astore 0 setdash} if
+  style 4 and 0 gt {0.5 currentlinewidth 4 mul 2 array astore 0 setdash} if
+  gsave style 16 and 0 gt { style 224 and -5 bitshift style 256 and 0 gt {
+  7 exch sub 8 div dup 1 exch sub currentrgbcolor 3 array astore
+  {3 copy mul add 4 1 roll pop} forall pop pop setrgbcolor eofill}
+  {dup 7 lt {gar exch get ppaint} {pop eofill} ifelse} ifelse}
+  {style 256 and 0 gt {1 setgray eofill} if} ifelse grestore style 8 and 0 gt 
+  style 512 eq or {newpath} {stroke} ifelse grestore endclip} ifelse} def     
+
+/addtoy {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll add
+	4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtoy1 {1 exch addtoy pop} def
+/addtoy3 {3 exch addtoy pop} def
+/addtoy4 {4 exch addtoy pop} def
+/addtox {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll 3 -1 roll
+	add exch 4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtox1 {1 exch addtox pop} def
+/addtox3 {3 exch addtox pop} def
+/addtox4 {4 exch addtox pop} def
+/polygon { gsave /num exch def moveto num 1 sub {lineto} repeat setstyles } def
+/xcarc   { gsave newpath arc setstyles } def
+/elb { matrix currentmatrix 7 -1 roll 7 -1 roll translate 5 1 roll 4 -1 roll
+	3 index div 1 scale } def
+/ele { 0 4 1 roll 0 4 1 roll } bind def
+/ellipse { gsave elb newpath ele arc setmatrix setstyles } def
+/pellip  { elb ele arc setmatrix } def
+/nellip  { elb ele arcn setmatrix } def
+/spline  { gsave moveto curveto setstyles } def
+/polyc   { {lineto} repeat } bind def
+/beginpath { gsave moveto } bind def
+/endpath { setstyles } bind def
+/bop	 { 1 setlinecap 0 setlinejoin 6 setmiterlimit 0 0 0 scb cRedef
+	/clipped 0 def} def
+/psinsertion {/PSobj save def /showpage {} def /setpagedevice {pop} def bop
+	rotate translate dup scale} def
+/end_insert {PSobj restore} def
+/setpagemat {/pagemat matrix currentmatrix def} def
+/inchscale  {setpagemat 0.375 mul dup scale} def
+/cmscale    {setpagemat 0.35433071 mul dup scale} def
+
+%%EndResource
+%%EndProlog
+
+% XCircuit output starts here.
+
+%%BeginSetup
+
+
+%%EndSetup
+
+%%Page: am 1
+%%PageOrientation: Portrait
+/pgsave save def bop
+1.0000 inchscale
+2.6000 setlinewidth 688 176 translate
+
+{/Helvetica cf} 1 16 0 1.000 16 112 label
+(100Hz) {/Helvetica cf} 2 16 0 1.000 -240 48 label
+0 1.000 -256 96 -256 32 -112 32 -112 96 4 polygon
+(PVS) {/Helvetica cf} 2 16 0 1.000 -480 48 label
+0 1.000 -496 96 -496 32 -384 32 -384 96 4 polygon
+1 1.000 -384 48 -256 48 2 polygon
+(AC) {/Helvetica cf} 2 16 0 1.000 -320 64 label
+(4kHz) {/Helvetica cf} 2 16 0 1.000 128 48 label
+0 1.000 112 96 112 32 240 32 240 96 4 polygon
+0 1.000 304 96 304 32 368 32 368 96 4 polygon
+1 1.000 240 64 304 64 2 polygon
+(A1) {/Helvetica cf} 2 16 0 1.000 304 48 label
+0 1.000 -64 96 -64 32 0 32 0 96 4 polygon
+(AM) {/Helvetica cf} 2 16 0 1.000 48 64 label
+1 1.000 -112 64 -64 64 2 polygon
+1 1.000 -80 64 -80 16 32 16 3 polygon
+1 1.000 32 16 32 48 112 48 3 polygon
+(A2) {/Helvetica cf} 2 16 0 1.000 -64 48 label
+pgsave restore showpage
+
+%%Trailer
+XCIRCsave restore
+%%EOF
diff --git a/doc/en/Docs-jr/schematics/amp-gain.png b/doc/en/Docs-jr/schematics/amp-gain.png
new file mode 100644
index 0000000..69449d7
Binary files /dev/null and b/doc/en/Docs-jr/schematics/amp-gain.png differ
diff --git a/doc/en/Docs-jr/schematics/amp-gain.ps b/doc/en/Docs-jr/schematics/amp-gain.ps
new file mode 100644
index 0000000..1ef0ae2
--- /dev/null
+++ b/doc/en/Docs-jr/schematics/amp-gain.ps
@@ -0,0 +1,215 @@
+%!PS-Adobe-3.0 EPSF-3.0
+%%Title: amp-gain
+%%Creator: XCircuit v3.7 rev26
+%%CreationDate: Sat Jul 28 12:26:08 2012
+%%Pages: 1
+%%BoundingBox: 68 68 280 100
+%%DocumentNeededResources: font Helvetica Times-Roman 
+%%EndComments
+%%BeginProlog
+%
+%  PostScript prolog for output from xcircuit
+%  Version: 3.7
+%
+%  Electrical circuit (and otherwise general) drawing program
+%
+%  Written by Tim Edwards 8/5/93--11/1/10  (tim.edwards at multigig.com)
+%  The Johns Hopkins University (1993-2004)
+%  MultiGiG, Inc. (2004-present)
+%
+%%BeginResource: procset XCIRCproc 3.7 2
+%
+% supporting definitions --- these are the primary xcircuit types.
+
+/XCIRCsave save def
+/topmat matrix currentmatrix def
+
+/fontslant { /slant exch def [1 0 slant 1 0 0] 
+    exch findfont exch makefont dup length dict /ndict exch def
+    { 1 index /FID ne { ndict 3 1 roll put } { pop pop } ifelse } forall
+    ndict definefont pop} def
+/ul { dup type /stringtype eq showflag 1 eq and { gsave 
+   currentpoint topmat setmatrix 0 0 moveto 2 index stringwidth pop (_)
+   false charpath flattenpath pathbbox grestore exch pop 1 index
+   sub setlinewidth exch pop currentpoint 3 -1 roll add moveto 0
+   rlineto stroke moveto } if } def
+/ol { dup type /stringtype eq showflag 1 eq and { gsave gsave
+   currentpoint topmat setmatrix 2 index stringwidth pop 3 index
+   true charpath flattenpath pathbbox grestore exch pop
+   exch pop topmat setmatrix (_) true charpath pathbbox grestore
+   exch pop 1 index sub setlinewidth exch pop currentpoint
+   exch 4 1 roll exch sub add moveto pop 0 rlineto stroke
+   moveto } if } def
+/stW { gsave currentpoint newpath moveto true charpath flattenpath
+	pathbbox pop exch pop sub grestore } def
+/Ts {mark Tabs aload pop counttomark 1 add array astore /Tabs exch def Tabs
+	0 currentpoint pop put} def
+/Tbn {mark Tabs aload pop counttomark dup 2 add 1 roll cleartomark 1 sub} def
+/Tb { 0 1 Tbn {Tabs exch get dup currentpoint pop lt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/Tf { Tbn -1 0 {Tabs exch get dup currentpoint pop gt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/qS { (aa) stW (a a) stW sub 4 div 0 Kn } def
+/hS { qS qS } def
+/pspc 0 def
+/cf0 { scalefont setfont } bind def
+/Kn { dup kY add /kY exch def rmoveto } bind def
+/ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.33 mul neg Kn} def
+/Ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.67 mul Kn } def
+/ns { 0 kY neg Kn /kY 0 def /fscale 1.0 def xfont0 1.0 cf0 } def
+/CR { ns 0 /Bline Bline fscale0 neg add def Bline moveto } def
+/cf { dup type /realtype ne {1.0} if exch findfont exch kY 0 eq
+	{ 40 mul dup /fscale0 exch def cf0 /xfont0 currentfont def}
+	{fscale0 mul fscale mul cf0} ifelse } def
+/ctmk { counttomark dup 2 add -1 roll pop } bind def
+/label { gsave translate 0 0 moveto dup scale neg /rotval exch def
+	/just exch def just 384 and 0 gt {/mshow {pop} def} {/mshow {show}
+	def} ifelse just 16 and 0 gt {gsave rotval rotate 0 1 dtransform
+	gsave pagemat setmatrix idtransform exch grestore 1 0 dtransform
+	gsave pagemat setmatrix idtransform exch grestore dup abs 1e-9 lt
+	{pop mul 0 gt} {3 1 roll pop pop 0 lt} ifelse grestore {-1 /rotval
+	rotval neg def /just just dup 3 and 1 ne {3 xor} if def} {1} ifelse
+	exch -1e-9 lt {-1 /rotval rotval neg def /just just dup 12 and
+	4 ne {12 xor} if def} {1} ifelse scale } if /showflag 0 def
+	/fspc pspc def /Bline 0 def /Tabs 0 array def /fscale 1.0 def
+	/kY 0 def gsave dup 1 add copy 0 exch 1 0 dtransform exch atan rotate
+	{exch dup type /stringtype eq {true charpath flattenpath} {dup type
+	/arraytype eq {exec} {12 string cvs true charpath flattenpath} ifelse}
+	ifelse} repeat pop pathbbox grestore 3 -1 roll pop 3 1 roll just
+	1 and 0 gt {just 2 and 0 gt {exch pop neg fspc sub} {exch sub 0.5
+	mul neg} ifelse} {pop neg fspc add} ifelse exch Bline exch just 4
+	and 0 gt {just 8 and 0 gt {exch pop neg fspc sub} {add 0.5 mul neg}
+	ifelse} {pop neg fspc add} ifelse rotval rotate Kn currentpoint
+	translate /showflag 1 def /Bline 0 def /Tabs 0 array def /fscale
+	1.0 def /kY 0 def {dup type /stringtype eq {mshow} {dup type
+	/arraytype eq {exec} {12 string cvs mshow} ifelse} ifelse} repeat
+	grestore endclip} def
+/pinlabel { 4 index 32 and 0 ne hlevel 0 eq or { /pspc 10 def label
+	/pspc 0 def } { pop pop pop pop pop {pop} repeat } ifelse } def
+/pinglobal { pinlabel } def
+/infolabel { pinlabel } def
+/graphic { gsave 4 index cvx exec /DataSource get resetfile translate 0 0
+	moveto neg rotate dup scale cvx exec image grestore endclip} def
+
+/scb { setrgbcolor } bind def  /sce { defColor aload pop scb } bind def
+/cRedef {/defColor currentcolor 3 array astore def} def
+/begingate {dup type /dicttype ne {1 dict} if begin	% default params
+	dup type /dicttype ne {1 dict} if begin		% instanced params
+	/hlevel hlevel 1 add def /defColor currentcolor sce 3 array astore
+	def gsave sce translate 0 0 moveto neg rotate dup type /nametype
+	eq not { dup abs currentlinewidth exch div setlinewidth } { pop }
+	ifelse dup abs scale clipped 1 and 1 eq {/clipped clipped 1 add def}
+	if} bind def
+/endgate { /hlevel hlevel 1 sub def grestore defColor aload pop cRedef
+	scb end end endclip} bind def
+
+/hlevel 0 def
+/endclip {clipped 1 and 1 eq {grestore /clipped clipped 1 sub def} if} def
+/tmpa [1 0 0 1 0 0] def
+/gar {8 8 true tmpa {<c0 c0 00 00 0c 0c 00 00>} imagemask} bind
+{8 8 true tmpa {<30 70 60 02 03 07 06 20>} imagemask} bind
+{8 8 true tmpa {<0c 1e 1e 0c c0 e1 e1 c0>} imagemask} bind
+{8 8 true tmpa {<0f 0f 0f 0f f0 f0 f0 f0>} imagemask} bind
+{8 8 true tmpa {<3f f3 e1 e1 f3 3f 1e 1e>} imagemask} bind
+{8 8 true tmpa {<df cf 8f 9f fd fc f8 f9>} imagemask} bind
+{8 8 true tmpa {<ff 3f 3f ff ff f3 f3 ff>} imagemask} bind 7 array astore def
+/ppaint { gsave clip tmpa dup setmatrix pathbbox neg exch neg 4 2 roll
+  neg 4 -1 roll 2 copy gt {exch} if 8 div ceiling 8 mul 4 2 roll neg 2 copy
+  gt {exch} if 8 div ceiling 8 mul 3 -1 roll -8 5 -1 roll
+  { 3 index exch 5 exch put dup -8 3 index { 3 index
+  exch 4 exch put 3 index exec } for } for pop pop pop pop grestore } bind def
+/setstyles {
+  currentlinewidth mul setlinewidth /style exch def style 2048 and 0 gt
+  clipped 1 and 0 eq and {clip newpath /clipped clipped 1 add def} {
+  style 1 and 0 gt not {closepath} if
+  style 1024 and 0 gt {2 setlinecap} if
+  style 2 and 0 gt {currentlinewidth 4 mul dup 2 array astore 0 setdash} if
+  style 4 and 0 gt {0.5 currentlinewidth 4 mul 2 array astore 0 setdash} if
+  gsave style 16 and 0 gt { style 224 and -5 bitshift style 256 and 0 gt {
+  7 exch sub 8 div dup 1 exch sub currentrgbcolor 3 array astore
+  {3 copy mul add 4 1 roll pop} forall pop pop setrgbcolor eofill}
+  {dup 7 lt {gar exch get ppaint} {pop eofill} ifelse} ifelse}
+  {style 256 and 0 gt {1 setgray eofill} if} ifelse grestore style 8 and 0 gt 
+  style 512 eq or {newpath} {stroke} ifelse grestore endclip} ifelse} def     
+
+/addtoy {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll add
+	4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtoy1 {1 exch addtoy pop} def
+/addtoy3 {3 exch addtoy pop} def
+/addtoy4 {4 exch addtoy pop} def
+/addtox {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll 3 -1 roll
+	add exch 4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtox1 {1 exch addtox pop} def
+/addtox3 {3 exch addtox pop} def
+/addtox4 {4 exch addtox pop} def
+/polygon { gsave /num exch def moveto num 1 sub {lineto} repeat setstyles } def
+/xcarc   { gsave newpath arc setstyles } def
+/elb { matrix currentmatrix 7 -1 roll 7 -1 roll translate 5 1 roll 4 -1 roll
+	3 index div 1 scale } def
+/ele { 0 4 1 roll 0 4 1 roll } bind def
+/ellipse { gsave elb newpath ele arc setmatrix setstyles } def
+/pellip  { elb ele arc setmatrix } def
+/nellip  { elb ele arcn setmatrix } def
+/spline  { gsave moveto curveto setstyles } def
+/polyc   { {lineto} repeat } bind def
+/beginpath { gsave moveto } bind def
+/endpath { setstyles } bind def
+/bop	 { 1 setlinecap 0 setlinejoin 6 setmiterlimit 0 0 0 scb cRedef
+	/clipped 0 def} def
+/psinsertion {/PSobj save def /showpage {} def /setpagedevice {pop} def bop
+	rotate translate dup scale} def
+/end_insert {PSobj restore} def
+/setpagemat {/pagemat matrix currentmatrix def} def
+/inchscale  {setpagemat 0.375 mul dup scale} def
+/cmscale    {setpagemat 0.35433071 mul dup scale} def
+
+%%EndResource
+%%EndProlog
+
+% XCircuit output starts here.
+
+%%BeginSetup
+
+/analog::resistor {
+begingate
+1 1.000 0 64 0 36 2 polygon
+1 1.000 0 -64 0 -36 2 polygon
+1 1.000 0 -36 14 -30 -14 -18 14 -6 -14 6 14 18 -14 30 0 36 8 polygon
+1.000 0.000 0.000 scb
+(r.1) {/Times-Roman cf} 2 9 0 1.000 0 64 pinlabel
+(r.2) {/Times-Roman cf} 2 13 0 1.000 0 -64 pinlabel
+0.180 0.545 0.341 scb
+(spice:R%i %pr.1 %pr.2 1.0K) {/Times-Roman cf} 2 0 0 1.000 -208 -160 infolabel
+(sim:r %pr.1 %pr.2) {/Times-Roman cf} 2 0 0 1.000 -208 -208 infolabel
+endgate
+} def
+
+
+%%EndSetup
+
+%%Page: 1 1
+%%PageOrientation: Portrait
+/pgsave save def bop
+1.0000 inchscale
+2.6000 setlinewidth 592 192 translate
+
+(PVS) {/Helvetica cf} 2 16 0 1.000 -384 16 label
+0 1.000 -400 64 -400 0 -288 0 -288 64 4 polygon
+1.000 90 -224 48 analog::resistor
+(IN) {/Helvetica cf} 2 16 0 1.000 -144 16 label
+0 1.000 -160 64 -160 0 -96 0 -96 64 4 polygon
+{/Helvetica cf} 1 16 0 1.000 -32 32 label
+{/Helvetica cf} 1 16 0 1.000 -48 32 label
+(OUT) {/Helvetica cf} 2 16 0 1.000 -64 16 label
+0 1.000 -80 64 -80 0 32 0 32 64 4 polygon
+(A1) {/Helvetica cf} 2 16 0 1.000 96 16 label
+0 1.000 80 64 80 0 144 0 144 64 4 polygon
+1 1.000 32 32 80 32 2 polygon
+(R) {/Helvetica cf} 2 16 0 1.000 -224 0 label
+pgsave restore showpage
+
+%%Trailer
+XCIRCsave restore
+%%EOF
diff --git a/doc/en/Docs-jr/schematics/amp-test.png b/doc/en/Docs-jr/schematics/amp-test.png
new file mode 100644
index 0000000..040ffff
Binary files /dev/null and b/doc/en/Docs-jr/schematics/amp-test.png differ
diff --git a/doc/en/Docs-jr/schematics/amp-test.ps b/doc/en/Docs-jr/schematics/amp-test.ps
new file mode 100644
index 0000000..97aefcb
--- /dev/null
+++ b/doc/en/Docs-jr/schematics/amp-test.ps
@@ -0,0 +1,234 @@
+%!PS-Adobe-3.0 EPSF-3.0
+%%Title: amp-test.ps
+%%Creator: XCircuit v3.7 rev26
+%%CreationDate: Mon Jul 30 08:21:03 2012
+%%Pages: 1
+%%BoundingBox: 68 68 358 153
+%%DocumentNeededResources: font Helvetica Times-Roman Symbol 
+%%EndComments
+%%BeginProlog
+%
+%  PostScript prolog for output from xcircuit
+%  Version: 3.7
+%
+%  Electrical circuit (and otherwise general) drawing program
+%
+%  Written by Tim Edwards 8/5/93--11/1/10  (tim.edwards at multigig.com)
+%  The Johns Hopkins University (1993-2004)
+%  MultiGiG, Inc. (2004-present)
+%
+%%BeginResource: procset XCIRCproc 3.7 2
+%
+% supporting definitions --- these are the primary xcircuit types.
+
+/XCIRCsave save def
+/topmat matrix currentmatrix def
+
+/fontslant { /slant exch def [1 0 slant 1 0 0] 
+    exch findfont exch makefont dup length dict /ndict exch def
+    { 1 index /FID ne { ndict 3 1 roll put } { pop pop } ifelse } forall
+    ndict definefont pop} def
+/ul { dup type /stringtype eq showflag 1 eq and { gsave 
+   currentpoint topmat setmatrix 0 0 moveto 2 index stringwidth pop (_)
+   false charpath flattenpath pathbbox grestore exch pop 1 index
+   sub setlinewidth exch pop currentpoint 3 -1 roll add moveto 0
+   rlineto stroke moveto } if } def
+/ol { dup type /stringtype eq showflag 1 eq and { gsave gsave
+   currentpoint topmat setmatrix 2 index stringwidth pop 3 index
+   true charpath flattenpath pathbbox grestore exch pop
+   exch pop topmat setmatrix (_) true charpath pathbbox grestore
+   exch pop 1 index sub setlinewidth exch pop currentpoint
+   exch 4 1 roll exch sub add moveto pop 0 rlineto stroke
+   moveto } if } def
+/stW { gsave currentpoint newpath moveto true charpath flattenpath
+	pathbbox pop exch pop sub grestore } def
+/Ts {mark Tabs aload pop counttomark 1 add array astore /Tabs exch def Tabs
+	0 currentpoint pop put} def
+/Tbn {mark Tabs aload pop counttomark dup 2 add 1 roll cleartomark 1 sub} def
+/Tb { 0 1 Tbn {Tabs exch get dup currentpoint pop lt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/Tf { Tbn -1 0 {Tabs exch get dup currentpoint pop gt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/qS { (aa) stW (a a) stW sub 4 div 0 Kn } def
+/hS { qS qS } def
+/pspc 0 def
+/cf0 { scalefont setfont } bind def
+/Kn { dup kY add /kY exch def rmoveto } bind def
+/ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.33 mul neg Kn} def
+/Ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.67 mul Kn } def
+/ns { 0 kY neg Kn /kY 0 def /fscale 1.0 def xfont0 1.0 cf0 } def
+/CR { ns 0 /Bline Bline fscale0 neg add def Bline moveto } def
+/cf { dup type /realtype ne {1.0} if exch findfont exch kY 0 eq
+	{ 40 mul dup /fscale0 exch def cf0 /xfont0 currentfont def}
+	{fscale0 mul fscale mul cf0} ifelse } def
+/ctmk { counttomark dup 2 add -1 roll pop } bind def
+/label { gsave translate 0 0 moveto dup scale neg /rotval exch def
+	/just exch def just 384 and 0 gt {/mshow {pop} def} {/mshow {show}
+	def} ifelse just 16 and 0 gt {gsave rotval rotate 0 1 dtransform
+	gsave pagemat setmatrix idtransform exch grestore 1 0 dtransform
+	gsave pagemat setmatrix idtransform exch grestore dup abs 1e-9 lt
+	{pop mul 0 gt} {3 1 roll pop pop 0 lt} ifelse grestore {-1 /rotval
+	rotval neg def /just just dup 3 and 1 ne {3 xor} if def} {1} ifelse
+	exch -1e-9 lt {-1 /rotval rotval neg def /just just dup 12 and
+	4 ne {12 xor} if def} {1} ifelse scale } if /showflag 0 def
+	/fspc pspc def /Bline 0 def /Tabs 0 array def /fscale 1.0 def
+	/kY 0 def gsave dup 1 add copy 0 exch 1 0 dtransform exch atan rotate
+	{exch dup type /stringtype eq {true charpath flattenpath} {dup type
+	/arraytype eq {exec} {12 string cvs true charpath flattenpath} ifelse}
+	ifelse} repeat pop pathbbox grestore 3 -1 roll pop 3 1 roll just
+	1 and 0 gt {just 2 and 0 gt {exch pop neg fspc sub} {exch sub 0.5
+	mul neg} ifelse} {pop neg fspc add} ifelse exch Bline exch just 4
+	and 0 gt {just 8 and 0 gt {exch pop neg fspc sub} {add 0.5 mul neg}
+	ifelse} {pop neg fspc add} ifelse rotval rotate Kn currentpoint
+	translate /showflag 1 def /Bline 0 def /Tabs 0 array def /fscale
+	1.0 def /kY 0 def {dup type /stringtype eq {mshow} {dup type
+	/arraytype eq {exec} {12 string cvs mshow} ifelse} ifelse} repeat
+	grestore endclip} def
+/pinlabel { 4 index 32 and 0 ne hlevel 0 eq or { /pspc 10 def label
+	/pspc 0 def } { pop pop pop pop pop {pop} repeat } ifelse } def
+/pinglobal { pinlabel } def
+/infolabel { pinlabel } def
+/graphic { gsave 4 index cvx exec /DataSource get resetfile translate 0 0
+	moveto neg rotate dup scale cvx exec image grestore endclip} def
+
+/scb { setrgbcolor } bind def  /sce { defColor aload pop scb } bind def
+/cRedef {/defColor currentcolor 3 array astore def} def
+/begingate {dup type /dicttype ne {1 dict} if begin	% default params
+	dup type /dicttype ne {1 dict} if begin		% instanced params
+	/hlevel hlevel 1 add def /defColor currentcolor sce 3 array astore
+	def gsave sce translate 0 0 moveto neg rotate dup type /nametype
+	eq not { dup abs currentlinewidth exch div setlinewidth } { pop }
+	ifelse dup abs scale clipped 1 and 1 eq {/clipped clipped 1 add def}
+	if} bind def
+/endgate { /hlevel hlevel 1 sub def grestore defColor aload pop cRedef
+	scb end end endclip} bind def
+
+/hlevel 0 def
+/endclip {clipped 1 and 1 eq {grestore /clipped clipped 1 sub def} if} def
+/tmpa [1 0 0 1 0 0] def
+/gar {8 8 true tmpa {<c0 c0 00 00 0c 0c 00 00>} imagemask} bind
+{8 8 true tmpa {<30 70 60 02 03 07 06 20>} imagemask} bind
+{8 8 true tmpa {<0c 1e 1e 0c c0 e1 e1 c0>} imagemask} bind
+{8 8 true tmpa {<0f 0f 0f 0f f0 f0 f0 f0>} imagemask} bind
+{8 8 true tmpa {<3f f3 e1 e1 f3 3f 1e 1e>} imagemask} bind
+{8 8 true tmpa {<df cf 8f 9f fd fc f8 f9>} imagemask} bind
+{8 8 true tmpa {<ff 3f 3f ff ff f3 f3 ff>} imagemask} bind 7 array astore def
+/ppaint { gsave clip tmpa dup setmatrix pathbbox neg exch neg 4 2 roll
+  neg 4 -1 roll 2 copy gt {exch} if 8 div ceiling 8 mul 4 2 roll neg 2 copy
+  gt {exch} if 8 div ceiling 8 mul 3 -1 roll -8 5 -1 roll
+  { 3 index exch 5 exch put dup -8 3 index { 3 index
+  exch 4 exch put 3 index exec } for } for pop pop pop pop grestore } bind def
+/setstyles {
+  currentlinewidth mul setlinewidth /style exch def style 2048 and 0 gt
+  clipped 1 and 0 eq and {clip newpath /clipped clipped 1 add def} {
+  style 1 and 0 gt not {closepath} if
+  style 1024 and 0 gt {2 setlinecap} if
+  style 2 and 0 gt {currentlinewidth 4 mul dup 2 array astore 0 setdash} if
+  style 4 and 0 gt {0.5 currentlinewidth 4 mul 2 array astore 0 setdash} if
+  gsave style 16 and 0 gt { style 224 and -5 bitshift style 256 and 0 gt {
+  7 exch sub 8 div dup 1 exch sub currentrgbcolor 3 array astore
+  {3 copy mul add 4 1 roll pop} forall pop pop setrgbcolor eofill}
+  {dup 7 lt {gar exch get ppaint} {pop eofill} ifelse} ifelse}
+  {style 256 and 0 gt {1 setgray eofill} if} ifelse grestore style 8 and 0 gt 
+  style 512 eq or {newpath} {stroke} ifelse grestore endclip} ifelse} def     
+
+/addtoy {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll add
+	4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtoy1 {1 exch addtoy pop} def
+/addtoy3 {3 exch addtoy pop} def
+/addtoy4 {4 exch addtoy pop} def
+/addtox {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll 3 -1 roll
+	add exch 4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtox1 {1 exch addtox pop} def
+/addtox3 {3 exch addtox pop} def
+/addtox4 {4 exch addtox pop} def
+/polygon { gsave /num exch def moveto num 1 sub {lineto} repeat setstyles } def
+/xcarc   { gsave newpath arc setstyles } def
+/elb { matrix currentmatrix 7 -1 roll 7 -1 roll translate 5 1 roll 4 -1 roll
+	3 index div 1 scale } def
+/ele { 0 4 1 roll 0 4 1 roll } bind def
+/ellipse { gsave elb newpath ele arc setmatrix setstyles } def
+/pellip  { elb ele arc setmatrix } def
+/nellip  { elb ele arcn setmatrix } def
+/spline  { gsave moveto curveto setstyles } def
+/polyc   { {lineto} repeat } bind def
+/beginpath { gsave moveto } bind def
+/endpath { setstyles } bind def
+/bop	 { 1 setlinecap 0 setlinejoin 6 setmiterlimit 0 0 0 scb cRedef
+	/clipped 0 def} def
+/psinsertion {/PSobj save def /showpage {} def /setpagedevice {pop} def bop
+	rotate translate dup scale} def
+/end_insert {PSobj restore} def
+/setpagemat {/pagemat matrix currentmatrix def} def
+/inchscale  {setpagemat 0.375 mul dup scale} def
+/cmscale    {setpagemat 0.35433071 mul dup scale} def
+
+%%EndResource
+%%EndProlog
+
+% XCircuit output starts here.
+
+%%BeginSetup
+
+/analog::opamp {
+begingate
+0 1.000 -80 -80 -80 80 80 0 3 polygon
+(-) {/Symbol cf} 2 5 0 1.000 -61 42 label
+(+) {/Symbol cf} 2 5 0 1.000 -61 -50 label
+1.000 0.000 0.000 scb
+(in.m) {/Times-Roman cf} 2 7 0 1.000 -80 48 pinlabel
+(in.p) {/Times-Roman cf} 2 7 0 1.000 -80 -48 pinlabel
+(out) {/Times-Roman cf} 2 4 0 1.000 80 0 pinlabel
+endgate
+} def
+
+/analog::resistor {
+begingate
+1 1.000 0 64 0 36 2 polygon
+1 1.000 0 -64 0 -36 2 polygon
+1 1.000 0 -36 14 -30 -14 -18 14 -6 -14 6 14 18 -14 30 0 36 8 polygon
+1.000 0.000 0.000 scb
+(r.1) {/Times-Roman cf} 2 9 0 1.000 0 64 pinlabel
+(r.2) {/Times-Roman cf} 2 13 0 1.000 0 -64 pinlabel
+0.180 0.545 0.341 scb
+(spice:R%i %pr.1 %pr.2 1.0K) {/Times-Roman cf} 2 0 0 1.000 -208 -160 infolabel
+(sim:r %pr.1 %pr.2) {/Times-Roman cf} 2 0 0 1.000 -208 -208 infolabel
+endgate
+} def
+
+
+%%EndSetup
+
+%%Page: amp-test 1
+%%PageOrientation: Portrait
+/pgsave save def bop
+1.0000 inchscale
+2.6000 setlinewidth 544 238 translate
+
+-1.000 180 32 80 analog::opamp
+1.000 270 -160 32 analog::resistor
+1.000 270 32 -32 analog::resistor
+1 1.000 -96 32 -48 32 2 polygon
+1 1.000 -96 32 -96 -32 -32 -32 3 polygon
+1 1.000 96 -32 160 -32 2 polygon
+1 1.000 112 80 160 80 160 -32 3 polygon
+1 1.000 -48 128 -144 128 2 polygon
+0 1.000 -288 160 -288 80 -144 80 -144 160 4 polygon
+(PVS) {/Helvetica cf} 2 16 0 1.000 -256 112 label
+(IN1) {/Helvetica cf} 2 16 0 1.000 336 64 label
+0 1.000 320 112 320 48 400 48 400 112 4 polygon
+1 1.000 160 80 208 80 2 polygon
+(GND) {/Helvetica cf} 2 16 0 1.000 -336 16 label
+0 1.000 -352 64 -352 0 -240 0 -240 64 4 polygon
+1 1.000 -224 32 -240 32 2 polygon
+(Ri) {/Helvetica cf} 2 16 0 1.000 -192 -16 label
+(Rf) {/Helvetica cf} 2 16 0 1.000 48 0 label
+1.000 270 256 80 analog::resistor
+(1K) {/Helvetica cf} 2 16 0 1.000 240 32 label
+pgsave restore showpage
+
+%%Trailer
+XCIRCsave restore
+%%EOF
diff --git a/doc/en/Docs-jr/schematics/and-gate.png b/doc/en/Docs-jr/schematics/and-gate.png
new file mode 100644
index 0000000..1e4b36c
Binary files /dev/null and b/doc/en/Docs-jr/schematics/and-gate.png differ
diff --git a/doc/en/Docs-jr/schematics/and-gate.ps b/doc/en/Docs-jr/schematics/and-gate.ps
new file mode 100644
index 0000000..5f9a9c2
--- /dev/null
+++ b/doc/en/Docs-jr/schematics/and-gate.ps
@@ -0,0 +1,222 @@
+%!PS-Adobe-3.0 EPSF-3.0
+%%Title: gates.ps
+%%Creator: XCircuit v3.7 rev26
+%%CreationDate: Tue Jul 24 17:43:17 2012
+%%Pages: 1
+%%BoundingBox: 68 68 292 139
+%%DocumentNeededResources: font Helvetica Times-Roman 
+%%EndComments
+%%BeginProlog
+%
+%  PostScript prolog for output from xcircuit
+%  Version: 3.7
+%
+%  Electrical circuit (and otherwise general) drawing program
+%
+%  Written by Tim Edwards 8/5/93--11/1/10  (tim.edwards at multigig.com)
+%  The Johns Hopkins University (1993-2004)
+%  MultiGiG, Inc. (2004-present)
+%
+%%BeginResource: procset XCIRCproc 3.7 2
+%
+% supporting definitions --- these are the primary xcircuit types.
+
+/XCIRCsave save def
+/topmat matrix currentmatrix def
+
+/fontslant { /slant exch def [1 0 slant 1 0 0] 
+    exch findfont exch makefont dup length dict /ndict exch def
+    { 1 index /FID ne { ndict 3 1 roll put } { pop pop } ifelse } forall
+    ndict definefont pop} def
+/ul { dup type /stringtype eq showflag 1 eq and { gsave 
+   currentpoint topmat setmatrix 0 0 moveto 2 index stringwidth pop (_)
+   false charpath flattenpath pathbbox grestore exch pop 1 index
+   sub setlinewidth exch pop currentpoint 3 -1 roll add moveto 0
+   rlineto stroke moveto } if } def
+/ol { dup type /stringtype eq showflag 1 eq and { gsave gsave
+   currentpoint topmat setmatrix 2 index stringwidth pop 3 index
+   true charpath flattenpath pathbbox grestore exch pop
+   exch pop topmat setmatrix (_) true charpath pathbbox grestore
+   exch pop 1 index sub setlinewidth exch pop currentpoint
+   exch 4 1 roll exch sub add moveto pop 0 rlineto stroke
+   moveto } if } def
+/stW { gsave currentpoint newpath moveto true charpath flattenpath
+	pathbbox pop exch pop sub grestore } def
+/Ts {mark Tabs aload pop counttomark 1 add array astore /Tabs exch def Tabs
+	0 currentpoint pop put} def
+/Tbn {mark Tabs aload pop counttomark dup 2 add 1 roll cleartomark 1 sub} def
+/Tb { 0 1 Tbn {Tabs exch get dup currentpoint pop lt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/Tf { Tbn -1 0 {Tabs exch get dup currentpoint pop gt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/qS { (aa) stW (a a) stW sub 4 div 0 Kn } def
+/hS { qS qS } def
+/pspc 0 def
+/cf0 { scalefont setfont } bind def
+/Kn { dup kY add /kY exch def rmoveto } bind def
+/ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.33 mul neg Kn} def
+/Ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.67 mul Kn } def
+/ns { 0 kY neg Kn /kY 0 def /fscale 1.0 def xfont0 1.0 cf0 } def
+/CR { ns 0 /Bline Bline fscale0 neg add def Bline moveto } def
+/cf { dup type /realtype ne {1.0} if exch findfont exch kY 0 eq
+	{ 40 mul dup /fscale0 exch def cf0 /xfont0 currentfont def}
+	{fscale0 mul fscale mul cf0} ifelse } def
+/ctmk { counttomark dup 2 add -1 roll pop } bind def
+/label { gsave translate 0 0 moveto dup scale neg /rotval exch def
+	/just exch def just 384 and 0 gt {/mshow {pop} def} {/mshow {show}
+	def} ifelse just 16 and 0 gt {gsave rotval rotate 0 1 dtransform
+	gsave pagemat setmatrix idtransform exch grestore 1 0 dtransform
+	gsave pagemat setmatrix idtransform exch grestore dup abs 1e-9 lt
+	{pop mul 0 gt} {3 1 roll pop pop 0 lt} ifelse grestore {-1 /rotval
+	rotval neg def /just just dup 3 and 1 ne {3 xor} if def} {1} ifelse
+	exch -1e-9 lt {-1 /rotval rotval neg def /just just dup 12 and
+	4 ne {12 xor} if def} {1} ifelse scale } if /showflag 0 def
+	/fspc pspc def /Bline 0 def /Tabs 0 array def /fscale 1.0 def
+	/kY 0 def gsave dup 1 add copy 0 exch 1 0 dtransform exch atan rotate
+	{exch dup type /stringtype eq {true charpath flattenpath} {dup type
+	/arraytype eq {exec} {12 string cvs true charpath flattenpath} ifelse}
+	ifelse} repeat pop pathbbox grestore 3 -1 roll pop 3 1 roll just
+	1 and 0 gt {just 2 and 0 gt {exch pop neg fspc sub} {exch sub 0.5
+	mul neg} ifelse} {pop neg fspc add} ifelse exch Bline exch just 4
+	and 0 gt {just 8 and 0 gt {exch pop neg fspc sub} {add 0.5 mul neg}
+	ifelse} {pop neg fspc add} ifelse rotval rotate Kn currentpoint
+	translate /showflag 1 def /Bline 0 def /Tabs 0 array def /fscale
+	1.0 def /kY 0 def {dup type /stringtype eq {mshow} {dup type
+	/arraytype eq {exec} {12 string cvs mshow} ifelse} ifelse} repeat
+	grestore endclip} def
+/pinlabel { 4 index 32 and 0 ne hlevel 0 eq or { /pspc 10 def label
+	/pspc 0 def } { pop pop pop pop pop {pop} repeat } ifelse } def
+/pinglobal { pinlabel } def
+/infolabel { pinlabel } def
+/graphic { gsave 4 index cvx exec /DataSource get resetfile translate 0 0
+	moveto neg rotate dup scale cvx exec image grestore endclip} def
+
+/scb { setrgbcolor } bind def  /sce { defColor aload pop scb } bind def
+/cRedef {/defColor currentcolor 3 array astore def} def
+/begingate {dup type /dicttype ne {1 dict} if begin	% default params
+	dup type /dicttype ne {1 dict} if begin		% instanced params
+	/hlevel hlevel 1 add def /defColor currentcolor sce 3 array astore
+	def gsave sce translate 0 0 moveto neg rotate dup type /nametype
+	eq not { dup abs currentlinewidth exch div setlinewidth } { pop }
+	ifelse dup abs scale clipped 1 and 1 eq {/clipped clipped 1 add def}
+	if} bind def
+/endgate { /hlevel hlevel 1 sub def grestore defColor aload pop cRedef
+	scb end end endclip} bind def
+
+/hlevel 0 def
+/endclip {clipped 1 and 1 eq {grestore /clipped clipped 1 sub def} if} def
+/tmpa [1 0 0 1 0 0] def
+/gar {8 8 true tmpa {<c0 c0 00 00 0c 0c 00 00>} imagemask} bind
+{8 8 true tmpa {<30 70 60 02 03 07 06 20>} imagemask} bind
+{8 8 true tmpa {<0c 1e 1e 0c c0 e1 e1 c0>} imagemask} bind
+{8 8 true tmpa {<0f 0f 0f 0f f0 f0 f0 f0>} imagemask} bind
+{8 8 true tmpa {<3f f3 e1 e1 f3 3f 1e 1e>} imagemask} bind
+{8 8 true tmpa {<df cf 8f 9f fd fc f8 f9>} imagemask} bind
+{8 8 true tmpa {<ff 3f 3f ff ff f3 f3 ff>} imagemask} bind 7 array astore def
+/ppaint { gsave clip tmpa dup setmatrix pathbbox neg exch neg 4 2 roll
+  neg 4 -1 roll 2 copy gt {exch} if 8 div ceiling 8 mul 4 2 roll neg 2 copy
+  gt {exch} if 8 div ceiling 8 mul 3 -1 roll -8 5 -1 roll
+  { 3 index exch 5 exch put dup -8 3 index { 3 index
+  exch 4 exch put 3 index exec } for } for pop pop pop pop grestore } bind def
+/setstyles {
+  currentlinewidth mul setlinewidth /style exch def style 2048 and 0 gt
+  clipped 1 and 0 eq and {clip newpath /clipped clipped 1 add def} {
+  style 1 and 0 gt not {closepath} if
+  style 1024 and 0 gt {2 setlinecap} if
+  style 2 and 0 gt {currentlinewidth 4 mul dup 2 array astore 0 setdash} if
+  style 4 and 0 gt {0.5 currentlinewidth 4 mul 2 array astore 0 setdash} if
+  gsave style 16 and 0 gt { style 224 and -5 bitshift style 256 and 0 gt {
+  7 exch sub 8 div dup 1 exch sub currentrgbcolor 3 array astore
+  {3 copy mul add 4 1 roll pop} forall pop pop setrgbcolor eofill}
+  {dup 7 lt {gar exch get ppaint} {pop eofill} ifelse} ifelse}
+  {style 256 and 0 gt {1 setgray eofill} if} ifelse grestore style 8 and 0 gt 
+  style 512 eq or {newpath} {stroke} ifelse grestore endclip} ifelse} def     
+
+/addtoy {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll add
+	4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtoy1 {1 exch addtoy pop} def
+/addtoy3 {3 exch addtoy pop} def
+/addtoy4 {4 exch addtoy pop} def
+/addtox {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll 3 -1 roll
+	add exch 4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtox1 {1 exch addtox pop} def
+/addtox3 {3 exch addtox pop} def
+/addtox4 {4 exch addtox pop} def
+/polygon { gsave /num exch def moveto num 1 sub {lineto} repeat setstyles } def
+/xcarc   { gsave newpath arc setstyles } def
+/elb { matrix currentmatrix 7 -1 roll 7 -1 roll translate 5 1 roll 4 -1 roll
+	3 index div 1 scale } def
+/ele { 0 4 1 roll 0 4 1 roll } bind def
+/ellipse { gsave elb newpath ele arc setmatrix setstyles } def
+/pellip  { elb ele arc setmatrix } def
+/nellip  { elb ele arcn setmatrix } def
+/spline  { gsave moveto curveto setstyles } def
+/polyc   { {lineto} repeat } bind def
+/beginpath { gsave moveto } bind def
+/endpath { setstyles } bind def
+/bop	 { 1 setlinecap 0 setlinejoin 6 setmiterlimit 0 0 0 scb cRedef
+	/clipped 0 def} def
+/psinsertion {/PSobj save def /showpage {} def /setpagedevice {pop} def bop
+	rotate translate dup scale} def
+/end_insert {PSobj restore} def
+/setpagemat {/pagemat matrix currentmatrix def} def
+/inchscale  {setpagemat 0.375 mul dup scale} def
+/cmscale    {setpagemat 0.35433071 mul dup scale} def
+
+%%EndResource
+%%EndProlog
+
+% XCircuit output starts here.
+
+%%BeginSetup
+
+/digital::and {
+% trivial
+begingate
+1 1.000 0 -48 -64 -48 -64 48 0 48 4 polygon
+1 1.000 0 0 48 -90.000 90.000 xcarc
+1.000 0.000 0.000 scb
+(Out) {/Times-Roman cf} 2 4 0 1.000 48 0 pinlabel
+(In.1) {/Times-Roman cf} 2 7 0 1.000 -64 32 pinlabel
+(In.2) {/Times-Roman cf} 2 7 0 1.000 -64 -32 pinlabel
+endgate
+} def
+
+
+%%EndSetup
+
+%%Page: gates 1
+%%PageOrientation: Portrait
+/pgsave save def bop
+1.0000 inchscale
+2.6000 setlinewidth 480 208 translate
+
+1.000 0 -32 64 digital::and
+(SQR1) {/Helvetica cf} 2 16 0 1.000 -272 16 label
+(SQR2) {/Helvetica cf} 2 16 0 1.000 -272 96 label
+0 1.000 -288 144 -288 80 -160 80 -160 144 4 polygon
+0 1.000 -288 64 -288 0 -160 0 -160 64 4 polygon
+1 1.000 -160 32 -96 32 2 polygon
+1 1.000 -96 96 -160 96 2 polygon
+(IN1) {/Helvetica cf} 2 16 0 1.000 80 48 label
+0 1.000 64 96 64 32 144 32 144 96 4 polygon
+1 1.000 16 64 64 64 2 polygon
+(OD1) {/Helvetica cf} 2 16 0 1.000 192 96 label
+(GND) {/Helvetica cf} 2 16 0 1.000 192 16 label
+0 1.000 176 64 176 0 288 0 288 64 4 polygon
+0 1.000 176 144 176 80 288 80 288 144 4 polygon
+1 1.000 -48 112 -48 128 176 128 3 polygon
+1 1.000 -48 16 -48 0 160 0 160 16 176 16 5 polygon
+(7408) {/Helvetica cf} 2 16 0 1.000 -96 48 label
+(1) {/Helvetica cf} 2 16 0 1.000 -128 96 label
+(2) {/Helvetica cf} 2 16 0 1.000 -128 32 label
+(3) {/Helvetica cf} 2 16 0 1.000 32 64 label
+(14) {/Helvetica cf} 2 16 0 1.000 -96 128 label
+(7) {/Helvetica cf} 2 16 0 1.000 -80 -16 label
+pgsave restore showpage
+
+%%Trailer
+XCIRCsave restore
+%%EOF
diff --git a/doc/en/Docs-jr/schematics/calibrate.png b/doc/en/Docs-jr/schematics/calibrate.png
new file mode 100644
index 0000000..5b7ba54
Binary files /dev/null and b/doc/en/Docs-jr/schematics/calibrate.png differ
diff --git a/doc/en/Docs-jr/schematics/calibrate.ps b/doc/en/Docs-jr/schematics/calibrate.ps
new file mode 100644
index 0000000..9c1f633
--- /dev/null
+++ b/doc/en/Docs-jr/schematics/calibrate.ps
@@ -0,0 +1,196 @@
+%!PS-Adobe-3.0 EPSF-3.0
+%%Title: calbrate
+%%Creator: XCircuit v3.7 rev26
+%%CreationDate: Tue Jul 31 14:36:25 2012
+%%Pages: 1
+%%BoundingBox: 68 68 196 100
+%%DocumentNeededResources: font Helvetica 
+%%EndComments
+%%BeginProlog
+%
+%  PostScript prolog for output from xcircuit
+%  Version: 3.7
+%
+%  Electrical circuit (and otherwise general) drawing program
+%
+%  Written by Tim Edwards 8/5/93--11/1/10  (tim.edwards at multigig.com)
+%  The Johns Hopkins University (1993-2004)
+%  MultiGiG, Inc. (2004-present)
+%
+%%BeginResource: procset XCIRCproc 3.7 2
+%
+% supporting definitions --- these are the primary xcircuit types.
+
+/XCIRCsave save def
+/topmat matrix currentmatrix def
+
+/fontslant { /slant exch def [1 0 slant 1 0 0] 
+    exch findfont exch makefont dup length dict /ndict exch def
+    { 1 index /FID ne { ndict 3 1 roll put } { pop pop } ifelse } forall
+    ndict definefont pop} def
+/ul { dup type /stringtype eq showflag 1 eq and { gsave 
+   currentpoint topmat setmatrix 0 0 moveto 2 index stringwidth pop (_)
+   false charpath flattenpath pathbbox grestore exch pop 1 index
+   sub setlinewidth exch pop currentpoint 3 -1 roll add moveto 0
+   rlineto stroke moveto } if } def
+/ol { dup type /stringtype eq showflag 1 eq and { gsave gsave
+   currentpoint topmat setmatrix 2 index stringwidth pop 3 index
+   true charpath flattenpath pathbbox grestore exch pop
+   exch pop topmat setmatrix (_) true charpath pathbbox grestore
+   exch pop 1 index sub setlinewidth exch pop currentpoint
+   exch 4 1 roll exch sub add moveto pop 0 rlineto stroke
+   moveto } if } def
+/stW { gsave currentpoint newpath moveto true charpath flattenpath
+	pathbbox pop exch pop sub grestore } def
+/Ts {mark Tabs aload pop counttomark 1 add array astore /Tabs exch def Tabs
+	0 currentpoint pop put} def
+/Tbn {mark Tabs aload pop counttomark dup 2 add 1 roll cleartomark 1 sub} def
+/Tb { 0 1 Tbn {Tabs exch get dup currentpoint pop lt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/Tf { Tbn -1 0 {Tabs exch get dup currentpoint pop gt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/qS { (aa) stW (a a) stW sub 4 div 0 Kn } def
+/hS { qS qS } def
+/pspc 0 def
+/cf0 { scalefont setfont } bind def
+/Kn { dup kY add /kY exch def rmoveto } bind def
+/ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.33 mul neg Kn} def
+/Ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.67 mul Kn } def
+/ns { 0 kY neg Kn /kY 0 def /fscale 1.0 def xfont0 1.0 cf0 } def
+/CR { ns 0 /Bline Bline fscale0 neg add def Bline moveto } def
+/cf { dup type /realtype ne {1.0} if exch findfont exch kY 0 eq
+	{ 40 mul dup /fscale0 exch def cf0 /xfont0 currentfont def}
+	{fscale0 mul fscale mul cf0} ifelse } def
+/ctmk { counttomark dup 2 add -1 roll pop } bind def
+/label { gsave translate 0 0 moveto dup scale neg /rotval exch def
+	/just exch def just 384 and 0 gt {/mshow {pop} def} {/mshow {show}
+	def} ifelse just 16 and 0 gt {gsave rotval rotate 0 1 dtransform
+	gsave pagemat setmatrix idtransform exch grestore 1 0 dtransform
+	gsave pagemat setmatrix idtransform exch grestore dup abs 1e-9 lt
+	{pop mul 0 gt} {3 1 roll pop pop 0 lt} ifelse grestore {-1 /rotval
+	rotval neg def /just just dup 3 and 1 ne {3 xor} if def} {1} ifelse
+	exch -1e-9 lt {-1 /rotval rotval neg def /just just dup 12 and
+	4 ne {12 xor} if def} {1} ifelse scale } if /showflag 0 def
+	/fspc pspc def /Bline 0 def /Tabs 0 array def /fscale 1.0 def
+	/kY 0 def gsave dup 1 add copy 0 exch 1 0 dtransform exch atan rotate
+	{exch dup type /stringtype eq {true charpath flattenpath} {dup type
+	/arraytype eq {exec} {12 string cvs true charpath flattenpath} ifelse}
+	ifelse} repeat pop pathbbox grestore 3 -1 roll pop 3 1 roll just
+	1 and 0 gt {just 2 and 0 gt {exch pop neg fspc sub} {exch sub 0.5
+	mul neg} ifelse} {pop neg fspc add} ifelse exch Bline exch just 4
+	and 0 gt {just 8 and 0 gt {exch pop neg fspc sub} {add 0.5 mul neg}
+	ifelse} {pop neg fspc add} ifelse rotval rotate Kn currentpoint
+	translate /showflag 1 def /Bline 0 def /Tabs 0 array def /fscale
+	1.0 def /kY 0 def {dup type /stringtype eq {mshow} {dup type
+	/arraytype eq {exec} {12 string cvs mshow} ifelse} ifelse} repeat
+	grestore endclip} def
+/pinlabel { 4 index 32 and 0 ne hlevel 0 eq or { /pspc 10 def label
+	/pspc 0 def } { pop pop pop pop pop {pop} repeat } ifelse } def
+/pinglobal { pinlabel } def
+/infolabel { pinlabel } def
+/graphic { gsave 4 index cvx exec /DataSource get resetfile translate 0 0
+	moveto neg rotate dup scale cvx exec image grestore endclip} def
+
+/scb { setrgbcolor } bind def  /sce { defColor aload pop scb } bind def
+/cRedef {/defColor currentcolor 3 array astore def} def
+/begingate {dup type /dicttype ne {1 dict} if begin	% default params
+	dup type /dicttype ne {1 dict} if begin		% instanced params
+	/hlevel hlevel 1 add def /defColor currentcolor sce 3 array astore
+	def gsave sce translate 0 0 moveto neg rotate dup type /nametype
+	eq not { dup abs currentlinewidth exch div setlinewidth } { pop }
+	ifelse dup abs scale clipped 1 and 1 eq {/clipped clipped 1 add def}
+	if} bind def
+/endgate { /hlevel hlevel 1 sub def grestore defColor aload pop cRedef
+	scb end end endclip} bind def
+
+/hlevel 0 def
+/endclip {clipped 1 and 1 eq {grestore /clipped clipped 1 sub def} if} def
+/tmpa [1 0 0 1 0 0] def
+/gar {8 8 true tmpa {<c0 c0 00 00 0c 0c 00 00>} imagemask} bind
+{8 8 true tmpa {<30 70 60 02 03 07 06 20>} imagemask} bind
+{8 8 true tmpa {<0c 1e 1e 0c c0 e1 e1 c0>} imagemask} bind
+{8 8 true tmpa {<0f 0f 0f 0f f0 f0 f0 f0>} imagemask} bind
+{8 8 true tmpa {<3f f3 e1 e1 f3 3f 1e 1e>} imagemask} bind
+{8 8 true tmpa {<df cf 8f 9f fd fc f8 f9>} imagemask} bind
+{8 8 true tmpa {<ff 3f 3f ff ff f3 f3 ff>} imagemask} bind 7 array astore def
+/ppaint { gsave clip tmpa dup setmatrix pathbbox neg exch neg 4 2 roll
+  neg 4 -1 roll 2 copy gt {exch} if 8 div ceiling 8 mul 4 2 roll neg 2 copy
+  gt {exch} if 8 div ceiling 8 mul 3 -1 roll -8 5 -1 roll
+  { 3 index exch 5 exch put dup -8 3 index { 3 index
+  exch 4 exch put 3 index exec } for } for pop pop pop pop grestore } bind def
+/setstyles {
+  currentlinewidth mul setlinewidth /style exch def style 2048 and 0 gt
+  clipped 1 and 0 eq and {clip newpath /clipped clipped 1 add def} {
+  style 1 and 0 gt not {closepath} if
+  style 1024 and 0 gt {2 setlinecap} if
+  style 2 and 0 gt {currentlinewidth 4 mul dup 2 array astore 0 setdash} if
+  style 4 and 0 gt {0.5 currentlinewidth 4 mul 2 array astore 0 setdash} if
+  gsave style 16 and 0 gt { style 224 and -5 bitshift style 256 and 0 gt {
+  7 exch sub 8 div dup 1 exch sub currentrgbcolor 3 array astore
+  {3 copy mul add 4 1 roll pop} forall pop pop setrgbcolor eofill}
+  {dup 7 lt {gar exch get ppaint} {pop eofill} ifelse} ifelse}
+  {style 256 and 0 gt {1 setgray eofill} if} ifelse grestore style 8 and 0 gt 
+  style 512 eq or {newpath} {stroke} ifelse grestore endclip} ifelse} def     
+
+/addtoy {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll add
+	4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtoy1 {1 exch addtoy pop} def
+/addtoy3 {3 exch addtoy pop} def
+/addtoy4 {4 exch addtoy pop} def
+/addtox {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll 3 -1 roll
+	add exch 4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtox1 {1 exch addtox pop} def
+/addtox3 {3 exch addtox pop} def
+/addtox4 {4 exch addtox pop} def
+/polygon { gsave /num exch def moveto num 1 sub {lineto} repeat setstyles } def
+/xcarc   { gsave newpath arc setstyles } def
+/elb { matrix currentmatrix 7 -1 roll 7 -1 roll translate 5 1 roll 4 -1 roll
+	3 index div 1 scale } def
+/ele { 0 4 1 roll 0 4 1 roll } bind def
+/ellipse { gsave elb newpath ele arc setmatrix setstyles } def
+/pellip  { elb ele arc setmatrix } def
+/nellip  { elb ele arcn setmatrix } def
+/spline  { gsave moveto curveto setstyles } def
+/polyc   { {lineto} repeat } bind def
+/beginpath { gsave moveto } bind def
+/endpath { setstyles } bind def
+/bop	 { 1 setlinecap 0 setlinejoin 6 setmiterlimit 0 0 0 scb cRedef
+	/clipped 0 def} def
+/psinsertion {/PSobj save def /showpage {} def /setpagedevice {pop} def bop
+	rotate translate dup scale} def
+/end_insert {PSobj restore} def
+/setpagemat {/pagemat matrix currentmatrix def} def
+/inchscale  {setpagemat 0.375 mul dup scale} def
+/cmscale    {setpagemat 0.35433071 mul dup scale} def
+
+%%EndResource
+%%EndProlog
+
+% XCircuit output starts here.
+
+%%BeginSetup
+
+
+%%EndSetup
+
+%%Page: 1 1
+%%PageOrientation: Portrait
+/pgsave save def bop
+1.0000 inchscale
+2.6000 setlinewidth 384 192 translate
+
+(A1) {/Helvetica cf} 2 16 0 1.000 -176 16 label
+(A2) {/Helvetica cf} 2 16 0 1.000 64 16 label
+(PVS) {/Helvetica cf} 2 16 0 1.000 -80 16 label
+0 1.000 -96 64 -96 0 16 0 16 64 4 polygon
+0 1.000 48 64 48 0 128 0 128 64 4 polygon
+0 1.000 -192 64 -192 0 -128 0 -128 64 4 polygon
+1 1.000 -128 32 -96 32 2 polygon
+1 1.000 16 32 48 32 2 polygon
+pgsave restore showpage
+
+%%Trailer
+XCIRCsave restore
+%%EOF
diff --git a/doc/en/Docs-jr/schematics/cap-lin.png b/doc/en/Docs-jr/schematics/cap-lin.png
new file mode 100644
index 0000000..1922d2b
Binary files /dev/null and b/doc/en/Docs-jr/schematics/cap-lin.png differ
diff --git a/doc/en/Docs-jr/schematics/cap-lin.ps b/doc/en/Docs-jr/schematics/cap-lin.ps
new file mode 100644
index 0000000..f87b09f
--- /dev/null
+++ b/doc/en/Docs-jr/schematics/cap-lin.ps
@@ -0,0 +1,225 @@
+%!PS-Adobe-3.0 EPSF-3.0
+%%Title: cap-lin
+%%Creator: XCircuit v3.7 rev26
+%%CreationDate: Wed Aug  1 10:36:27 2012
+%%Pages: 1
+%%BoundingBox: 68 68 286 100
+%%DocumentNeededResources: font Helvetica Times-Roman 
+%%EndComments
+%%BeginProlog
+%
+%  PostScript prolog for output from xcircuit
+%  Version: 3.7
+%
+%  Electrical circuit (and otherwise general) drawing program
+%
+%  Written by Tim Edwards 8/5/93--11/1/10  (tim.edwards at multigig.com)
+%  The Johns Hopkins University (1993-2004)
+%  MultiGiG, Inc. (2004-present)
+%
+%%BeginResource: procset XCIRCproc 3.7 2
+%
+% supporting definitions --- these are the primary xcircuit types.
+
+/XCIRCsave save def
+/topmat matrix currentmatrix def
+
+/fontslant { /slant exch def [1 0 slant 1 0 0] 
+    exch findfont exch makefont dup length dict /ndict exch def
+    { 1 index /FID ne { ndict 3 1 roll put } { pop pop } ifelse } forall
+    ndict definefont pop} def
+/ul { dup type /stringtype eq showflag 1 eq and { gsave 
+   currentpoint topmat setmatrix 0 0 moveto 2 index stringwidth pop (_)
+   false charpath flattenpath pathbbox grestore exch pop 1 index
+   sub setlinewidth exch pop currentpoint 3 -1 roll add moveto 0
+   rlineto stroke moveto } if } def
+/ol { dup type /stringtype eq showflag 1 eq and { gsave gsave
+   currentpoint topmat setmatrix 2 index stringwidth pop 3 index
+   true charpath flattenpath pathbbox grestore exch pop
+   exch pop topmat setmatrix (_) true charpath pathbbox grestore
+   exch pop 1 index sub setlinewidth exch pop currentpoint
+   exch 4 1 roll exch sub add moveto pop 0 rlineto stroke
+   moveto } if } def
+/stW { gsave currentpoint newpath moveto true charpath flattenpath
+	pathbbox pop exch pop sub grestore } def
+/Ts {mark Tabs aload pop counttomark 1 add array astore /Tabs exch def Tabs
+	0 currentpoint pop put} def
+/Tbn {mark Tabs aload pop counttomark dup 2 add 1 roll cleartomark 1 sub} def
+/Tb { 0 1 Tbn {Tabs exch get dup currentpoint pop lt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/Tf { Tbn -1 0 {Tabs exch get dup currentpoint pop gt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/qS { (aa) stW (a a) stW sub 4 div 0 Kn } def
+/hS { qS qS } def
+/pspc 0 def
+/cf0 { scalefont setfont } bind def
+/Kn { dup kY add /kY exch def rmoveto } bind def
+/ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.33 mul neg Kn} def
+/Ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.67 mul Kn } def
+/ns { 0 kY neg Kn /kY 0 def /fscale 1.0 def xfont0 1.0 cf0 } def
+/CR { ns 0 /Bline Bline fscale0 neg add def Bline moveto } def
+/cf { dup type /realtype ne {1.0} if exch findfont exch kY 0 eq
+	{ 40 mul dup /fscale0 exch def cf0 /xfont0 currentfont def}
+	{fscale0 mul fscale mul cf0} ifelse } def
+/ctmk { counttomark dup 2 add -1 roll pop } bind def
+/label { gsave translate 0 0 moveto dup scale neg /rotval exch def
+	/just exch def just 384 and 0 gt {/mshow {pop} def} {/mshow {show}
+	def} ifelse just 16 and 0 gt {gsave rotval rotate 0 1 dtransform
+	gsave pagemat setmatrix idtransform exch grestore 1 0 dtransform
+	gsave pagemat setmatrix idtransform exch grestore dup abs 1e-9 lt
+	{pop mul 0 gt} {3 1 roll pop pop 0 lt} ifelse grestore {-1 /rotval
+	rotval neg def /just just dup 3 and 1 ne {3 xor} if def} {1} ifelse
+	exch -1e-9 lt {-1 /rotval rotval neg def /just just dup 12 and
+	4 ne {12 xor} if def} {1} ifelse scale } if /showflag 0 def
+	/fspc pspc def /Bline 0 def /Tabs 0 array def /fscale 1.0 def
+	/kY 0 def gsave dup 1 add copy 0 exch 1 0 dtransform exch atan rotate
+	{exch dup type /stringtype eq {true charpath flattenpath} {dup type
+	/arraytype eq {exec} {12 string cvs true charpath flattenpath} ifelse}
+	ifelse} repeat pop pathbbox grestore 3 -1 roll pop 3 1 roll just
+	1 and 0 gt {just 2 and 0 gt {exch pop neg fspc sub} {exch sub 0.5
+	mul neg} ifelse} {pop neg fspc add} ifelse exch Bline exch just 4
+	and 0 gt {just 8 and 0 gt {exch pop neg fspc sub} {add 0.5 mul neg}
+	ifelse} {pop neg fspc add} ifelse rotval rotate Kn currentpoint
+	translate /showflag 1 def /Bline 0 def /Tabs 0 array def /fscale
+	1.0 def /kY 0 def {dup type /stringtype eq {mshow} {dup type
+	/arraytype eq {exec} {12 string cvs mshow} ifelse} ifelse} repeat
+	grestore endclip} def
+/pinlabel { 4 index 32 and 0 ne hlevel 0 eq or { /pspc 10 def label
+	/pspc 0 def } { pop pop pop pop pop {pop} repeat } ifelse } def
+/pinglobal { pinlabel } def
+/infolabel { pinlabel } def
+/graphic { gsave 4 index cvx exec /DataSource get resetfile translate 0 0
+	moveto neg rotate dup scale cvx exec image grestore endclip} def
+
+/scb { setrgbcolor } bind def  /sce { defColor aload pop scb } bind def
+/cRedef {/defColor currentcolor 3 array astore def} def
+/begingate {dup type /dicttype ne {1 dict} if begin	% default params
+	dup type /dicttype ne {1 dict} if begin		% instanced params
+	/hlevel hlevel 1 add def /defColor currentcolor sce 3 array astore
+	def gsave sce translate 0 0 moveto neg rotate dup type /nametype
+	eq not { dup abs currentlinewidth exch div setlinewidth } { pop }
+	ifelse dup abs scale clipped 1 and 1 eq {/clipped clipped 1 add def}
+	if} bind def
+/endgate { /hlevel hlevel 1 sub def grestore defColor aload pop cRedef
+	scb end end endclip} bind def
+
+/hlevel 0 def
+/endclip {clipped 1 and 1 eq {grestore /clipped clipped 1 sub def} if} def
+/tmpa [1 0 0 1 0 0] def
+/gar {8 8 true tmpa {<c0 c0 00 00 0c 0c 00 00>} imagemask} bind
+{8 8 true tmpa {<30 70 60 02 03 07 06 20>} imagemask} bind
+{8 8 true tmpa {<0c 1e 1e 0c c0 e1 e1 c0>} imagemask} bind
+{8 8 true tmpa {<0f 0f 0f 0f f0 f0 f0 f0>} imagemask} bind
+{8 8 true tmpa {<3f f3 e1 e1 f3 3f 1e 1e>} imagemask} bind
+{8 8 true tmpa {<df cf 8f 9f fd fc f8 f9>} imagemask} bind
+{8 8 true tmpa {<ff 3f 3f ff ff f3 f3 ff>} imagemask} bind 7 array astore def
+/ppaint { gsave clip tmpa dup setmatrix pathbbox neg exch neg 4 2 roll
+  neg 4 -1 roll 2 copy gt {exch} if 8 div ceiling 8 mul 4 2 roll neg 2 copy
+  gt {exch} if 8 div ceiling 8 mul 3 -1 roll -8 5 -1 roll
+  { 3 index exch 5 exch put dup -8 3 index { 3 index
+  exch 4 exch put 3 index exec } for } for pop pop pop pop grestore } bind def
+/setstyles {
+  currentlinewidth mul setlinewidth /style exch def style 2048 and 0 gt
+  clipped 1 and 0 eq and {clip newpath /clipped clipped 1 add def} {
+  style 1 and 0 gt not {closepath} if
+  style 1024 and 0 gt {2 setlinecap} if
+  style 2 and 0 gt {currentlinewidth 4 mul dup 2 array astore 0 setdash} if
+  style 4 and 0 gt {0.5 currentlinewidth 4 mul 2 array astore 0 setdash} if
+  gsave style 16 and 0 gt { style 224 and -5 bitshift style 256 and 0 gt {
+  7 exch sub 8 div dup 1 exch sub currentrgbcolor 3 array astore
+  {3 copy mul add 4 1 roll pop} forall pop pop setrgbcolor eofill}
+  {dup 7 lt {gar exch get ppaint} {pop eofill} ifelse} ifelse}
+  {style 256 and 0 gt {1 setgray eofill} if} ifelse grestore style 8 and 0 gt 
+  style 512 eq or {newpath} {stroke} ifelse grestore endclip} ifelse} def     
+
+/addtoy {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll add
+	4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtoy1 {1 exch addtoy pop} def
+/addtoy3 {3 exch addtoy pop} def
+/addtoy4 {4 exch addtoy pop} def
+/addtox {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll 3 -1 roll
+	add exch 4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtox1 {1 exch addtox pop} def
+/addtox3 {3 exch addtox pop} def
+/addtox4 {4 exch addtox pop} def
+/polygon { gsave /num exch def moveto num 1 sub {lineto} repeat setstyles } def
+/xcarc   { gsave newpath arc setstyles } def
+/elb { matrix currentmatrix 7 -1 roll 7 -1 roll translate 5 1 roll 4 -1 roll
+	3 index div 1 scale } def
+/ele { 0 4 1 roll 0 4 1 roll } bind def
+/ellipse { gsave elb newpath ele arc setmatrix setstyles } def
+/pellip  { elb ele arc setmatrix } def
+/nellip  { elb ele arcn setmatrix } def
+/spline  { gsave moveto curveto setstyles } def
+/polyc   { {lineto} repeat } bind def
+/beginpath { gsave moveto } bind def
+/endpath { setstyles } bind def
+/bop	 { 1 setlinecap 0 setlinejoin 6 setmiterlimit 0 0 0 scb cRedef
+	/clipped 0 def} def
+/psinsertion {/PSobj save def /showpage {} def /setpagedevice {pop} def bop
+	rotate translate dup scale} def
+/end_insert {PSobj restore} def
+/setpagemat {/pagemat matrix currentmatrix def} def
+/inchscale  {setpagemat 0.375 mul dup scale} def
+/cmscale    {setpagemat 0.35433071 mul dup scale} def
+
+%%EndResource
+%%EndProlog
+
+% XCircuit output starts here.
+
+%%BeginSetup
+
+/analog::resistor {
+begingate
+1 1.000 0 64 0 36 2 polygon
+1 1.000 0 -64 0 -36 2 polygon
+1 1.000 0 -36 14 -30 -14 -18 14 -6 -14 6 14 18 -14 30 0 36 8 polygon
+1.000 0.000 0.000 scb
+(r.1) {/Times-Roman cf} 2 9 0 1.000 0 64 pinlabel
+(r.2) {/Times-Roman cf} 2 13 0 1.000 0 -64 pinlabel
+0.180 0.545 0.341 scb
+(spice:R%i %pr.1 %pr.2 1.0K) {/Times-Roman cf} 2 0 0 1.000 -208 -160 infolabel
+(sim:r %pr.1 %pr.2) {/Times-Roman cf} 2 0 0 1.000 -208 -208 infolabel
+endgate
+} def
+
+/analog::capacitor {
+begingate
+1 1.000 0 -64 0 -6 2 polygon
+1 1.000 0 64 0 6 2 polygon
+1 1.000 -32 6 32 6 2 polygon
+1 1.000 -32 -6 32 -6 2 polygon
+1.000 0.000 0.000 scb
+(c.1) {/Times-Roman cf} 2 9 0 1.000 0 64 pinlabel
+(c.2) {/Times-Roman cf} 2 13 0 1.000 0 -64 pinlabel
+0.180 0.545 0.341 scb
+(spice:C%i %pc.1 %pc.2 1.0P) {/Times-Roman cf} 2 0 0 1.000 -208 -160 infolabel
+(sim:c %pc.1 %pc.2) {/Times-Roman cf} 2 0 0 1.000 -208 -208 infolabel
+endgate
+} def
+
+
+%%EndSetup
+
+%%Page: 1 1
+%%PageOrientation: Portrait
+/pgsave save def bop
+1.0000 inchscale
+2.6000 setlinewidth 480 176 translate
+
+(CCS) {/Helvetica cf} 2 16 0 1.000 -272 32 label
+0 1.000 -288 80 -288 16 -176 16 -176 80 4 polygon
+1.000 270 -112 48 analog::resistor
+1.000 270 96 48 analog::capacitor
+(A1) {/Helvetica cf} 2 16 0 1.000 -32 32 label
+0 1.000 -48 80 -48 16 32 16 32 80 4 polygon
+(GND) {/Helvetica cf} 2 16 0 1.000 176 32 label
+0 1.000 160 80 160 16 272 16 272 80 4 polygon
+pgsave restore showpage
+
+%%Trailer
+XCIRCsave restore
+%%EOF
diff --git a/doc/en/Docs-jr/schematics/ccs-calib.png b/doc/en/Docs-jr/schematics/ccs-calib.png
new file mode 100644
index 0000000..8d5ebf3
Binary files /dev/null and b/doc/en/Docs-jr/schematics/ccs-calib.png differ
diff --git a/doc/en/Docs-jr/schematics/ccs-calib.ps b/doc/en/Docs-jr/schematics/ccs-calib.ps
new file mode 100644
index 0000000..0ac1ace
--- /dev/null
+++ b/doc/en/Docs-jr/schematics/ccs-calib.ps
@@ -0,0 +1,211 @@
+%!PS-Adobe-3.0 EPSF-3.0
+%%Title: ccs-calib
+%%Creator: XCircuit v3.7 rev26
+%%CreationDate: Sat Jul 28 12:05:32 2012
+%%Pages: 1
+%%BoundingBox: 68 68 256 100
+%%DocumentNeededResources: font Helvetica Times-Roman 
+%%EndComments
+%%BeginProlog
+%
+%  PostScript prolog for output from xcircuit
+%  Version: 3.7
+%
+%  Electrical circuit (and otherwise general) drawing program
+%
+%  Written by Tim Edwards 8/5/93--11/1/10  (tim.edwards at multigig.com)
+%  The Johns Hopkins University (1993-2004)
+%  MultiGiG, Inc. (2004-present)
+%
+%%BeginResource: procset XCIRCproc 3.7 2
+%
+% supporting definitions --- these are the primary xcircuit types.
+
+/XCIRCsave save def
+/topmat matrix currentmatrix def
+
+/fontslant { /slant exch def [1 0 slant 1 0 0] 
+    exch findfont exch makefont dup length dict /ndict exch def
+    { 1 index /FID ne { ndict 3 1 roll put } { pop pop } ifelse } forall
+    ndict definefont pop} def
+/ul { dup type /stringtype eq showflag 1 eq and { gsave 
+   currentpoint topmat setmatrix 0 0 moveto 2 index stringwidth pop (_)
+   false charpath flattenpath pathbbox grestore exch pop 1 index
+   sub setlinewidth exch pop currentpoint 3 -1 roll add moveto 0
+   rlineto stroke moveto } if } def
+/ol { dup type /stringtype eq showflag 1 eq and { gsave gsave
+   currentpoint topmat setmatrix 2 index stringwidth pop 3 index
+   true charpath flattenpath pathbbox grestore exch pop
+   exch pop topmat setmatrix (_) true charpath pathbbox grestore
+   exch pop 1 index sub setlinewidth exch pop currentpoint
+   exch 4 1 roll exch sub add moveto pop 0 rlineto stroke
+   moveto } if } def
+/stW { gsave currentpoint newpath moveto true charpath flattenpath
+	pathbbox pop exch pop sub grestore } def
+/Ts {mark Tabs aload pop counttomark 1 add array astore /Tabs exch def Tabs
+	0 currentpoint pop put} def
+/Tbn {mark Tabs aload pop counttomark dup 2 add 1 roll cleartomark 1 sub} def
+/Tb { 0 1 Tbn {Tabs exch get dup currentpoint pop lt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/Tf { Tbn -1 0 {Tabs exch get dup currentpoint pop gt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/qS { (aa) stW (a a) stW sub 4 div 0 Kn } def
+/hS { qS qS } def
+/pspc 0 def
+/cf0 { scalefont setfont } bind def
+/Kn { dup kY add /kY exch def rmoveto } bind def
+/ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.33 mul neg Kn} def
+/Ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.67 mul Kn } def
+/ns { 0 kY neg Kn /kY 0 def /fscale 1.0 def xfont0 1.0 cf0 } def
+/CR { ns 0 /Bline Bline fscale0 neg add def Bline moveto } def
+/cf { dup type /realtype ne {1.0} if exch findfont exch kY 0 eq
+	{ 40 mul dup /fscale0 exch def cf0 /xfont0 currentfont def}
+	{fscale0 mul fscale mul cf0} ifelse } def
+/ctmk { counttomark dup 2 add -1 roll pop } bind def
+/label { gsave translate 0 0 moveto dup scale neg /rotval exch def
+	/just exch def just 384 and 0 gt {/mshow {pop} def} {/mshow {show}
+	def} ifelse just 16 and 0 gt {gsave rotval rotate 0 1 dtransform
+	gsave pagemat setmatrix idtransform exch grestore 1 0 dtransform
+	gsave pagemat setmatrix idtransform exch grestore dup abs 1e-9 lt
+	{pop mul 0 gt} {3 1 roll pop pop 0 lt} ifelse grestore {-1 /rotval
+	rotval neg def /just just dup 3 and 1 ne {3 xor} if def} {1} ifelse
+	exch -1e-9 lt {-1 /rotval rotval neg def /just just dup 12 and
+	4 ne {12 xor} if def} {1} ifelse scale } if /showflag 0 def
+	/fspc pspc def /Bline 0 def /Tabs 0 array def /fscale 1.0 def
+	/kY 0 def gsave dup 1 add copy 0 exch 1 0 dtransform exch atan rotate
+	{exch dup type /stringtype eq {true charpath flattenpath} {dup type
+	/arraytype eq {exec} {12 string cvs true charpath flattenpath} ifelse}
+	ifelse} repeat pop pathbbox grestore 3 -1 roll pop 3 1 roll just
+	1 and 0 gt {just 2 and 0 gt {exch pop neg fspc sub} {exch sub 0.5
+	mul neg} ifelse} {pop neg fspc add} ifelse exch Bline exch just 4
+	and 0 gt {just 8 and 0 gt {exch pop neg fspc sub} {add 0.5 mul neg}
+	ifelse} {pop neg fspc add} ifelse rotval rotate Kn currentpoint
+	translate /showflag 1 def /Bline 0 def /Tabs 0 array def /fscale
+	1.0 def /kY 0 def {dup type /stringtype eq {mshow} {dup type
+	/arraytype eq {exec} {12 string cvs mshow} ifelse} ifelse} repeat
+	grestore endclip} def
+/pinlabel { 4 index 32 and 0 ne hlevel 0 eq or { /pspc 10 def label
+	/pspc 0 def } { pop pop pop pop pop {pop} repeat } ifelse } def
+/pinglobal { pinlabel } def
+/infolabel { pinlabel } def
+/graphic { gsave 4 index cvx exec /DataSource get resetfile translate 0 0
+	moveto neg rotate dup scale cvx exec image grestore endclip} def
+
+/scb { setrgbcolor } bind def  /sce { defColor aload pop scb } bind def
+/cRedef {/defColor currentcolor 3 array astore def} def
+/begingate {dup type /dicttype ne {1 dict} if begin	% default params
+	dup type /dicttype ne {1 dict} if begin		% instanced params
+	/hlevel hlevel 1 add def /defColor currentcolor sce 3 array astore
+	def gsave sce translate 0 0 moveto neg rotate dup type /nametype
+	eq not { dup abs currentlinewidth exch div setlinewidth } { pop }
+	ifelse dup abs scale clipped 1 and 1 eq {/clipped clipped 1 add def}
+	if} bind def
+/endgate { /hlevel hlevel 1 sub def grestore defColor aload pop cRedef
+	scb end end endclip} bind def
+
+/hlevel 0 def
+/endclip {clipped 1 and 1 eq {grestore /clipped clipped 1 sub def} if} def
+/tmpa [1 0 0 1 0 0] def
+/gar {8 8 true tmpa {<c0 c0 00 00 0c 0c 00 00>} imagemask} bind
+{8 8 true tmpa {<30 70 60 02 03 07 06 20>} imagemask} bind
+{8 8 true tmpa {<0c 1e 1e 0c c0 e1 e1 c0>} imagemask} bind
+{8 8 true tmpa {<0f 0f 0f 0f f0 f0 f0 f0>} imagemask} bind
+{8 8 true tmpa {<3f f3 e1 e1 f3 3f 1e 1e>} imagemask} bind
+{8 8 true tmpa {<df cf 8f 9f fd fc f8 f9>} imagemask} bind
+{8 8 true tmpa {<ff 3f 3f ff ff f3 f3 ff>} imagemask} bind 7 array astore def
+/ppaint { gsave clip tmpa dup setmatrix pathbbox neg exch neg 4 2 roll
+  neg 4 -1 roll 2 copy gt {exch} if 8 div ceiling 8 mul 4 2 roll neg 2 copy
+  gt {exch} if 8 div ceiling 8 mul 3 -1 roll -8 5 -1 roll
+  { 3 index exch 5 exch put dup -8 3 index { 3 index
+  exch 4 exch put 3 index exec } for } for pop pop pop pop grestore } bind def
+/setstyles {
+  currentlinewidth mul setlinewidth /style exch def style 2048 and 0 gt
+  clipped 1 and 0 eq and {clip newpath /clipped clipped 1 add def} {
+  style 1 and 0 gt not {closepath} if
+  style 1024 and 0 gt {2 setlinecap} if
+  style 2 and 0 gt {currentlinewidth 4 mul dup 2 array astore 0 setdash} if
+  style 4 and 0 gt {0.5 currentlinewidth 4 mul 2 array astore 0 setdash} if
+  gsave style 16 and 0 gt { style 224 and -5 bitshift style 256 and 0 gt {
+  7 exch sub 8 div dup 1 exch sub currentrgbcolor 3 array astore
+  {3 copy mul add 4 1 roll pop} forall pop pop setrgbcolor eofill}
+  {dup 7 lt {gar exch get ppaint} {pop eofill} ifelse} ifelse}
+  {style 256 and 0 gt {1 setgray eofill} if} ifelse grestore style 8 and 0 gt 
+  style 512 eq or {newpath} {stroke} ifelse grestore endclip} ifelse} def     
+
+/addtoy {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll add
+	4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtoy1 {1 exch addtoy pop} def
+/addtoy3 {3 exch addtoy pop} def
+/addtoy4 {4 exch addtoy pop} def
+/addtox {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll 3 -1 roll
+	add exch 4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtox1 {1 exch addtox pop} def
+/addtox3 {3 exch addtox pop} def
+/addtox4 {4 exch addtox pop} def
+/polygon { gsave /num exch def moveto num 1 sub {lineto} repeat setstyles } def
+/xcarc   { gsave newpath arc setstyles } def
+/elb { matrix currentmatrix 7 -1 roll 7 -1 roll translate 5 1 roll 4 -1 roll
+	3 index div 1 scale } def
+/ele { 0 4 1 roll 0 4 1 roll } bind def
+/ellipse { gsave elb newpath ele arc setmatrix setstyles } def
+/pellip  { elb ele arc setmatrix } def
+/nellip  { elb ele arcn setmatrix } def
+/spline  { gsave moveto curveto setstyles } def
+/polyc   { {lineto} repeat } bind def
+/beginpath { gsave moveto } bind def
+/endpath { setstyles } bind def
+/bop	 { 1 setlinecap 0 setlinejoin 6 setmiterlimit 0 0 0 scb cRedef
+	/clipped 0 def} def
+/psinsertion {/PSobj save def /showpage {} def /setpagedevice {pop} def bop
+	rotate translate dup scale} def
+/end_insert {PSobj restore} def
+/setpagemat {/pagemat matrix currentmatrix def} def
+/inchscale  {setpagemat 0.375 mul dup scale} def
+/cmscale    {setpagemat 0.35433071 mul dup scale} def
+
+%%EndResource
+%%EndProlog
+
+% XCircuit output starts here.
+
+%%BeginSetup
+
+/analog::resistor {
+begingate
+1 1.000 0 64 0 36 2 polygon
+1 1.000 0 -64 0 -36 2 polygon
+1 1.000 0 -36 14 -30 -14 -18 14 -6 -14 6 14 18 -14 30 0 36 8 polygon
+1.000 0.000 0.000 scb
+(r.1) {/Times-Roman cf} 2 9 0 1.000 0 64 pinlabel
+(r.2) {/Times-Roman cf} 2 13 0 1.000 0 -64 pinlabel
+0.180 0.545 0.341 scb
+(spice:R%i %pr.1 %pr.2 1.0K) {/Times-Roman cf} 2 0 0 1.000 -208 -160 infolabel
+(sim:r %pr.1 %pr.2) {/Times-Roman cf} 2 0 0 1.000 -208 -208 infolabel
+endgate
+} def
+
+
+%%EndSetup
+
+%%Page: 1 1
+%%PageOrientation: Portrait
+/pgsave save def bop
+1.0000 inchscale
+2.6000 setlinewidth 592 192 translate
+
+(CCS) {/Helvetica cf} 2 16 0 1.000 -256 16 label
+1.000 270 -96 32 analog::resistor
+(IN1) {/Helvetica cf} 2 16 0 1.000 -384 16 label
+(GND) {/Helvetica cf} 2 16 0 1.000 -16 16 label
+0 1.000 -32 64 -32 0 80 0 80 64 4 polygon
+0 1.000 -272 64 -272 0 -160 0 -160 64 4 polygon
+0 1.000 -320 64 -320 0 -400 0 -400 64 4 polygon
+1 1.000 -320 32 -272 32 2 polygon
+{/Helvetica cf} 1 16 0 1.000 -96 48 label
+pgsave restore showpage
+
+%%Trailer
+XCIRCsave restore
+%%EOF
diff --git a/doc/en/Docs-jr/schematics/clock-divider.png b/doc/en/Docs-jr/schematics/clock-divider.png
new file mode 100644
index 0000000..bdaa2f2
Binary files /dev/null and b/doc/en/Docs-jr/schematics/clock-divider.png differ
diff --git a/doc/en/Docs-jr/schematics/clock-divider.ps b/doc/en/Docs-jr/schematics/clock-divider.ps
new file mode 100644
index 0000000..d64356e
--- /dev/null
+++ b/doc/en/Docs-jr/schematics/clock-divider.ps
@@ -0,0 +1,217 @@
+%!PS-Adobe-3.0 EPSF-3.0
+%%Title: clock-divider
+%%Creator: XCircuit v3.7 rev26
+%%CreationDate: Thu Jul 26 05:48:00 2012
+%%Pages: 1
+%%BoundingBox: 68 68 310 196
+%%DocumentNeededResources: font Helvetica 
+%%EndComments
+%%BeginProlog
+%
+%  PostScript prolog for output from xcircuit
+%  Version: 3.7
+%
+%  Electrical circuit (and otherwise general) drawing program
+%
+%  Written by Tim Edwards 8/5/93--11/1/10  (tim.edwards at multigig.com)
+%  The Johns Hopkins University (1993-2004)
+%  MultiGiG, Inc. (2004-present)
+%
+%%BeginResource: procset XCIRCproc 3.7 2
+%
+% supporting definitions --- these are the primary xcircuit types.
+
+/XCIRCsave save def
+/topmat matrix currentmatrix def
+
+/fontslant { /slant exch def [1 0 slant 1 0 0] 
+    exch findfont exch makefont dup length dict /ndict exch def
+    { 1 index /FID ne { ndict 3 1 roll put } { pop pop } ifelse } forall
+    ndict definefont pop} def
+/ul { dup type /stringtype eq showflag 1 eq and { gsave 
+   currentpoint topmat setmatrix 0 0 moveto 2 index stringwidth pop (_)
+   false charpath flattenpath pathbbox grestore exch pop 1 index
+   sub setlinewidth exch pop currentpoint 3 -1 roll add moveto 0
+   rlineto stroke moveto } if } def
+/ol { dup type /stringtype eq showflag 1 eq and { gsave gsave
+   currentpoint topmat setmatrix 2 index stringwidth pop 3 index
+   true charpath flattenpath pathbbox grestore exch pop
+   exch pop topmat setmatrix (_) true charpath pathbbox grestore
+   exch pop 1 index sub setlinewidth exch pop currentpoint
+   exch 4 1 roll exch sub add moveto pop 0 rlineto stroke
+   moveto } if } def
+/stW { gsave currentpoint newpath moveto true charpath flattenpath
+	pathbbox pop exch pop sub grestore } def
+/Ts {mark Tabs aload pop counttomark 1 add array astore /Tabs exch def Tabs
+	0 currentpoint pop put} def
+/Tbn {mark Tabs aload pop counttomark dup 2 add 1 roll cleartomark 1 sub} def
+/Tb { 0 1 Tbn {Tabs exch get dup currentpoint pop lt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/Tf { Tbn -1 0 {Tabs exch get dup currentpoint pop gt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/qS { (aa) stW (a a) stW sub 4 div 0 Kn } def
+/hS { qS qS } def
+/pspc 0 def
+/cf0 { scalefont setfont } bind def
+/Kn { dup kY add /kY exch def rmoveto } bind def
+/ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.33 mul neg Kn} def
+/Ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.67 mul Kn } def
+/ns { 0 kY neg Kn /kY 0 def /fscale 1.0 def xfont0 1.0 cf0 } def
+/CR { ns 0 /Bline Bline fscale0 neg add def Bline moveto } def
+/cf { dup type /realtype ne {1.0} if exch findfont exch kY 0 eq
+	{ 40 mul dup /fscale0 exch def cf0 /xfont0 currentfont def}
+	{fscale0 mul fscale mul cf0} ifelse } def
+/ctmk { counttomark dup 2 add -1 roll pop } bind def
+/label { gsave translate 0 0 moveto dup scale neg /rotval exch def
+	/just exch def just 384 and 0 gt {/mshow {pop} def} {/mshow {show}
+	def} ifelse just 16 and 0 gt {gsave rotval rotate 0 1 dtransform
+	gsave pagemat setmatrix idtransform exch grestore 1 0 dtransform
+	gsave pagemat setmatrix idtransform exch grestore dup abs 1e-9 lt
+	{pop mul 0 gt} {3 1 roll pop pop 0 lt} ifelse grestore {-1 /rotval
+	rotval neg def /just just dup 3 and 1 ne {3 xor} if def} {1} ifelse
+	exch -1e-9 lt {-1 /rotval rotval neg def /just just dup 12 and
+	4 ne {12 xor} if def} {1} ifelse scale } if /showflag 0 def
+	/fspc pspc def /Bline 0 def /Tabs 0 array def /fscale 1.0 def
+	/kY 0 def gsave dup 1 add copy 0 exch 1 0 dtransform exch atan rotate
+	{exch dup type /stringtype eq {true charpath flattenpath} {dup type
+	/arraytype eq {exec} {12 string cvs true charpath flattenpath} ifelse}
+	ifelse} repeat pop pathbbox grestore 3 -1 roll pop 3 1 roll just
+	1 and 0 gt {just 2 and 0 gt {exch pop neg fspc sub} {exch sub 0.5
+	mul neg} ifelse} {pop neg fspc add} ifelse exch Bline exch just 4
+	and 0 gt {just 8 and 0 gt {exch pop neg fspc sub} {add 0.5 mul neg}
+	ifelse} {pop neg fspc add} ifelse rotval rotate Kn currentpoint
+	translate /showflag 1 def /Bline 0 def /Tabs 0 array def /fscale
+	1.0 def /kY 0 def {dup type /stringtype eq {mshow} {dup type
+	/arraytype eq {exec} {12 string cvs mshow} ifelse} ifelse} repeat
+	grestore endclip} def
+/pinlabel { 4 index 32 and 0 ne hlevel 0 eq or { /pspc 10 def label
+	/pspc 0 def } { pop pop pop pop pop {pop} repeat } ifelse } def
+/pinglobal { pinlabel } def
+/infolabel { pinlabel } def
+/graphic { gsave 4 index cvx exec /DataSource get resetfile translate 0 0
+	moveto neg rotate dup scale cvx exec image grestore endclip} def
+
+/scb { setrgbcolor } bind def  /sce { defColor aload pop scb } bind def
+/cRedef {/defColor currentcolor 3 array astore def} def
+/begingate {dup type /dicttype ne {1 dict} if begin	% default params
+	dup type /dicttype ne {1 dict} if begin		% instanced params
+	/hlevel hlevel 1 add def /defColor currentcolor sce 3 array astore
+	def gsave sce translate 0 0 moveto neg rotate dup type /nametype
+	eq not { dup abs currentlinewidth exch div setlinewidth } { pop }
+	ifelse dup abs scale clipped 1 and 1 eq {/clipped clipped 1 add def}
+	if} bind def
+/endgate { /hlevel hlevel 1 sub def grestore defColor aload pop cRedef
+	scb end end endclip} bind def
+
+/hlevel 0 def
+/endclip {clipped 1 and 1 eq {grestore /clipped clipped 1 sub def} if} def
+/tmpa [1 0 0 1 0 0] def
+/gar {8 8 true tmpa {<c0 c0 00 00 0c 0c 00 00>} imagemask} bind
+{8 8 true tmpa {<30 70 60 02 03 07 06 20>} imagemask} bind
+{8 8 true tmpa {<0c 1e 1e 0c c0 e1 e1 c0>} imagemask} bind
+{8 8 true tmpa {<0f 0f 0f 0f f0 f0 f0 f0>} imagemask} bind
+{8 8 true tmpa {<3f f3 e1 e1 f3 3f 1e 1e>} imagemask} bind
+{8 8 true tmpa {<df cf 8f 9f fd fc f8 f9>} imagemask} bind
+{8 8 true tmpa {<ff 3f 3f ff ff f3 f3 ff>} imagemask} bind 7 array astore def
+/ppaint { gsave clip tmpa dup setmatrix pathbbox neg exch neg 4 2 roll
+  neg 4 -1 roll 2 copy gt {exch} if 8 div ceiling 8 mul 4 2 roll neg 2 copy
+  gt {exch} if 8 div ceiling 8 mul 3 -1 roll -8 5 -1 roll
+  { 3 index exch 5 exch put dup -8 3 index { 3 index
+  exch 4 exch put 3 index exec } for } for pop pop pop pop grestore } bind def
+/setstyles {
+  currentlinewidth mul setlinewidth /style exch def style 2048 and 0 gt
+  clipped 1 and 0 eq and {clip newpath /clipped clipped 1 add def} {
+  style 1 and 0 gt not {closepath} if
+  style 1024 and 0 gt {2 setlinecap} if
+  style 2 and 0 gt {currentlinewidth 4 mul dup 2 array astore 0 setdash} if
+  style 4 and 0 gt {0.5 currentlinewidth 4 mul 2 array astore 0 setdash} if
+  gsave style 16 and 0 gt { style 224 and -5 bitshift style 256 and 0 gt {
+  7 exch sub 8 div dup 1 exch sub currentrgbcolor 3 array astore
+  {3 copy mul add 4 1 roll pop} forall pop pop setrgbcolor eofill}
+  {dup 7 lt {gar exch get ppaint} {pop eofill} ifelse} ifelse}
+  {style 256 and 0 gt {1 setgray eofill} if} ifelse grestore style 8 and 0 gt 
+  style 512 eq or {newpath} {stroke} ifelse grestore endclip} ifelse} def     
+
+/addtoy {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll add
+	4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtoy1 {1 exch addtoy pop} def
+/addtoy3 {3 exch addtoy pop} def
+/addtoy4 {4 exch addtoy pop} def
+/addtox {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll 3 -1 roll
+	add exch 4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtox1 {1 exch addtox pop} def
+/addtox3 {3 exch addtox pop} def
+/addtox4 {4 exch addtox pop} def
+/polygon { gsave /num exch def moveto num 1 sub {lineto} repeat setstyles } def
+/xcarc   { gsave newpath arc setstyles } def
+/elb { matrix currentmatrix 7 -1 roll 7 -1 roll translate 5 1 roll 4 -1 roll
+	3 index div 1 scale } def
+/ele { 0 4 1 roll 0 4 1 roll } bind def
+/ellipse { gsave elb newpath ele arc setmatrix setstyles } def
+/pellip  { elb ele arc setmatrix } def
+/nellip  { elb ele arcn setmatrix } def
+/spline  { gsave moveto curveto setstyles } def
+/polyc   { {lineto} repeat } bind def
+/beginpath { gsave moveto } bind def
+/endpath { setstyles } bind def
+/bop	 { 1 setlinecap 0 setlinejoin 6 setmiterlimit 0 0 0 scb cRedef
+	/clipped 0 def} def
+/psinsertion {/PSobj save def /showpage {} def /setpagedevice {pop} def bop
+	rotate translate dup scale} def
+/end_insert {PSobj restore} def
+/setpagemat {/pagemat matrix currentmatrix def} def
+/inchscale  {setpagemat 0.375 mul dup scale} def
+/cmscale    {setpagemat 0.35433071 mul dup scale} def
+
+%%EndResource
+%%EndProlog
+
+% XCircuit output starts here.
+
+%%BeginSetup
+
+
+%%EndSetup
+
+%%Page: 1 1
+%%PageOrientation: Portrait
+/pgsave save def bop
+1.0000 inchscale
+2.6000 setlinewidth 592 288 translate
+
+(Q) {/Helvetica cf} 2 16 0 1.000 -32 96 label
+(Q) {/Helvetica cf} 2 16 0 1.000 -32 16 label
+1 1.000 -32 48 0 48 2 polygon
+0 1.000 -128 160 -128 -16 16 -16 16 160 4 polygon
+(CK) {/Helvetica cf} 2 16 0 1.000 -112 80 label
+(D) {/Helvetica cf} 2 16 0 1.000 -112 16 label
+1 1.000 16 16 64 16 2 polygon
+1 1.000 -128 32 -256 32 -272 32 3 polygon
+(SQR1) {/Helvetica cf} 2 16 0 1.000 -384 16 label
+0 1.000 -400 64 -400 0 -272 0 -272 64 4 polygon
+1 1.000 16 112 128 112 2 polygon
+(IN1) {/Helvetica cf} 2 16 0 1.000 144 96 label
+0 1.000 128 144 128 80 208 80 208 144 4 polygon
+(3) {/Helvetica cf} 2 16 0 1.000 -160 112 label
+{/Helvetica cf} 1 16 0 1.000 -176 16 label
+(2) {/Helvetica cf} 2 16 0 1.000 -160 48 label
+(5) {/Helvetica cf} 2 16 0 1.000 32 128 label
+(6) {/Helvetica cf} 2 16 0 1.000 32 32 label
+(7) {/Helvetica cf} 2 16 0 1.000 -48 -48 label
+1 1.000 -48 160 -48 224 2 polygon
+(14) {/Helvetica cf} 2 16 0 1.000 -32 176 label
+(GND) {/Helvetica cf} 2 16 0 1.000 128 0 label
+0 1.000 112 48 112 -16 224 -16 224 48 4 polygon
+1 1.000 -64 -16 -64 -64 160 -64 160 -16 4 polygon
+(OD1) {/Helvetica cf} 2 16 0 1.000 -352 144 label
+0 1.000 -368 192 -368 128 -272 128 -272 192 4 polygon
+1 1.000 -48 224 -320 224 -320 192 3 polygon
+1 1.000 64 16 64 -96 -208 -96 -208 96 -128 96 5 polygon
+(7474) {/Helvetica cf} 2 16 0 1.000 -192 192 label
+pgsave restore showpage
+
+%%Trailer
+XCIRCsave restore
+%%EOF
diff --git a/doc/en/Docs-jr/schematics/diode-iv.png b/doc/en/Docs-jr/schematics/diode-iv.png
new file mode 100644
index 0000000..3756bb6
Binary files /dev/null and b/doc/en/Docs-jr/schematics/diode-iv.png differ
diff --git a/doc/en/Docs-jr/schematics/diode-iv.ps b/doc/en/Docs-jr/schematics/diode-iv.ps
new file mode 100644
index 0000000..6e04d6f
--- /dev/null
+++ b/doc/en/Docs-jr/schematics/diode-iv.ps
@@ -0,0 +1,223 @@
+%!PS-Adobe-3.0 EPSF-3.0
+%%Title: diode-iv.ps
+%%Creator: XCircuit v3.7 rev26
+%%CreationDate: Mon Jul 23 13:36:03 2012
+%%Pages: 1
+%%BoundingBox: 68 68 274 106
+%%DocumentNeededResources: font Helvetica Times-Roman 
+%%EndComments
+%%BeginProlog
+%
+%  PostScript prolog for output from xcircuit
+%  Version: 3.7
+%
+%  Electrical circuit (and otherwise general) drawing program
+%
+%  Written by Tim Edwards 8/5/93--11/1/10  (tim.edwards at multigig.com)
+%  The Johns Hopkins University (1993-2004)
+%  MultiGiG, Inc. (2004-present)
+%
+%%BeginResource: procset XCIRCproc 3.7 2
+%
+% supporting definitions --- these are the primary xcircuit types.
+
+/XCIRCsave save def
+/topmat matrix currentmatrix def
+
+/fontslant { /slant exch def [1 0 slant 1 0 0] 
+    exch findfont exch makefont dup length dict /ndict exch def
+    { 1 index /FID ne { ndict 3 1 roll put } { pop pop } ifelse } forall
+    ndict definefont pop} def
+/ul { dup type /stringtype eq showflag 1 eq and { gsave 
+   currentpoint topmat setmatrix 0 0 moveto 2 index stringwidth pop (_)
+   false charpath flattenpath pathbbox grestore exch pop 1 index
+   sub setlinewidth exch pop currentpoint 3 -1 roll add moveto 0
+   rlineto stroke moveto } if } def
+/ol { dup type /stringtype eq showflag 1 eq and { gsave gsave
+   currentpoint topmat setmatrix 2 index stringwidth pop 3 index
+   true charpath flattenpath pathbbox grestore exch pop
+   exch pop topmat setmatrix (_) true charpath pathbbox grestore
+   exch pop 1 index sub setlinewidth exch pop currentpoint
+   exch 4 1 roll exch sub add moveto pop 0 rlineto stroke
+   moveto } if } def
+/stW { gsave currentpoint newpath moveto true charpath flattenpath
+	pathbbox pop exch pop sub grestore } def
+/Ts {mark Tabs aload pop counttomark 1 add array astore /Tabs exch def Tabs
+	0 currentpoint pop put} def
+/Tbn {mark Tabs aload pop counttomark dup 2 add 1 roll cleartomark 1 sub} def
+/Tb { 0 1 Tbn {Tabs exch get dup currentpoint pop lt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/Tf { Tbn -1 0 {Tabs exch get dup currentpoint pop gt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/qS { (aa) stW (a a) stW sub 4 div 0 Kn } def
+/hS { qS qS } def
+/pspc 0 def
+/cf0 { scalefont setfont } bind def
+/Kn { dup kY add /kY exch def rmoveto } bind def
+/ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.33 mul neg Kn} def
+/Ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.67 mul Kn } def
+/ns { 0 kY neg Kn /kY 0 def /fscale 1.0 def xfont0 1.0 cf0 } def
+/CR { ns 0 /Bline Bline fscale0 neg add def Bline moveto } def
+/cf { dup type /realtype ne {1.0} if exch findfont exch kY 0 eq
+	{ 40 mul dup /fscale0 exch def cf0 /xfont0 currentfont def}
+	{fscale0 mul fscale mul cf0} ifelse } def
+/ctmk { counttomark dup 2 add -1 roll pop } bind def
+/label { gsave translate 0 0 moveto dup scale neg /rotval exch def
+	/just exch def just 384 and 0 gt {/mshow {pop} def} {/mshow {show}
+	def} ifelse just 16 and 0 gt {gsave rotval rotate 0 1 dtransform
+	gsave pagemat setmatrix idtransform exch grestore 1 0 dtransform
+	gsave pagemat setmatrix idtransform exch grestore dup abs 1e-9 lt
+	{pop mul 0 gt} {3 1 roll pop pop 0 lt} ifelse grestore {-1 /rotval
+	rotval neg def /just just dup 3 and 1 ne {3 xor} if def} {1} ifelse
+	exch -1e-9 lt {-1 /rotval rotval neg def /just just dup 12 and
+	4 ne {12 xor} if def} {1} ifelse scale } if /showflag 0 def
+	/fspc pspc def /Bline 0 def /Tabs 0 array def /fscale 1.0 def
+	/kY 0 def gsave dup 1 add copy 0 exch 1 0 dtransform exch atan rotate
+	{exch dup type /stringtype eq {true charpath flattenpath} {dup type
+	/arraytype eq {exec} {12 string cvs true charpath flattenpath} ifelse}
+	ifelse} repeat pop pathbbox grestore 3 -1 roll pop 3 1 roll just
+	1 and 0 gt {just 2 and 0 gt {exch pop neg fspc sub} {exch sub 0.5
+	mul neg} ifelse} {pop neg fspc add} ifelse exch Bline exch just 4
+	and 0 gt {just 8 and 0 gt {exch pop neg fspc sub} {add 0.5 mul neg}
+	ifelse} {pop neg fspc add} ifelse rotval rotate Kn currentpoint
+	translate /showflag 1 def /Bline 0 def /Tabs 0 array def /fscale
+	1.0 def /kY 0 def {dup type /stringtype eq {mshow} {dup type
+	/arraytype eq {exec} {12 string cvs mshow} ifelse} ifelse} repeat
+	grestore endclip} def
+/pinlabel { 4 index 32 and 0 ne hlevel 0 eq or { /pspc 10 def label
+	/pspc 0 def } { pop pop pop pop pop {pop} repeat } ifelse } def
+/pinglobal { pinlabel } def
+/infolabel { pinlabel } def
+/graphic { gsave 4 index cvx exec /DataSource get resetfile translate 0 0
+	moveto neg rotate dup scale cvx exec image grestore endclip} def
+
+/scb { setrgbcolor } bind def  /sce { defColor aload pop scb } bind def
+/cRedef {/defColor currentcolor 3 array astore def} def
+/begingate {dup type /dicttype ne {1 dict} if begin	% default params
+	dup type /dicttype ne {1 dict} if begin		% instanced params
+	/hlevel hlevel 1 add def /defColor currentcolor sce 3 array astore
+	def gsave sce translate 0 0 moveto neg rotate dup type /nametype
+	eq not { dup abs currentlinewidth exch div setlinewidth } { pop }
+	ifelse dup abs scale clipped 1 and 1 eq {/clipped clipped 1 add def}
+	if} bind def
+/endgate { /hlevel hlevel 1 sub def grestore defColor aload pop cRedef
+	scb end end endclip} bind def
+
+/hlevel 0 def
+/endclip {clipped 1 and 1 eq {grestore /clipped clipped 1 sub def} if} def
+/tmpa [1 0 0 1 0 0] def
+/gar {8 8 true tmpa {<c0 c0 00 00 0c 0c 00 00>} imagemask} bind
+{8 8 true tmpa {<30 70 60 02 03 07 06 20>} imagemask} bind
+{8 8 true tmpa {<0c 1e 1e 0c c0 e1 e1 c0>} imagemask} bind
+{8 8 true tmpa {<0f 0f 0f 0f f0 f0 f0 f0>} imagemask} bind
+{8 8 true tmpa {<3f f3 e1 e1 f3 3f 1e 1e>} imagemask} bind
+{8 8 true tmpa {<df cf 8f 9f fd fc f8 f9>} imagemask} bind
+{8 8 true tmpa {<ff 3f 3f ff ff f3 f3 ff>} imagemask} bind 7 array astore def
+/ppaint { gsave clip tmpa dup setmatrix pathbbox neg exch neg 4 2 roll
+  neg 4 -1 roll 2 copy gt {exch} if 8 div ceiling 8 mul 4 2 roll neg 2 copy
+  gt {exch} if 8 div ceiling 8 mul 3 -1 roll -8 5 -1 roll
+  { 3 index exch 5 exch put dup -8 3 index { 3 index
+  exch 4 exch put 3 index exec } for } for pop pop pop pop grestore } bind def
+/setstyles {
+  currentlinewidth mul setlinewidth /style exch def style 2048 and 0 gt
+  clipped 1 and 0 eq and {clip newpath /clipped clipped 1 add def} {
+  style 1 and 0 gt not {closepath} if
+  style 1024 and 0 gt {2 setlinecap} if
+  style 2 and 0 gt {currentlinewidth 4 mul dup 2 array astore 0 setdash} if
+  style 4 and 0 gt {0.5 currentlinewidth 4 mul 2 array astore 0 setdash} if
+  gsave style 16 and 0 gt { style 224 and -5 bitshift style 256 and 0 gt {
+  7 exch sub 8 div dup 1 exch sub currentrgbcolor 3 array astore
+  {3 copy mul add 4 1 roll pop} forall pop pop setrgbcolor eofill}
+  {dup 7 lt {gar exch get ppaint} {pop eofill} ifelse} ifelse}
+  {style 256 and 0 gt {1 setgray eofill} if} ifelse grestore style 8 and 0 gt 
+  style 512 eq or {newpath} {stroke} ifelse grestore endclip} ifelse} def     
+
+/addtoy {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll add
+	4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtoy1 {1 exch addtoy pop} def
+/addtoy3 {3 exch addtoy pop} def
+/addtoy4 {4 exch addtoy pop} def
+/addtox {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll 3 -1 roll
+	add exch 4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtox1 {1 exch addtox pop} def
+/addtox3 {3 exch addtox pop} def
+/addtox4 {4 exch addtox pop} def
+/polygon { gsave /num exch def moveto num 1 sub {lineto} repeat setstyles } def
+/xcarc   { gsave newpath arc setstyles } def
+/elb { matrix currentmatrix 7 -1 roll 7 -1 roll translate 5 1 roll 4 -1 roll
+	3 index div 1 scale } def
+/ele { 0 4 1 roll 0 4 1 roll } bind def
+/ellipse { gsave elb newpath ele arc setmatrix setstyles } def
+/pellip  { elb ele arc setmatrix } def
+/nellip  { elb ele arcn setmatrix } def
+/spline  { gsave moveto curveto setstyles } def
+/polyc   { {lineto} repeat } bind def
+/beginpath { gsave moveto } bind def
+/endpath { setstyles } bind def
+/bop	 { 1 setlinecap 0 setlinejoin 6 setmiterlimit 0 0 0 scb cRedef
+	/clipped 0 def} def
+/psinsertion {/PSobj save def /showpage {} def /setpagedevice {pop} def bop
+	rotate translate dup scale} def
+/end_insert {PSobj restore} def
+/setpagemat {/pagemat matrix currentmatrix def} def
+/inchscale  {setpagemat 0.375 mul dup scale} def
+/cmscale    {setpagemat 0.35433071 mul dup scale} def
+
+%%EndResource
+%%EndProlog
+
+% XCircuit output starts here.
+
+%%BeginSetup
+
+/analog::resistor {
+begingate
+1 1.000 0 64 0 36 2 polygon
+1 1.000 0 -64 0 -36 2 polygon
+1 1.000 0 -36 14 -30 -14 -18 14 -6 -14 6 14 18 -14 30 0 36 8 polygon
+1.000 0.000 0.000 scb
+(r.1) {/Times-Roman cf} 2 9 0 1.000 0 64 pinlabel
+(r.2) {/Times-Roman cf} 2 13 0 1.000 0 -64 pinlabel
+0.180 0.545 0.341 scb
+(spice:R%i %pr.1 %pr.2 1.0K) {/Times-Roman cf} 2 0 0 1.000 -208 -160 infolabel
+(sim:r %pr.1 %pr.2) {/Times-Roman cf} 2 0 0 1.000 -208 -208 infolabel
+endgate
+} def
+
+/analog::diode {
+begingate
+248 1.000 -18 -16 0 16 18 -16 3 polygon
+1 1.000 0 -48 0 -16 2 polygon
+1 1.000 0 48 0 16 2 polygon
+1 1.000 -18 16 18 16 2 polygon
+1.000 0.000 0.000 scb
+(d.m) {/Times-Roman cf} 2 9 0 1.000 0 48 pinlabel
+(d.p) {/Times-Roman cf} 2 13 0 1.000 0 -48 pinlabel
+endgate
+} def
+
+
+%%EndSetup
+
+%%Page: diode-iv.eps 1
+%%PageOrientation: Portrait
+/pgsave save def bop
+1.0000 inchscale
+2.6000 setlinewidth 608 -16 translate
+
+(PVS) {/Helvetica cf} 2 16 0 1.000 -400 240 label
+0 1.000 -416 288 -416 224 -304 224 -304 288 4 polygon
+1.000 270 -240 256 analog::resistor
+(IN1) {/Helvetica cf} 2 16 0 1.000 -160 240 label
+0 1.000 -176 288 -176 224 -96 224 -96 288 4 polygon
+1.000 90 -48 256 analog::diode
+(GND) {/Helvetica cf} 2 16 0 1.000 16 240 label
+0 1.000 0 288 0 224 112 224 112 288 4 polygon
+(1K) {/Helvetica cf} 2 16 0 1.000 -256 208 label
+pgsave restore showpage
+
+%%Trailer
+XCIRCsave restore
+%%EOF
diff --git a/doc/en/Docs-jr/schematics/driven-pend.png b/doc/en/Docs-jr/schematics/driven-pend.png
new file mode 100644
index 0000000..f0fad39
Binary files /dev/null and b/doc/en/Docs-jr/schematics/driven-pend.png differ
diff --git a/doc/en/Docs-jr/schematics/driven-pend.ps b/doc/en/Docs-jr/schematics/driven-pend.ps
new file mode 100644
index 0000000..c075902
--- /dev/null
+++ b/doc/en/Docs-jr/schematics/driven-pend.ps
@@ -0,0 +1,202 @@
+%!PS-Adobe-3.0 EPSF-3.0
+%%Title: driven-pend
+%%Creator: XCircuit v3.7 rev26
+%%CreationDate: Tue Jul 31 14:20:42 2012
+%%Pages: 1
+%%BoundingBox: 68 68 262 142
+%%DocumentNeededResources: font Helvetica 
+%%EndComments
+%%BeginProlog
+%
+%  PostScript prolog for output from xcircuit
+%  Version: 3.7
+%
+%  Electrical circuit (and otherwise general) drawing program
+%
+%  Written by Tim Edwards 8/5/93--11/1/10  (tim.edwards at multigig.com)
+%  The Johns Hopkins University (1993-2004)
+%  MultiGiG, Inc. (2004-present)
+%
+%%BeginResource: procset XCIRCproc 3.7 2
+%
+% supporting definitions --- these are the primary xcircuit types.
+
+/XCIRCsave save def
+/topmat matrix currentmatrix def
+
+/fontslant { /slant exch def [1 0 slant 1 0 0] 
+    exch findfont exch makefont dup length dict /ndict exch def
+    { 1 index /FID ne { ndict 3 1 roll put } { pop pop } ifelse } forall
+    ndict definefont pop} def
+/ul { dup type /stringtype eq showflag 1 eq and { gsave 
+   currentpoint topmat setmatrix 0 0 moveto 2 index stringwidth pop (_)
+   false charpath flattenpath pathbbox grestore exch pop 1 index
+   sub setlinewidth exch pop currentpoint 3 -1 roll add moveto 0
+   rlineto stroke moveto } if } def
+/ol { dup type /stringtype eq showflag 1 eq and { gsave gsave
+   currentpoint topmat setmatrix 2 index stringwidth pop 3 index
+   true charpath flattenpath pathbbox grestore exch pop
+   exch pop topmat setmatrix (_) true charpath pathbbox grestore
+   exch pop 1 index sub setlinewidth exch pop currentpoint
+   exch 4 1 roll exch sub add moveto pop 0 rlineto stroke
+   moveto } if } def
+/stW { gsave currentpoint newpath moveto true charpath flattenpath
+	pathbbox pop exch pop sub grestore } def
+/Ts {mark Tabs aload pop counttomark 1 add array astore /Tabs exch def Tabs
+	0 currentpoint pop put} def
+/Tbn {mark Tabs aload pop counttomark dup 2 add 1 roll cleartomark 1 sub} def
+/Tb { 0 1 Tbn {Tabs exch get dup currentpoint pop lt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/Tf { Tbn -1 0 {Tabs exch get dup currentpoint pop gt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/qS { (aa) stW (a a) stW sub 4 div 0 Kn } def
+/hS { qS qS } def
+/pspc 0 def
+/cf0 { scalefont setfont } bind def
+/Kn { dup kY add /kY exch def rmoveto } bind def
+/ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.33 mul neg Kn} def
+/Ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.67 mul Kn } def
+/ns { 0 kY neg Kn /kY 0 def /fscale 1.0 def xfont0 1.0 cf0 } def
+/CR { ns 0 /Bline Bline fscale0 neg add def Bline moveto } def
+/cf { dup type /realtype ne {1.0} if exch findfont exch kY 0 eq
+	{ 40 mul dup /fscale0 exch def cf0 /xfont0 currentfont def}
+	{fscale0 mul fscale mul cf0} ifelse } def
+/ctmk { counttomark dup 2 add -1 roll pop } bind def
+/label { gsave translate 0 0 moveto dup scale neg /rotval exch def
+	/just exch def just 384 and 0 gt {/mshow {pop} def} {/mshow {show}
+	def} ifelse just 16 and 0 gt {gsave rotval rotate 0 1 dtransform
+	gsave pagemat setmatrix idtransform exch grestore 1 0 dtransform
+	gsave pagemat setmatrix idtransform exch grestore dup abs 1e-9 lt
+	{pop mul 0 gt} {3 1 roll pop pop 0 lt} ifelse grestore {-1 /rotval
+	rotval neg def /just just dup 3 and 1 ne {3 xor} if def} {1} ifelse
+	exch -1e-9 lt {-1 /rotval rotval neg def /just just dup 12 and
+	4 ne {12 xor} if def} {1} ifelse scale } if /showflag 0 def
+	/fspc pspc def /Bline 0 def /Tabs 0 array def /fscale 1.0 def
+	/kY 0 def gsave dup 1 add copy 0 exch 1 0 dtransform exch atan rotate
+	{exch dup type /stringtype eq {true charpath flattenpath} {dup type
+	/arraytype eq {exec} {12 string cvs true charpath flattenpath} ifelse}
+	ifelse} repeat pop pathbbox grestore 3 -1 roll pop 3 1 roll just
+	1 and 0 gt {just 2 and 0 gt {exch pop neg fspc sub} {exch sub 0.5
+	mul neg} ifelse} {pop neg fspc add} ifelse exch Bline exch just 4
+	and 0 gt {just 8 and 0 gt {exch pop neg fspc sub} {add 0.5 mul neg}
+	ifelse} {pop neg fspc add} ifelse rotval rotate Kn currentpoint
+	translate /showflag 1 def /Bline 0 def /Tabs 0 array def /fscale
+	1.0 def /kY 0 def {dup type /stringtype eq {mshow} {dup type
+	/arraytype eq {exec} {12 string cvs mshow} ifelse} ifelse} repeat
+	grestore endclip} def
+/pinlabel { 4 index 32 and 0 ne hlevel 0 eq or { /pspc 10 def label
+	/pspc 0 def } { pop pop pop pop pop {pop} repeat } ifelse } def
+/pinglobal { pinlabel } def
+/infolabel { pinlabel } def
+/graphic { gsave 4 index cvx exec /DataSource get resetfile translate 0 0
+	moveto neg rotate dup scale cvx exec image grestore endclip} def
+
+/scb { setrgbcolor } bind def  /sce { defColor aload pop scb } bind def
+/cRedef {/defColor currentcolor 3 array astore def} def
+/begingate {dup type /dicttype ne {1 dict} if begin	% default params
+	dup type /dicttype ne {1 dict} if begin		% instanced params
+	/hlevel hlevel 1 add def /defColor currentcolor sce 3 array astore
+	def gsave sce translate 0 0 moveto neg rotate dup type /nametype
+	eq not { dup abs currentlinewidth exch div setlinewidth } { pop }
+	ifelse dup abs scale clipped 1 and 1 eq {/clipped clipped 1 add def}
+	if} bind def
+/endgate { /hlevel hlevel 1 sub def grestore defColor aload pop cRedef
+	scb end end endclip} bind def
+
+/hlevel 0 def
+/endclip {clipped 1 and 1 eq {grestore /clipped clipped 1 sub def} if} def
+/tmpa [1 0 0 1 0 0] def
+/gar {8 8 true tmpa {<c0 c0 00 00 0c 0c 00 00>} imagemask} bind
+{8 8 true tmpa {<30 70 60 02 03 07 06 20>} imagemask} bind
+{8 8 true tmpa {<0c 1e 1e 0c c0 e1 e1 c0>} imagemask} bind
+{8 8 true tmpa {<0f 0f 0f 0f f0 f0 f0 f0>} imagemask} bind
+{8 8 true tmpa {<3f f3 e1 e1 f3 3f 1e 1e>} imagemask} bind
+{8 8 true tmpa {<df cf 8f 9f fd fc f8 f9>} imagemask} bind
+{8 8 true tmpa {<ff 3f 3f ff ff f3 f3 ff>} imagemask} bind 7 array astore def
+/ppaint { gsave clip tmpa dup setmatrix pathbbox neg exch neg 4 2 roll
+  neg 4 -1 roll 2 copy gt {exch} if 8 div ceiling 8 mul 4 2 roll neg 2 copy
+  gt {exch} if 8 div ceiling 8 mul 3 -1 roll -8 5 -1 roll
+  { 3 index exch 5 exch put dup -8 3 index { 3 index
+  exch 4 exch put 3 index exec } for } for pop pop pop pop grestore } bind def
+/setstyles {
+  currentlinewidth mul setlinewidth /style exch def style 2048 and 0 gt
+  clipped 1 and 0 eq and {clip newpath /clipped clipped 1 add def} {
+  style 1 and 0 gt not {closepath} if
+  style 1024 and 0 gt {2 setlinecap} if
+  style 2 and 0 gt {currentlinewidth 4 mul dup 2 array astore 0 setdash} if
+  style 4 and 0 gt {0.5 currentlinewidth 4 mul 2 array astore 0 setdash} if
+  gsave style 16 and 0 gt { style 224 and -5 bitshift style 256 and 0 gt {
+  7 exch sub 8 div dup 1 exch sub currentrgbcolor 3 array astore
+  {3 copy mul add 4 1 roll pop} forall pop pop setrgbcolor eofill}
+  {dup 7 lt {gar exch get ppaint} {pop eofill} ifelse} ifelse}
+  {style 256 and 0 gt {1 setgray eofill} if} ifelse grestore style 8 and 0 gt 
+  style 512 eq or {newpath} {stroke} ifelse grestore endclip} ifelse} def     
+
+/addtoy {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll add
+	4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtoy1 {1 exch addtoy pop} def
+/addtoy3 {3 exch addtoy pop} def
+/addtoy4 {4 exch addtoy pop} def
+/addtox {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll 3 -1 roll
+	add exch 4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtox1 {1 exch addtox pop} def
+/addtox3 {3 exch addtox pop} def
+/addtox4 {4 exch addtox pop} def
+/polygon { gsave /num exch def moveto num 1 sub {lineto} repeat setstyles } def
+/xcarc   { gsave newpath arc setstyles } def
+/elb { matrix currentmatrix 7 -1 roll 7 -1 roll translate 5 1 roll 4 -1 roll
+	3 index div 1 scale } def
+/ele { 0 4 1 roll 0 4 1 roll } bind def
+/ellipse { gsave elb newpath ele arc setmatrix setstyles } def
+/pellip  { elb ele arc setmatrix } def
+/nellip  { elb ele arcn setmatrix } def
+/spline  { gsave moveto curveto setstyles } def
+/polyc   { {lineto} repeat } bind def
+/beginpath { gsave moveto } bind def
+/endpath { setstyles } bind def
+/bop	 { 1 setlinecap 0 setlinejoin 6 setmiterlimit 0 0 0 scb cRedef
+	/clipped 0 def} def
+/psinsertion {/PSobj save def /showpage {} def /setpagedevice {pop} def bop
+	rotate translate dup scale} def
+/end_insert {PSobj restore} def
+/setpagemat {/pagemat matrix currentmatrix def} def
+/inchscale  {setpagemat 0.375 mul dup scale} def
+/cmscale    {setpagemat 0.35433071 mul dup scale} def
+
+%%EndResource
+%%EndProlog
+
+% XCircuit output starts here.
+
+%%BeginSetup
+
+
+%%EndSetup
+
+%%Page: 1 1
+%%PageOrientation: Portrait
+/pgsave save def bop
+1.0000 inchscale
+2.6000 setlinewidth 432 208 translate
+
+0 1.000 -64 64 -64 80 32 80 32 64 4 polygon
+0 1.000 -64 0 -64 -16 32 -16 32 0 4 polygon
+1 1.000 96 160 96 64 2 polygon
+0 1.000 80 64 80 0 112 0 112 64 4 polygon
+1 1.000 80 160 112 160 2 polygon
+(SQR1) {/Helvetica cf} 2 16 0 1.000 -240 80 label
+(GND) {/Helvetica cf} 2 16 0 1.000 -224 0 label
+0 1.000 -240 48 -240 -16 -128 -16 -128 48 4 polygon
+1 1.000 -64 0 -128 0 2 polygon
+0 1.000 -240 128 -240 64 -128 64 -128 128 4 polygon
+1 1.000 -64 80 -128 80 2 polygon
+(COIL) {/Helvetica cf} 2 16 0 1.000 -80 96 label
+(Magnet) {/Helvetica cf} 2 16 0 1.000 128 0 label
+(Button) {/Helvetica cf} 2 16 0 1.000 128 48 label
+pgsave restore showpage
+
+%%Trailer
+XCIRCsave restore
+%%EOF
diff --git a/doc/en/Docs-jr/schematics/dummy.png b/doc/en/Docs-jr/schematics/dummy.png
new file mode 100644
index 0000000..da85e1e
Binary files /dev/null and b/doc/en/Docs-jr/schematics/dummy.png differ
diff --git a/doc/en/Docs-jr/schematics/dummy.ps b/doc/en/Docs-jr/schematics/dummy.ps
new file mode 100644
index 0000000..68c1d7c
--- /dev/null
+++ b/doc/en/Docs-jr/schematics/dummy.ps
@@ -0,0 +1,190 @@
+%!PS-Adobe-3.0 EPSF-3.0
+%%Title: dummy
+%%Creator: XCircuit v3.7 rev26
+%%CreationDate: Wed Jul 11 20:34:03 2012
+%%Pages: 1
+%%BoundingBox: 68 68 274 148
+%%DocumentNeededResources: font Helvetica 
+%%EndComments
+%%BeginProlog
+%
+%  PostScript prolog for output from xcircuit
+%  Version: 3.7
+%
+%  Electrical circuit (and otherwise general) drawing program
+%
+%  Written by Tim Edwards 8/5/93--11/1/10  (tim.edwards at multigig.com)
+%  The Johns Hopkins University (1993-2004)
+%  MultiGiG, Inc. (2004-present)
+%
+%%BeginResource: procset XCIRCproc 3.7 2
+%
+% supporting definitions --- these are the primary xcircuit types.
+
+/XCIRCsave save def
+/topmat matrix currentmatrix def
+
+/fontslant { /slant exch def [1 0 slant 1 0 0] 
+    exch findfont exch makefont dup length dict /ndict exch def
+    { 1 index /FID ne { ndict 3 1 roll put } { pop pop } ifelse } forall
+    ndict definefont pop} def
+/ul { dup type /stringtype eq showflag 1 eq and { gsave 
+   currentpoint topmat setmatrix 0 0 moveto 2 index stringwidth pop (_)
+   false charpath flattenpath pathbbox grestore exch pop 1 index
+   sub setlinewidth exch pop currentpoint 3 -1 roll add moveto 0
+   rlineto stroke moveto } if } def
+/ol { dup type /stringtype eq showflag 1 eq and { gsave gsave
+   currentpoint topmat setmatrix 2 index stringwidth pop 3 index
+   true charpath flattenpath pathbbox grestore exch pop
+   exch pop topmat setmatrix (_) true charpath pathbbox grestore
+   exch pop 1 index sub setlinewidth exch pop currentpoint
+   exch 4 1 roll exch sub add moveto pop 0 rlineto stroke
+   moveto } if } def
+/stW { gsave currentpoint newpath moveto true charpath flattenpath
+	pathbbox pop exch pop sub grestore } def
+/Ts {mark Tabs aload pop counttomark 1 add array astore /Tabs exch def Tabs
+	0 currentpoint pop put} def
+/Tbn {mark Tabs aload pop counttomark dup 2 add 1 roll cleartomark 1 sub} def
+/Tb { 0 1 Tbn {Tabs exch get dup currentpoint pop lt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/Tf { Tbn -1 0 {Tabs exch get dup currentpoint pop gt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/qS { (aa) stW (a a) stW sub 4 div 0 Kn } def
+/hS { qS qS } def
+/pspc 0 def
+/cf0 { scalefont setfont } bind def
+/Kn { dup kY add /kY exch def rmoveto } bind def
+/ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.33 mul neg Kn} def
+/Ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.67 mul Kn } def
+/ns { 0 kY neg Kn /kY 0 def /fscale 1.0 def xfont0 1.0 cf0 } def
+/CR { ns 0 /Bline Bline fscale0 neg add def Bline moveto } def
+/cf { dup type /realtype ne {1.0} if exch findfont exch kY 0 eq
+	{ 40 mul dup /fscale0 exch def cf0 /xfont0 currentfont def}
+	{fscale0 mul fscale mul cf0} ifelse } def
+/ctmk { counttomark dup 2 add -1 roll pop } bind def
+/label { gsave translate 0 0 moveto dup scale neg /rotval exch def
+	/just exch def just 384 and 0 gt {/mshow {pop} def} {/mshow {show}
+	def} ifelse just 16 and 0 gt {gsave rotval rotate 0 1 dtransform
+	gsave pagemat setmatrix idtransform exch grestore 1 0 dtransform
+	gsave pagemat setmatrix idtransform exch grestore dup abs 1e-9 lt
+	{pop mul 0 gt} {3 1 roll pop pop 0 lt} ifelse grestore {-1 /rotval
+	rotval neg def /just just dup 3 and 1 ne {3 xor} if def} {1} ifelse
+	exch -1e-9 lt {-1 /rotval rotval neg def /just just dup 12 and
+	4 ne {12 xor} if def} {1} ifelse scale } if /showflag 0 def
+	/fspc pspc def /Bline 0 def /Tabs 0 array def /fscale 1.0 def
+	/kY 0 def gsave dup 1 add copy 0 exch 1 0 dtransform exch atan rotate
+	{exch dup type /stringtype eq {true charpath flattenpath} {dup type
+	/arraytype eq {exec} {12 string cvs true charpath flattenpath} ifelse}
+	ifelse} repeat pop pathbbox grestore 3 -1 roll pop 3 1 roll just
+	1 and 0 gt {just 2 and 0 gt {exch pop neg fspc sub} {exch sub 0.5
+	mul neg} ifelse} {pop neg fspc add} ifelse exch Bline exch just 4
+	and 0 gt {just 8 and 0 gt {exch pop neg fspc sub} {add 0.5 mul neg}
+	ifelse} {pop neg fspc add} ifelse rotval rotate Kn currentpoint
+	translate /showflag 1 def /Bline 0 def /Tabs 0 array def /fscale
+	1.0 def /kY 0 def {dup type /stringtype eq {mshow} {dup type
+	/arraytype eq {exec} {12 string cvs mshow} ifelse} ifelse} repeat
+	grestore endclip} def
+/pinlabel { 4 index 32 and 0 ne hlevel 0 eq or { /pspc 10 def label
+	/pspc 0 def } { pop pop pop pop pop {pop} repeat } ifelse } def
+/pinglobal { pinlabel } def
+/infolabel { pinlabel } def
+/graphic { gsave 4 index cvx exec /DataSource get resetfile translate 0 0
+	moveto neg rotate dup scale cvx exec image grestore endclip} def
+
+/scb { setrgbcolor } bind def  /sce { defColor aload pop scb } bind def
+/cRedef {/defColor currentcolor 3 array astore def} def
+/begingate {dup type /dicttype ne {1 dict} if begin	% default params
+	dup type /dicttype ne {1 dict} if begin		% instanced params
+	/hlevel hlevel 1 add def /defColor currentcolor sce 3 array astore
+	def gsave sce translate 0 0 moveto neg rotate dup type /nametype
+	eq not { dup abs currentlinewidth exch div setlinewidth } { pop }
+	ifelse dup abs scale clipped 1 and 1 eq {/clipped clipped 1 add def}
+	if} bind def
+/endgate { /hlevel hlevel 1 sub def grestore defColor aload pop cRedef
+	scb end end endclip} bind def
+
+/hlevel 0 def
+/endclip {clipped 1 and 1 eq {grestore /clipped clipped 1 sub def} if} def
+/tmpa [1 0 0 1 0 0] def
+/gar {8 8 true tmpa {<c0 c0 00 00 0c 0c 00 00>} imagemask} bind
+{8 8 true tmpa {<30 70 60 02 03 07 06 20>} imagemask} bind
+{8 8 true tmpa {<0c 1e 1e 0c c0 e1 e1 c0>} imagemask} bind
+{8 8 true tmpa {<0f 0f 0f 0f f0 f0 f0 f0>} imagemask} bind
+{8 8 true tmpa {<3f f3 e1 e1 f3 3f 1e 1e>} imagemask} bind
+{8 8 true tmpa {<df cf 8f 9f fd fc f8 f9>} imagemask} bind
+{8 8 true tmpa {<ff 3f 3f ff ff f3 f3 ff>} imagemask} bind 7 array astore def
+/ppaint { gsave clip tmpa dup setmatrix pathbbox neg exch neg 4 2 roll
+  neg 4 -1 roll 2 copy gt {exch} if 8 div ceiling 8 mul 4 2 roll neg 2 copy
+  gt {exch} if 8 div ceiling 8 mul 3 -1 roll -8 5 -1 roll
+  { 3 index exch 5 exch put dup -8 3 index { 3 index
+  exch 4 exch put 3 index exec } for } for pop pop pop pop grestore } bind def
+/setstyles {
+  currentlinewidth mul setlinewidth /style exch def style 2048 and 0 gt
+  clipped 1 and 0 eq and {clip newpath /clipped clipped 1 add def} {
+  style 1 and 0 gt not {closepath} if
+  style 1024 and 0 gt {2 setlinecap} if
+  style 2 and 0 gt {currentlinewidth 4 mul dup 2 array astore 0 setdash} if
+  style 4 and 0 gt {0.5 currentlinewidth 4 mul 2 array astore 0 setdash} if
+  gsave style 16 and 0 gt { style 224 and -5 bitshift style 256 and 0 gt {
+  7 exch sub 8 div dup 1 exch sub currentrgbcolor 3 array astore
+  {3 copy mul add 4 1 roll pop} forall pop pop setrgbcolor eofill}
+  {dup 7 lt {gar exch get ppaint} {pop eofill} ifelse} ifelse}
+  {style 256 and 0 gt {1 setgray eofill} if} ifelse grestore style 8 and 0 gt 
+  style 512 eq or {newpath} {stroke} ifelse grestore endclip} ifelse} def     
+
+/addtoy {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll add
+	4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtoy1 {1 exch addtoy pop} def
+/addtoy3 {3 exch addtoy pop} def
+/addtoy4 {4 exch addtoy pop} def
+/addtox {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll 3 -1 roll
+	add exch 4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtox1 {1 exch addtox pop} def
+/addtox3 {3 exch addtox pop} def
+/addtox4 {4 exch addtox pop} def
+/polygon { gsave /num exch def moveto num 1 sub {lineto} repeat setstyles } def
+/xcarc   { gsave newpath arc setstyles } def
+/elb { matrix currentmatrix 7 -1 roll 7 -1 roll translate 5 1 roll 4 -1 roll
+	3 index div 1 scale } def
+/ele { 0 4 1 roll 0 4 1 roll } bind def
+/ellipse { gsave elb newpath ele arc setmatrix setstyles } def
+/pellip  { elb ele arc setmatrix } def
+/nellip  { elb ele arcn setmatrix } def
+/spline  { gsave moveto curveto setstyles } def
+/polyc   { {lineto} repeat } bind def
+/beginpath { gsave moveto } bind def
+/endpath { setstyles } bind def
+/bop	 { 1 setlinecap 0 setlinejoin 6 setmiterlimit 0 0 0 scb cRedef
+	/clipped 0 def} def
+/psinsertion {/PSobj save def /showpage {} def /setpagedevice {pop} def bop
+	rotate translate dup scale} def
+/end_insert {PSobj restore} def
+/setpagemat {/pagemat matrix currentmatrix def} def
+/inchscale  {setpagemat 0.375 mul dup scale} def
+/cmscale    {setpagemat 0.35433071 mul dup scale} def
+
+%%EndResource
+%%EndProlog
+
+% XCircuit output starts here.
+
+%%BeginSetup
+
+
+%%EndSetup
+
+%%Page: 1 1
+%%PageOrientation: Portrait
+/pgsave save def bop
+1.0000 inchscale
+2.6000 setlinewidth 416 176 translate
+
+0 1.000 -224 208 -224 16 304 16 304 208 4 polygon
+(DUMMY ENTRY) {/Helvetica cf} 2 16 0 1.000 -160 96 label
+pgsave restore showpage
+
+%%Trailer
+XCIRCsave restore
+%%EOF
diff --git a/doc/en/Docs-jr/schematics/ftran.png b/doc/en/Docs-jr/schematics/ftran.png
new file mode 100644
index 0000000..a27d342
Binary files /dev/null and b/doc/en/Docs-jr/schematics/ftran.png differ
diff --git a/doc/en/Docs-jr/schematics/ftran.ps b/doc/en/Docs-jr/schematics/ftran.ps
new file mode 100644
index 0000000..cf3fb2a
--- /dev/null
+++ b/doc/en/Docs-jr/schematics/ftran.ps
@@ -0,0 +1,198 @@
+%!PS-Adobe-3.0 EPSF-3.0
+%%Title: ftran.ps
+%%Creator: XCircuit v3.7 rev26
+%%CreationDate: Mon Jul 16 08:57:56 2012
+%%Pages: 1
+%%BoundingBox: 68 68 286 100
+%%DocumentNeededResources: font Helvetica 
+%%EndComments
+%%BeginProlog
+%
+%  PostScript prolog for output from xcircuit
+%  Version: 3.7
+%
+%  Electrical circuit (and otherwise general) drawing program
+%
+%  Written by Tim Edwards 8/5/93--11/1/10  (tim.edwards at multigig.com)
+%  The Johns Hopkins University (1993-2004)
+%  MultiGiG, Inc. (2004-present)
+%
+%%BeginResource: procset XCIRCproc 3.7 2
+%
+% supporting definitions --- these are the primary xcircuit types.
+
+/XCIRCsave save def
+/topmat matrix currentmatrix def
+
+/fontslant { /slant exch def [1 0 slant 1 0 0] 
+    exch findfont exch makefont dup length dict /ndict exch def
+    { 1 index /FID ne { ndict 3 1 roll put } { pop pop } ifelse } forall
+    ndict definefont pop} def
+/ul { dup type /stringtype eq showflag 1 eq and { gsave 
+   currentpoint topmat setmatrix 0 0 moveto 2 index stringwidth pop (_)
+   false charpath flattenpath pathbbox grestore exch pop 1 index
+   sub setlinewidth exch pop currentpoint 3 -1 roll add moveto 0
+   rlineto stroke moveto } if } def
+/ol { dup type /stringtype eq showflag 1 eq and { gsave gsave
+   currentpoint topmat setmatrix 2 index stringwidth pop 3 index
+   true charpath flattenpath pathbbox grestore exch pop
+   exch pop topmat setmatrix (_) true charpath pathbbox grestore
+   exch pop 1 index sub setlinewidth exch pop currentpoint
+   exch 4 1 roll exch sub add moveto pop 0 rlineto stroke
+   moveto } if } def
+/stW { gsave currentpoint newpath moveto true charpath flattenpath
+	pathbbox pop exch pop sub grestore } def
+/Ts {mark Tabs aload pop counttomark 1 add array astore /Tabs exch def Tabs
+	0 currentpoint pop put} def
+/Tbn {mark Tabs aload pop counttomark dup 2 add 1 roll cleartomark 1 sub} def
+/Tb { 0 1 Tbn {Tabs exch get dup currentpoint pop lt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/Tf { Tbn -1 0 {Tabs exch get dup currentpoint pop gt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/qS { (aa) stW (a a) stW sub 4 div 0 Kn } def
+/hS { qS qS } def
+/pspc 0 def
+/cf0 { scalefont setfont } bind def
+/Kn { dup kY add /kY exch def rmoveto } bind def
+/ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.33 mul neg Kn} def
+/Ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.67 mul Kn } def
+/ns { 0 kY neg Kn /kY 0 def /fscale 1.0 def xfont0 1.0 cf0 } def
+/CR { ns 0 /Bline Bline fscale0 neg add def Bline moveto } def
+/cf { dup type /realtype ne {1.0} if exch findfont exch kY 0 eq
+	{ 40 mul dup /fscale0 exch def cf0 /xfont0 currentfont def}
+	{fscale0 mul fscale mul cf0} ifelse } def
+/ctmk { counttomark dup 2 add -1 roll pop } bind def
+/label { gsave translate 0 0 moveto dup scale neg /rotval exch def
+	/just exch def just 384 and 0 gt {/mshow {pop} def} {/mshow {show}
+	def} ifelse just 16 and 0 gt {gsave rotval rotate 0 1 dtransform
+	gsave pagemat setmatrix idtransform exch grestore 1 0 dtransform
+	gsave pagemat setmatrix idtransform exch grestore dup abs 1e-9 lt
+	{pop mul 0 gt} {3 1 roll pop pop 0 lt} ifelse grestore {-1 /rotval
+	rotval neg def /just just dup 3 and 1 ne {3 xor} if def} {1} ifelse
+	exch -1e-9 lt {-1 /rotval rotval neg def /just just dup 12 and
+	4 ne {12 xor} if def} {1} ifelse scale } if /showflag 0 def
+	/fspc pspc def /Bline 0 def /Tabs 0 array def /fscale 1.0 def
+	/kY 0 def gsave dup 1 add copy 0 exch 1 0 dtransform exch atan rotate
+	{exch dup type /stringtype eq {true charpath flattenpath} {dup type
+	/arraytype eq {exec} {12 string cvs true charpath flattenpath} ifelse}
+	ifelse} repeat pop pathbbox grestore 3 -1 roll pop 3 1 roll just
+	1 and 0 gt {just 2 and 0 gt {exch pop neg fspc sub} {exch sub 0.5
+	mul neg} ifelse} {pop neg fspc add} ifelse exch Bline exch just 4
+	and 0 gt {just 8 and 0 gt {exch pop neg fspc sub} {add 0.5 mul neg}
+	ifelse} {pop neg fspc add} ifelse rotval rotate Kn currentpoint
+	translate /showflag 1 def /Bline 0 def /Tabs 0 array def /fscale
+	1.0 def /kY 0 def {dup type /stringtype eq {mshow} {dup type
+	/arraytype eq {exec} {12 string cvs mshow} ifelse} ifelse} repeat
+	grestore endclip} def
+/pinlabel { 4 index 32 and 0 ne hlevel 0 eq or { /pspc 10 def label
+	/pspc 0 def } { pop pop pop pop pop {pop} repeat } ifelse } def
+/pinglobal { pinlabel } def
+/infolabel { pinlabel } def
+/graphic { gsave 4 index cvx exec /DataSource get resetfile translate 0 0
+	moveto neg rotate dup scale cvx exec image grestore endclip} def
+
+/scb { setrgbcolor } bind def  /sce { defColor aload pop scb } bind def
+/cRedef {/defColor currentcolor 3 array astore def} def
+/begingate {dup type /dicttype ne {1 dict} if begin	% default params
+	dup type /dicttype ne {1 dict} if begin		% instanced params
+	/hlevel hlevel 1 add def /defColor currentcolor sce 3 array astore
+	def gsave sce translate 0 0 moveto neg rotate dup type /nametype
+	eq not { dup abs currentlinewidth exch div setlinewidth } { pop }
+	ifelse dup abs scale clipped 1 and 1 eq {/clipped clipped 1 add def}
+	if} bind def
+/endgate { /hlevel hlevel 1 sub def grestore defColor aload pop cRedef
+	scb end end endclip} bind def
+
+/hlevel 0 def
+/endclip {clipped 1 and 1 eq {grestore /clipped clipped 1 sub def} if} def
+/tmpa [1 0 0 1 0 0] def
+/gar {8 8 true tmpa {<c0 c0 00 00 0c 0c 00 00>} imagemask} bind
+{8 8 true tmpa {<30 70 60 02 03 07 06 20>} imagemask} bind
+{8 8 true tmpa {<0c 1e 1e 0c c0 e1 e1 c0>} imagemask} bind
+{8 8 true tmpa {<0f 0f 0f 0f f0 f0 f0 f0>} imagemask} bind
+{8 8 true tmpa {<3f f3 e1 e1 f3 3f 1e 1e>} imagemask} bind
+{8 8 true tmpa {<df cf 8f 9f fd fc f8 f9>} imagemask} bind
+{8 8 true tmpa {<ff 3f 3f ff ff f3 f3 ff>} imagemask} bind 7 array astore def
+/ppaint { gsave clip tmpa dup setmatrix pathbbox neg exch neg 4 2 roll
+  neg 4 -1 roll 2 copy gt {exch} if 8 div ceiling 8 mul 4 2 roll neg 2 copy
+  gt {exch} if 8 div ceiling 8 mul 3 -1 roll -8 5 -1 roll
+  { 3 index exch 5 exch put dup -8 3 index { 3 index
+  exch 4 exch put 3 index exec } for } for pop pop pop pop grestore } bind def
+/setstyles {
+  currentlinewidth mul setlinewidth /style exch def style 2048 and 0 gt
+  clipped 1 and 0 eq and {clip newpath /clipped clipped 1 add def} {
+  style 1 and 0 gt not {closepath} if
+  style 1024 and 0 gt {2 setlinecap} if
+  style 2 and 0 gt {currentlinewidth 4 mul dup 2 array astore 0 setdash} if
+  style 4 and 0 gt {0.5 currentlinewidth 4 mul 2 array astore 0 setdash} if
+  gsave style 16 and 0 gt { style 224 and -5 bitshift style 256 and 0 gt {
+  7 exch sub 8 div dup 1 exch sub currentrgbcolor 3 array astore
+  {3 copy mul add 4 1 roll pop} forall pop pop setrgbcolor eofill}
+  {dup 7 lt {gar exch get ppaint} {pop eofill} ifelse} ifelse}
+  {style 256 and 0 gt {1 setgray eofill} if} ifelse grestore style 8 and 0 gt 
+  style 512 eq or {newpath} {stroke} ifelse grestore endclip} ifelse} def     
+
+/addtoy {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll add
+	4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtoy1 {1 exch addtoy pop} def
+/addtoy3 {3 exch addtoy pop} def
+/addtoy4 {4 exch addtoy pop} def
+/addtox {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll 3 -1 roll
+	add exch 4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtox1 {1 exch addtox pop} def
+/addtox3 {3 exch addtox pop} def
+/addtox4 {4 exch addtox pop} def
+/polygon { gsave /num exch def moveto num 1 sub {lineto} repeat setstyles } def
+/xcarc   { gsave newpath arc setstyles } def
+/elb { matrix currentmatrix 7 -1 roll 7 -1 roll translate 5 1 roll 4 -1 roll
+	3 index div 1 scale } def
+/ele { 0 4 1 roll 0 4 1 roll } bind def
+/ellipse { gsave elb newpath ele arc setmatrix setstyles } def
+/pellip  { elb ele arc setmatrix } def
+/nellip  { elb ele arcn setmatrix } def
+/spline  { gsave moveto curveto setstyles } def
+/polyc   { {lineto} repeat } bind def
+/beginpath { gsave moveto } bind def
+/endpath { setstyles } bind def
+/bop	 { 1 setlinecap 0 setlinejoin 6 setmiterlimit 0 0 0 scb cRedef
+	/clipped 0 def} def
+/psinsertion {/PSobj save def /showpage {} def /setpagedevice {pop} def bop
+	rotate translate dup scale} def
+/end_insert {PSobj restore} def
+/setpagemat {/pagemat matrix currentmatrix def} def
+/inchscale  {setpagemat 0.375 mul dup scale} def
+/cmscale    {setpagemat 0.35433071 mul dup scale} def
+
+%%EndResource
+%%EndProlog
+
+% XCircuit output starts here.
+
+%%BeginSetup
+
+
+%%EndSetup
+
+%%Page: ftran 1
+%%PageOrientation: Portrait
+/pgsave save def bop
+1.0000 inchscale
+2.6000 setlinewidth 544 192 translate
+
+(A1) {/Helvetica cf} 2 16 0 1.000 -176 16 label
+(A2) {/Helvetica cf} 2 16 0 1.000 -32 16 label
+(SQR1) {/Helvetica cf} 2 16 0 1.000 96 16 label
+(SINE) {/Helvetica cf} 2 16 0 1.000 -336 16 label
+0 1.000 -352 64 -352 0 -240 0 -240 64 4 polygon
+0 1.000 -192 64 -192 0 -112 0 -112 64 4 polygon
+0 1.000 -48 64 -48 0 32 0 32 64 4 polygon
+0 1.000 96 64 96 0 208 0 208 64 4 polygon
+1 1.000 32 32 96 32 2 polygon
+1 1.000 -192 32 -240 32 2 polygon
+pgsave restore showpage
+
+%%Trailer
+XCIRCsave restore
+%%EOF
diff --git a/doc/en/Docs-jr/schematics/full-wave.png b/doc/en/Docs-jr/schematics/full-wave.png
new file mode 100644
index 0000000..3d4c3d2
Binary files /dev/null and b/doc/en/Docs-jr/schematics/full-wave.png differ
diff --git a/doc/en/Docs-jr/schematics/full-wave.ps b/doc/en/Docs-jr/schematics/full-wave.ps
new file mode 100644
index 0000000..0dfa5c9
--- /dev/null
+++ b/doc/en/Docs-jr/schematics/full-wave.ps
@@ -0,0 +1,246 @@
+%!PS-Adobe-3.0 EPSF-3.0
+%%Title: full-wave.ps
+%%Creator: XCircuit v3.7 rev26
+%%CreationDate: Mon Jul 23 12:14:56 2012
+%%Pages: 1
+%%BoundingBox: 68 68 538 112
+%%DocumentNeededResources: font Helvetica Times-Roman 
+%%EndComments
+%%BeginProlog
+%
+%  PostScript prolog for output from xcircuit
+%  Version: 3.7
+%
+%  Electrical circuit (and otherwise general) drawing program
+%
+%  Written by Tim Edwards 8/5/93--11/1/10  (tim.edwards at multigig.com)
+%  The Johns Hopkins University (1993-2004)
+%  MultiGiG, Inc. (2004-present)
+%
+%%BeginResource: procset XCIRCproc 3.7 2
+%
+% supporting definitions --- these are the primary xcircuit types.
+
+/XCIRCsave save def
+/topmat matrix currentmatrix def
+
+/fontslant { /slant exch def [1 0 slant 1 0 0] 
+    exch findfont exch makefont dup length dict /ndict exch def
+    { 1 index /FID ne { ndict 3 1 roll put } { pop pop } ifelse } forall
+    ndict definefont pop} def
+/ul { dup type /stringtype eq showflag 1 eq and { gsave 
+   currentpoint topmat setmatrix 0 0 moveto 2 index stringwidth pop (_)
+   false charpath flattenpath pathbbox grestore exch pop 1 index
+   sub setlinewidth exch pop currentpoint 3 -1 roll add moveto 0
+   rlineto stroke moveto } if } def
+/ol { dup type /stringtype eq showflag 1 eq and { gsave gsave
+   currentpoint topmat setmatrix 2 index stringwidth pop 3 index
+   true charpath flattenpath pathbbox grestore exch pop
+   exch pop topmat setmatrix (_) true charpath pathbbox grestore
+   exch pop 1 index sub setlinewidth exch pop currentpoint
+   exch 4 1 roll exch sub add moveto pop 0 rlineto stroke
+   moveto } if } def
+/stW { gsave currentpoint newpath moveto true charpath flattenpath
+	pathbbox pop exch pop sub grestore } def
+/Ts {mark Tabs aload pop counttomark 1 add array astore /Tabs exch def Tabs
+	0 currentpoint pop put} def
+/Tbn {mark Tabs aload pop counttomark dup 2 add 1 roll cleartomark 1 sub} def
+/Tb { 0 1 Tbn {Tabs exch get dup currentpoint pop lt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/Tf { Tbn -1 0 {Tabs exch get dup currentpoint pop gt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/qS { (aa) stW (a a) stW sub 4 div 0 Kn } def
+/hS { qS qS } def
+/pspc 0 def
+/cf0 { scalefont setfont } bind def
+/Kn { dup kY add /kY exch def rmoveto } bind def
+/ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.33 mul neg Kn} def
+/Ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.67 mul Kn } def
+/ns { 0 kY neg Kn /kY 0 def /fscale 1.0 def xfont0 1.0 cf0 } def
+/CR { ns 0 /Bline Bline fscale0 neg add def Bline moveto } def
+/cf { dup type /realtype ne {1.0} if exch findfont exch kY 0 eq
+	{ 40 mul dup /fscale0 exch def cf0 /xfont0 currentfont def}
+	{fscale0 mul fscale mul cf0} ifelse } def
+/ctmk { counttomark dup 2 add -1 roll pop } bind def
+/label { gsave translate 0 0 moveto dup scale neg /rotval exch def
+	/just exch def just 384 and 0 gt {/mshow {pop} def} {/mshow {show}
+	def} ifelse just 16 and 0 gt {gsave rotval rotate 0 1 dtransform
+	gsave pagemat setmatrix idtransform exch grestore 1 0 dtransform
+	gsave pagemat setmatrix idtransform exch grestore dup abs 1e-9 lt
+	{pop mul 0 gt} {3 1 roll pop pop 0 lt} ifelse grestore {-1 /rotval
+	rotval neg def /just just dup 3 and 1 ne {3 xor} if def} {1} ifelse
+	exch -1e-9 lt {-1 /rotval rotval neg def /just just dup 12 and
+	4 ne {12 xor} if def} {1} ifelse scale } if /showflag 0 def
+	/fspc pspc def /Bline 0 def /Tabs 0 array def /fscale 1.0 def
+	/kY 0 def gsave dup 1 add copy 0 exch 1 0 dtransform exch atan rotate
+	{exch dup type /stringtype eq {true charpath flattenpath} {dup type
+	/arraytype eq {exec} {12 string cvs true charpath flattenpath} ifelse}
+	ifelse} repeat pop pathbbox grestore 3 -1 roll pop 3 1 roll just
+	1 and 0 gt {just 2 and 0 gt {exch pop neg fspc sub} {exch sub 0.5
+	mul neg} ifelse} {pop neg fspc add} ifelse exch Bline exch just 4
+	and 0 gt {just 8 and 0 gt {exch pop neg fspc sub} {add 0.5 mul neg}
+	ifelse} {pop neg fspc add} ifelse rotval rotate Kn currentpoint
+	translate /showflag 1 def /Bline 0 def /Tabs 0 array def /fscale
+	1.0 def /kY 0 def {dup type /stringtype eq {mshow} {dup type
+	/arraytype eq {exec} {12 string cvs mshow} ifelse} ifelse} repeat
+	grestore endclip} def
+/pinlabel { 4 index 32 and 0 ne hlevel 0 eq or { /pspc 10 def label
+	/pspc 0 def } { pop pop pop pop pop {pop} repeat } ifelse } def
+/pinglobal { pinlabel } def
+/infolabel { pinlabel } def
+/graphic { gsave 4 index cvx exec /DataSource get resetfile translate 0 0
+	moveto neg rotate dup scale cvx exec image grestore endclip} def
+
+/scb { setrgbcolor } bind def  /sce { defColor aload pop scb } bind def
+/cRedef {/defColor currentcolor 3 array astore def} def
+/begingate {dup type /dicttype ne {1 dict} if begin	% default params
+	dup type /dicttype ne {1 dict} if begin		% instanced params
+	/hlevel hlevel 1 add def /defColor currentcolor sce 3 array astore
+	def gsave sce translate 0 0 moveto neg rotate dup type /nametype
+	eq not { dup abs currentlinewidth exch div setlinewidth } { pop }
+	ifelse dup abs scale clipped 1 and 1 eq {/clipped clipped 1 add def}
+	if} bind def
+/endgate { /hlevel hlevel 1 sub def grestore defColor aload pop cRedef
+	scb end end endclip} bind def
+
+/hlevel 0 def
+/endclip {clipped 1 and 1 eq {grestore /clipped clipped 1 sub def} if} def
+/tmpa [1 0 0 1 0 0] def
+/gar {8 8 true tmpa {<c0 c0 00 00 0c 0c 00 00>} imagemask} bind
+{8 8 true tmpa {<30 70 60 02 03 07 06 20>} imagemask} bind
+{8 8 true tmpa {<0c 1e 1e 0c c0 e1 e1 c0>} imagemask} bind
+{8 8 true tmpa {<0f 0f 0f 0f f0 f0 f0 f0>} imagemask} bind
+{8 8 true tmpa {<3f f3 e1 e1 f3 3f 1e 1e>} imagemask} bind
+{8 8 true tmpa {<df cf 8f 9f fd fc f8 f9>} imagemask} bind
+{8 8 true tmpa {<ff 3f 3f ff ff f3 f3 ff>} imagemask} bind 7 array astore def
+/ppaint { gsave clip tmpa dup setmatrix pathbbox neg exch neg 4 2 roll
+  neg 4 -1 roll 2 copy gt {exch} if 8 div ceiling 8 mul 4 2 roll neg 2 copy
+  gt {exch} if 8 div ceiling 8 mul 3 -1 roll -8 5 -1 roll
+  { 3 index exch 5 exch put dup -8 3 index { 3 index
+  exch 4 exch put 3 index exec } for } for pop pop pop pop grestore } bind def
+/setstyles {
+  currentlinewidth mul setlinewidth /style exch def style 2048 and 0 gt
+  clipped 1 and 0 eq and {clip newpath /clipped clipped 1 add def} {
+  style 1 and 0 gt not {closepath} if
+  style 1024 and 0 gt {2 setlinecap} if
+  style 2 and 0 gt {currentlinewidth 4 mul dup 2 array astore 0 setdash} if
+  style 4 and 0 gt {0.5 currentlinewidth 4 mul 2 array astore 0 setdash} if
+  gsave style 16 and 0 gt { style 224 and -5 bitshift style 256 and 0 gt {
+  7 exch sub 8 div dup 1 exch sub currentrgbcolor 3 array astore
+  {3 copy mul add 4 1 roll pop} forall pop pop setrgbcolor eofill}
+  {dup 7 lt {gar exch get ppaint} {pop eofill} ifelse} ifelse}
+  {style 256 and 0 gt {1 setgray eofill} if} ifelse grestore style 8 and 0 gt 
+  style 512 eq or {newpath} {stroke} ifelse grestore endclip} ifelse} def     
+
+/addtoy {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll add
+	4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtoy1 {1 exch addtoy pop} def
+/addtoy3 {3 exch addtoy pop} def
+/addtoy4 {4 exch addtoy pop} def
+/addtox {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll 3 -1 roll
+	add exch 4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtox1 {1 exch addtox pop} def
+/addtox3 {3 exch addtox pop} def
+/addtox4 {4 exch addtox pop} def
+/polygon { gsave /num exch def moveto num 1 sub {lineto} repeat setstyles } def
+/xcarc   { gsave newpath arc setstyles } def
+/elb { matrix currentmatrix 7 -1 roll 7 -1 roll translate 5 1 roll 4 -1 roll
+	3 index div 1 scale } def
+/ele { 0 4 1 roll 0 4 1 roll } bind def
+/ellipse { gsave elb newpath ele arc setmatrix setstyles } def
+/pellip  { elb ele arc setmatrix } def
+/nellip  { elb ele arcn setmatrix } def
+/spline  { gsave moveto curveto setstyles } def
+/polyc   { {lineto} repeat } bind def
+/beginpath { gsave moveto } bind def
+/endpath { setstyles } bind def
+/bop	 { 1 setlinecap 0 setlinejoin 6 setmiterlimit 0 0 0 scb cRedef
+	/clipped 0 def} def
+/psinsertion {/PSobj save def /showpage {} def /setpagedevice {pop} def bop
+	rotate translate dup scale} def
+/end_insert {PSobj restore} def
+/setpagemat {/pagemat matrix currentmatrix def} def
+/inchscale  {setpagemat 0.375 mul dup scale} def
+/cmscale    {setpagemat 0.35433071 mul dup scale} def
+
+%%EndResource
+%%EndProlog
+
+% XCircuit output starts here.
+
+%%BeginSetup
+
+/analog::diode {
+begingate
+248 1.000 -18 -16 0 16 18 -16 3 polygon
+1 1.000 0 -48 0 -16 2 polygon
+1 1.000 0 48 0 16 2 polygon
+1 1.000 -18 16 18 16 2 polygon
+1.000 0.000 0.000 scb
+(d.m) {/Times-Roman cf} 2 9 0 1.000 0 48 pinlabel
+(d.p) {/Times-Roman cf} 2 13 0 1.000 0 -48 pinlabel
+endgate
+} def
+
+/analog::resistor {
+begingate
+1 1.000 0 64 0 36 2 polygon
+1 1.000 0 -64 0 -36 2 polygon
+1 1.000 0 -36 14 -30 -14 -18 14 -6 -14 6 14 18 -14 30 0 36 8 polygon
+1.000 0.000 0.000 scb
+(r.1) {/Times-Roman cf} 2 9 0 1.000 0 64 pinlabel
+(r.2) {/Times-Roman cf} 2 13 0 1.000 0 -64 pinlabel
+0.180 0.545 0.341 scb
+(spice:R%i %pr.1 %pr.2 1.0K) {/Times-Roman cf} 2 0 0 1.000 -208 -160 infolabel
+(sim:r %pr.1 %pr.2) {/Times-Roman cf} 2 0 0 1.000 -208 -208 infolabel
+endgate
+} def
+
+
+%%EndSetup
+
+%%Page: full-wave 1
+%%PageOrientation: Portrait
+/pgsave save def bop
+1.0000 inchscale
+2.6000 setlinewidth 688 208 translate
+
+1.000 270 -80 64 analog::diode
+(SINE) {/Helvetica cf} 2 16 0 1.000 32 16 label
+(A1) {/Helvetica cf} 2 16 0 1.000 208 16 label
+(A2) {/Helvetica cf} 2 16 0 1.000 672 16 label
+(GND) {/Helvetica cf} 2 16 0 1.000 -368 16 label
+1.000 90 -208 48 analog::resistor
+0 1.000 16 64 16 0 128 0 128 64 4 polygon
+0 1.000 192 64 192 0 272 0 272 64 4 polygon
+1 1.000 128 32 192 32 2 polygon
+0 1.000 656 64 656 0 736 0 736 64 4 polygon
+0 1.000 -384 64 -384 0 -272 0 -272 64 4 polygon
+1.000 270 -80 16 analog::diode
+{/Helvetica cf} 1 16 0 1.000 320 64 label
+(IN) {/Helvetica cf} 2 16 0 1.000 448 16 label
+(OUT) {/Helvetica cf} 2 16 0 1.000 528 16 label
+1.000 90 368 48 analog::resistor
+1 1.000 160 32 160 -16 304 -16 304 48 4 polygon
+(51K) {/Helvetica cf} 2 16 0 1.000 336 0 label
+0 1.000 432 64 432 0 496 0 496 64 4 polygon
+0 1.000 528 64 528 0 624 0 624 64 4 polygon
+(1K) {/Helvetica cf} 2 16 0 1.000 -224 0 label
+1 1.000 624 32 656 32 2 polygon
+1 1.000 -32 64 -32 80 2 polygon
+1 1.000 -32 80 640 80 2 polygon
+1 1.000 640 80 640 32 2 polygon
+1 1.000 -32 16 -32 32 16 32 3 polygon
+1 1.000 -128 64 -144 64 -144 16 -128 16 4 polygon
+(IN1) {/Helvetica cf} 2 16 0 1.000 -480 16 label
+{/Helvetica cf} 1 16 0 1.000 -480 64 label
+0 1.000 -496 64 -496 0 -416 0 -416 64 4 polygon
+1 1.000 -448 64 -448 80 2 polygon
+1 1.000 -448 80 -144 80 -144 64 3 polygon
+pgsave restore showpage
+
+%%Trailer
+XCIRCsave restore
+%%EOF
diff --git a/doc/en/Docs-jr/schematics/half-wave.png b/doc/en/Docs-jr/schematics/half-wave.png
new file mode 100644
index 0000000..8b1138d
Binary files /dev/null and b/doc/en/Docs-jr/schematics/half-wave.png differ
diff --git a/doc/en/Docs-jr/schematics/half-wave.ps b/doc/en/Docs-jr/schematics/half-wave.ps
new file mode 100644
index 0000000..3068a44
--- /dev/null
+++ b/doc/en/Docs-jr/schematics/half-wave.ps
@@ -0,0 +1,226 @@
+%!PS-Adobe-3.0 EPSF-3.0
+%%Title: half-wave
+%%Creator: XCircuit v3.7 rev26
+%%CreationDate: Mon Jul 23 10:05:50 2012
+%%Pages: 1
+%%BoundingBox: 68 68 334 100
+%%DocumentNeededResources: font Helvetica Times-Roman 
+%%EndComments
+%%BeginProlog
+%
+%  PostScript prolog for output from xcircuit
+%  Version: 3.7
+%
+%  Electrical circuit (and otherwise general) drawing program
+%
+%  Written by Tim Edwards 8/5/93--11/1/10  (tim.edwards at multigig.com)
+%  The Johns Hopkins University (1993-2004)
+%  MultiGiG, Inc. (2004-present)
+%
+%%BeginResource: procset XCIRCproc 3.7 2
+%
+% supporting definitions --- these are the primary xcircuit types.
+
+/XCIRCsave save def
+/topmat matrix currentmatrix def
+
+/fontslant { /slant exch def [1 0 slant 1 0 0] 
+    exch findfont exch makefont dup length dict /ndict exch def
+    { 1 index /FID ne { ndict 3 1 roll put } { pop pop } ifelse } forall
+    ndict definefont pop} def
+/ul { dup type /stringtype eq showflag 1 eq and { gsave 
+   currentpoint topmat setmatrix 0 0 moveto 2 index stringwidth pop (_)
+   false charpath flattenpath pathbbox grestore exch pop 1 index
+   sub setlinewidth exch pop currentpoint 3 -1 roll add moveto 0
+   rlineto stroke moveto } if } def
+/ol { dup type /stringtype eq showflag 1 eq and { gsave gsave
+   currentpoint topmat setmatrix 2 index stringwidth pop 3 index
+   true charpath flattenpath pathbbox grestore exch pop
+   exch pop topmat setmatrix (_) true charpath pathbbox grestore
+   exch pop 1 index sub setlinewidth exch pop currentpoint
+   exch 4 1 roll exch sub add moveto pop 0 rlineto stroke
+   moveto } if } def
+/stW { gsave currentpoint newpath moveto true charpath flattenpath
+	pathbbox pop exch pop sub grestore } def
+/Ts {mark Tabs aload pop counttomark 1 add array astore /Tabs exch def Tabs
+	0 currentpoint pop put} def
+/Tbn {mark Tabs aload pop counttomark dup 2 add 1 roll cleartomark 1 sub} def
+/Tb { 0 1 Tbn {Tabs exch get dup currentpoint pop lt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/Tf { Tbn -1 0 {Tabs exch get dup currentpoint pop gt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/qS { (aa) stW (a a) stW sub 4 div 0 Kn } def
+/hS { qS qS } def
+/pspc 0 def
+/cf0 { scalefont setfont } bind def
+/Kn { dup kY add /kY exch def rmoveto } bind def
+/ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.33 mul neg Kn} def
+/Ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.67 mul Kn } def
+/ns { 0 kY neg Kn /kY 0 def /fscale 1.0 def xfont0 1.0 cf0 } def
+/CR { ns 0 /Bline Bline fscale0 neg add def Bline moveto } def
+/cf { dup type /realtype ne {1.0} if exch findfont exch kY 0 eq
+	{ 40 mul dup /fscale0 exch def cf0 /xfont0 currentfont def}
+	{fscale0 mul fscale mul cf0} ifelse } def
+/ctmk { counttomark dup 2 add -1 roll pop } bind def
+/label { gsave translate 0 0 moveto dup scale neg /rotval exch def
+	/just exch def just 384 and 0 gt {/mshow {pop} def} {/mshow {show}
+	def} ifelse just 16 and 0 gt {gsave rotval rotate 0 1 dtransform
+	gsave pagemat setmatrix idtransform exch grestore 1 0 dtransform
+	gsave pagemat setmatrix idtransform exch grestore dup abs 1e-9 lt
+	{pop mul 0 gt} {3 1 roll pop pop 0 lt} ifelse grestore {-1 /rotval
+	rotval neg def /just just dup 3 and 1 ne {3 xor} if def} {1} ifelse
+	exch -1e-9 lt {-1 /rotval rotval neg def /just just dup 12 and
+	4 ne {12 xor} if def} {1} ifelse scale } if /showflag 0 def
+	/fspc pspc def /Bline 0 def /Tabs 0 array def /fscale 1.0 def
+	/kY 0 def gsave dup 1 add copy 0 exch 1 0 dtransform exch atan rotate
+	{exch dup type /stringtype eq {true charpath flattenpath} {dup type
+	/arraytype eq {exec} {12 string cvs true charpath flattenpath} ifelse}
+	ifelse} repeat pop pathbbox grestore 3 -1 roll pop 3 1 roll just
+	1 and 0 gt {just 2 and 0 gt {exch pop neg fspc sub} {exch sub 0.5
+	mul neg} ifelse} {pop neg fspc add} ifelse exch Bline exch just 4
+	and 0 gt {just 8 and 0 gt {exch pop neg fspc sub} {add 0.5 mul neg}
+	ifelse} {pop neg fspc add} ifelse rotval rotate Kn currentpoint
+	translate /showflag 1 def /Bline 0 def /Tabs 0 array def /fscale
+	1.0 def /kY 0 def {dup type /stringtype eq {mshow} {dup type
+	/arraytype eq {exec} {12 string cvs mshow} ifelse} ifelse} repeat
+	grestore endclip} def
+/pinlabel { 4 index 32 and 0 ne hlevel 0 eq or { /pspc 10 def label
+	/pspc 0 def } { pop pop pop pop pop {pop} repeat } ifelse } def
+/pinglobal { pinlabel } def
+/infolabel { pinlabel } def
+/graphic { gsave 4 index cvx exec /DataSource get resetfile translate 0 0
+	moveto neg rotate dup scale cvx exec image grestore endclip} def
+
+/scb { setrgbcolor } bind def  /sce { defColor aload pop scb } bind def
+/cRedef {/defColor currentcolor 3 array astore def} def
+/begingate {dup type /dicttype ne {1 dict} if begin	% default params
+	dup type /dicttype ne {1 dict} if begin		% instanced params
+	/hlevel hlevel 1 add def /defColor currentcolor sce 3 array astore
+	def gsave sce translate 0 0 moveto neg rotate dup type /nametype
+	eq not { dup abs currentlinewidth exch div setlinewidth } { pop }
+	ifelse dup abs scale clipped 1 and 1 eq {/clipped clipped 1 add def}
+	if} bind def
+/endgate { /hlevel hlevel 1 sub def grestore defColor aload pop cRedef
+	scb end end endclip} bind def
+
+/hlevel 0 def
+/endclip {clipped 1 and 1 eq {grestore /clipped clipped 1 sub def} if} def
+/tmpa [1 0 0 1 0 0] def
+/gar {8 8 true tmpa {<c0 c0 00 00 0c 0c 00 00>} imagemask} bind
+{8 8 true tmpa {<30 70 60 02 03 07 06 20>} imagemask} bind
+{8 8 true tmpa {<0c 1e 1e 0c c0 e1 e1 c0>} imagemask} bind
+{8 8 true tmpa {<0f 0f 0f 0f f0 f0 f0 f0>} imagemask} bind
+{8 8 true tmpa {<3f f3 e1 e1 f3 3f 1e 1e>} imagemask} bind
+{8 8 true tmpa {<df cf 8f 9f fd fc f8 f9>} imagemask} bind
+{8 8 true tmpa {<ff 3f 3f ff ff f3 f3 ff>} imagemask} bind 7 array astore def
+/ppaint { gsave clip tmpa dup setmatrix pathbbox neg exch neg 4 2 roll
+  neg 4 -1 roll 2 copy gt {exch} if 8 div ceiling 8 mul 4 2 roll neg 2 copy
+  gt {exch} if 8 div ceiling 8 mul 3 -1 roll -8 5 -1 roll
+  { 3 index exch 5 exch put dup -8 3 index { 3 index
+  exch 4 exch put 3 index exec } for } for pop pop pop pop grestore } bind def
+/setstyles {
+  currentlinewidth mul setlinewidth /style exch def style 2048 and 0 gt
+  clipped 1 and 0 eq and {clip newpath /clipped clipped 1 add def} {
+  style 1 and 0 gt not {closepath} if
+  style 1024 and 0 gt {2 setlinecap} if
+  style 2 and 0 gt {currentlinewidth 4 mul dup 2 array astore 0 setdash} if
+  style 4 and 0 gt {0.5 currentlinewidth 4 mul 2 array astore 0 setdash} if
+  gsave style 16 and 0 gt { style 224 and -5 bitshift style 256 and 0 gt {
+  7 exch sub 8 div dup 1 exch sub currentrgbcolor 3 array astore
+  {3 copy mul add 4 1 roll pop} forall pop pop setrgbcolor eofill}
+  {dup 7 lt {gar exch get ppaint} {pop eofill} ifelse} ifelse}
+  {style 256 and 0 gt {1 setgray eofill} if} ifelse grestore style 8 and 0 gt 
+  style 512 eq or {newpath} {stroke} ifelse grestore endclip} ifelse} def     
+
+/addtoy {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll add
+	4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtoy1 {1 exch addtoy pop} def
+/addtoy3 {3 exch addtoy pop} def
+/addtoy4 {4 exch addtoy pop} def
+/addtox {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll 3 -1 roll
+	add exch 4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtox1 {1 exch addtox pop} def
+/addtox3 {3 exch addtox pop} def
+/addtox4 {4 exch addtox pop} def
+/polygon { gsave /num exch def moveto num 1 sub {lineto} repeat setstyles } def
+/xcarc   { gsave newpath arc setstyles } def
+/elb { matrix currentmatrix 7 -1 roll 7 -1 roll translate 5 1 roll 4 -1 roll
+	3 index div 1 scale } def
+/ele { 0 4 1 roll 0 4 1 roll } bind def
+/ellipse { gsave elb newpath ele arc setmatrix setstyles } def
+/pellip  { elb ele arc setmatrix } def
+/nellip  { elb ele arcn setmatrix } def
+/spline  { gsave moveto curveto setstyles } def
+/polyc   { {lineto} repeat } bind def
+/beginpath { gsave moveto } bind def
+/endpath { setstyles } bind def
+/bop	 { 1 setlinecap 0 setlinejoin 6 setmiterlimit 0 0 0 scb cRedef
+	/clipped 0 def} def
+/psinsertion {/PSobj save def /showpage {} def /setpagedevice {pop} def bop
+	rotate translate dup scale} def
+/end_insert {PSobj restore} def
+/setpagemat {/pagemat matrix currentmatrix def} def
+/inchscale  {setpagemat 0.375 mul dup scale} def
+/cmscale    {setpagemat 0.35433071 mul dup scale} def
+
+%%EndResource
+%%EndProlog
+
+% XCircuit output starts here.
+
+%%BeginSetup
+
+/analog::diode {
+begingate
+248 1.000 -18 -16 0 16 18 -16 3 polygon
+1 1.000 0 -48 0 -16 2 polygon
+1 1.000 0 48 0 16 2 polygon
+1 1.000 -18 16 18 16 2 polygon
+1.000 0.000 0.000 scb
+(d.m) {/Times-Roman cf} 2 9 0 1.000 0 48 pinlabel
+(d.p) {/Times-Roman cf} 2 13 0 1.000 0 -48 pinlabel
+endgate
+} def
+
+/analog::resistor {
+begingate
+1 1.000 0 64 0 36 2 polygon
+1 1.000 0 -64 0 -36 2 polygon
+1 1.000 0 -36 14 -30 -14 -18 14 -6 -14 6 14 18 -14 30 0 36 8 polygon
+1.000 0.000 0.000 scb
+(r.1) {/Times-Roman cf} 2 9 0 1.000 0 64 pinlabel
+(r.2) {/Times-Roman cf} 2 13 0 1.000 0 -64 pinlabel
+0.180 0.545 0.341 scb
+(spice:R%i %pr.1 %pr.2 1.0K) {/Times-Roman cf} 2 0 0 1.000 -208 -160 infolabel
+(sim:r %pr.1 %pr.2) {/Times-Roman cf} 2 0 0 1.000 -208 -208 infolabel
+endgate
+} def
+
+
+%%EndSetup
+
+%%Page: 1 1
+%%PageOrientation: Portrait
+/pgsave save def bop
+1.0000 inchscale
+2.6000 setlinewidth 608 192 translate
+
+1.000 270 -32 32 analog::diode
+(SINE) {/Helvetica cf} 2 16 0 1.000 32 16 label
+(A1) {/Helvetica cf} 2 16 0 1.000 208 16 label
+(A2) {/Helvetica cf} 2 16 0 1.000 -160 16 label
+(GND) {/Helvetica cf} 2 16 0 1.000 -400 16 label
+1.000 90 -240 32 analog::resistor
+0 1.000 16 64 16 0 128 0 128 64 4 polygon
+0 1.000 192 64 192 0 272 0 272 64 4 polygon
+1 1.000 128 32 192 32 2 polygon
+0 1.000 -176 64 -176 0 -96 0 -96 64 4 polygon
+1 1.000 -80 32 -96 32 2 polygon
+0 1.000 -416 64 -416 0 -304 0 -304 64 4 polygon
+pgsave restore showpage
+
+%%Trailer
+XCIRCsave restore
+%%EOF
diff --git a/doc/en/Docs-jr/schematics/induction.png b/doc/en/Docs-jr/schematics/induction.png
new file mode 100644
index 0000000..72a4212
Binary files /dev/null and b/doc/en/Docs-jr/schematics/induction.png differ
diff --git a/doc/en/Docs-jr/schematics/induction.ps b/doc/en/Docs-jr/schematics/induction.ps
new file mode 100644
index 0000000..dda621f
--- /dev/null
+++ b/doc/en/Docs-jr/schematics/induction.ps
@@ -0,0 +1,221 @@
+%!PS-Adobe-3.0 EPSF-3.0
+%%Title: induction
+%%Creator: XCircuit v3.7 rev26
+%%CreationDate: Sun Jul 15 11:33:30 2012
+%%Pages: 1
+%%BoundingBox: 68 68 604 136
+%%DocumentNeededResources: font Helvetica 
+%%EndComments
+%%BeginProlog
+%
+%  PostScript prolog for output from xcircuit
+%  Version: 3.7
+%
+%  Electrical circuit (and otherwise general) drawing program
+%
+%  Written by Tim Edwards 8/5/93--11/1/10  (tim.edwards at multigig.com)
+%  The Johns Hopkins University (1993-2004)
+%  MultiGiG, Inc. (2004-present)
+%
+%%BeginResource: procset XCIRCproc 3.7 2
+%
+% supporting definitions --- these are the primary xcircuit types.
+
+/XCIRCsave save def
+/topmat matrix currentmatrix def
+
+/fontslant { /slant exch def [1 0 slant 1 0 0] 
+    exch findfont exch makefont dup length dict /ndict exch def
+    { 1 index /FID ne { ndict 3 1 roll put } { pop pop } ifelse } forall
+    ndict definefont pop} def
+/ul { dup type /stringtype eq showflag 1 eq and { gsave 
+   currentpoint topmat setmatrix 0 0 moveto 2 index stringwidth pop (_)
+   false charpath flattenpath pathbbox grestore exch pop 1 index
+   sub setlinewidth exch pop currentpoint 3 -1 roll add moveto 0
+   rlineto stroke moveto } if } def
+/ol { dup type /stringtype eq showflag 1 eq and { gsave gsave
+   currentpoint topmat setmatrix 2 index stringwidth pop 3 index
+   true charpath flattenpath pathbbox grestore exch pop
+   exch pop topmat setmatrix (_) true charpath pathbbox grestore
+   exch pop 1 index sub setlinewidth exch pop currentpoint
+   exch 4 1 roll exch sub add moveto pop 0 rlineto stroke
+   moveto } if } def
+/stW { gsave currentpoint newpath moveto true charpath flattenpath
+	pathbbox pop exch pop sub grestore } def
+/Ts {mark Tabs aload pop counttomark 1 add array astore /Tabs exch def Tabs
+	0 currentpoint pop put} def
+/Tbn {mark Tabs aload pop counttomark dup 2 add 1 roll cleartomark 1 sub} def
+/Tb { 0 1 Tbn {Tabs exch get dup currentpoint pop lt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/Tf { Tbn -1 0 {Tabs exch get dup currentpoint pop gt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/qS { (aa) stW (a a) stW sub 4 div 0 Kn } def
+/hS { qS qS } def
+/pspc 0 def
+/cf0 { scalefont setfont } bind def
+/Kn { dup kY add /kY exch def rmoveto } bind def
+/ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.33 mul neg Kn} def
+/Ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.67 mul Kn } def
+/ns { 0 kY neg Kn /kY 0 def /fscale 1.0 def xfont0 1.0 cf0 } def
+/CR { ns 0 /Bline Bline fscale0 neg add def Bline moveto } def
+/cf { dup type /realtype ne {1.0} if exch findfont exch kY 0 eq
+	{ 40 mul dup /fscale0 exch def cf0 /xfont0 currentfont def}
+	{fscale0 mul fscale mul cf0} ifelse } def
+/ctmk { counttomark dup 2 add -1 roll pop } bind def
+/label { gsave translate 0 0 moveto dup scale neg /rotval exch def
+	/just exch def just 384 and 0 gt {/mshow {pop} def} {/mshow {show}
+	def} ifelse just 16 and 0 gt {gsave rotval rotate 0 1 dtransform
+	gsave pagemat setmatrix idtransform exch grestore 1 0 dtransform
+	gsave pagemat setmatrix idtransform exch grestore dup abs 1e-9 lt
+	{pop mul 0 gt} {3 1 roll pop pop 0 lt} ifelse grestore {-1 /rotval
+	rotval neg def /just just dup 3 and 1 ne {3 xor} if def} {1} ifelse
+	exch -1e-9 lt {-1 /rotval rotval neg def /just just dup 12 and
+	4 ne {12 xor} if def} {1} ifelse scale } if /showflag 0 def
+	/fspc pspc def /Bline 0 def /Tabs 0 array def /fscale 1.0 def
+	/kY 0 def gsave dup 1 add copy 0 exch 1 0 dtransform exch atan rotate
+	{exch dup type /stringtype eq {true charpath flattenpath} {dup type
+	/arraytype eq {exec} {12 string cvs true charpath flattenpath} ifelse}
+	ifelse} repeat pop pathbbox grestore 3 -1 roll pop 3 1 roll just
+	1 and 0 gt {just 2 and 0 gt {exch pop neg fspc sub} {exch sub 0.5
+	mul neg} ifelse} {pop neg fspc add} ifelse exch Bline exch just 4
+	and 0 gt {just 8 and 0 gt {exch pop neg fspc sub} {add 0.5 mul neg}
+	ifelse} {pop neg fspc add} ifelse rotval rotate Kn currentpoint
+	translate /showflag 1 def /Bline 0 def /Tabs 0 array def /fscale
+	1.0 def /kY 0 def {dup type /stringtype eq {mshow} {dup type
+	/arraytype eq {exec} {12 string cvs mshow} ifelse} ifelse} repeat
+	grestore endclip} def
+/pinlabel { 4 index 32 and 0 ne hlevel 0 eq or { /pspc 10 def label
+	/pspc 0 def } { pop pop pop pop pop {pop} repeat } ifelse } def
+/pinglobal { pinlabel } def
+/infolabel { pinlabel } def
+/graphic { gsave 4 index cvx exec /DataSource get resetfile translate 0 0
+	moveto neg rotate dup scale cvx exec image grestore endclip} def
+
+/scb { setrgbcolor } bind def  /sce { defColor aload pop scb } bind def
+/cRedef {/defColor currentcolor 3 array astore def} def
+/begingate {dup type /dicttype ne {1 dict} if begin	% default params
+	dup type /dicttype ne {1 dict} if begin		% instanced params
+	/hlevel hlevel 1 add def /defColor currentcolor sce 3 array astore
+	def gsave sce translate 0 0 moveto neg rotate dup type /nametype
+	eq not { dup abs currentlinewidth exch div setlinewidth } { pop }
+	ifelse dup abs scale clipped 1 and 1 eq {/clipped clipped 1 add def}
+	if} bind def
+/endgate { /hlevel hlevel 1 sub def grestore defColor aload pop cRedef
+	scb end end endclip} bind def
+
+/hlevel 0 def
+/endclip {clipped 1 and 1 eq {grestore /clipped clipped 1 sub def} if} def
+/tmpa [1 0 0 1 0 0] def
+/gar {8 8 true tmpa {<c0 c0 00 00 0c 0c 00 00>} imagemask} bind
+{8 8 true tmpa {<30 70 60 02 03 07 06 20>} imagemask} bind
+{8 8 true tmpa {<0c 1e 1e 0c c0 e1 e1 c0>} imagemask} bind
+{8 8 true tmpa {<0f 0f 0f 0f f0 f0 f0 f0>} imagemask} bind
+{8 8 true tmpa {<3f f3 e1 e1 f3 3f 1e 1e>} imagemask} bind
+{8 8 true tmpa {<df cf 8f 9f fd fc f8 f9>} imagemask} bind
+{8 8 true tmpa {<ff 3f 3f ff ff f3 f3 ff>} imagemask} bind 7 array astore def
+/ppaint { gsave clip tmpa dup setmatrix pathbbox neg exch neg 4 2 roll
+  neg 4 -1 roll 2 copy gt {exch} if 8 div ceiling 8 mul 4 2 roll neg 2 copy
+  gt {exch} if 8 div ceiling 8 mul 3 -1 roll -8 5 -1 roll
+  { 3 index exch 5 exch put dup -8 3 index { 3 index
+  exch 4 exch put 3 index exec } for } for pop pop pop pop grestore } bind def
+/setstyles {
+  currentlinewidth mul setlinewidth /style exch def style 2048 and 0 gt
+  clipped 1 and 0 eq and {clip newpath /clipped clipped 1 add def} {
+  style 1 and 0 gt not {closepath} if
+  style 1024 and 0 gt {2 setlinecap} if
+  style 2 and 0 gt {currentlinewidth 4 mul dup 2 array astore 0 setdash} if
+  style 4 and 0 gt {0.5 currentlinewidth 4 mul 2 array astore 0 setdash} if
+  gsave style 16 and 0 gt { style 224 and -5 bitshift style 256 and 0 gt {
+  7 exch sub 8 div dup 1 exch sub currentrgbcolor 3 array astore
+  {3 copy mul add 4 1 roll pop} forall pop pop setrgbcolor eofill}
+  {dup 7 lt {gar exch get ppaint} {pop eofill} ifelse} ifelse}
+  {style 256 and 0 gt {1 setgray eofill} if} ifelse grestore style 8 and 0 gt 
+  style 512 eq or {newpath} {stroke} ifelse grestore endclip} ifelse} def     
+
+/addtoy {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll add
+	4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtoy1 {1 exch addtoy pop} def
+/addtoy3 {3 exch addtoy pop} def
+/addtoy4 {4 exch addtoy pop} def
+/addtox {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll 3 -1 roll
+	add exch 4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtox1 {1 exch addtox pop} def
+/addtox3 {3 exch addtox pop} def
+/addtox4 {4 exch addtox pop} def
+/polygon { gsave /num exch def moveto num 1 sub {lineto} repeat setstyles } def
+/xcarc   { gsave newpath arc setstyles } def
+/elb { matrix currentmatrix 7 -1 roll 7 -1 roll translate 5 1 roll 4 -1 roll
+	3 index div 1 scale } def
+/ele { 0 4 1 roll 0 4 1 roll } bind def
+/ellipse { gsave elb newpath ele arc setmatrix setstyles } def
+/pellip  { elb ele arc setmatrix } def
+/nellip  { elb ele arcn setmatrix } def
+/spline  { gsave moveto curveto setstyles } def
+/polyc   { {lineto} repeat } bind def
+/beginpath { gsave moveto } bind def
+/endpath { setstyles } bind def
+/bop	 { 1 setlinecap 0 setlinejoin 6 setmiterlimit 0 0 0 scb cRedef
+	/clipped 0 def} def
+/psinsertion {/PSobj save def /showpage {} def /setpagedevice {pop} def bop
+	rotate translate dup scale} def
+/end_insert {PSobj restore} def
+/setpagemat {/pagemat matrix currentmatrix def} def
+/inchscale  {setpagemat 0.375 mul dup scale} def
+/cmscale    {setpagemat 0.35433071 mul dup scale} def
+
+%%EndResource
+%%EndProlog
+
+% XCircuit output starts here.
+
+%%BeginSetup
+
+/generic::arrowhead {
+% nonetwork
+begingate
+8 -28 beginpath
+3 -18 3 -15 0 0 curveto
+-3 -15 -3 -18 -8 -28 curveto
+-2 -26 2 -26 8 -28 curveto
+249 
+1.000 endpath
+endgate
+} def
+
+/generic::arrow {
+% nonetwork
+begingate
+1 0.800 0 -40 0 20 2 polygon
+1.000 0 0 40 generic::arrowhead
+endgate
+} def
+
+
+%%EndSetup
+
+%%Page: 1 1
+%%PageOrientation: Portrait
+/pgsave save def bop
+1.0000 inchscale
+2.6000 setlinewidth 448 192 translate
+
+(A1) {/Helvetica cf} 2 16 0 1.000 -240 16 label
+(GND) {/Helvetica cf} 2 16 0 1.000 -96 16 label
+0 1.000 -112 64 -112 0 0 0 0 64 4 polygon
+0 1.000 -256 64 -256 0 -176 0 -176 64 4 polygon
+0 1.000 128 96 128 80 208 80 208 96 4 polygon
+0 1.000 128 16 128 0 208 0 208 16 4 polygon
+0 1.000 288 64 288 32 368 32 368 64 4 polygon
+{/Helvetica cf} 1 16 0 1.000 1152 160 label
+1.000 270 224 48 generic::arrow
+(Magnet) {/Helvetica cf} 2 16 0 1.000 384 32 label
+(COIL) {/Helvetica cf} 2 16 0 1.000 48 32 label
+1 1.000 128 96 -208 96 -208 64 3 polygon
+1 1.000 128 0 0 0 2 polygon
+pgsave restore showpage
+
+%%Trailer
+XCIRCsave restore
+%%EOF
diff --git a/doc/en/Docs-jr/schematics/ldr.png b/doc/en/Docs-jr/schematics/ldr.png
new file mode 100644
index 0000000..cd7b58b
Binary files /dev/null and b/doc/en/Docs-jr/schematics/ldr.png differ
diff --git a/doc/en/Docs-jr/schematics/ldr.ps b/doc/en/Docs-jr/schematics/ldr.ps
new file mode 100644
index 0000000..0856c79
--- /dev/null
+++ b/doc/en/Docs-jr/schematics/ldr.ps
@@ -0,0 +1,233 @@
+%!PS-Adobe-3.0 EPSF-3.0
+%%Title: ldr.ps
+%%Creator: XCircuit v3.7 rev26
+%%CreationDate: Mon Jul 23 17:09:28 2012
+%%Pages: 1
+%%BoundingBox: 68 68 448 106
+%%DocumentNeededResources: font Helvetica Times-Roman 
+%%EndComments
+%%BeginProlog
+%
+%  PostScript prolog for output from xcircuit
+%  Version: 3.7
+%
+%  Electrical circuit (and otherwise general) drawing program
+%
+%  Written by Tim Edwards 8/5/93--11/1/10  (tim.edwards at multigig.com)
+%  The Johns Hopkins University (1993-2004)
+%  MultiGiG, Inc. (2004-present)
+%
+%%BeginResource: procset XCIRCproc 3.7 2
+%
+% supporting definitions --- these are the primary xcircuit types.
+
+/XCIRCsave save def
+/topmat matrix currentmatrix def
+
+/fontslant { /slant exch def [1 0 slant 1 0 0] 
+    exch findfont exch makefont dup length dict /ndict exch def
+    { 1 index /FID ne { ndict 3 1 roll put } { pop pop } ifelse } forall
+    ndict definefont pop} def
+/ul { dup type /stringtype eq showflag 1 eq and { gsave 
+   currentpoint topmat setmatrix 0 0 moveto 2 index stringwidth pop (_)
+   false charpath flattenpath pathbbox grestore exch pop 1 index
+   sub setlinewidth exch pop currentpoint 3 -1 roll add moveto 0
+   rlineto stroke moveto } if } def
+/ol { dup type /stringtype eq showflag 1 eq and { gsave gsave
+   currentpoint topmat setmatrix 2 index stringwidth pop 3 index
+   true charpath flattenpath pathbbox grestore exch pop
+   exch pop topmat setmatrix (_) true charpath pathbbox grestore
+   exch pop 1 index sub setlinewidth exch pop currentpoint
+   exch 4 1 roll exch sub add moveto pop 0 rlineto stroke
+   moveto } if } def
+/stW { gsave currentpoint newpath moveto true charpath flattenpath
+	pathbbox pop exch pop sub grestore } def
+/Ts {mark Tabs aload pop counttomark 1 add array astore /Tabs exch def Tabs
+	0 currentpoint pop put} def
+/Tbn {mark Tabs aload pop counttomark dup 2 add 1 roll cleartomark 1 sub} def
+/Tb { 0 1 Tbn {Tabs exch get dup currentpoint pop lt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/Tf { Tbn -1 0 {Tabs exch get dup currentpoint pop gt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/qS { (aa) stW (a a) stW sub 4 div 0 Kn } def
+/hS { qS qS } def
+/pspc 0 def
+/cf0 { scalefont setfont } bind def
+/Kn { dup kY add /kY exch def rmoveto } bind def
+/ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.33 mul neg Kn} def
+/Ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.67 mul Kn } def
+/ns { 0 kY neg Kn /kY 0 def /fscale 1.0 def xfont0 1.0 cf0 } def
+/CR { ns 0 /Bline Bline fscale0 neg add def Bline moveto } def
+/cf { dup type /realtype ne {1.0} if exch findfont exch kY 0 eq
+	{ 40 mul dup /fscale0 exch def cf0 /xfont0 currentfont def}
+	{fscale0 mul fscale mul cf0} ifelse } def
+/ctmk { counttomark dup 2 add -1 roll pop } bind def
+/label { gsave translate 0 0 moveto dup scale neg /rotval exch def
+	/just exch def just 384 and 0 gt {/mshow {pop} def} {/mshow {show}
+	def} ifelse just 16 and 0 gt {gsave rotval rotate 0 1 dtransform
+	gsave pagemat setmatrix idtransform exch grestore 1 0 dtransform
+	gsave pagemat setmatrix idtransform exch grestore dup abs 1e-9 lt
+	{pop mul 0 gt} {3 1 roll pop pop 0 lt} ifelse grestore {-1 /rotval
+	rotval neg def /just just dup 3 and 1 ne {3 xor} if def} {1} ifelse
+	exch -1e-9 lt {-1 /rotval rotval neg def /just just dup 12 and
+	4 ne {12 xor} if def} {1} ifelse scale } if /showflag 0 def
+	/fspc pspc def /Bline 0 def /Tabs 0 array def /fscale 1.0 def
+	/kY 0 def gsave dup 1 add copy 0 exch 1 0 dtransform exch atan rotate
+	{exch dup type /stringtype eq {true charpath flattenpath} {dup type
+	/arraytype eq {exec} {12 string cvs true charpath flattenpath} ifelse}
+	ifelse} repeat pop pathbbox grestore 3 -1 roll pop 3 1 roll just
+	1 and 0 gt {just 2 and 0 gt {exch pop neg fspc sub} {exch sub 0.5
+	mul neg} ifelse} {pop neg fspc add} ifelse exch Bline exch just 4
+	and 0 gt {just 8 and 0 gt {exch pop neg fspc sub} {add 0.5 mul neg}
+	ifelse} {pop neg fspc add} ifelse rotval rotate Kn currentpoint
+	translate /showflag 1 def /Bline 0 def /Tabs 0 array def /fscale
+	1.0 def /kY 0 def {dup type /stringtype eq {mshow} {dup type
+	/arraytype eq {exec} {12 string cvs mshow} ifelse} ifelse} repeat
+	grestore endclip} def
+/pinlabel { 4 index 32 and 0 ne hlevel 0 eq or { /pspc 10 def label
+	/pspc 0 def } { pop pop pop pop pop {pop} repeat } ifelse } def
+/pinglobal { pinlabel } def
+/infolabel { pinlabel } def
+/graphic { gsave 4 index cvx exec /DataSource get resetfile translate 0 0
+	moveto neg rotate dup scale cvx exec image grestore endclip} def
+
+/scb { setrgbcolor } bind def  /sce { defColor aload pop scb } bind def
+/cRedef {/defColor currentcolor 3 array astore def} def
+/begingate {dup type /dicttype ne {1 dict} if begin	% default params
+	dup type /dicttype ne {1 dict} if begin		% instanced params
+	/hlevel hlevel 1 add def /defColor currentcolor sce 3 array astore
+	def gsave sce translate 0 0 moveto neg rotate dup type /nametype
+	eq not { dup abs currentlinewidth exch div setlinewidth } { pop }
+	ifelse dup abs scale clipped 1 and 1 eq {/clipped clipped 1 add def}
+	if} bind def
+/endgate { /hlevel hlevel 1 sub def grestore defColor aload pop cRedef
+	scb end end endclip} bind def
+
+/hlevel 0 def
+/endclip {clipped 1 and 1 eq {grestore /clipped clipped 1 sub def} if} def
+/tmpa [1 0 0 1 0 0] def
+/gar {8 8 true tmpa {<c0 c0 00 00 0c 0c 00 00>} imagemask} bind
+{8 8 true tmpa {<30 70 60 02 03 07 06 20>} imagemask} bind
+{8 8 true tmpa {<0c 1e 1e 0c c0 e1 e1 c0>} imagemask} bind
+{8 8 true tmpa {<0f 0f 0f 0f f0 f0 f0 f0>} imagemask} bind
+{8 8 true tmpa {<3f f3 e1 e1 f3 3f 1e 1e>} imagemask} bind
+{8 8 true tmpa {<df cf 8f 9f fd fc f8 f9>} imagemask} bind
+{8 8 true tmpa {<ff 3f 3f ff ff f3 f3 ff>} imagemask} bind 7 array astore def
+/ppaint { gsave clip tmpa dup setmatrix pathbbox neg exch neg 4 2 roll
+  neg 4 -1 roll 2 copy gt {exch} if 8 div ceiling 8 mul 4 2 roll neg 2 copy
+  gt {exch} if 8 div ceiling 8 mul 3 -1 roll -8 5 -1 roll
+  { 3 index exch 5 exch put dup -8 3 index { 3 index
+  exch 4 exch put 3 index exec } for } for pop pop pop pop grestore } bind def
+/setstyles {
+  currentlinewidth mul setlinewidth /style exch def style 2048 and 0 gt
+  clipped 1 and 0 eq and {clip newpath /clipped clipped 1 add def} {
+  style 1 and 0 gt not {closepath} if
+  style 1024 and 0 gt {2 setlinecap} if
+  style 2 and 0 gt {currentlinewidth 4 mul dup 2 array astore 0 setdash} if
+  style 4 and 0 gt {0.5 currentlinewidth 4 mul 2 array astore 0 setdash} if
+  gsave style 16 and 0 gt { style 224 and -5 bitshift style 256 and 0 gt {
+  7 exch sub 8 div dup 1 exch sub currentrgbcolor 3 array astore
+  {3 copy mul add 4 1 roll pop} forall pop pop setrgbcolor eofill}
+  {dup 7 lt {gar exch get ppaint} {pop eofill} ifelse} ifelse}
+  {style 256 and 0 gt {1 setgray eofill} if} ifelse grestore style 8 and 0 gt 
+  style 512 eq or {newpath} {stroke} ifelse grestore endclip} ifelse} def     
+
+/addtoy {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll add
+	4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtoy1 {1 exch addtoy pop} def
+/addtoy3 {3 exch addtoy pop} def
+/addtoy4 {4 exch addtoy pop} def
+/addtox {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll 3 -1 roll
+	add exch 4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtox1 {1 exch addtox pop} def
+/addtox3 {3 exch addtox pop} def
+/addtox4 {4 exch addtox pop} def
+/polygon { gsave /num exch def moveto num 1 sub {lineto} repeat setstyles } def
+/xcarc   { gsave newpath arc setstyles } def
+/elb { matrix currentmatrix 7 -1 roll 7 -1 roll translate 5 1 roll 4 -1 roll
+	3 index div 1 scale } def
+/ele { 0 4 1 roll 0 4 1 roll } bind def
+/ellipse { gsave elb newpath ele arc setmatrix setstyles } def
+/pellip  { elb ele arc setmatrix } def
+/nellip  { elb ele arcn setmatrix } def
+/spline  { gsave moveto curveto setstyles } def
+/polyc   { {lineto} repeat } bind def
+/beginpath { gsave moveto } bind def
+/endpath { setstyles } bind def
+/bop	 { 1 setlinecap 0 setlinejoin 6 setmiterlimit 0 0 0 scb cRedef
+	/clipped 0 def} def
+/psinsertion {/PSobj save def /showpage {} def /setpagedevice {pop} def bop
+	rotate translate dup scale} def
+/end_insert {PSobj restore} def
+/setpagemat {/pagemat matrix currentmatrix def} def
+/inchscale  {setpagemat 0.375 mul dup scale} def
+/cmscale    {setpagemat 0.35433071 mul dup scale} def
+
+%%EndResource
+%%EndProlog
+
+% XCircuit output starts here.
+
+%%BeginSetup
+
+/analog::resistor {
+begingate
+1 1.000 0 64 0 36 2 polygon
+1 1.000 0 -64 0 -36 2 polygon
+1 1.000 0 -36 14 -30 -14 -18 14 -6 -14 6 14 18 -14 30 0 36 8 polygon
+1.000 0.000 0.000 scb
+(r.1) {/Times-Roman cf} 2 9 0 1.000 0 64 pinlabel
+(r.2) {/Times-Roman cf} 2 13 0 1.000 0 -64 pinlabel
+0.180 0.545 0.341 scb
+(spice:R%i %pr.1 %pr.2 1.0K) {/Times-Roman cf} 2 0 0 1.000 -208 -160 infolabel
+(sim:r %pr.1 %pr.2) {/Times-Roman cf} 2 0 0 1.000 -208 -208 infolabel
+endgate
+} def
+
+/analog::diode {
+begingate
+248 1.000 -18 -16 0 16 18 -16 3 polygon
+1 1.000 0 -48 0 -16 2 polygon
+1 1.000 0 48 0 16 2 polygon
+1 1.000 -18 16 18 16 2 polygon
+1.000 0.000 0.000 scb
+(d.m) {/Times-Roman cf} 2 9 0 1.000 0 48 pinlabel
+(d.p) {/Times-Roman cf} 2 13 0 1.000 0 -48 pinlabel
+endgate
+} def
+
+
+%%EndSetup
+
+%%Page: ldr 1
+%%PageOrientation: Portrait
+/pgsave save def bop
+1.0000 inchscale
+2.6000 setlinewidth 512 208 translate
+
+(LDR) {/Helvetica cf} 2 16 0 1.000 -160 0 label
+(PVS) {/Helvetica cf} 2 16 0 1.000 -304 0 label
+1.000 270 112 32 analog::resistor
+(GND) {/Helvetica cf} 2 16 0 1.000 192 16 label
+(IN1) {/Helvetica cf} 2 16 0 1.000 -16 0 label
+0 1.000 -320 48 -320 -16 -208 -16 -208 48 4 polygon
+0 1.000 -176 48 -176 -16 -80 -16 -80 48 4 polygon
+0 1.000 -32 48 -32 -16 48 -16 48 48 4 polygon
+0 1.000 176 64 176 0 288 0 288 64 4 polygon
+1 1.000 -208 16 -176 16 2 polygon
+1 1.000 -80 16 -32 16 2 polygon
+(10K) {/Helvetica cf} 2 16 0 1.000 80 -16 label
+(SQR1) {/Helvetica cf} 2 16 0 1.000 320 16 label
+(GND) {/Helvetica cf} 2 16 0 1.000 576 16 label
+1.000 90 480 48 analog::diode
+0 1.000 320 64 320 0 432 0 432 64 4 polygon
+(LED) {/Helvetica cf} 2 16 0 1.000 464 0 label
+0 1.000 560 64 560 0 672 0 672 64 4 polygon
+1 1.000 528 48 560 48 2 polygon
+pgsave restore showpage
+
+%%Trailer
+XCIRCsave restore
+%%EOF
diff --git a/doc/en/Docs-jr/schematics/lemon-cell.png b/doc/en/Docs-jr/schematics/lemon-cell.png
new file mode 100644
index 0000000..c09a1fa
Binary files /dev/null and b/doc/en/Docs-jr/schematics/lemon-cell.png differ
diff --git a/doc/en/Docs-jr/schematics/lemon-cell.ps b/doc/en/Docs-jr/schematics/lemon-cell.ps
new file mode 100644
index 0000000..059fc47
--- /dev/null
+++ b/doc/en/Docs-jr/schematics/lemon-cell.ps
@@ -0,0 +1,204 @@
+%!PS-Adobe-3.0 EPSF-3.0
+%%Title: lemon-cell
+%%Creator: XCircuit v3.6 rev135
+%%CreationDate: Fri Jul  6 16:44:12 2012
+%%Pages: 1
+%%BoundingBox: 68 68 358 173
+%%DocumentNeededResources: font Helvetica Times-Roman 
+%%EndComments
+%%BeginProlog
+%
+%  PostScript prolog for output from xcircuit
+%  Version: 3.3
+%
+%  Electrical circuit (and otherwise general) drawing program
+%
+%  Written by Tim Edwards 8/5/93--7/13/05  (tim.edwards at multigig.com)
+%  The Johns Hopkins University (1993-2004)
+%  MultiGiG, Inc. (2004-present)
+%
+%%BeginResource: procset XCIRCproc 3.3 0
+%
+% supporting definitions --- these are the primary xcircuit types.
+
+/XCIRCsave save def
+/topmat matrix currentmatrix def
+
+/fontslant { /slant exch def [1 0 slant 1 0 0] 
+    exch findfont exch makefont dup length dict /ndict exch def
+    { 1 index /FID ne { ndict 3 1 roll put } { pop pop } ifelse } forall
+    ndict definefont pop} def
+/ul { dup type /stringtype eq showflag 1 eq and { gsave 
+   currentpoint topmat setmatrix 0 0 moveto 2 index stringwidth pop (_)
+   false charpath flattenpath pathbbox grestore exch pop 1 index
+   sub setlinewidth exch pop currentpoint 3 -1 roll add moveto 0
+   rlineto stroke moveto } if } def
+/ol { dup type /stringtype eq showflag 1 eq and { gsave gsave
+   currentpoint topmat setmatrix 2 index stringwidth pop 3 index
+   true charpath flattenpath pathbbox grestore exch pop
+   exch pop topmat setmatrix (_) true charpath pathbbox grestore
+   exch pop 1 index sub setlinewidth exch pop currentpoint
+   exch 4 1 roll exch sub add moveto pop 0 rlineto stroke
+   moveto } if } def
+/stW { gsave currentpoint newpath moveto true charpath flattenpath
+	pathbbox pop exch pop sub grestore } def
+/Ts {mark Tabs aload pop counttomark 1 add array astore /Tabs exch def Tabs
+	0 currentpoint pop put} def
+/Tbn {mark Tabs aload pop counttomark dup 2 add 1 roll cleartomark 1 sub} def
+/Tb { 0 1 Tbn {Tabs exch get dup currentpoint pop lt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/Tf { Tbn -1 0 {Tabs exch get dup currentpoint pop gt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/qS { (aa) stW (a a) stW sub 4 div 0 Kn } def
+/hS { qS qS } def
+/pspc 0 def
+/cf0 { scalefont setfont } bind def
+/Kn { dup kY add /kY exch def rmoveto } bind def
+/ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.33 mul neg Kn} def
+/Ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.67 mul Kn } def
+/ns { 0 kY neg Kn /kY 0 def /fscale 1.0 def xfont0 1.0 cf0 } def
+/CR { ns 0 /Bline Bline fscale0 neg add def Bline moveto } def
+/cf { dup type /realtype ne {1.0} if exch findfont exch kY 0 eq
+	{ 40 mul dup /fscale0 exch def cf0 /xfont0 currentfont def}
+	{fscale0 mul fscale mul cf0} ifelse } def
+/ctmk { counttomark dup 2 add -1 roll pop } bind def
+/label { gsave translate 0 0 moveto dup scale neg /rotval exch def
+	/just exch def just 384 and 0 gt {/mshow {pop} def} {/mshow {show}
+	def} ifelse just 16 and 0 gt {gsave rotval rotate 0 1 dtransform
+	gsave pagemat setmatrix idtransform exch grestore 1 0 dtransform
+	gsave pagemat setmatrix idtransform exch grestore dup abs 1e-9 lt
+	{pop mul 0 gt} {3 1 roll pop pop 0 lt} ifelse grestore {-1 /rotval
+	rotval neg def /just just dup 3 and 1 ne {3 xor} if def} {1} ifelse
+	exch -1e-9 lt {-1 /rotval rotval neg def /just just dup 12 and
+	4 ne {12 xor} if def} {1} ifelse scale } if /showflag 0 def
+	/fspc pspc def /Bline 0 def /Tabs 0 array def /fscale 1.0 def
+	/kY 0 def gsave dup 1 add copy 0 exch 1 0 dtransform exch atan rotate
+	{exch dup type /stringtype eq {true charpath flattenpath} {dup type
+	/arraytype eq {exec} {12 string cvs true charpath flattenpath} ifelse}
+	ifelse} repeat pop pathbbox grestore 3 -1 roll pop 3 1 roll just
+	1 and 0 gt {just 2 and 0 gt {exch pop neg fspc sub} {exch sub 0.5
+	mul neg} ifelse} {pop neg fspc add} ifelse exch Bline exch just 4
+	and 0 gt {just 8 and 0 gt {exch pop neg fspc sub} {add 0.5 mul neg}
+	ifelse} {pop neg fspc add} ifelse rotval rotate Kn currentpoint
+	translate /showflag 1 def /Bline 0 def /Tabs 0 array def /fscale
+	1.0 def /kY 0 def {dup type /stringtype eq {mshow} {dup type
+	/arraytype eq {exec} {12 string cvs mshow} ifelse} ifelse} repeat
+	grestore } def
+/pinlabel { 4 index 32 and 0 ne hlevel 0 eq or { /pspc 10 def label
+	/pspc 0 def } { pop pop pop pop pop {pop} repeat } ifelse } def
+/pinglobal { pinlabel } def
+/infolabel { pinlabel } def
+/graphic { gsave 4 index cvx exec /DataSource get resetfile translate
+	0 0 moveto neg rotate dup scale cvx exec image grestore } def
+
+/scb { setrgbcolor } bind def  /sce { defColor aload pop scb } bind def
+/cRedef {/defColor currentcolor 3 array astore def} def
+/begingate {dup type /dicttype ne {1 dict} if begin	% default params
+	dup type /dicttype ne {1 dict} if begin		% instanced params
+	/hlevel hlevel 1 add def /defColor currentcolor sce 3 array
+	astore def gsave sce translate 0 0 moveto neg rotate dup abs scale
+	} bind def
+/endgate { /hlevel hlevel 1 sub def grestore defColor aload pop cRedef
+	scb end end} bind def
+
+/hlevel 0 def
+/tmpa [1 0 0 1 0 0] def
+/gar {8 8 true tmpa {<c0 c0 00 00 0c 0c 00 00>} imagemask} bind
+{8 8 true tmpa {<30 70 60 02 03 07 06 20>} imagemask} bind
+{8 8 true tmpa {<0c 1e 1e 0c c0 e1 e1 c0>} imagemask} bind
+{8 8 true tmpa {<0f 0f 0f 0f f0 f0 f0 f0>} imagemask} bind
+{8 8 true tmpa {<3f f3 e1 e1 f3 3f 1e 1e>} imagemask} bind
+{8 8 true tmpa {<df cf 8f 9f fd fc f8 f9>} imagemask} bind
+{8 8 true tmpa {<ff 3f 3f ff ff f3 f3 ff>} imagemask} bind 7 array astore def
+/ppaint { gsave clip tmpa dup setmatrix pathbbox neg exch neg 4 2 roll
+  neg 4 -1 roll 2 copy gt {exch} if 8 div ceiling 8 mul 4 2 roll neg 2 copy
+  gt {exch} if 8 div ceiling 8 mul 3 -1 roll -8 5 -1 roll
+  { 3 index exch 5 exch put dup -8 3 index { 3 index
+  exch 4 exch put 3 index exec } for } for pop pop pop pop grestore } bind def
+/setstyles {
+  currentlinewidth mul setlinewidth /style exch def
+  style 1 and 0 gt not {closepath} if
+  style 1024 and 0 gt {2 setlinecap} if
+  style 2 and 0 gt {currentlinewidth 4 mul dup 2 array astore 0 setdash} if
+  style 4 and 0 gt {0.5 currentlinewidth 4 mul 2 array astore 0 setdash} if
+  gsave style 16 and 0 gt { style 224 and -5 bitshift style 256 and 0 gt {
+  7 exch sub 8 div dup 1 exch sub currentrgbcolor 3 array astore
+  {3 copy mul add 4 1 roll pop} forall pop pop setrgbcolor eofill}
+  {dup 7 lt {gar exch get ppaint} {pop eofill} ifelse} ifelse}
+  {style 256 and 0 gt {1 setgray eofill} if} ifelse grestore style 8 and 0 gt 
+  style 512 eq or {newpath} {stroke} ifelse grestore} def     
+
+/polygon { gsave /num exch def moveto num 1 sub {lineto} repeat setstyles } def
+/xcarc   { gsave newpath arc setstyles } def
+/elb { matrix currentmatrix 7 -1 roll 7 -1 roll translate 5 1 roll 4 -1 roll
+	3 index div 1 scale } def
+/ele { 0 4 1 roll 0 4 1 roll } bind def
+/ellipse { gsave elb newpath ele arc setmatrix setstyles } def
+/pellip  { elb ele arc setmatrix } def
+/nellip  { elb ele arcn setmatrix } def
+/spline  { gsave moveto curveto setstyles } def
+/polyc   { {lineto} repeat } bind def
+/beginpath { gsave moveto } bind def
+/endpath { setstyles } bind def
+/bop	 { 1 setlinecap 0 setlinejoin 6 setmiterlimit 0 0 0 scb cRedef } def
+/psinsertion {/PSobj save def /showpage {} def /setpagedevice {pop} def bop
+	rotate translate dup scale} def
+/end_insert {PSobj restore} def
+/setpagemat {/pagemat matrix currentmatrix def} def
+/inchscale  {setpagemat 0.375 mul dup scale} def
+/cmscale    {setpagemat 0.35433071 mul dup scale} def
+
+%%EndResource
+%%EndProlog
+
+% XCircuit output starts here.
+
+%%BeginSetup
+
+/analog::resistor {
+begingate
+1 1.000 0 64 0 36 2 polygon
+1 1.000 0 -64 0 -36 2 polygon
+1 1.000 0 -36 14 -30 -14 -18 14 -6 -14 6 14 18 -14 30 0 36 8 polygon
+1.000 0.000 0.000 scb
+(r.1) {/Times-Roman cf} 2 9 0 1.000 0 64 pinlabel
+(r.2) {/Times-Roman cf} 2 13 0 1.000 0 -64 pinlabel
+0.180 0.545 0.341 scb
+(spice:R%i %pr.1 %pr.2 1.0K) {/Times-Roman cf} 2 0 0 1.000 -208 -160 infolabel
+(sim:r %pr.1 %pr.2) {/Times-Roman cf} 2 0 0 1.000 -208 -208 infolabel
+endgate
+} def
+
+
+%%EndSetup
+
+%%Page: 1 1
+%%PageOrientation: Portrait
+/pgsave save def bop
+1.0000 inchscale
+2.6000 setlinewidth 672 -70 translate
+
+1 1.000 -240 352 90 0.000 360.000 xcarc
+0 1.000 -288 480 -288 384 -272 384 -272 480 4 polygon
+0 1.000 -224 480 -224 384 -208 384 -208 480 4 polygon
+0 1.000 -480 432 -480 368 -368 368 -368 432 4 polygon
+(GND) {/Helvetica cf} 2 16 0 1.000 -464 384 label
+(A1) {/Helvetica cf} 2 16 0 1.000 -80 384 label
+0 1.000 -96 416 -96 368 -16 368 -16 416 4 polygon
+1 1.000 -416 432 -416 448 -288 448 3 polygon
+1 1.000 -208 448 -96 448 -64 448 -64 416 4 polygon
+(Zn    Cu) {/Helvetica cf} 2 16 0 1.000 -320 496 label
+(LEMON) {/Helvetica cf} 2 16 0 1.000 -304 320 label
+1.000 90 96 448 analog::resistor
+1 1.000 -64 448 32 448 2 polygon
+0 1.000 176 464 176 416 272 416 272 464 4 polygon
+1 1.000 160 448 176 448 2 polygon
+(1K) {/Helvetica cf} 2 16 0 1.000 80 400 label
+(GND) {/Helvetica cf} 2 16 0 1.000 176 432 label
+pgsave restore showpage
+
+%%Trailer
+XCIRCsave restore
+%%EOF
diff --git a/doc/en/Docs-jr/schematics/light-barrier.png b/doc/en/Docs-jr/schematics/light-barrier.png
new file mode 100644
index 0000000..ac8ad32
Binary files /dev/null and b/doc/en/Docs-jr/schematics/light-barrier.png differ
diff --git a/doc/en/Docs-jr/schematics/light-barrier.ps b/doc/en/Docs-jr/schematics/light-barrier.ps
new file mode 100644
index 0000000..fdc132a
--- /dev/null
+++ b/doc/en/Docs-jr/schematics/light-barrier.ps
@@ -0,0 +1,247 @@
+%!PS-Adobe-3.0 EPSF-3.0
+%%Title: rodpend
+%%Creator: XCircuit v3.7 rev26
+%%CreationDate: Thu Jul 26 05:59:46 2012
+%%Pages: 1
+%%BoundingBox: 68 68 232 148
+%%DocumentNeededResources: font Helvetica Times-Roman 
+%%EndComments
+%%BeginProlog
+%
+%  PostScript prolog for output from xcircuit
+%  Version: 3.7
+%
+%  Electrical circuit (and otherwise general) drawing program
+%
+%  Written by Tim Edwards 8/5/93--11/1/10  (tim.edwards at multigig.com)
+%  The Johns Hopkins University (1993-2004)
+%  MultiGiG, Inc. (2004-present)
+%
+%%BeginResource: procset XCIRCproc 3.7 2
+%
+% supporting definitions --- these are the primary xcircuit types.
+
+/XCIRCsave save def
+/topmat matrix currentmatrix def
+
+/fontslant { /slant exch def [1 0 slant 1 0 0] 
+    exch findfont exch makefont dup length dict /ndict exch def
+    { 1 index /FID ne { ndict 3 1 roll put } { pop pop } ifelse } forall
+    ndict definefont pop} def
+/ul { dup type /stringtype eq showflag 1 eq and { gsave 
+   currentpoint topmat setmatrix 0 0 moveto 2 index stringwidth pop (_)
+   false charpath flattenpath pathbbox grestore exch pop 1 index
+   sub setlinewidth exch pop currentpoint 3 -1 roll add moveto 0
+   rlineto stroke moveto } if } def
+/ol { dup type /stringtype eq showflag 1 eq and { gsave gsave
+   currentpoint topmat setmatrix 2 index stringwidth pop 3 index
+   true charpath flattenpath pathbbox grestore exch pop
+   exch pop topmat setmatrix (_) true charpath pathbbox grestore
+   exch pop 1 index sub setlinewidth exch pop currentpoint
+   exch 4 1 roll exch sub add moveto pop 0 rlineto stroke
+   moveto } if } def
+/stW { gsave currentpoint newpath moveto true charpath flattenpath
+	pathbbox pop exch pop sub grestore } def
+/Ts {mark Tabs aload pop counttomark 1 add array astore /Tabs exch def Tabs
+	0 currentpoint pop put} def
+/Tbn {mark Tabs aload pop counttomark dup 2 add 1 roll cleartomark 1 sub} def
+/Tb { 0 1 Tbn {Tabs exch get dup currentpoint pop lt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/Tf { Tbn -1 0 {Tabs exch get dup currentpoint pop gt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/qS { (aa) stW (a a) stW sub 4 div 0 Kn } def
+/hS { qS qS } def
+/pspc 0 def
+/cf0 { scalefont setfont } bind def
+/Kn { dup kY add /kY exch def rmoveto } bind def
+/ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.33 mul neg Kn} def
+/Ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.67 mul Kn } def
+/ns { 0 kY neg Kn /kY 0 def /fscale 1.0 def xfont0 1.0 cf0 } def
+/CR { ns 0 /Bline Bline fscale0 neg add def Bline moveto } def
+/cf { dup type /realtype ne {1.0} if exch findfont exch kY 0 eq
+	{ 40 mul dup /fscale0 exch def cf0 /xfont0 currentfont def}
+	{fscale0 mul fscale mul cf0} ifelse } def
+/ctmk { counttomark dup 2 add -1 roll pop } bind def
+/label { gsave translate 0 0 moveto dup scale neg /rotval exch def
+	/just exch def just 384 and 0 gt {/mshow {pop} def} {/mshow {show}
+	def} ifelse just 16 and 0 gt {gsave rotval rotate 0 1 dtransform
+	gsave pagemat setmatrix idtransform exch grestore 1 0 dtransform
+	gsave pagemat setmatrix idtransform exch grestore dup abs 1e-9 lt
+	{pop mul 0 gt} {3 1 roll pop pop 0 lt} ifelse grestore {-1 /rotval
+	rotval neg def /just just dup 3 and 1 ne {3 xor} if def} {1} ifelse
+	exch -1e-9 lt {-1 /rotval rotval neg def /just just dup 12 and
+	4 ne {12 xor} if def} {1} ifelse scale } if /showflag 0 def
+	/fspc pspc def /Bline 0 def /Tabs 0 array def /fscale 1.0 def
+	/kY 0 def gsave dup 1 add copy 0 exch 1 0 dtransform exch atan rotate
+	{exch dup type /stringtype eq {true charpath flattenpath} {dup type
+	/arraytype eq {exec} {12 string cvs true charpath flattenpath} ifelse}
+	ifelse} repeat pop pathbbox grestore 3 -1 roll pop 3 1 roll just
+	1 and 0 gt {just 2 and 0 gt {exch pop neg fspc sub} {exch sub 0.5
+	mul neg} ifelse} {pop neg fspc add} ifelse exch Bline exch just 4
+	and 0 gt {just 8 and 0 gt {exch pop neg fspc sub} {add 0.5 mul neg}
+	ifelse} {pop neg fspc add} ifelse rotval rotate Kn currentpoint
+	translate /showflag 1 def /Bline 0 def /Tabs 0 array def /fscale
+	1.0 def /kY 0 def {dup type /stringtype eq {mshow} {dup type
+	/arraytype eq {exec} {12 string cvs mshow} ifelse} ifelse} repeat
+	grestore endclip} def
+/pinlabel { 4 index 32 and 0 ne hlevel 0 eq or { /pspc 10 def label
+	/pspc 0 def } { pop pop pop pop pop {pop} repeat } ifelse } def
+/pinglobal { pinlabel } def
+/infolabel { pinlabel } def
+/graphic { gsave 4 index cvx exec /DataSource get resetfile translate 0 0
+	moveto neg rotate dup scale cvx exec image grestore endclip} def
+
+/scb { setrgbcolor } bind def  /sce { defColor aload pop scb } bind def
+/cRedef {/defColor currentcolor 3 array astore def} def
+/begingate {dup type /dicttype ne {1 dict} if begin	% default params
+	dup type /dicttype ne {1 dict} if begin		% instanced params
+	/hlevel hlevel 1 add def /defColor currentcolor sce 3 array astore
+	def gsave sce translate 0 0 moveto neg rotate dup type /nametype
+	eq not { dup abs currentlinewidth exch div setlinewidth } { pop }
+	ifelse dup abs scale clipped 1 and 1 eq {/clipped clipped 1 add def}
+	if} bind def
+/endgate { /hlevel hlevel 1 sub def grestore defColor aload pop cRedef
+	scb end end endclip} bind def
+
+/hlevel 0 def
+/endclip {clipped 1 and 1 eq {grestore /clipped clipped 1 sub def} if} def
+/tmpa [1 0 0 1 0 0] def
+/gar {8 8 true tmpa {<c0 c0 00 00 0c 0c 00 00>} imagemask} bind
+{8 8 true tmpa {<30 70 60 02 03 07 06 20>} imagemask} bind
+{8 8 true tmpa {<0c 1e 1e 0c c0 e1 e1 c0>} imagemask} bind
+{8 8 true tmpa {<0f 0f 0f 0f f0 f0 f0 f0>} imagemask} bind
+{8 8 true tmpa {<3f f3 e1 e1 f3 3f 1e 1e>} imagemask} bind
+{8 8 true tmpa {<df cf 8f 9f fd fc f8 f9>} imagemask} bind
+{8 8 true tmpa {<ff 3f 3f ff ff f3 f3 ff>} imagemask} bind 7 array astore def
+/ppaint { gsave clip tmpa dup setmatrix pathbbox neg exch neg 4 2 roll
+  neg 4 -1 roll 2 copy gt {exch} if 8 div ceiling 8 mul 4 2 roll neg 2 copy
+  gt {exch} if 8 div ceiling 8 mul 3 -1 roll -8 5 -1 roll
+  { 3 index exch 5 exch put dup -8 3 index { 3 index
+  exch 4 exch put 3 index exec } for } for pop pop pop pop grestore } bind def
+/setstyles {
+  currentlinewidth mul setlinewidth /style exch def style 2048 and 0 gt
+  clipped 1 and 0 eq and {clip newpath /clipped clipped 1 add def} {
+  style 1 and 0 gt not {closepath} if
+  style 1024 and 0 gt {2 setlinecap} if
+  style 2 and 0 gt {currentlinewidth 4 mul dup 2 array astore 0 setdash} if
+  style 4 and 0 gt {0.5 currentlinewidth 4 mul 2 array astore 0 setdash} if
+  gsave style 16 and 0 gt { style 224 and -5 bitshift style 256 and 0 gt {
+  7 exch sub 8 div dup 1 exch sub currentrgbcolor 3 array astore
+  {3 copy mul add 4 1 roll pop} forall pop pop setrgbcolor eofill}
+  {dup 7 lt {gar exch get ppaint} {pop eofill} ifelse} ifelse}
+  {style 256 and 0 gt {1 setgray eofill} if} ifelse grestore style 8 and 0 gt 
+  style 512 eq or {newpath} {stroke} ifelse grestore endclip} ifelse} def     
+
+/addtoy {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll add
+	4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtoy1 {1 exch addtoy pop} def
+/addtoy3 {3 exch addtoy pop} def
+/addtoy4 {4 exch addtoy pop} def
+/addtox {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll 3 -1 roll
+	add exch 4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtox1 {1 exch addtox pop} def
+/addtox3 {3 exch addtox pop} def
+/addtox4 {4 exch addtox pop} def
+/polygon { gsave /num exch def moveto num 1 sub {lineto} repeat setstyles } def
+/xcarc   { gsave newpath arc setstyles } def
+/elb { matrix currentmatrix 7 -1 roll 7 -1 roll translate 5 1 roll 4 -1 roll
+	3 index div 1 scale } def
+/ele { 0 4 1 roll 0 4 1 roll } bind def
+/ellipse { gsave elb newpath ele arc setmatrix setstyles } def
+/pellip  { elb ele arc setmatrix } def
+/nellip  { elb ele arcn setmatrix } def
+/spline  { gsave moveto curveto setstyles } def
+/polyc   { {lineto} repeat } bind def
+/beginpath { gsave moveto } bind def
+/endpath { setstyles } bind def
+/bop	 { 1 setlinecap 0 setlinejoin 6 setmiterlimit 0 0 0 scb cRedef
+	/clipped 0 def} def
+/psinsertion {/PSobj save def /showpage {} def /setpagedevice {pop} def bop
+	rotate translate dup scale} def
+/end_insert {PSobj restore} def
+/setpagemat {/pagemat matrix currentmatrix def} def
+/inchscale  {setpagemat 0.375 mul dup scale} def
+/cmscale    {setpagemat 0.35433071 mul dup scale} def
+
+%%EndResource
+%%EndProlog
+
+% XCircuit output starts here.
+
+%%BeginSetup
+
+/analog::diode {
+begingate
+248 1.000 -18 -16 0 16 18 -16 3 polygon
+1 1.000 0 -48 0 -16 2 polygon
+1 1.000 0 48 0 16 2 polygon
+1 1.000 -18 16 18 16 2 polygon
+1.000 0.000 0.000 scb
+(d.m) {/Times-Roman cf} 2 9 0 1.000 0 48 pinlabel
+(d.p) {/Times-Roman cf} 2 13 0 1.000 0 -48 pinlabel
+endgate
+} def
+
+/generic::arrowhead {
+% nonetwork
+begingate
+8 -28 beginpath
+3 -18 3 -15 0 0 curveto
+-3 -15 -3 -18 -8 -28 curveto
+-2 -26 2 -26 8 -28 curveto
+249 
+1.000 endpath
+endgate
+} def
+
+/analog::npn {
+begingate
+1 1.000 -48 0 -64 0 2 polygon
+1 1.000 -48 48 -48 -48 2 polygon
+1 1.000 0 48 -48 22 2 polygon
+1 1.000 0 48 0 64 2 polygon
+1 1.000 0 -48 -48 -22 2 polygon
+1 1.000 0 -48 0 -64 2 polygon
+1.000 120 0 -48 generic::arrowhead
+1.000 0.000 0.000 scb
+(C) {/Times-Roman cf} 2 9 0 1.000 0 64 pinlabel
+(B) {/Times-Roman cf} 2 7 0 1.000 -64 0 pinlabel
+(E) {/Times-Roman cf} 2 13 0 1.000 0 -64 pinlabel
+0.180 0.545 0.341 scb
+(spice:Q%i %pC %pB %pE npn) {/Times-Roman cf} 2 4 0 1.000 -244 -139 infolabel
+(sim:b %pB %pE %pC) {/Times-Roman cf} 2 4 0 1.000 -244 -187 infolabel
+endgate
+} def
+
+
+%%EndSetup
+
+%%Page: 1 1
+%%PageOrientation: Portrait
+/pgsave save def bop
+1.0000 inchscale
+2.6000 setlinewidth 336 192 translate
+
+(SQR1) {/Helvetica cf} 2 16 0 1.000 160 144 label
+(GND) {/Helvetica cf} 2 16 0 1.000 -128 16 label
+(SEN) {/Helvetica cf} 2 16 0 1.000 176 16 label
+1.000 270 64 160 analog::diode
+1.000 90 64 16 analog::npn
+0 1.000 160 192 160 128 272 128 272 192 4 polygon
+0 1.000 -144 64 -144 0 -32 0 -32 64 4 polygon
+1 1.000 0 16 -32 16 2 polygon
+0 1.000 160 64 160 0 272 0 272 64 4 polygon
+1 1.000 128 16 160 16 2 polygon
+1.000 180 80 96 generic::arrowhead
+1.000 180 64 96 generic::arrowhead
+1.000 180 48 96 generic::arrowhead
+1 1.000 16 160 -96 160 -96 64 3 polygon
+1 1.000 112 160 160 160 2 polygon
+(LED) {/Helvetica cf} 2 16 0 1.000 -64 128 label
+pgsave restore showpage
+
+%%Trailer
+XCIRCsave restore
+%%EOF
diff --git a/doc/en/Docs-jr/schematics/light-tranmission.png b/doc/en/Docs-jr/schematics/light-tranmission.png
new file mode 100644
index 0000000..a823a40
Binary files /dev/null and b/doc/en/Docs-jr/schematics/light-tranmission.png differ
diff --git a/doc/en/Docs-jr/schematics/light-tranmission.ps b/doc/en/Docs-jr/schematics/light-tranmission.ps
new file mode 100644
index 0000000..3e7dbf2
--- /dev/null
+++ b/doc/en/Docs-jr/schematics/light-tranmission.ps
@@ -0,0 +1,243 @@
+%!PS-Adobe-3.0 EPSF-3.0
+%%Title: light-tranmission.ps
+%%Creator: XCircuit v3.7 rev26
+%%CreationDate: Mon Jul 30 06:26:09 2012
+%%Pages: 1
+%%BoundingBox: 68 68 322 106
+%%DocumentNeededResources: font Helvetica Times-Roman 
+%%EndComments
+%%BeginProlog
+%
+%  PostScript prolog for output from xcircuit
+%  Version: 3.7
+%
+%  Electrical circuit (and otherwise general) drawing program
+%
+%  Written by Tim Edwards 8/5/93--11/1/10  (tim.edwards at multigig.com)
+%  The Johns Hopkins University (1993-2004)
+%  MultiGiG, Inc. (2004-present)
+%
+%%BeginResource: procset XCIRCproc 3.7 2
+%
+% supporting definitions --- these are the primary xcircuit types.
+
+/XCIRCsave save def
+/topmat matrix currentmatrix def
+
+/fontslant { /slant exch def [1 0 slant 1 0 0] 
+    exch findfont exch makefont dup length dict /ndict exch def
+    { 1 index /FID ne { ndict 3 1 roll put } { pop pop } ifelse } forall
+    ndict definefont pop} def
+/ul { dup type /stringtype eq showflag 1 eq and { gsave 
+   currentpoint topmat setmatrix 0 0 moveto 2 index stringwidth pop (_)
+   false charpath flattenpath pathbbox grestore exch pop 1 index
+   sub setlinewidth exch pop currentpoint 3 -1 roll add moveto 0
+   rlineto stroke moveto } if } def
+/ol { dup type /stringtype eq showflag 1 eq and { gsave gsave
+   currentpoint topmat setmatrix 2 index stringwidth pop 3 index
+   true charpath flattenpath pathbbox grestore exch pop
+   exch pop topmat setmatrix (_) true charpath pathbbox grestore
+   exch pop 1 index sub setlinewidth exch pop currentpoint
+   exch 4 1 roll exch sub add moveto pop 0 rlineto stroke
+   moveto } if } def
+/stW { gsave currentpoint newpath moveto true charpath flattenpath
+	pathbbox pop exch pop sub grestore } def
+/Ts {mark Tabs aload pop counttomark 1 add array astore /Tabs exch def Tabs
+	0 currentpoint pop put} def
+/Tbn {mark Tabs aload pop counttomark dup 2 add 1 roll cleartomark 1 sub} def
+/Tb { 0 1 Tbn {Tabs exch get dup currentpoint pop lt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/Tf { Tbn -1 0 {Tabs exch get dup currentpoint pop gt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/qS { (aa) stW (a a) stW sub 4 div 0 Kn } def
+/hS { qS qS } def
+/pspc 0 def
+/cf0 { scalefont setfont } bind def
+/Kn { dup kY add /kY exch def rmoveto } bind def
+/ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.33 mul neg Kn} def
+/Ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.67 mul Kn } def
+/ns { 0 kY neg Kn /kY 0 def /fscale 1.0 def xfont0 1.0 cf0 } def
+/CR { ns 0 /Bline Bline fscale0 neg add def Bline moveto } def
+/cf { dup type /realtype ne {1.0} if exch findfont exch kY 0 eq
+	{ 40 mul dup /fscale0 exch def cf0 /xfont0 currentfont def}
+	{fscale0 mul fscale mul cf0} ifelse } def
+/ctmk { counttomark dup 2 add -1 roll pop } bind def
+/label { gsave translate 0 0 moveto dup scale neg /rotval exch def
+	/just exch def just 384 and 0 gt {/mshow {pop} def} {/mshow {show}
+	def} ifelse just 16 and 0 gt {gsave rotval rotate 0 1 dtransform
+	gsave pagemat setmatrix idtransform exch grestore 1 0 dtransform
+	gsave pagemat setmatrix idtransform exch grestore dup abs 1e-9 lt
+	{pop mul 0 gt} {3 1 roll pop pop 0 lt} ifelse grestore {-1 /rotval
+	rotval neg def /just just dup 3 and 1 ne {3 xor} if def} {1} ifelse
+	exch -1e-9 lt {-1 /rotval rotval neg def /just just dup 12 and
+	4 ne {12 xor} if def} {1} ifelse scale } if /showflag 0 def
+	/fspc pspc def /Bline 0 def /Tabs 0 array def /fscale 1.0 def
+	/kY 0 def gsave dup 1 add copy 0 exch 1 0 dtransform exch atan rotate
+	{exch dup type /stringtype eq {true charpath flattenpath} {dup type
+	/arraytype eq {exec} {12 string cvs true charpath flattenpath} ifelse}
+	ifelse} repeat pop pathbbox grestore 3 -1 roll pop 3 1 roll just
+	1 and 0 gt {just 2 and 0 gt {exch pop neg fspc sub} {exch sub 0.5
+	mul neg} ifelse} {pop neg fspc add} ifelse exch Bline exch just 4
+	and 0 gt {just 8 and 0 gt {exch pop neg fspc sub} {add 0.5 mul neg}
+	ifelse} {pop neg fspc add} ifelse rotval rotate Kn currentpoint
+	translate /showflag 1 def /Bline 0 def /Tabs 0 array def /fscale
+	1.0 def /kY 0 def {dup type /stringtype eq {mshow} {dup type
+	/arraytype eq {exec} {12 string cvs mshow} ifelse} ifelse} repeat
+	grestore endclip} def
+/pinlabel { 4 index 32 and 0 ne hlevel 0 eq or { /pspc 10 def label
+	/pspc 0 def } { pop pop pop pop pop {pop} repeat } ifelse } def
+/pinglobal { pinlabel } def
+/infolabel { pinlabel } def
+/graphic { gsave 4 index cvx exec /DataSource get resetfile translate 0 0
+	moveto neg rotate dup scale cvx exec image grestore endclip} def
+
+/scb { setrgbcolor } bind def  /sce { defColor aload pop scb } bind def
+/cRedef {/defColor currentcolor 3 array astore def} def
+/begingate {dup type /dicttype ne {1 dict} if begin	% default params
+	dup type /dicttype ne {1 dict} if begin		% instanced params
+	/hlevel hlevel 1 add def /defColor currentcolor sce 3 array astore
+	def gsave sce translate 0 0 moveto neg rotate dup type /nametype
+	eq not { dup abs currentlinewidth exch div setlinewidth } { pop }
+	ifelse dup abs scale clipped 1 and 1 eq {/clipped clipped 1 add def}
+	if} bind def
+/endgate { /hlevel hlevel 1 sub def grestore defColor aload pop cRedef
+	scb end end endclip} bind def
+
+/hlevel 0 def
+/endclip {clipped 1 and 1 eq {grestore /clipped clipped 1 sub def} if} def
+/tmpa [1 0 0 1 0 0] def
+/gar {8 8 true tmpa {<c0 c0 00 00 0c 0c 00 00>} imagemask} bind
+{8 8 true tmpa {<30 70 60 02 03 07 06 20>} imagemask} bind
+{8 8 true tmpa {<0c 1e 1e 0c c0 e1 e1 c0>} imagemask} bind
+{8 8 true tmpa {<0f 0f 0f 0f f0 f0 f0 f0>} imagemask} bind
+{8 8 true tmpa {<3f f3 e1 e1 f3 3f 1e 1e>} imagemask} bind
+{8 8 true tmpa {<df cf 8f 9f fd fc f8 f9>} imagemask} bind
+{8 8 true tmpa {<ff 3f 3f ff ff f3 f3 ff>} imagemask} bind 7 array astore def
+/ppaint { gsave clip tmpa dup setmatrix pathbbox neg exch neg 4 2 roll
+  neg 4 -1 roll 2 copy gt {exch} if 8 div ceiling 8 mul 4 2 roll neg 2 copy
+  gt {exch} if 8 div ceiling 8 mul 3 -1 roll -8 5 -1 roll
+  { 3 index exch 5 exch put dup -8 3 index { 3 index
+  exch 4 exch put 3 index exec } for } for pop pop pop pop grestore } bind def
+/setstyles {
+  currentlinewidth mul setlinewidth /style exch def style 2048 and 0 gt
+  clipped 1 and 0 eq and {clip newpath /clipped clipped 1 add def} {
+  style 1 and 0 gt not {closepath} if
+  style 1024 and 0 gt {2 setlinecap} if
+  style 2 and 0 gt {currentlinewidth 4 mul dup 2 array astore 0 setdash} if
+  style 4 and 0 gt {0.5 currentlinewidth 4 mul 2 array astore 0 setdash} if
+  gsave style 16 and 0 gt { style 224 and -5 bitshift style 256 and 0 gt {
+  7 exch sub 8 div dup 1 exch sub currentrgbcolor 3 array astore
+  {3 copy mul add 4 1 roll pop} forall pop pop setrgbcolor eofill}
+  {dup 7 lt {gar exch get ppaint} {pop eofill} ifelse} ifelse}
+  {style 256 and 0 gt {1 setgray eofill} if} ifelse grestore style 8 and 0 gt 
+  style 512 eq or {newpath} {stroke} ifelse grestore endclip} ifelse} def     
+
+/addtoy {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll add
+	4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtoy1 {1 exch addtoy pop} def
+/addtoy3 {3 exch addtoy pop} def
+/addtoy4 {4 exch addtoy pop} def
+/addtox {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll 3 -1 roll
+	add exch 4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtox1 {1 exch addtox pop} def
+/addtox3 {3 exch addtox pop} def
+/addtox4 {4 exch addtox pop} def
+/polygon { gsave /num exch def moveto num 1 sub {lineto} repeat setstyles } def
+/xcarc   { gsave newpath arc setstyles } def
+/elb { matrix currentmatrix 7 -1 roll 7 -1 roll translate 5 1 roll 4 -1 roll
+	3 index div 1 scale } def
+/ele { 0 4 1 roll 0 4 1 roll } bind def
+/ellipse { gsave elb newpath ele arc setmatrix setstyles } def
+/pellip  { elb ele arc setmatrix } def
+/nellip  { elb ele arcn setmatrix } def
+/spline  { gsave moveto curveto setstyles } def
+/polyc   { {lineto} repeat } bind def
+/beginpath { gsave moveto } bind def
+/endpath { setstyles } bind def
+/bop	 { 1 setlinecap 0 setlinejoin 6 setmiterlimit 0 0 0 scb cRedef
+	/clipped 0 def} def
+/psinsertion {/PSobj save def /showpage {} def /setpagedevice {pop} def bop
+	rotate translate dup scale} def
+/end_insert {PSobj restore} def
+/setpagemat {/pagemat matrix currentmatrix def} def
+/inchscale  {setpagemat 0.375 mul dup scale} def
+/cmscale    {setpagemat 0.35433071 mul dup scale} def
+
+%%EndResource
+%%EndProlog
+
+% XCircuit output starts here.
+
+%%BeginSetup
+
+/analog::diode {
+begingate
+248 1.000 -18 -16 0 16 18 -16 3 polygon
+1 1.000 0 -48 0 -16 2 polygon
+1 1.000 0 48 0 16 2 polygon
+1 1.000 -18 16 18 16 2 polygon
+1.000 0.000 0.000 scb
+(d.m) {/Times-Roman cf} 2 9 0 1.000 0 48 pinlabel
+(d.p) {/Times-Roman cf} 2 13 0 1.000 0 -48 pinlabel
+endgate
+} def
+
+/generic::arrowhead {
+% nonetwork
+begingate
+8 -28 beginpath
+3 -18 3 -15 0 0 curveto
+-3 -15 -3 -18 -8 -28 curveto
+-2 -26 2 -26 8 -28 curveto
+249 
+1.000 endpath
+endgate
+} def
+
+/analog::npn {
+begingate
+1 1.000 -48 0 -64 0 2 polygon
+1 1.000 -48 48 -48 -48 2 polygon
+1 1.000 0 48 -48 22 2 polygon
+1 1.000 0 48 0 64 2 polygon
+1 1.000 0 -48 -48 -22 2 polygon
+1 1.000 0 -48 0 -64 2 polygon
+1.000 120 0 -48 generic::arrowhead
+1.000 0.000 0.000 scb
+(C) {/Times-Roman cf} 2 9 0 1.000 0 64 pinlabel
+(B) {/Times-Roman cf} 2 7 0 1.000 -64 0 pinlabel
+(E) {/Times-Roman cf} 2 13 0 1.000 0 -64 pinlabel
+0.180 0.545 0.341 scb
+(spice:Q%i %pC %pB %pE npn) {/Times-Roman cf} 2 4 0 1.000 -244 -139 infolabel
+(sim:b %pB %pE %pC) {/Times-Roman cf} 2 4 0 1.000 -244 -187 infolabel
+endgate
+} def
+
+
+%%EndSetup
+
+%%Page: light-tranmission 1
+%%PageOrientation: Portrait
+/pgsave save def bop
+1.0000 inchscale
+2.6000 setlinewidth 448 192 translate
+
+(SQR1) {/Helvetica cf} 2 16 0 1.000 -256 16 label
+(GND) {/Helvetica cf} 2 16 0 1.000 -16 16 label
+1.000 90 -96 48 analog::diode
+0 1.000 -256 64 -256 0 -144 0 -144 64 4 polygon
+0 1.000 -32 64 -32 0 80 0 80 64 4 polygon
+1 1.000 -48 48 -32 48 2 polygon
+(LED) {/Helvetica cf} 2 16 0 1.000 -128 0 label
+1.000 90 192 16 analog::npn
+1 1.000 128 16 80 16 2 polygon
+(SEN) {/Helvetica cf} 2 16 0 1.000 304 16 label
+0 1.000 288 64 288 0 400 0 400 64 4 polygon
+1 1.000 256 16 288 16 2 polygon
+pgsave restore showpage
+
+%%Trailer
+XCIRCsave restore
+%%EOF
diff --git a/doc/en/Docs-jr/schematics/line-pickup.png b/doc/en/Docs-jr/schematics/line-pickup.png
new file mode 100644
index 0000000..a37ed86
Binary files /dev/null and b/doc/en/Docs-jr/schematics/line-pickup.png differ
diff --git a/doc/en/Docs-jr/schematics/line-pickup.ps b/doc/en/Docs-jr/schematics/line-pickup.ps
new file mode 100644
index 0000000..4e4a40b
--- /dev/null
+++ b/doc/en/Docs-jr/schematics/line-pickup.ps
@@ -0,0 +1,204 @@
+%!PS-Adobe-3.0 EPSF-3.0
+%%Title: line-pickup.ps
+%%Creator: XCircuit v3.7 rev26
+%%CreationDate: Wed Aug  1 09:44:41 2012
+%%Pages: 1
+%%BoundingBox: 68 68 379 124
+%%DocumentNeededResources: font Helvetica 
+%%EndComments
+%%BeginProlog
+%
+%  PostScript prolog for output from xcircuit
+%  Version: 3.7
+%
+%  Electrical circuit (and otherwise general) drawing program
+%
+%  Written by Tim Edwards 8/5/93--11/1/10  (tim.edwards at multigig.com)
+%  The Johns Hopkins University (1993-2004)
+%  MultiGiG, Inc. (2004-present)
+%
+%%BeginResource: procset XCIRCproc 3.7 2
+%
+% supporting definitions --- these are the primary xcircuit types.
+
+/XCIRCsave save def
+/topmat matrix currentmatrix def
+
+/fontslant { /slant exch def [1 0 slant 1 0 0] 
+    exch findfont exch makefont dup length dict /ndict exch def
+    { 1 index /FID ne { ndict 3 1 roll put } { pop pop } ifelse } forall
+    ndict definefont pop} def
+/ul { dup type /stringtype eq showflag 1 eq and { gsave 
+   currentpoint topmat setmatrix 0 0 moveto 2 index stringwidth pop (_)
+   false charpath flattenpath pathbbox grestore exch pop 1 index
+   sub setlinewidth exch pop currentpoint 3 -1 roll add moveto 0
+   rlineto stroke moveto } if } def
+/ol { dup type /stringtype eq showflag 1 eq and { gsave gsave
+   currentpoint topmat setmatrix 2 index stringwidth pop 3 index
+   true charpath flattenpath pathbbox grestore exch pop
+   exch pop topmat setmatrix (_) true charpath pathbbox grestore
+   exch pop 1 index sub setlinewidth exch pop currentpoint
+   exch 4 1 roll exch sub add moveto pop 0 rlineto stroke
+   moveto } if } def
+/stW { gsave currentpoint newpath moveto true charpath flattenpath
+	pathbbox pop exch pop sub grestore } def
+/Ts {mark Tabs aload pop counttomark 1 add array astore /Tabs exch def Tabs
+	0 currentpoint pop put} def
+/Tbn {mark Tabs aload pop counttomark dup 2 add 1 roll cleartomark 1 sub} def
+/Tb { 0 1 Tbn {Tabs exch get dup currentpoint pop lt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/Tf { Tbn -1 0 {Tabs exch get dup currentpoint pop gt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/qS { (aa) stW (a a) stW sub 4 div 0 Kn } def
+/hS { qS qS } def
+/pspc 0 def
+/cf0 { scalefont setfont } bind def
+/Kn { dup kY add /kY exch def rmoveto } bind def
+/ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.33 mul neg Kn} def
+/Ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.67 mul Kn } def
+/ns { 0 kY neg Kn /kY 0 def /fscale 1.0 def xfont0 1.0 cf0 } def
+/CR { ns 0 /Bline Bline fscale0 neg add def Bline moveto } def
+/cf { dup type /realtype ne {1.0} if exch findfont exch kY 0 eq
+	{ 40 mul dup /fscale0 exch def cf0 /xfont0 currentfont def}
+	{fscale0 mul fscale mul cf0} ifelse } def
+/ctmk { counttomark dup 2 add -1 roll pop } bind def
+/label { gsave translate 0 0 moveto dup scale neg /rotval exch def
+	/just exch def just 384 and 0 gt {/mshow {pop} def} {/mshow {show}
+	def} ifelse just 16 and 0 gt {gsave rotval rotate 0 1 dtransform
+	gsave pagemat setmatrix idtransform exch grestore 1 0 dtransform
+	gsave pagemat setmatrix idtransform exch grestore dup abs 1e-9 lt
+	{pop mul 0 gt} {3 1 roll pop pop 0 lt} ifelse grestore {-1 /rotval
+	rotval neg def /just just dup 3 and 1 ne {3 xor} if def} {1} ifelse
+	exch -1e-9 lt {-1 /rotval rotval neg def /just just dup 12 and
+	4 ne {12 xor} if def} {1} ifelse scale } if /showflag 0 def
+	/fspc pspc def /Bline 0 def /Tabs 0 array def /fscale 1.0 def
+	/kY 0 def gsave dup 1 add copy 0 exch 1 0 dtransform exch atan rotate
+	{exch dup type /stringtype eq {true charpath flattenpath} {dup type
+	/arraytype eq {exec} {12 string cvs true charpath flattenpath} ifelse}
+	ifelse} repeat pop pathbbox grestore 3 -1 roll pop 3 1 roll just
+	1 and 0 gt {just 2 and 0 gt {exch pop neg fspc sub} {exch sub 0.5
+	mul neg} ifelse} {pop neg fspc add} ifelse exch Bline exch just 4
+	and 0 gt {just 8 and 0 gt {exch pop neg fspc sub} {add 0.5 mul neg}
+	ifelse} {pop neg fspc add} ifelse rotval rotate Kn currentpoint
+	translate /showflag 1 def /Bline 0 def /Tabs 0 array def /fscale
+	1.0 def /kY 0 def {dup type /stringtype eq {mshow} {dup type
+	/arraytype eq {exec} {12 string cvs mshow} ifelse} ifelse} repeat
+	grestore endclip} def
+/pinlabel { 4 index 32 and 0 ne hlevel 0 eq or { /pspc 10 def label
+	/pspc 0 def } { pop pop pop pop pop {pop} repeat } ifelse } def
+/pinglobal { pinlabel } def
+/infolabel { pinlabel } def
+/graphic { gsave 4 index cvx exec /DataSource get resetfile translate 0 0
+	moveto neg rotate dup scale cvx exec image grestore endclip} def
+
+/scb { setrgbcolor } bind def  /sce { defColor aload pop scb } bind def
+/cRedef {/defColor currentcolor 3 array astore def} def
+/begingate {dup type /dicttype ne {1 dict} if begin	% default params
+	dup type /dicttype ne {1 dict} if begin		% instanced params
+	/hlevel hlevel 1 add def /defColor currentcolor sce 3 array astore
+	def gsave sce translate 0 0 moveto neg rotate dup type /nametype
+	eq not { dup abs currentlinewidth exch div setlinewidth } { pop }
+	ifelse dup abs scale clipped 1 and 1 eq {/clipped clipped 1 add def}
+	if} bind def
+/endgate { /hlevel hlevel 1 sub def grestore defColor aload pop cRedef
+	scb end end endclip} bind def
+
+/hlevel 0 def
+/endclip {clipped 1 and 1 eq {grestore /clipped clipped 1 sub def} if} def
+/tmpa [1 0 0 1 0 0] def
+/gar {8 8 true tmpa {<c0 c0 00 00 0c 0c 00 00>} imagemask} bind
+{8 8 true tmpa {<30 70 60 02 03 07 06 20>} imagemask} bind
+{8 8 true tmpa {<0c 1e 1e 0c c0 e1 e1 c0>} imagemask} bind
+{8 8 true tmpa {<0f 0f 0f 0f f0 f0 f0 f0>} imagemask} bind
+{8 8 true tmpa {<3f f3 e1 e1 f3 3f 1e 1e>} imagemask} bind
+{8 8 true tmpa {<df cf 8f 9f fd fc f8 f9>} imagemask} bind
+{8 8 true tmpa {<ff 3f 3f ff ff f3 f3 ff>} imagemask} bind 7 array astore def
+/ppaint { gsave clip tmpa dup setmatrix pathbbox neg exch neg 4 2 roll
+  neg 4 -1 roll 2 copy gt {exch} if 8 div ceiling 8 mul 4 2 roll neg 2 copy
+  gt {exch} if 8 div ceiling 8 mul 3 -1 roll -8 5 -1 roll
+  { 3 index exch 5 exch put dup -8 3 index { 3 index
+  exch 4 exch put 3 index exec } for } for pop pop pop pop grestore } bind def
+/setstyles {
+  currentlinewidth mul setlinewidth /style exch def style 2048 and 0 gt
+  clipped 1 and 0 eq and {clip newpath /clipped clipped 1 add def} {
+  style 1 and 0 gt not {closepath} if
+  style 1024 and 0 gt {2 setlinecap} if
+  style 2 and 0 gt {currentlinewidth 4 mul dup 2 array astore 0 setdash} if
+  style 4 and 0 gt {0.5 currentlinewidth 4 mul 2 array astore 0 setdash} if
+  gsave style 16 and 0 gt { style 224 and -5 bitshift style 256 and 0 gt {
+  7 exch sub 8 div dup 1 exch sub currentrgbcolor 3 array astore
+  {3 copy mul add 4 1 roll pop} forall pop pop setrgbcolor eofill}
+  {dup 7 lt {gar exch get ppaint} {pop eofill} ifelse} ifelse}
+  {style 256 and 0 gt {1 setgray eofill} if} ifelse grestore style 8 and 0 gt 
+  style 512 eq or {newpath} {stroke} ifelse grestore endclip} ifelse} def     
+
+/addtoy {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll add
+	4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtoy1 {1 exch addtoy pop} def
+/addtoy3 {3 exch addtoy pop} def
+/addtoy4 {4 exch addtoy pop} def
+/addtox {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll 3 -1 roll
+	add exch 4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtox1 {1 exch addtox pop} def
+/addtox3 {3 exch addtox pop} def
+/addtox4 {4 exch addtox pop} def
+/polygon { gsave /num exch def moveto num 1 sub {lineto} repeat setstyles } def
+/xcarc   { gsave newpath arc setstyles } def
+/elb { matrix currentmatrix 7 -1 roll 7 -1 roll translate 5 1 roll 4 -1 roll
+	3 index div 1 scale } def
+/ele { 0 4 1 roll 0 4 1 roll } bind def
+/ellipse { gsave elb newpath ele arc setmatrix setstyles } def
+/pellip  { elb ele arc setmatrix } def
+/nellip  { elb ele arcn setmatrix } def
+/spline  { gsave moveto curveto setstyles } def
+/polyc   { {lineto} repeat } bind def
+/beginpath { gsave moveto } bind def
+/endpath { setstyles } bind def
+/bop	 { 1 setlinecap 0 setlinejoin 6 setmiterlimit 0 0 0 scb cRedef
+	/clipped 0 def} def
+/psinsertion {/PSobj save def /showpage {} def /setpagedevice {pop} def bop
+	rotate translate dup scale} def
+/end_insert {PSobj restore} def
+/setpagemat {/pagemat matrix currentmatrix def} def
+/inchscale  {setpagemat 0.375 mul dup scale} def
+/cmscale    {setpagemat 0.35433071 mul dup scale} def
+
+%%EndResource
+%%EndProlog
+
+% XCircuit output starts here.
+
+%%BeginSetup
+
+
+%%EndSetup
+
+%%Page: line-pickup 1
+%%PageOrientation: Portrait
+/pgsave save def bop
+1.0000 inchscale
+2.6000 setlinewidth 480 -128 translate
+
+(A1) {/Helvetica cf} 2 16 0 1.000 -272 336 label
+0 1.000 -288 384 -288 320 -208 320 -208 384 4 polygon
+1 1.000 16 416 288 272 192 448 -208 352 spline
+1 1.000 320 416 22 0.000 360.000 xcarc
+1 1.000 288 352 16 0.000 360.000 xcarc
+1 1.000 352 352 16 0.000 360.000 xcarc
+0 1.000 256 448 256 320 384 320 384 448 4 polygon
+(Power) {/Helvetica cf} 2 16 0 1.000 400 368 label
+(Socket) {/Helvetica cf} 2 16 0 1.000 400 320 label
+(Mains) {/Helvetica cf} 2 16 0 1.000 400 416 label
+{/Helvetica cf} 1 16 0 1.000 -128 336 label
+{/Helvetica cf} 1 16 0 1.000 -128 336 label
+{/Helvetica cf} 1 16 0 1.000 -128 320 label
+(Wire near power line) {/Helvetica cf} 2 16 0 1.000 -192 400 label
+{/Helvetica cf} 1 16 0 1.000 -128 336 label
+(but NO connection) {/Helvetica cf} 2 16 0 1.000 -128 320 label
+pgsave restore showpage
+
+%%Trailer
+XCIRCsave restore
+%%EOF
diff --git a/doc/en/Docs-jr/schematics/measure-cap.png b/doc/en/Docs-jr/schematics/measure-cap.png
new file mode 100644
index 0000000..88b7ebf
Binary files /dev/null and b/doc/en/Docs-jr/schematics/measure-cap.png differ
diff --git a/doc/en/Docs-jr/schematics/measure-cap.ps b/doc/en/Docs-jr/schematics/measure-cap.ps
new file mode 100644
index 0000000..7cc9c25
--- /dev/null
+++ b/doc/en/Docs-jr/schematics/measure-cap.ps
@@ -0,0 +1,208 @@
+%!PS-Adobe-3.0 EPSF-3.0
+%%Title: measure-cap
+%%Creator: XCircuit v3.7 rev26
+%%CreationDate: Wed Aug  1 09:58:49 2012
+%%Pages: 1
+%%BoundingBox: 68 68 196 100
+%%DocumentNeededResources: font Helvetica Times-Roman 
+%%EndComments
+%%BeginProlog
+%
+%  PostScript prolog for output from xcircuit
+%  Version: 3.7
+%
+%  Electrical circuit (and otherwise general) drawing program
+%
+%  Written by Tim Edwards 8/5/93--11/1/10  (tim.edwards at multigig.com)
+%  The Johns Hopkins University (1993-2004)
+%  MultiGiG, Inc. (2004-present)
+%
+%%BeginResource: procset XCIRCproc 3.7 2
+%
+% supporting definitions --- these are the primary xcircuit types.
+
+/XCIRCsave save def
+/topmat matrix currentmatrix def
+
+/fontslant { /slant exch def [1 0 slant 1 0 0] 
+    exch findfont exch makefont dup length dict /ndict exch def
+    { 1 index /FID ne { ndict 3 1 roll put } { pop pop } ifelse } forall
+    ndict definefont pop} def
+/ul { dup type /stringtype eq showflag 1 eq and { gsave 
+   currentpoint topmat setmatrix 0 0 moveto 2 index stringwidth pop (_)
+   false charpath flattenpath pathbbox grestore exch pop 1 index
+   sub setlinewidth exch pop currentpoint 3 -1 roll add moveto 0
+   rlineto stroke moveto } if } def
+/ol { dup type /stringtype eq showflag 1 eq and { gsave gsave
+   currentpoint topmat setmatrix 2 index stringwidth pop 3 index
+   true charpath flattenpath pathbbox grestore exch pop
+   exch pop topmat setmatrix (_) true charpath pathbbox grestore
+   exch pop 1 index sub setlinewidth exch pop currentpoint
+   exch 4 1 roll exch sub add moveto pop 0 rlineto stroke
+   moveto } if } def
+/stW { gsave currentpoint newpath moveto true charpath flattenpath
+	pathbbox pop exch pop sub grestore } def
+/Ts {mark Tabs aload pop counttomark 1 add array astore /Tabs exch def Tabs
+	0 currentpoint pop put} def
+/Tbn {mark Tabs aload pop counttomark dup 2 add 1 roll cleartomark 1 sub} def
+/Tb { 0 1 Tbn {Tabs exch get dup currentpoint pop lt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/Tf { Tbn -1 0 {Tabs exch get dup currentpoint pop gt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/qS { (aa) stW (a a) stW sub 4 div 0 Kn } def
+/hS { qS qS } def
+/pspc 0 def
+/cf0 { scalefont setfont } bind def
+/Kn { dup kY add /kY exch def rmoveto } bind def
+/ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.33 mul neg Kn} def
+/Ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.67 mul Kn } def
+/ns { 0 kY neg Kn /kY 0 def /fscale 1.0 def xfont0 1.0 cf0 } def
+/CR { ns 0 /Bline Bline fscale0 neg add def Bline moveto } def
+/cf { dup type /realtype ne {1.0} if exch findfont exch kY 0 eq
+	{ 40 mul dup /fscale0 exch def cf0 /xfont0 currentfont def}
+	{fscale0 mul fscale mul cf0} ifelse } def
+/ctmk { counttomark dup 2 add -1 roll pop } bind def
+/label { gsave translate 0 0 moveto dup scale neg /rotval exch def
+	/just exch def just 384 and 0 gt {/mshow {pop} def} {/mshow {show}
+	def} ifelse just 16 and 0 gt {gsave rotval rotate 0 1 dtransform
+	gsave pagemat setmatrix idtransform exch grestore 1 0 dtransform
+	gsave pagemat setmatrix idtransform exch grestore dup abs 1e-9 lt
+	{pop mul 0 gt} {3 1 roll pop pop 0 lt} ifelse grestore {-1 /rotval
+	rotval neg def /just just dup 3 and 1 ne {3 xor} if def} {1} ifelse
+	exch -1e-9 lt {-1 /rotval rotval neg def /just just dup 12 and
+	4 ne {12 xor} if def} {1} ifelse scale } if /showflag 0 def
+	/fspc pspc def /Bline 0 def /Tabs 0 array def /fscale 1.0 def
+	/kY 0 def gsave dup 1 add copy 0 exch 1 0 dtransform exch atan rotate
+	{exch dup type /stringtype eq {true charpath flattenpath} {dup type
+	/arraytype eq {exec} {12 string cvs true charpath flattenpath} ifelse}
+	ifelse} repeat pop pathbbox grestore 3 -1 roll pop 3 1 roll just
+	1 and 0 gt {just 2 and 0 gt {exch pop neg fspc sub} {exch sub 0.5
+	mul neg} ifelse} {pop neg fspc add} ifelse exch Bline exch just 4
+	and 0 gt {just 8 and 0 gt {exch pop neg fspc sub} {add 0.5 mul neg}
+	ifelse} {pop neg fspc add} ifelse rotval rotate Kn currentpoint
+	translate /showflag 1 def /Bline 0 def /Tabs 0 array def /fscale
+	1.0 def /kY 0 def {dup type /stringtype eq {mshow} {dup type
+	/arraytype eq {exec} {12 string cvs mshow} ifelse} ifelse} repeat
+	grestore endclip} def
+/pinlabel { 4 index 32 and 0 ne hlevel 0 eq or { /pspc 10 def label
+	/pspc 0 def } { pop pop pop pop pop {pop} repeat } ifelse } def
+/pinglobal { pinlabel } def
+/infolabel { pinlabel } def
+/graphic { gsave 4 index cvx exec /DataSource get resetfile translate 0 0
+	moveto neg rotate dup scale cvx exec image grestore endclip} def
+
+/scb { setrgbcolor } bind def  /sce { defColor aload pop scb } bind def
+/cRedef {/defColor currentcolor 3 array astore def} def
+/begingate {dup type /dicttype ne {1 dict} if begin	% default params
+	dup type /dicttype ne {1 dict} if begin		% instanced params
+	/hlevel hlevel 1 add def /defColor currentcolor sce 3 array astore
+	def gsave sce translate 0 0 moveto neg rotate dup type /nametype
+	eq not { dup abs currentlinewidth exch div setlinewidth } { pop }
+	ifelse dup abs scale clipped 1 and 1 eq {/clipped clipped 1 add def}
+	if} bind def
+/endgate { /hlevel hlevel 1 sub def grestore defColor aload pop cRedef
+	scb end end endclip} bind def
+
+/hlevel 0 def
+/endclip {clipped 1 and 1 eq {grestore /clipped clipped 1 sub def} if} def
+/tmpa [1 0 0 1 0 0] def
+/gar {8 8 true tmpa {<c0 c0 00 00 0c 0c 00 00>} imagemask} bind
+{8 8 true tmpa {<30 70 60 02 03 07 06 20>} imagemask} bind
+{8 8 true tmpa {<0c 1e 1e 0c c0 e1 e1 c0>} imagemask} bind
+{8 8 true tmpa {<0f 0f 0f 0f f0 f0 f0 f0>} imagemask} bind
+{8 8 true tmpa {<3f f3 e1 e1 f3 3f 1e 1e>} imagemask} bind
+{8 8 true tmpa {<df cf 8f 9f fd fc f8 f9>} imagemask} bind
+{8 8 true tmpa {<ff 3f 3f ff ff f3 f3 ff>} imagemask} bind 7 array astore def
+/ppaint { gsave clip tmpa dup setmatrix pathbbox neg exch neg 4 2 roll
+  neg 4 -1 roll 2 copy gt {exch} if 8 div ceiling 8 mul 4 2 roll neg 2 copy
+  gt {exch} if 8 div ceiling 8 mul 3 -1 roll -8 5 -1 roll
+  { 3 index exch 5 exch put dup -8 3 index { 3 index
+  exch 4 exch put 3 index exec } for } for pop pop pop pop grestore } bind def
+/setstyles {
+  currentlinewidth mul setlinewidth /style exch def style 2048 and 0 gt
+  clipped 1 and 0 eq and {clip newpath /clipped clipped 1 add def} {
+  style 1 and 0 gt not {closepath} if
+  style 1024 and 0 gt {2 setlinecap} if
+  style 2 and 0 gt {currentlinewidth 4 mul dup 2 array astore 0 setdash} if
+  style 4 and 0 gt {0.5 currentlinewidth 4 mul 2 array astore 0 setdash} if
+  gsave style 16 and 0 gt { style 224 and -5 bitshift style 256 and 0 gt {
+  7 exch sub 8 div dup 1 exch sub currentrgbcolor 3 array astore
+  {3 copy mul add 4 1 roll pop} forall pop pop setrgbcolor eofill}
+  {dup 7 lt {gar exch get ppaint} {pop eofill} ifelse} ifelse}
+  {style 256 and 0 gt {1 setgray eofill} if} ifelse grestore style 8 and 0 gt 
+  style 512 eq or {newpath} {stroke} ifelse grestore endclip} ifelse} def     
+
+/addtoy {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll add
+	4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtoy1 {1 exch addtoy pop} def
+/addtoy3 {3 exch addtoy pop} def
+/addtoy4 {4 exch addtoy pop} def
+/addtox {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll 3 -1 roll
+	add exch 4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtox1 {1 exch addtox pop} def
+/addtox3 {3 exch addtox pop} def
+/addtox4 {4 exch addtox pop} def
+/polygon { gsave /num exch def moveto num 1 sub {lineto} repeat setstyles } def
+/xcarc   { gsave newpath arc setstyles } def
+/elb { matrix currentmatrix 7 -1 roll 7 -1 roll translate 5 1 roll 4 -1 roll
+	3 index div 1 scale } def
+/ele { 0 4 1 roll 0 4 1 roll } bind def
+/ellipse { gsave elb newpath ele arc setmatrix setstyles } def
+/pellip  { elb ele arc setmatrix } def
+/nellip  { elb ele arcn setmatrix } def
+/spline  { gsave moveto curveto setstyles } def
+/polyc   { {lineto} repeat } bind def
+/beginpath { gsave moveto } bind def
+/endpath { setstyles } bind def
+/bop	 { 1 setlinecap 0 setlinejoin 6 setmiterlimit 0 0 0 scb cRedef
+	/clipped 0 def} def
+/psinsertion {/PSobj save def /showpage {} def /setpagedevice {pop} def bop
+	rotate translate dup scale} def
+/end_insert {PSobj restore} def
+/setpagemat {/pagemat matrix currentmatrix def} def
+/inchscale  {setpagemat 0.375 mul dup scale} def
+/cmscale    {setpagemat 0.35433071 mul dup scale} def
+
+%%EndResource
+%%EndProlog
+
+% XCircuit output starts here.
+
+%%BeginSetup
+
+/analog::capacitor {
+begingate
+1 1.000 0 -64 0 -6 2 polygon
+1 1.000 0 64 0 6 2 polygon
+1 1.000 -32 6 32 6 2 polygon
+1 1.000 -32 -6 32 -6 2 polygon
+1.000 0.000 0.000 scb
+(c.1) {/Times-Roman cf} 2 9 0 1.000 0 64 pinlabel
+(c.2) {/Times-Roman cf} 2 13 0 1.000 0 -64 pinlabel
+0.180 0.545 0.341 scb
+(spice:C%i %pc.1 %pc.2 1.0P) {/Times-Roman cf} 2 0 0 1.000 -208 -160 infolabel
+(sim:c %pc.1 %pc.2) {/Times-Roman cf} 2 0 0 1.000 -208 -208 infolabel
+endgate
+} def
+
+
+%%EndSetup
+
+%%Page: 1 1
+%%PageOrientation: Portrait
+/pgsave save def bop
+1.0000 inchscale
+2.6000 setlinewidth 496 192 translate
+
+(IN1) {/Helvetica cf} 2 16 0 1.000 -288 16 label
+(GND) {/Helvetica cf} 2 16 0 1.000 -80 16 label
+0 1.000 -304 64 -304 0 -224 0 -224 64 4 polygon
+0 1.000 -96 64 -96 0 16 0 16 64 4 polygon
+1.000 270 -160 32 analog::capacitor
+pgsave restore showpage
+
+%%Trailer
+XCIRCsave restore
+%%EOF
diff --git a/doc/en/Docs-jr/schematics/measure-dc.png b/doc/en/Docs-jr/schematics/measure-dc.png
new file mode 100644
index 0000000..42f15ec
Binary files /dev/null and b/doc/en/Docs-jr/schematics/measure-dc.png differ
diff --git a/doc/en/Docs-jr/schematics/measure-dc.ps b/doc/en/Docs-jr/schematics/measure-dc.ps
new file mode 100644
index 0000000..99b09a3
--- /dev/null
+++ b/doc/en/Docs-jr/schematics/measure-dc.ps
@@ -0,0 +1,197 @@
+%!PS-Adobe-3.0 EPSF-3.0
+%%Title: measure-dc.ps
+%%Creator: XCircuit v3.7 rev26
+%%CreationDate: Mon Jul 16 07:59:49 2012
+%%Pages: 1
+%%BoundingBox: 68 68 238 100
+%%DocumentNeededResources: font Helvetica 
+%%EndComments
+%%BeginProlog
+%
+%  PostScript prolog for output from xcircuit
+%  Version: 3.7
+%
+%  Electrical circuit (and otherwise general) drawing program
+%
+%  Written by Tim Edwards 8/5/93--11/1/10  (tim.edwards at multigig.com)
+%  The Johns Hopkins University (1993-2004)
+%  MultiGiG, Inc. (2004-present)
+%
+%%BeginResource: procset XCIRCproc 3.7 2
+%
+% supporting definitions --- these are the primary xcircuit types.
+
+/XCIRCsave save def
+/topmat matrix currentmatrix def
+
+/fontslant { /slant exch def [1 0 slant 1 0 0] 
+    exch findfont exch makefont dup length dict /ndict exch def
+    { 1 index /FID ne { ndict 3 1 roll put } { pop pop } ifelse } forall
+    ndict definefont pop} def
+/ul { dup type /stringtype eq showflag 1 eq and { gsave 
+   currentpoint topmat setmatrix 0 0 moveto 2 index stringwidth pop (_)
+   false charpath flattenpath pathbbox grestore exch pop 1 index
+   sub setlinewidth exch pop currentpoint 3 -1 roll add moveto 0
+   rlineto stroke moveto } if } def
+/ol { dup type /stringtype eq showflag 1 eq and { gsave gsave
+   currentpoint topmat setmatrix 2 index stringwidth pop 3 index
+   true charpath flattenpath pathbbox grestore exch pop
+   exch pop topmat setmatrix (_) true charpath pathbbox grestore
+   exch pop 1 index sub setlinewidth exch pop currentpoint
+   exch 4 1 roll exch sub add moveto pop 0 rlineto stroke
+   moveto } if } def
+/stW { gsave currentpoint newpath moveto true charpath flattenpath
+	pathbbox pop exch pop sub grestore } def
+/Ts {mark Tabs aload pop counttomark 1 add array astore /Tabs exch def Tabs
+	0 currentpoint pop put} def
+/Tbn {mark Tabs aload pop counttomark dup 2 add 1 roll cleartomark 1 sub} def
+/Tb { 0 1 Tbn {Tabs exch get dup currentpoint pop lt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/Tf { Tbn -1 0 {Tabs exch get dup currentpoint pop gt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/qS { (aa) stW (a a) stW sub 4 div 0 Kn } def
+/hS { qS qS } def
+/pspc 0 def
+/cf0 { scalefont setfont } bind def
+/Kn { dup kY add /kY exch def rmoveto } bind def
+/ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.33 mul neg Kn} def
+/Ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.67 mul Kn } def
+/ns { 0 kY neg Kn /kY 0 def /fscale 1.0 def xfont0 1.0 cf0 } def
+/CR { ns 0 /Bline Bline fscale0 neg add def Bline moveto } def
+/cf { dup type /realtype ne {1.0} if exch findfont exch kY 0 eq
+	{ 40 mul dup /fscale0 exch def cf0 /xfont0 currentfont def}
+	{fscale0 mul fscale mul cf0} ifelse } def
+/ctmk { counttomark dup 2 add -1 roll pop } bind def
+/label { gsave translate 0 0 moveto dup scale neg /rotval exch def
+	/just exch def just 384 and 0 gt {/mshow {pop} def} {/mshow {show}
+	def} ifelse just 16 and 0 gt {gsave rotval rotate 0 1 dtransform
+	gsave pagemat setmatrix idtransform exch grestore 1 0 dtransform
+	gsave pagemat setmatrix idtransform exch grestore dup abs 1e-9 lt
+	{pop mul 0 gt} {3 1 roll pop pop 0 lt} ifelse grestore {-1 /rotval
+	rotval neg def /just just dup 3 and 1 ne {3 xor} if def} {1} ifelse
+	exch -1e-9 lt {-1 /rotval rotval neg def /just just dup 12 and
+	4 ne {12 xor} if def} {1} ifelse scale } if /showflag 0 def
+	/fspc pspc def /Bline 0 def /Tabs 0 array def /fscale 1.0 def
+	/kY 0 def gsave dup 1 add copy 0 exch 1 0 dtransform exch atan rotate
+	{exch dup type /stringtype eq {true charpath flattenpath} {dup type
+	/arraytype eq {exec} {12 string cvs true charpath flattenpath} ifelse}
+	ifelse} repeat pop pathbbox grestore 3 -1 roll pop 3 1 roll just
+	1 and 0 gt {just 2 and 0 gt {exch pop neg fspc sub} {exch sub 0.5
+	mul neg} ifelse} {pop neg fspc add} ifelse exch Bline exch just 4
+	and 0 gt {just 8 and 0 gt {exch pop neg fspc sub} {add 0.5 mul neg}
+	ifelse} {pop neg fspc add} ifelse rotval rotate Kn currentpoint
+	translate /showflag 1 def /Bline 0 def /Tabs 0 array def /fscale
+	1.0 def /kY 0 def {dup type /stringtype eq {mshow} {dup type
+	/arraytype eq {exec} {12 string cvs mshow} ifelse} ifelse} repeat
+	grestore endclip} def
+/pinlabel { 4 index 32 and 0 ne hlevel 0 eq or { /pspc 10 def label
+	/pspc 0 def } { pop pop pop pop pop {pop} repeat } ifelse } def
+/pinglobal { pinlabel } def
+/infolabel { pinlabel } def
+/graphic { gsave 4 index cvx exec /DataSource get resetfile translate 0 0
+	moveto neg rotate dup scale cvx exec image grestore endclip} def
+
+/scb { setrgbcolor } bind def  /sce { defColor aload pop scb } bind def
+/cRedef {/defColor currentcolor 3 array astore def} def
+/begingate {dup type /dicttype ne {1 dict} if begin	% default params
+	dup type /dicttype ne {1 dict} if begin		% instanced params
+	/hlevel hlevel 1 add def /defColor currentcolor sce 3 array astore
+	def gsave sce translate 0 0 moveto neg rotate dup type /nametype
+	eq not { dup abs currentlinewidth exch div setlinewidth } { pop }
+	ifelse dup abs scale clipped 1 and 1 eq {/clipped clipped 1 add def}
+	if} bind def
+/endgate { /hlevel hlevel 1 sub def grestore defColor aload pop cRedef
+	scb end end endclip} bind def
+
+/hlevel 0 def
+/endclip {clipped 1 and 1 eq {grestore /clipped clipped 1 sub def} if} def
+/tmpa [1 0 0 1 0 0] def
+/gar {8 8 true tmpa {<c0 c0 00 00 0c 0c 00 00>} imagemask} bind
+{8 8 true tmpa {<30 70 60 02 03 07 06 20>} imagemask} bind
+{8 8 true tmpa {<0c 1e 1e 0c c0 e1 e1 c0>} imagemask} bind
+{8 8 true tmpa {<0f 0f 0f 0f f0 f0 f0 f0>} imagemask} bind
+{8 8 true tmpa {<3f f3 e1 e1 f3 3f 1e 1e>} imagemask} bind
+{8 8 true tmpa {<df cf 8f 9f fd fc f8 f9>} imagemask} bind
+{8 8 true tmpa {<ff 3f 3f ff ff f3 f3 ff>} imagemask} bind 7 array astore def
+/ppaint { gsave clip tmpa dup setmatrix pathbbox neg exch neg 4 2 roll
+  neg 4 -1 roll 2 copy gt {exch} if 8 div ceiling 8 mul 4 2 roll neg 2 copy
+  gt {exch} if 8 div ceiling 8 mul 3 -1 roll -8 5 -1 roll
+  { 3 index exch 5 exch put dup -8 3 index { 3 index
+  exch 4 exch put 3 index exec } for } for pop pop pop pop grestore } bind def
+/setstyles {
+  currentlinewidth mul setlinewidth /style exch def style 2048 and 0 gt
+  clipped 1 and 0 eq and {clip newpath /clipped clipped 1 add def} {
+  style 1 and 0 gt not {closepath} if
+  style 1024 and 0 gt {2 setlinecap} if
+  style 2 and 0 gt {currentlinewidth 4 mul dup 2 array astore 0 setdash} if
+  style 4 and 0 gt {0.5 currentlinewidth 4 mul 2 array astore 0 setdash} if
+  gsave style 16 and 0 gt { style 224 and -5 bitshift style 256 and 0 gt {
+  7 exch sub 8 div dup 1 exch sub currentrgbcolor 3 array astore
+  {3 copy mul add 4 1 roll pop} forall pop pop setrgbcolor eofill}
+  {dup 7 lt {gar exch get ppaint} {pop eofill} ifelse} ifelse}
+  {style 256 and 0 gt {1 setgray eofill} if} ifelse grestore style 8 and 0 gt 
+  style 512 eq or {newpath} {stroke} ifelse grestore endclip} ifelse} def     
+
+/addtoy {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll add
+	4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtoy1 {1 exch addtoy pop} def
+/addtoy3 {3 exch addtoy pop} def
+/addtoy4 {4 exch addtoy pop} def
+/addtox {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll 3 -1 roll
+	add exch 4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtox1 {1 exch addtox pop} def
+/addtox3 {3 exch addtox pop} def
+/addtox4 {4 exch addtox pop} def
+/polygon { gsave /num exch def moveto num 1 sub {lineto} repeat setstyles } def
+/xcarc   { gsave newpath arc setstyles } def
+/elb { matrix currentmatrix 7 -1 roll 7 -1 roll translate 5 1 roll 4 -1 roll
+	3 index div 1 scale } def
+/ele { 0 4 1 roll 0 4 1 roll } bind def
+/ellipse { gsave elb newpath ele arc setmatrix setstyles } def
+/pellip  { elb ele arc setmatrix } def
+/nellip  { elb ele arcn setmatrix } def
+/spline  { gsave moveto curveto setstyles } def
+/polyc   { {lineto} repeat } bind def
+/beginpath { gsave moveto } bind def
+/endpath { setstyles } bind def
+/bop	 { 1 setlinecap 0 setlinejoin 6 setmiterlimit 0 0 0 scb cRedef
+	/clipped 0 def} def
+/psinsertion {/PSobj save def /showpage {} def /setpagedevice {pop} def bop
+	rotate translate dup scale} def
+/end_insert {PSobj restore} def
+/setpagemat {/pagemat matrix currentmatrix def} def
+/inchscale  {setpagemat 0.375 mul dup scale} def
+/cmscale    {setpagemat 0.35433071 mul dup scale} def
+
+%%EndResource
+%%EndProlog
+
+% XCircuit output starts here.
+
+%%BeginSetup
+
+
+%%EndSetup
+
+%%Page: measure-dc 1
+%%PageOrientation: Portrait
+/pgsave save def bop
+1.0000 inchscale
+2.6000 setlinewidth 624 -272 translate
+
+0 1.000 -272 528 -272 464 -128 464 -128 528 4 polygon
+0 1.000 -128 512 -128 480 -112 480 -112 512 4 polygon
+0 1.000 -432 528 -432 464 -320 464 -320 528 4 polygon
+(A1) {/Helvetica cf} 2 16 0 1.000 -64 480 label
+0 1.000 -80 528 -80 464 0 464 0 528 4 polygon
+1 1.000 -80 496 -112 496 2 polygon
+1 1.000 -272 496 -320 496 2 polygon
+(cell) {/Helvetica cf} 2 16 0 1.000 -224 480 label
+(GND) {/Helvetica cf} 2 16 0 1.000 -416 480 label
+pgsave restore showpage
+
+%%Trailer
+XCIRCsave restore
+%%EOF
diff --git a/doc/en/Docs-jr/schematics/measurecap.png b/doc/en/Docs-jr/schematics/measurecap.png
new file mode 100644
index 0000000..f811820
Binary files /dev/null and b/doc/en/Docs-jr/schematics/measurecap.png differ
diff --git a/doc/en/Docs-jr/schematics/measurecap.ps b/doc/en/Docs-jr/schematics/measurecap.ps
new file mode 100644
index 0000000..df3d674
--- /dev/null
+++ b/doc/en/Docs-jr/schematics/measurecap.ps
@@ -0,0 +1,208 @@
+%!PS-Adobe-3.0 EPSF-3.0
+%%Title: measurecap
+%%Creator: XCircuit v3.7 rev26
+%%CreationDate: Thu Jul 19 05:51:43 2012
+%%Pages: 1
+%%BoundingBox: 68 68 196 100
+%%DocumentNeededResources: font Helvetica Times-Roman 
+%%EndComments
+%%BeginProlog
+%
+%  PostScript prolog for output from xcircuit
+%  Version: 3.7
+%
+%  Electrical circuit (and otherwise general) drawing program
+%
+%  Written by Tim Edwards 8/5/93--11/1/10  (tim.edwards at multigig.com)
+%  The Johns Hopkins University (1993-2004)
+%  MultiGiG, Inc. (2004-present)
+%
+%%BeginResource: procset XCIRCproc 3.7 2
+%
+% supporting definitions --- these are the primary xcircuit types.
+
+/XCIRCsave save def
+/topmat matrix currentmatrix def
+
+/fontslant { /slant exch def [1 0 slant 1 0 0] 
+    exch findfont exch makefont dup length dict /ndict exch def
+    { 1 index /FID ne { ndict 3 1 roll put } { pop pop } ifelse } forall
+    ndict definefont pop} def
+/ul { dup type /stringtype eq showflag 1 eq and { gsave 
+   currentpoint topmat setmatrix 0 0 moveto 2 index stringwidth pop (_)
+   false charpath flattenpath pathbbox grestore exch pop 1 index
+   sub setlinewidth exch pop currentpoint 3 -1 roll add moveto 0
+   rlineto stroke moveto } if } def
+/ol { dup type /stringtype eq showflag 1 eq and { gsave gsave
+   currentpoint topmat setmatrix 2 index stringwidth pop 3 index
+   true charpath flattenpath pathbbox grestore exch pop
+   exch pop topmat setmatrix (_) true charpath pathbbox grestore
+   exch pop 1 index sub setlinewidth exch pop currentpoint
+   exch 4 1 roll exch sub add moveto pop 0 rlineto stroke
+   moveto } if } def
+/stW { gsave currentpoint newpath moveto true charpath flattenpath
+	pathbbox pop exch pop sub grestore } def
+/Ts {mark Tabs aload pop counttomark 1 add array astore /Tabs exch def Tabs
+	0 currentpoint pop put} def
+/Tbn {mark Tabs aload pop counttomark dup 2 add 1 roll cleartomark 1 sub} def
+/Tb { 0 1 Tbn {Tabs exch get dup currentpoint pop lt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/Tf { Tbn -1 0 {Tabs exch get dup currentpoint pop gt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/qS { (aa) stW (a a) stW sub 4 div 0 Kn } def
+/hS { qS qS } def
+/pspc 0 def
+/cf0 { scalefont setfont } bind def
+/Kn { dup kY add /kY exch def rmoveto } bind def
+/ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.33 mul neg Kn} def
+/Ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.67 mul Kn } def
+/ns { 0 kY neg Kn /kY 0 def /fscale 1.0 def xfont0 1.0 cf0 } def
+/CR { ns 0 /Bline Bline fscale0 neg add def Bline moveto } def
+/cf { dup type /realtype ne {1.0} if exch findfont exch kY 0 eq
+	{ 40 mul dup /fscale0 exch def cf0 /xfont0 currentfont def}
+	{fscale0 mul fscale mul cf0} ifelse } def
+/ctmk { counttomark dup 2 add -1 roll pop } bind def
+/label { gsave translate 0 0 moveto dup scale neg /rotval exch def
+	/just exch def just 384 and 0 gt {/mshow {pop} def} {/mshow {show}
+	def} ifelse just 16 and 0 gt {gsave rotval rotate 0 1 dtransform
+	gsave pagemat setmatrix idtransform exch grestore 1 0 dtransform
+	gsave pagemat setmatrix idtransform exch grestore dup abs 1e-9 lt
+	{pop mul 0 gt} {3 1 roll pop pop 0 lt} ifelse grestore {-1 /rotval
+	rotval neg def /just just dup 3 and 1 ne {3 xor} if def} {1} ifelse
+	exch -1e-9 lt {-1 /rotval rotval neg def /just just dup 12 and
+	4 ne {12 xor} if def} {1} ifelse scale } if /showflag 0 def
+	/fspc pspc def /Bline 0 def /Tabs 0 array def /fscale 1.0 def
+	/kY 0 def gsave dup 1 add copy 0 exch 1 0 dtransform exch atan rotate
+	{exch dup type /stringtype eq {true charpath flattenpath} {dup type
+	/arraytype eq {exec} {12 string cvs true charpath flattenpath} ifelse}
+	ifelse} repeat pop pathbbox grestore 3 -1 roll pop 3 1 roll just
+	1 and 0 gt {just 2 and 0 gt {exch pop neg fspc sub} {exch sub 0.5
+	mul neg} ifelse} {pop neg fspc add} ifelse exch Bline exch just 4
+	and 0 gt {just 8 and 0 gt {exch pop neg fspc sub} {add 0.5 mul neg}
+	ifelse} {pop neg fspc add} ifelse rotval rotate Kn currentpoint
+	translate /showflag 1 def /Bline 0 def /Tabs 0 array def /fscale
+	1.0 def /kY 0 def {dup type /stringtype eq {mshow} {dup type
+	/arraytype eq {exec} {12 string cvs mshow} ifelse} ifelse} repeat
+	grestore endclip} def
+/pinlabel { 4 index 32 and 0 ne hlevel 0 eq or { /pspc 10 def label
+	/pspc 0 def } { pop pop pop pop pop {pop} repeat } ifelse } def
+/pinglobal { pinlabel } def
+/infolabel { pinlabel } def
+/graphic { gsave 4 index cvx exec /DataSource get resetfile translate 0 0
+	moveto neg rotate dup scale cvx exec image grestore endclip} def
+
+/scb { setrgbcolor } bind def  /sce { defColor aload pop scb } bind def
+/cRedef {/defColor currentcolor 3 array astore def} def
+/begingate {dup type /dicttype ne {1 dict} if begin	% default params
+	dup type /dicttype ne {1 dict} if begin		% instanced params
+	/hlevel hlevel 1 add def /defColor currentcolor sce 3 array astore
+	def gsave sce translate 0 0 moveto neg rotate dup type /nametype
+	eq not { dup abs currentlinewidth exch div setlinewidth } { pop }
+	ifelse dup abs scale clipped 1 and 1 eq {/clipped clipped 1 add def}
+	if} bind def
+/endgate { /hlevel hlevel 1 sub def grestore defColor aload pop cRedef
+	scb end end endclip} bind def
+
+/hlevel 0 def
+/endclip {clipped 1 and 1 eq {grestore /clipped clipped 1 sub def} if} def
+/tmpa [1 0 0 1 0 0] def
+/gar {8 8 true tmpa {<c0 c0 00 00 0c 0c 00 00>} imagemask} bind
+{8 8 true tmpa {<30 70 60 02 03 07 06 20>} imagemask} bind
+{8 8 true tmpa {<0c 1e 1e 0c c0 e1 e1 c0>} imagemask} bind
+{8 8 true tmpa {<0f 0f 0f 0f f0 f0 f0 f0>} imagemask} bind
+{8 8 true tmpa {<3f f3 e1 e1 f3 3f 1e 1e>} imagemask} bind
+{8 8 true tmpa {<df cf 8f 9f fd fc f8 f9>} imagemask} bind
+{8 8 true tmpa {<ff 3f 3f ff ff f3 f3 ff>} imagemask} bind 7 array astore def
+/ppaint { gsave clip tmpa dup setmatrix pathbbox neg exch neg 4 2 roll
+  neg 4 -1 roll 2 copy gt {exch} if 8 div ceiling 8 mul 4 2 roll neg 2 copy
+  gt {exch} if 8 div ceiling 8 mul 3 -1 roll -8 5 -1 roll
+  { 3 index exch 5 exch put dup -8 3 index { 3 index
+  exch 4 exch put 3 index exec } for } for pop pop pop pop grestore } bind def
+/setstyles {
+  currentlinewidth mul setlinewidth /style exch def style 2048 and 0 gt
+  clipped 1 and 0 eq and {clip newpath /clipped clipped 1 add def} {
+  style 1 and 0 gt not {closepath} if
+  style 1024 and 0 gt {2 setlinecap} if
+  style 2 and 0 gt {currentlinewidth 4 mul dup 2 array astore 0 setdash} if
+  style 4 and 0 gt {0.5 currentlinewidth 4 mul 2 array astore 0 setdash} if
+  gsave style 16 and 0 gt { style 224 and -5 bitshift style 256 and 0 gt {
+  7 exch sub 8 div dup 1 exch sub currentrgbcolor 3 array astore
+  {3 copy mul add 4 1 roll pop} forall pop pop setrgbcolor eofill}
+  {dup 7 lt {gar exch get ppaint} {pop eofill} ifelse} ifelse}
+  {style 256 and 0 gt {1 setgray eofill} if} ifelse grestore style 8 and 0 gt 
+  style 512 eq or {newpath} {stroke} ifelse grestore endclip} ifelse} def     
+
+/addtoy {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll add
+	4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtoy1 {1 exch addtoy pop} def
+/addtoy3 {3 exch addtoy pop} def
+/addtoy4 {4 exch addtoy pop} def
+/addtox {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll 3 -1 roll
+	add exch 4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtox1 {1 exch addtox pop} def
+/addtox3 {3 exch addtox pop} def
+/addtox4 {4 exch addtox pop} def
+/polygon { gsave /num exch def moveto num 1 sub {lineto} repeat setstyles } def
+/xcarc   { gsave newpath arc setstyles } def
+/elb { matrix currentmatrix 7 -1 roll 7 -1 roll translate 5 1 roll 4 -1 roll
+	3 index div 1 scale } def
+/ele { 0 4 1 roll 0 4 1 roll } bind def
+/ellipse { gsave elb newpath ele arc setmatrix setstyles } def
+/pellip  { elb ele arc setmatrix } def
+/nellip  { elb ele arcn setmatrix } def
+/spline  { gsave moveto curveto setstyles } def
+/polyc   { {lineto} repeat } bind def
+/beginpath { gsave moveto } bind def
+/endpath { setstyles } bind def
+/bop	 { 1 setlinecap 0 setlinejoin 6 setmiterlimit 0 0 0 scb cRedef
+	/clipped 0 def} def
+/psinsertion {/PSobj save def /showpage {} def /setpagedevice {pop} def bop
+	rotate translate dup scale} def
+/end_insert {PSobj restore} def
+/setpagemat {/pagemat matrix currentmatrix def} def
+/inchscale  {setpagemat 0.375 mul dup scale} def
+/cmscale    {setpagemat 0.35433071 mul dup scale} def
+
+%%EndResource
+%%EndProlog
+
+% XCircuit output starts here.
+
+%%BeginSetup
+
+/analog::capacitor {
+begingate
+1 1.000 0 -64 0 -6 2 polygon
+1 1.000 0 64 0 6 2 polygon
+1 1.000 -32 6 32 6 2 polygon
+1 1.000 -32 -6 32 -6 2 polygon
+1.000 0.000 0.000 scb
+(c.1) {/Times-Roman cf} 2 9 0 1.000 0 64 pinlabel
+(c.2) {/Times-Roman cf} 2 13 0 1.000 0 -64 pinlabel
+0.180 0.545 0.341 scb
+(spice:C%i %pc.1 %pc.2 1.0P) {/Times-Roman cf} 2 0 0 1.000 -208 -160 infolabel
+(sim:c %pc.1 %pc.2) {/Times-Roman cf} 2 0 0 1.000 -208 -208 infolabel
+endgate
+} def
+
+
+%%EndSetup
+
+%%Page: 1 1
+%%PageOrientation: Portrait
+/pgsave save def bop
+1.0000 inchscale
+2.6000 setlinewidth 288 192 translate
+
+1.000 90 48 32 analog::capacitor
+(IN1) {/Helvetica cf} 2 16 0 1.000 -80 16 label
+(GND) {/Helvetica cf} 2 16 0 1.000 128 16 label
+0 1.000 -96 64 -96 0 -16 0 -16 64 4 polygon
+0 1.000 112 64 112 0 224 0 224 64 4 polygon
+pgsave restore showpage
+
+%%Trailer
+XCIRCsave restore
+%%EOF
diff --git a/doc/en/Docs-jr/schematics/mono555.png b/doc/en/Docs-jr/schematics/mono555.png
new file mode 100644
index 0000000..dd595b2
Binary files /dev/null and b/doc/en/Docs-jr/schematics/mono555.png differ
diff --git a/doc/en/Docs-jr/schematics/mono555.ps b/doc/en/Docs-jr/schematics/mono555.ps
new file mode 100644
index 0000000..b27d690
--- /dev/null
+++ b/doc/en/Docs-jr/schematics/mono555.ps
@@ -0,0 +1,283 @@
+%!PS-Adobe-3.0 EPSF-3.0
+%%Title: mono555.ps
+%%Creator: XCircuit v3.7 rev26
+%%CreationDate: Fri Aug  3 16:22:22 2012
+%%Pages: 1
+%%BoundingBox: 68 68 436 472
+%%DocumentNeededResources: font Helvetica Times-Roman 
+%%EndComments
+%%BeginProlog
+%
+%  PostScript prolog for output from xcircuit
+%  Version: 3.7
+%
+%  Electrical circuit (and otherwise general) drawing program
+%
+%  Written by Tim Edwards 8/5/93--11/1/10  (tim.edwards at multigig.com)
+%  The Johns Hopkins University (1993-2004)
+%  MultiGiG, Inc. (2004-present)
+%
+%%BeginResource: procset XCIRCproc 3.7 2
+%
+% supporting definitions --- these are the primary xcircuit types.
+
+/XCIRCsave save def
+/topmat matrix currentmatrix def
+
+/fontslant { /slant exch def [1 0 slant 1 0 0] 
+    exch findfont exch makefont dup length dict /ndict exch def
+    { 1 index /FID ne { ndict 3 1 roll put } { pop pop } ifelse } forall
+    ndict definefont pop} def
+/ul { dup type /stringtype eq showflag 1 eq and { gsave 
+   currentpoint topmat setmatrix 0 0 moveto 2 index stringwidth pop (_)
+   false charpath flattenpath pathbbox grestore exch pop 1 index
+   sub setlinewidth exch pop currentpoint 3 -1 roll add moveto 0
+   rlineto stroke moveto } if } def
+/ol { dup type /stringtype eq showflag 1 eq and { gsave gsave
+   currentpoint topmat setmatrix 2 index stringwidth pop 3 index
+   true charpath flattenpath pathbbox grestore exch pop
+   exch pop topmat setmatrix (_) true charpath pathbbox grestore
+   exch pop 1 index sub setlinewidth exch pop currentpoint
+   exch 4 1 roll exch sub add moveto pop 0 rlineto stroke
+   moveto } if } def
+/stW { gsave currentpoint newpath moveto true charpath flattenpath
+	pathbbox pop exch pop sub grestore } def
+/Ts {mark Tabs aload pop counttomark 1 add array astore /Tabs exch def Tabs
+	0 currentpoint pop put} def
+/Tbn {mark Tabs aload pop counttomark dup 2 add 1 roll cleartomark 1 sub} def
+/Tb { 0 1 Tbn {Tabs exch get dup currentpoint pop lt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/Tf { Tbn -1 0 {Tabs exch get dup currentpoint pop gt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/qS { (aa) stW (a a) stW sub 4 div 0 Kn } def
+/hS { qS qS } def
+/pspc 0 def
+/cf0 { scalefont setfont } bind def
+/Kn { dup kY add /kY exch def rmoveto } bind def
+/ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.33 mul neg Kn} def
+/Ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.67 mul Kn } def
+/ns { 0 kY neg Kn /kY 0 def /fscale 1.0 def xfont0 1.0 cf0 } def
+/CR { ns 0 /Bline Bline fscale0 neg add def Bline moveto } def
+/cf { dup type /realtype ne {1.0} if exch findfont exch kY 0 eq
+	{ 40 mul dup /fscale0 exch def cf0 /xfont0 currentfont def}
+	{fscale0 mul fscale mul cf0} ifelse } def
+/ctmk { counttomark dup 2 add -1 roll pop } bind def
+/label { gsave translate 0 0 moveto dup scale neg /rotval exch def
+	/just exch def just 384 and 0 gt {/mshow {pop} def} {/mshow {show}
+	def} ifelse just 16 and 0 gt {gsave rotval rotate 0 1 dtransform
+	gsave pagemat setmatrix idtransform exch grestore 1 0 dtransform
+	gsave pagemat setmatrix idtransform exch grestore dup abs 1e-9 lt
+	{pop mul 0 gt} {3 1 roll pop pop 0 lt} ifelse grestore {-1 /rotval
+	rotval neg def /just just dup 3 and 1 ne {3 xor} if def} {1} ifelse
+	exch -1e-9 lt {-1 /rotval rotval neg def /just just dup 12 and
+	4 ne {12 xor} if def} {1} ifelse scale } if /showflag 0 def
+	/fspc pspc def /Bline 0 def /Tabs 0 array def /fscale 1.0 def
+	/kY 0 def gsave dup 1 add copy 0 exch 1 0 dtransform exch atan rotate
+	{exch dup type /stringtype eq {true charpath flattenpath} {dup type
+	/arraytype eq {exec} {12 string cvs true charpath flattenpath} ifelse}
+	ifelse} repeat pop pathbbox grestore 3 -1 roll pop 3 1 roll just
+	1 and 0 gt {just 2 and 0 gt {exch pop neg fspc sub} {exch sub 0.5
+	mul neg} ifelse} {pop neg fspc add} ifelse exch Bline exch just 4
+	and 0 gt {just 8 and 0 gt {exch pop neg fspc sub} {add 0.5 mul neg}
+	ifelse} {pop neg fspc add} ifelse rotval rotate Kn currentpoint
+	translate /showflag 1 def /Bline 0 def /Tabs 0 array def /fscale
+	1.0 def /kY 0 def {dup type /stringtype eq {mshow} {dup type
+	/arraytype eq {exec} {12 string cvs mshow} ifelse} ifelse} repeat
+	grestore endclip} def
+/pinlabel { 4 index 32 and 0 ne hlevel 0 eq or { /pspc 10 def label
+	/pspc 0 def } { pop pop pop pop pop {pop} repeat } ifelse } def
+/pinglobal { pinlabel } def
+/infolabel { pinlabel } def
+/graphic { gsave 4 index cvx exec /DataSource get resetfile translate 0 0
+	moveto neg rotate dup scale cvx exec image grestore endclip} def
+
+/scb { setrgbcolor } bind def  /sce { defColor aload pop scb } bind def
+/cRedef {/defColor currentcolor 3 array astore def} def
+/begingate {dup type /dicttype ne {1 dict} if begin	% default params
+	dup type /dicttype ne {1 dict} if begin		% instanced params
+	/hlevel hlevel 1 add def /defColor currentcolor sce 3 array astore
+	def gsave sce translate 0 0 moveto neg rotate dup type /nametype
+	eq not { dup abs currentlinewidth exch div setlinewidth } { pop }
+	ifelse dup abs scale clipped 1 and 1 eq {/clipped clipped 1 add def}
+	if} bind def
+/endgate { /hlevel hlevel 1 sub def grestore defColor aload pop cRedef
+	scb end end endclip} bind def
+
+/hlevel 0 def
+/endclip {clipped 1 and 1 eq {grestore /clipped clipped 1 sub def} if} def
+/tmpa [1 0 0 1 0 0] def
+/gar {8 8 true tmpa {<c0 c0 00 00 0c 0c 00 00>} imagemask} bind
+{8 8 true tmpa {<30 70 60 02 03 07 06 20>} imagemask} bind
+{8 8 true tmpa {<0c 1e 1e 0c c0 e1 e1 c0>} imagemask} bind
+{8 8 true tmpa {<0f 0f 0f 0f f0 f0 f0 f0>} imagemask} bind
+{8 8 true tmpa {<3f f3 e1 e1 f3 3f 1e 1e>} imagemask} bind
+{8 8 true tmpa {<df cf 8f 9f fd fc f8 f9>} imagemask} bind
+{8 8 true tmpa {<ff 3f 3f ff ff f3 f3 ff>} imagemask} bind 7 array astore def
+/ppaint { gsave clip tmpa dup setmatrix pathbbox neg exch neg 4 2 roll
+  neg 4 -1 roll 2 copy gt {exch} if 8 div ceiling 8 mul 4 2 roll neg 2 copy
+  gt {exch} if 8 div ceiling 8 mul 3 -1 roll -8 5 -1 roll
+  { 3 index exch 5 exch put dup -8 3 index { 3 index
+  exch 4 exch put 3 index exec } for } for pop pop pop pop grestore } bind def
+/setstyles {
+  currentlinewidth mul setlinewidth /style exch def style 2048 and 0 gt
+  clipped 1 and 0 eq and {clip newpath /clipped clipped 1 add def} {
+  style 1 and 0 gt not {closepath} if
+  style 1024 and 0 gt {2 setlinecap} if
+  style 2 and 0 gt {currentlinewidth 4 mul dup 2 array astore 0 setdash} if
+  style 4 and 0 gt {0.5 currentlinewidth 4 mul 2 array astore 0 setdash} if
+  gsave style 16 and 0 gt { style 224 and -5 bitshift style 256 and 0 gt {
+  7 exch sub 8 div dup 1 exch sub currentrgbcolor 3 array astore
+  {3 copy mul add 4 1 roll pop} forall pop pop setrgbcolor eofill}
+  {dup 7 lt {gar exch get ppaint} {pop eofill} ifelse} ifelse}
+  {style 256 and 0 gt {1 setgray eofill} if} ifelse grestore style 8 and 0 gt 
+  style 512 eq or {newpath} {stroke} ifelse grestore endclip} ifelse} def     
+
+/addtoy {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll add
+	4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtoy1 {1 exch addtoy pop} def
+/addtoy3 {3 exch addtoy pop} def
+/addtoy4 {4 exch addtoy pop} def
+/addtox {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll 3 -1 roll
+	add exch 4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtox1 {1 exch addtox pop} def
+/addtox3 {3 exch addtox pop} def
+/addtox4 {4 exch addtox pop} def
+/polygon { gsave /num exch def moveto num 1 sub {lineto} repeat setstyles } def
+/xcarc   { gsave newpath arc setstyles } def
+/elb { matrix currentmatrix 7 -1 roll 7 -1 roll translate 5 1 roll 4 -1 roll
+	3 index div 1 scale } def
+/ele { 0 4 1 roll 0 4 1 roll } bind def
+/ellipse { gsave elb newpath ele arc setmatrix setstyles } def
+/pellip  { elb ele arc setmatrix } def
+/nellip  { elb ele arcn setmatrix } def
+/spline  { gsave moveto curveto setstyles } def
+/polyc   { {lineto} repeat } bind def
+/beginpath { gsave moveto } bind def
+/endpath { setstyles } bind def
+/bop	 { 1 setlinecap 0 setlinejoin 6 setmiterlimit 0 0 0 scb cRedef
+	/clipped 0 def} def
+/psinsertion {/PSobj save def /showpage {} def /setpagedevice {pop} def bop
+	rotate translate dup scale} def
+/end_insert {PSobj restore} def
+/setpagemat {/pagemat matrix currentmatrix def} def
+/inchscale  {setpagemat 0.375 mul dup scale} def
+/cmscale    {setpagemat 0.35433071 mul dup scale} def
+
+%%EndResource
+%%EndProlog
+
+% XCircuit output starts here.
+
+%%BeginSetup
+
+/analog::resistor {
+begingate
+1 1.000 0 64 0 36 2 polygon
+1 1.000 0 -64 0 -36 2 polygon
+1 1.000 0 -36 14 -30 -14 -18 14 -6 -14 6 14 18 -14 30 0 36 8 polygon
+1.000 0.000 0.000 scb
+(r.1) {/Times-Roman cf} 2 9 0 1.000 0 64 pinlabel
+(r.2) {/Times-Roman cf} 2 13 0 1.000 0 -64 pinlabel
+0.180 0.545 0.341 scb
+(spice:R%i %pr.1 %pr.2 1.0K) {/Times-Roman cf} 2 0 0 1.000 -208 -160 infolabel
+(sim:r %pr.1 %pr.2) {/Times-Roman cf} 2 0 0 1.000 -208 -208 infolabel
+endgate
+} def
+
+/analog::capacitor {
+begingate
+1 1.000 0 -64 0 -6 2 polygon
+1 1.000 0 64 0 6 2 polygon
+1 1.000 -32 6 32 6 2 polygon
+1 1.000 -32 -6 32 -6 2 polygon
+1.000 0.000 0.000 scb
+(c.1) {/Times-Roman cf} 2 9 0 1.000 0 64 pinlabel
+(c.2) {/Times-Roman cf} 2 13 0 1.000 0 -64 pinlabel
+0.180 0.545 0.341 scb
+(spice:C%i %pc.1 %pc.2 1.0P) {/Times-Roman cf} 2 0 0 1.000 -208 -160 infolabel
+(sim:c %pc.1 %pc.2) {/Times-Roman cf} 2 0 0 1.000 -208 -208 infolabel
+endgate
+} def
+
+/generic::arrowhead {
+% nonetwork
+begingate
+8 -28 beginpath
+3 -18 3 -15 0 0 curveto
+-3 -15 -3 -18 -8 -28 curveto
+-2 -26 2 -26 8 -28 curveto
+249 
+1.000 endpath
+endgate
+} def
+
+
+%%EndSetup
+
+%%Page: osc555 1
+%%PageOrientation: Portrait
+/pgsave save def bop
+1.0000 inchscale
+2.6000 setlinewidth 512 464 translate
+
+1.000 0 -192 80 analog::resistor
+1.000 0 -192 -112 analog::capacitor
+0 1.000 -96 160 -96 -112 112 -112 112 160 4 polygon
+(3) {/Helvetica cf} 2 16 0 1.000 80 64 label
+{/Helvetica cf} 1 16 0 1.000 640 784 label
+{/Helvetica cf} 1 16 0 1.000 640 784 label
+{/Helvetica cf} 1 16 0 1.000 -256 208 label
+{/Helvetica cf} 1 16 0 1.000 -256 208 label
+{/Helvetica cf} 1 16 0 1.000 544 160 label
+{/Helvetica cf} 1 16 0 1.000 544 160 label
+{/Helvetica cf} 1 16 0 1.000 544 160 label
+{/Helvetica cf} 1 16 0 1.000 544 160 label
+{/Helvetica cf} 1 16 0 1.000 544 160 label
+1.000 0 -32 -176 analog::capacitor
+(8) {/Helvetica cf} 2 16 0 1.000 -48 128 label
+(4) {/Helvetica cf} 2 16 0 1.000 48 128 label
+(7) {/Helvetica cf} 2 16 0 1.000 -80 64 label
+(6) {/Helvetica cf} 2 16 0 1.000 -80 -32 label
+(2) {/Helvetica cf} 2 16 0 1.000 80 -48 label
+(5) {/Helvetica cf} 2 16 0 1.000 -32 -96 label
+(1) {/Helvetica cf} 2 16 0 1.000 64 -96 label
+1 1.000 -192 128 -144 128 -144 80 -96 80 4 polygon
+1 1.000 -192 -176 -192 -240 -32 -240 80 -240 80 -112 5 polygon
+1 1.000 -32 160 -32 192 64 192 64 160 4 polygon
+1.000 270 -80 288 analog::resistor
+1.000 0 -192 208 analog::resistor
+1 1.000 -144 288 -192 288 -192 256 3 polygon
+1.000 90 -208 208 generic::arrowhead
+1 1.000 -240 208 -256 208 -256 144 -192 144 4 polygon
+1 1.000 -16 288 -16 192 2 polygon
+1 1.000 64 192 192 192 2 polygon
+1 1.000 112 80 224 80 2 polygon
+1 1.000 80 -160 224 -160 2 polygon
+(OD1) {/Helvetica cf} 2 16 0 1.000 224 -48 label
+(IN1) {/Helvetica cf} 2 16 0 1.000 240 64 label
+(GND) {/Helvetica cf} 2 16 0 1.000 240 -176 label
+0 1.000 192 224 192 160 320 160 320 224 4 polygon
+0 1.000 224 112 224 48 304 48 304 112 4 polygon
+0 1.000 224 -128 224 -192 336 -192 336 -128 4 polygon
+{/Helvetica cf} 1 16 15 1.000 -256 64 label
+(R2) {/Helvetica cf} 2 16 0 1.000 -272 80 label
+(R1) {/Helvetica cf} 2 16 0 1.000 -256 240 label
+(.1uF) {/Helvetica cf} 2 16 0 1.000 -176 -160 label
+(.01uF) {/Helvetica cf} 2 16 0 1.000 -128 -224 label
+(555) {/Helvetica cf} 2 16 0 1.000 -32 0 label
+(4.7K) {/Helvetica cf} 2 16 0 1.000 -288 32 label
+(10K) {/Helvetica cf} 2 16 0 1.000 -176 192 label
+(100) {/Helvetica cf} 2 16 0 1.000 -112 240 label
+0 1.000 -320 320 -320 -272 352 -272 352 320 4 polygon
+1 1.000 -192 16 -192 -48 2 polygon
+1 1.000 -96 -16 -192 -16 2 polygon
+(SQR2) {/Helvetica cf} 2 16 0 1.000 208 176 label
+0 1.000 208 0 208 -64 304 -64 304 0 4 polygon
+1 1.000 208 -32 112 -32 2 polygon
+pgsave restore showpage
+
+%%Trailer
+XCIRCsave restore
+%%EOF
diff --git a/doc/en/Docs-jr/schematics/motor-rpm.png b/doc/en/Docs-jr/schematics/motor-rpm.png
new file mode 100644
index 0000000..dec6721
Binary files /dev/null and b/doc/en/Docs-jr/schematics/motor-rpm.png differ
diff --git a/doc/en/Docs-jr/schematics/motor-rpm.ps b/doc/en/Docs-jr/schematics/motor-rpm.ps
new file mode 100644
index 0000000..42a5171
--- /dev/null
+++ b/doc/en/Docs-jr/schematics/motor-rpm.ps
@@ -0,0 +1,253 @@
+%!PS-Adobe-3.0 EPSF-3.0
+%%Title: stroboscope.ps
+%%Creator: XCircuit v3.7 rev26
+%%CreationDate: Sat Jul 28 15:39:33 2012
+%%Pages: 1
+%%BoundingBox: 68 68 310 148
+%%DocumentNeededResources: font Helvetica Times-Roman 
+%%EndComments
+%%BeginProlog
+%
+%  PostScript prolog for output from xcircuit
+%  Version: 3.7
+%
+%  Electrical circuit (and otherwise general) drawing program
+%
+%  Written by Tim Edwards 8/5/93--11/1/10  (tim.edwards at multigig.com)
+%  The Johns Hopkins University (1993-2004)
+%  MultiGiG, Inc. (2004-present)
+%
+%%BeginResource: procset XCIRCproc 3.7 2
+%
+% supporting definitions --- these are the primary xcircuit types.
+
+/XCIRCsave save def
+/topmat matrix currentmatrix def
+
+/fontslant { /slant exch def [1 0 slant 1 0 0] 
+    exch findfont exch makefont dup length dict /ndict exch def
+    { 1 index /FID ne { ndict 3 1 roll put } { pop pop } ifelse } forall
+    ndict definefont pop} def
+/ul { dup type /stringtype eq showflag 1 eq and { gsave 
+   currentpoint topmat setmatrix 0 0 moveto 2 index stringwidth pop (_)
+   false charpath flattenpath pathbbox grestore exch pop 1 index
+   sub setlinewidth exch pop currentpoint 3 -1 roll add moveto 0
+   rlineto stroke moveto } if } def
+/ol { dup type /stringtype eq showflag 1 eq and { gsave gsave
+   currentpoint topmat setmatrix 2 index stringwidth pop 3 index
+   true charpath flattenpath pathbbox grestore exch pop
+   exch pop topmat setmatrix (_) true charpath pathbbox grestore
+   exch pop 1 index sub setlinewidth exch pop currentpoint
+   exch 4 1 roll exch sub add moveto pop 0 rlineto stroke
+   moveto } if } def
+/stW { gsave currentpoint newpath moveto true charpath flattenpath
+	pathbbox pop exch pop sub grestore } def
+/Ts {mark Tabs aload pop counttomark 1 add array astore /Tabs exch def Tabs
+	0 currentpoint pop put} def
+/Tbn {mark Tabs aload pop counttomark dup 2 add 1 roll cleartomark 1 sub} def
+/Tb { 0 1 Tbn {Tabs exch get dup currentpoint pop lt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/Tf { Tbn -1 0 {Tabs exch get dup currentpoint pop gt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/qS { (aa) stW (a a) stW sub 4 div 0 Kn } def
+/hS { qS qS } def
+/pspc 0 def
+/cf0 { scalefont setfont } bind def
+/Kn { dup kY add /kY exch def rmoveto } bind def
+/ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.33 mul neg Kn} def
+/Ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.67 mul Kn } def
+/ns { 0 kY neg Kn /kY 0 def /fscale 1.0 def xfont0 1.0 cf0 } def
+/CR { ns 0 /Bline Bline fscale0 neg add def Bline moveto } def
+/cf { dup type /realtype ne {1.0} if exch findfont exch kY 0 eq
+	{ 40 mul dup /fscale0 exch def cf0 /xfont0 currentfont def}
+	{fscale0 mul fscale mul cf0} ifelse } def
+/ctmk { counttomark dup 2 add -1 roll pop } bind def
+/label { gsave translate 0 0 moveto dup scale neg /rotval exch def
+	/just exch def just 384 and 0 gt {/mshow {pop} def} {/mshow {show}
+	def} ifelse just 16 and 0 gt {gsave rotval rotate 0 1 dtransform
+	gsave pagemat setmatrix idtransform exch grestore 1 0 dtransform
+	gsave pagemat setmatrix idtransform exch grestore dup abs 1e-9 lt
+	{pop mul 0 gt} {3 1 roll pop pop 0 lt} ifelse grestore {-1 /rotval
+	rotval neg def /just just dup 3 and 1 ne {3 xor} if def} {1} ifelse
+	exch -1e-9 lt {-1 /rotval rotval neg def /just just dup 12 and
+	4 ne {12 xor} if def} {1} ifelse scale } if /showflag 0 def
+	/fspc pspc def /Bline 0 def /Tabs 0 array def /fscale 1.0 def
+	/kY 0 def gsave dup 1 add copy 0 exch 1 0 dtransform exch atan rotate
+	{exch dup type /stringtype eq {true charpath flattenpath} {dup type
+	/arraytype eq {exec} {12 string cvs true charpath flattenpath} ifelse}
+	ifelse} repeat pop pathbbox grestore 3 -1 roll pop 3 1 roll just
+	1 and 0 gt {just 2 and 0 gt {exch pop neg fspc sub} {exch sub 0.5
+	mul neg} ifelse} {pop neg fspc add} ifelse exch Bline exch just 4
+	and 0 gt {just 8 and 0 gt {exch pop neg fspc sub} {add 0.5 mul neg}
+	ifelse} {pop neg fspc add} ifelse rotval rotate Kn currentpoint
+	translate /showflag 1 def /Bline 0 def /Tabs 0 array def /fscale
+	1.0 def /kY 0 def {dup type /stringtype eq {mshow} {dup type
+	/arraytype eq {exec} {12 string cvs mshow} ifelse} ifelse} repeat
+	grestore endclip} def
+/pinlabel { 4 index 32 and 0 ne hlevel 0 eq or { /pspc 10 def label
+	/pspc 0 def } { pop pop pop pop pop {pop} repeat } ifelse } def
+/pinglobal { pinlabel } def
+/infolabel { pinlabel } def
+/graphic { gsave 4 index cvx exec /DataSource get resetfile translate 0 0
+	moveto neg rotate dup scale cvx exec image grestore endclip} def
+
+/scb { setrgbcolor } bind def  /sce { defColor aload pop scb } bind def
+/cRedef {/defColor currentcolor 3 array astore def} def
+/begingate {dup type /dicttype ne {1 dict} if begin	% default params
+	dup type /dicttype ne {1 dict} if begin		% instanced params
+	/hlevel hlevel 1 add def /defColor currentcolor sce 3 array astore
+	def gsave sce translate 0 0 moveto neg rotate dup type /nametype
+	eq not { dup abs currentlinewidth exch div setlinewidth } { pop }
+	ifelse dup abs scale clipped 1 and 1 eq {/clipped clipped 1 add def}
+	if} bind def
+/endgate { /hlevel hlevel 1 sub def grestore defColor aload pop cRedef
+	scb end end endclip} bind def
+
+/hlevel 0 def
+/endclip {clipped 1 and 1 eq {grestore /clipped clipped 1 sub def} if} def
+/tmpa [1 0 0 1 0 0] def
+/gar {8 8 true tmpa {<c0 c0 00 00 0c 0c 00 00>} imagemask} bind
+{8 8 true tmpa {<30 70 60 02 03 07 06 20>} imagemask} bind
+{8 8 true tmpa {<0c 1e 1e 0c c0 e1 e1 c0>} imagemask} bind
+{8 8 true tmpa {<0f 0f 0f 0f f0 f0 f0 f0>} imagemask} bind
+{8 8 true tmpa {<3f f3 e1 e1 f3 3f 1e 1e>} imagemask} bind
+{8 8 true tmpa {<df cf 8f 9f fd fc f8 f9>} imagemask} bind
+{8 8 true tmpa {<ff 3f 3f ff ff f3 f3 ff>} imagemask} bind 7 array astore def
+/ppaint { gsave clip tmpa dup setmatrix pathbbox neg exch neg 4 2 roll
+  neg 4 -1 roll 2 copy gt {exch} if 8 div ceiling 8 mul 4 2 roll neg 2 copy
+  gt {exch} if 8 div ceiling 8 mul 3 -1 roll -8 5 -1 roll
+  { 3 index exch 5 exch put dup -8 3 index { 3 index
+  exch 4 exch put 3 index exec } for } for pop pop pop pop grestore } bind def
+/setstyles {
+  currentlinewidth mul setlinewidth /style exch def style 2048 and 0 gt
+  clipped 1 and 0 eq and {clip newpath /clipped clipped 1 add def} {
+  style 1 and 0 gt not {closepath} if
+  style 1024 and 0 gt {2 setlinecap} if
+  style 2 and 0 gt {currentlinewidth 4 mul dup 2 array astore 0 setdash} if
+  style 4 and 0 gt {0.5 currentlinewidth 4 mul 2 array astore 0 setdash} if
+  gsave style 16 and 0 gt { style 224 and -5 bitshift style 256 and 0 gt {
+  7 exch sub 8 div dup 1 exch sub currentrgbcolor 3 array astore
+  {3 copy mul add 4 1 roll pop} forall pop pop setrgbcolor eofill}
+  {dup 7 lt {gar exch get ppaint} {pop eofill} ifelse} ifelse}
+  {style 256 and 0 gt {1 setgray eofill} if} ifelse grestore style 8 and 0 gt 
+  style 512 eq or {newpath} {stroke} ifelse grestore endclip} ifelse} def     
+
+/addtoy {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll add
+	4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtoy1 {1 exch addtoy pop} def
+/addtoy3 {3 exch addtoy pop} def
+/addtoy4 {4 exch addtoy pop} def
+/addtox {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll 3 -1 roll
+	add exch 4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtox1 {1 exch addtox pop} def
+/addtox3 {3 exch addtox pop} def
+/addtox4 {4 exch addtox pop} def
+/polygon { gsave /num exch def moveto num 1 sub {lineto} repeat setstyles } def
+/xcarc   { gsave newpath arc setstyles } def
+/elb { matrix currentmatrix 7 -1 roll 7 -1 roll translate 5 1 roll 4 -1 roll
+	3 index div 1 scale } def
+/ele { 0 4 1 roll 0 4 1 roll } bind def
+/ellipse { gsave elb newpath ele arc setmatrix setstyles } def
+/pellip  { elb ele arc setmatrix } def
+/nellip  { elb ele arcn setmatrix } def
+/spline  { gsave moveto curveto setstyles } def
+/polyc   { {lineto} repeat } bind def
+/beginpath { gsave moveto } bind def
+/endpath { setstyles } bind def
+/bop	 { 1 setlinecap 0 setlinejoin 6 setmiterlimit 0 0 0 scb cRedef
+	/clipped 0 def} def
+/psinsertion {/PSobj save def /showpage {} def /setpagedevice {pop} def bop
+	rotate translate dup scale} def
+/end_insert {PSobj restore} def
+/setpagemat {/pagemat matrix currentmatrix def} def
+/inchscale  {setpagemat 0.375 mul dup scale} def
+/cmscale    {setpagemat 0.35433071 mul dup scale} def
+
+%%EndResource
+%%EndProlog
+
+% XCircuit output starts here.
+
+%%BeginSetup
+
+/analog::diode {
+begingate
+248 1.000 -18 -16 0 16 18 -16 3 polygon
+1 1.000 0 -48 0 -16 2 polygon
+1 1.000 0 48 0 16 2 polygon
+1 1.000 -18 16 18 16 2 polygon
+1.000 0.000 0.000 scb
+(d.m) {/Times-Roman cf} 2 9 0 1.000 0 48 pinlabel
+(d.p) {/Times-Roman cf} 2 13 0 1.000 0 -48 pinlabel
+endgate
+} def
+
+/generic::arrowhead {
+% nonetwork
+begingate
+8 -28 beginpath
+3 -18 3 -15 0 0 curveto
+-3 -15 -3 -18 -8 -28 curveto
+-2 -26 2 -26 8 -28 curveto
+249 
+1.000 endpath
+endgate
+} def
+
+/analog::npn {
+begingate
+1 1.000 -48 0 -64 0 2 polygon
+1 1.000 -48 48 -48 -48 2 polygon
+1 1.000 0 48 -48 22 2 polygon
+1 1.000 0 48 0 64 2 polygon
+1 1.000 0 -48 -48 -22 2 polygon
+1 1.000 0 -48 0 -64 2 polygon
+1.000 120 0 -48 generic::arrowhead
+1.000 0.000 0.000 scb
+(C) {/Times-Roman cf} 2 9 0 1.000 0 64 pinlabel
+(B) {/Times-Roman cf} 2 7 0 1.000 -64 0 pinlabel
+(E) {/Times-Roman cf} 2 13 0 1.000 0 -64 pinlabel
+0.180 0.545 0.341 scb
+(spice:Q%i %pC %pB %pE npn) {/Times-Roman cf} 2 4 0 1.000 -244 -139 infolabel
+(sim:b %pB %pE %pC) {/Times-Roman cf} 2 4 0 1.000 -244 -187 infolabel
+endgate
+} def
+
+
+%%EndSetup
+
+%%Page: stroboscope 1
+%%PageOrientation: Portrait
+/pgsave save def bop
+1.0000 inchscale
+2.6000 setlinewidth 544 192 translate
+
+(SQR1) {/Helvetica cf} 2 16 0 1.000 160 144 label
+(GND) {/Helvetica cf} 2 16 0 1.000 -128 16 label
+(SEN) {/Helvetica cf} 2 16 0 1.000 176 16 label
+1.000 270 64 160 analog::diode
+1.000 90 64 16 analog::npn
+0 1.000 160 192 160 128 272 128 272 192 4 polygon
+0 1.000 -144 64 -144 0 -32 0 -32 64 4 polygon
+1 1.000 0 16 -32 16 2 polygon
+0 1.000 160 64 160 0 272 0 272 64 4 polygon
+1 1.000 128 16 160 16 2 polygon
+1.000 180 80 96 generic::arrowhead
+1.000 180 64 96 generic::arrowhead
+1.000 180 48 96 generic::arrowhead
+1 1.000 16 160 -96 160 -96 64 3 polygon
+1 1.000 112 160 160 160 2 polygon
+(LED) {/Helvetica cf} 2 16 0 1.000 -64 128 label
+(MOTOR) {/Helvetica cf} 2 16 0 1.000 -336 16 label
+0 1.000 -352 64 -352 0 -192 0 -192 64 4 polygon
+(SQR2) {/Helvetica cf} 2 16 0 1.000 -320 128 label
+0 1.000 -320 176 -320 112 -208 112 -208 176 4 polygon
+1 1.000 -272 112 -272 64 2 polygon
+1 1.000 -192 32 -144 32 2 polygon
+pgsave restore showpage
+
+%%Trailer
+XCIRCsave restore
+%%EOF
diff --git a/doc/en/Docs-jr/schematics/opto-electric.png b/doc/en/Docs-jr/schematics/opto-electric.png
new file mode 100644
index 0000000..61fa6fb
Binary files /dev/null and b/doc/en/Docs-jr/schematics/opto-electric.png differ
diff --git a/doc/en/Docs-jr/schematics/opto-electric.ps b/doc/en/Docs-jr/schematics/opto-electric.ps
new file mode 100644
index 0000000..c976f03
--- /dev/null
+++ b/doc/en/Docs-jr/schematics/opto-electric.ps
@@ -0,0 +1,243 @@
+%!PS-Adobe-3.0 EPSF-3.0
+%%Title: opto-electric.ps
+%%Creator: XCircuit v3.7 rev26
+%%CreationDate: Tue Jul 24 09:15:58 2012
+%%Pages: 1
+%%BoundingBox: 68 68 322 106
+%%DocumentNeededResources: font Helvetica Times-Roman 
+%%EndComments
+%%BeginProlog
+%
+%  PostScript prolog for output from xcircuit
+%  Version: 3.7
+%
+%  Electrical circuit (and otherwise general) drawing program
+%
+%  Written by Tim Edwards 8/5/93--11/1/10  (tim.edwards at multigig.com)
+%  The Johns Hopkins University (1993-2004)
+%  MultiGiG, Inc. (2004-present)
+%
+%%BeginResource: procset XCIRCproc 3.7 2
+%
+% supporting definitions --- these are the primary xcircuit types.
+
+/XCIRCsave save def
+/topmat matrix currentmatrix def
+
+/fontslant { /slant exch def [1 0 slant 1 0 0] 
+    exch findfont exch makefont dup length dict /ndict exch def
+    { 1 index /FID ne { ndict 3 1 roll put } { pop pop } ifelse } forall
+    ndict definefont pop} def
+/ul { dup type /stringtype eq showflag 1 eq and { gsave 
+   currentpoint topmat setmatrix 0 0 moveto 2 index stringwidth pop (_)
+   false charpath flattenpath pathbbox grestore exch pop 1 index
+   sub setlinewidth exch pop currentpoint 3 -1 roll add moveto 0
+   rlineto stroke moveto } if } def
+/ol { dup type /stringtype eq showflag 1 eq and { gsave gsave
+   currentpoint topmat setmatrix 2 index stringwidth pop 3 index
+   true charpath flattenpath pathbbox grestore exch pop
+   exch pop topmat setmatrix (_) true charpath pathbbox grestore
+   exch pop 1 index sub setlinewidth exch pop currentpoint
+   exch 4 1 roll exch sub add moveto pop 0 rlineto stroke
+   moveto } if } def
+/stW { gsave currentpoint newpath moveto true charpath flattenpath
+	pathbbox pop exch pop sub grestore } def
+/Ts {mark Tabs aload pop counttomark 1 add array astore /Tabs exch def Tabs
+	0 currentpoint pop put} def
+/Tbn {mark Tabs aload pop counttomark dup 2 add 1 roll cleartomark 1 sub} def
+/Tb { 0 1 Tbn {Tabs exch get dup currentpoint pop lt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/Tf { Tbn -1 0 {Tabs exch get dup currentpoint pop gt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/qS { (aa) stW (a a) stW sub 4 div 0 Kn } def
+/hS { qS qS } def
+/pspc 0 def
+/cf0 { scalefont setfont } bind def
+/Kn { dup kY add /kY exch def rmoveto } bind def
+/ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.33 mul neg Kn} def
+/Ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.67 mul Kn } def
+/ns { 0 kY neg Kn /kY 0 def /fscale 1.0 def xfont0 1.0 cf0 } def
+/CR { ns 0 /Bline Bline fscale0 neg add def Bline moveto } def
+/cf { dup type /realtype ne {1.0} if exch findfont exch kY 0 eq
+	{ 40 mul dup /fscale0 exch def cf0 /xfont0 currentfont def}
+	{fscale0 mul fscale mul cf0} ifelse } def
+/ctmk { counttomark dup 2 add -1 roll pop } bind def
+/label { gsave translate 0 0 moveto dup scale neg /rotval exch def
+	/just exch def just 384 and 0 gt {/mshow {pop} def} {/mshow {show}
+	def} ifelse just 16 and 0 gt {gsave rotval rotate 0 1 dtransform
+	gsave pagemat setmatrix idtransform exch grestore 1 0 dtransform
+	gsave pagemat setmatrix idtransform exch grestore dup abs 1e-9 lt
+	{pop mul 0 gt} {3 1 roll pop pop 0 lt} ifelse grestore {-1 /rotval
+	rotval neg def /just just dup 3 and 1 ne {3 xor} if def} {1} ifelse
+	exch -1e-9 lt {-1 /rotval rotval neg def /just just dup 12 and
+	4 ne {12 xor} if def} {1} ifelse scale } if /showflag 0 def
+	/fspc pspc def /Bline 0 def /Tabs 0 array def /fscale 1.0 def
+	/kY 0 def gsave dup 1 add copy 0 exch 1 0 dtransform exch atan rotate
+	{exch dup type /stringtype eq {true charpath flattenpath} {dup type
+	/arraytype eq {exec} {12 string cvs true charpath flattenpath} ifelse}
+	ifelse} repeat pop pathbbox grestore 3 -1 roll pop 3 1 roll just
+	1 and 0 gt {just 2 and 0 gt {exch pop neg fspc sub} {exch sub 0.5
+	mul neg} ifelse} {pop neg fspc add} ifelse exch Bline exch just 4
+	and 0 gt {just 8 and 0 gt {exch pop neg fspc sub} {add 0.5 mul neg}
+	ifelse} {pop neg fspc add} ifelse rotval rotate Kn currentpoint
+	translate /showflag 1 def /Bline 0 def /Tabs 0 array def /fscale
+	1.0 def /kY 0 def {dup type /stringtype eq {mshow} {dup type
+	/arraytype eq {exec} {12 string cvs mshow} ifelse} ifelse} repeat
+	grestore endclip} def
+/pinlabel { 4 index 32 and 0 ne hlevel 0 eq or { /pspc 10 def label
+	/pspc 0 def } { pop pop pop pop pop {pop} repeat } ifelse } def
+/pinglobal { pinlabel } def
+/infolabel { pinlabel } def
+/graphic { gsave 4 index cvx exec /DataSource get resetfile translate 0 0
+	moveto neg rotate dup scale cvx exec image grestore endclip} def
+
+/scb { setrgbcolor } bind def  /sce { defColor aload pop scb } bind def
+/cRedef {/defColor currentcolor 3 array astore def} def
+/begingate {dup type /dicttype ne {1 dict} if begin	% default params
+	dup type /dicttype ne {1 dict} if begin		% instanced params
+	/hlevel hlevel 1 add def /defColor currentcolor sce 3 array astore
+	def gsave sce translate 0 0 moveto neg rotate dup type /nametype
+	eq not { dup abs currentlinewidth exch div setlinewidth } { pop }
+	ifelse dup abs scale clipped 1 and 1 eq {/clipped clipped 1 add def}
+	if} bind def
+/endgate { /hlevel hlevel 1 sub def grestore defColor aload pop cRedef
+	scb end end endclip} bind def
+
+/hlevel 0 def
+/endclip {clipped 1 and 1 eq {grestore /clipped clipped 1 sub def} if} def
+/tmpa [1 0 0 1 0 0] def
+/gar {8 8 true tmpa {<c0 c0 00 00 0c 0c 00 00>} imagemask} bind
+{8 8 true tmpa {<30 70 60 02 03 07 06 20>} imagemask} bind
+{8 8 true tmpa {<0c 1e 1e 0c c0 e1 e1 c0>} imagemask} bind
+{8 8 true tmpa {<0f 0f 0f 0f f0 f0 f0 f0>} imagemask} bind
+{8 8 true tmpa {<3f f3 e1 e1 f3 3f 1e 1e>} imagemask} bind
+{8 8 true tmpa {<df cf 8f 9f fd fc f8 f9>} imagemask} bind
+{8 8 true tmpa {<ff 3f 3f ff ff f3 f3 ff>} imagemask} bind 7 array astore def
+/ppaint { gsave clip tmpa dup setmatrix pathbbox neg exch neg 4 2 roll
+  neg 4 -1 roll 2 copy gt {exch} if 8 div ceiling 8 mul 4 2 roll neg 2 copy
+  gt {exch} if 8 div ceiling 8 mul 3 -1 roll -8 5 -1 roll
+  { 3 index exch 5 exch put dup -8 3 index { 3 index
+  exch 4 exch put 3 index exec } for } for pop pop pop pop grestore } bind def
+/setstyles {
+  currentlinewidth mul setlinewidth /style exch def style 2048 and 0 gt
+  clipped 1 and 0 eq and {clip newpath /clipped clipped 1 add def} {
+  style 1 and 0 gt not {closepath} if
+  style 1024 and 0 gt {2 setlinecap} if
+  style 2 and 0 gt {currentlinewidth 4 mul dup 2 array astore 0 setdash} if
+  style 4 and 0 gt {0.5 currentlinewidth 4 mul 2 array astore 0 setdash} if
+  gsave style 16 and 0 gt { style 224 and -5 bitshift style 256 and 0 gt {
+  7 exch sub 8 div dup 1 exch sub currentrgbcolor 3 array astore
+  {3 copy mul add 4 1 roll pop} forall pop pop setrgbcolor eofill}
+  {dup 7 lt {gar exch get ppaint} {pop eofill} ifelse} ifelse}
+  {style 256 and 0 gt {1 setgray eofill} if} ifelse grestore style 8 and 0 gt 
+  style 512 eq or {newpath} {stroke} ifelse grestore endclip} ifelse} def     
+
+/addtoy {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll add
+	4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtoy1 {1 exch addtoy pop} def
+/addtoy3 {3 exch addtoy pop} def
+/addtoy4 {4 exch addtoy pop} def
+/addtox {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll 3 -1 roll
+	add exch 4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtox1 {1 exch addtox pop} def
+/addtox3 {3 exch addtox pop} def
+/addtox4 {4 exch addtox pop} def
+/polygon { gsave /num exch def moveto num 1 sub {lineto} repeat setstyles } def
+/xcarc   { gsave newpath arc setstyles } def
+/elb { matrix currentmatrix 7 -1 roll 7 -1 roll translate 5 1 roll 4 -1 roll
+	3 index div 1 scale } def
+/ele { 0 4 1 roll 0 4 1 roll } bind def
+/ellipse { gsave elb newpath ele arc setmatrix setstyles } def
+/pellip  { elb ele arc setmatrix } def
+/nellip  { elb ele arcn setmatrix } def
+/spline  { gsave moveto curveto setstyles } def
+/polyc   { {lineto} repeat } bind def
+/beginpath { gsave moveto } bind def
+/endpath { setstyles } bind def
+/bop	 { 1 setlinecap 0 setlinejoin 6 setmiterlimit 0 0 0 scb cRedef
+	/clipped 0 def} def
+/psinsertion {/PSobj save def /showpage {} def /setpagedevice {pop} def bop
+	rotate translate dup scale} def
+/end_insert {PSobj restore} def
+/setpagemat {/pagemat matrix currentmatrix def} def
+/inchscale  {setpagemat 0.375 mul dup scale} def
+/cmscale    {setpagemat 0.35433071 mul dup scale} def
+
+%%EndResource
+%%EndProlog
+
+% XCircuit output starts here.
+
+%%BeginSetup
+
+/analog::diode {
+begingate
+248 1.000 -18 -16 0 16 18 -16 3 polygon
+1 1.000 0 -48 0 -16 2 polygon
+1 1.000 0 48 0 16 2 polygon
+1 1.000 -18 16 18 16 2 polygon
+1.000 0.000 0.000 scb
+(d.m) {/Times-Roman cf} 2 9 0 1.000 0 48 pinlabel
+(d.p) {/Times-Roman cf} 2 13 0 1.000 0 -48 pinlabel
+endgate
+} def
+
+/generic::arrowhead {
+% nonetwork
+begingate
+8 -28 beginpath
+3 -18 3 -15 0 0 curveto
+-3 -15 -3 -18 -8 -28 curveto
+-2 -26 2 -26 8 -28 curveto
+249 
+1.000 endpath
+endgate
+} def
+
+/analog::npn {
+begingate
+1 1.000 -48 0 -64 0 2 polygon
+1 1.000 -48 48 -48 -48 2 polygon
+1 1.000 0 48 -48 22 2 polygon
+1 1.000 0 48 0 64 2 polygon
+1 1.000 0 -48 -48 -22 2 polygon
+1 1.000 0 -48 0 -64 2 polygon
+1.000 120 0 -48 generic::arrowhead
+1.000 0.000 0.000 scb
+(C) {/Times-Roman cf} 2 9 0 1.000 0 64 pinlabel
+(B) {/Times-Roman cf} 2 7 0 1.000 -64 0 pinlabel
+(E) {/Times-Roman cf} 2 13 0 1.000 0 -64 pinlabel
+0.180 0.545 0.341 scb
+(spice:Q%i %pC %pB %pE npn) {/Times-Roman cf} 2 4 0 1.000 -244 -139 infolabel
+(sim:b %pB %pE %pC) {/Times-Roman cf} 2 4 0 1.000 -244 -187 infolabel
+endgate
+} def
+
+
+%%EndSetup
+
+%%Page: light-tranmission 1
+%%PageOrientation: Portrait
+/pgsave save def bop
+1.0000 inchscale
+2.6000 setlinewidth 448 192 translate
+
+(SQR1) {/Helvetica cf} 2 16 0 1.000 -256 16 label
+(GND) {/Helvetica cf} 2 16 0 1.000 -16 16 label
+1.000 90 -96 48 analog::diode
+0 1.000 -256 64 -256 0 -144 0 -144 64 4 polygon
+0 1.000 -32 64 -32 0 80 0 80 64 4 polygon
+1 1.000 -48 48 -32 48 2 polygon
+(LED) {/Helvetica cf} 2 16 0 1.000 -128 0 label
+1.000 90 192 16 analog::npn
+1 1.000 128 16 80 16 2 polygon
+0 1.000 288 64 288 0 400 0 400 64 4 polygon
+(SEN) {/Helvetica cf} 2 16 0 1.000 304 16 label
+1 1.000 256 16 288 16 2 polygon
+pgsave restore showpage
+
+%%Trailer
+XCIRCsave restore
+%%EOF
diff --git a/doc/en/Docs-jr/schematics/or-gate.png b/doc/en/Docs-jr/schematics/or-gate.png
new file mode 100644
index 0000000..d72f375
Binary files /dev/null and b/doc/en/Docs-jr/schematics/or-gate.png differ
diff --git a/doc/en/Docs-jr/schematics/or-gate.ps b/doc/en/Docs-jr/schematics/or-gate.ps
new file mode 100644
index 0000000..de7cec2
--- /dev/null
+++ b/doc/en/Docs-jr/schematics/or-gate.ps
@@ -0,0 +1,227 @@
+%!PS-Adobe-3.0 EPSF-3.0
+%%Title: or-gate.ps
+%%Creator: XCircuit v3.7 rev26
+%%CreationDate: Wed Jul 25 11:02:00 2012
+%%Pages: 1
+%%BoundingBox: 68 68 292 139
+%%DocumentNeededResources: font Helvetica Times-Roman 
+%%EndComments
+%%BeginProlog
+%
+%  PostScript prolog for output from xcircuit
+%  Version: 3.7
+%
+%  Electrical circuit (and otherwise general) drawing program
+%
+%  Written by Tim Edwards 8/5/93--11/1/10  (tim.edwards at multigig.com)
+%  The Johns Hopkins University (1993-2004)
+%  MultiGiG, Inc. (2004-present)
+%
+%%BeginResource: procset XCIRCproc 3.7 2
+%
+% supporting definitions --- these are the primary xcircuit types.
+
+/XCIRCsave save def
+/topmat matrix currentmatrix def
+
+/fontslant { /slant exch def [1 0 slant 1 0 0] 
+    exch findfont exch makefont dup length dict /ndict exch def
+    { 1 index /FID ne { ndict 3 1 roll put } { pop pop } ifelse } forall
+    ndict definefont pop} def
+/ul { dup type /stringtype eq showflag 1 eq and { gsave 
+   currentpoint topmat setmatrix 0 0 moveto 2 index stringwidth pop (_)
+   false charpath flattenpath pathbbox grestore exch pop 1 index
+   sub setlinewidth exch pop currentpoint 3 -1 roll add moveto 0
+   rlineto stroke moveto } if } def
+/ol { dup type /stringtype eq showflag 1 eq and { gsave gsave
+   currentpoint topmat setmatrix 2 index stringwidth pop 3 index
+   true charpath flattenpath pathbbox grestore exch pop
+   exch pop topmat setmatrix (_) true charpath pathbbox grestore
+   exch pop 1 index sub setlinewidth exch pop currentpoint
+   exch 4 1 roll exch sub add moveto pop 0 rlineto stroke
+   moveto } if } def
+/stW { gsave currentpoint newpath moveto true charpath flattenpath
+	pathbbox pop exch pop sub grestore } def
+/Ts {mark Tabs aload pop counttomark 1 add array astore /Tabs exch def Tabs
+	0 currentpoint pop put} def
+/Tbn {mark Tabs aload pop counttomark dup 2 add 1 roll cleartomark 1 sub} def
+/Tb { 0 1 Tbn {Tabs exch get dup currentpoint pop lt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/Tf { Tbn -1 0 {Tabs exch get dup currentpoint pop gt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/qS { (aa) stW (a a) stW sub 4 div 0 Kn } def
+/hS { qS qS } def
+/pspc 0 def
+/cf0 { scalefont setfont } bind def
+/Kn { dup kY add /kY exch def rmoveto } bind def
+/ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.33 mul neg Kn} def
+/Ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.67 mul Kn } def
+/ns { 0 kY neg Kn /kY 0 def /fscale 1.0 def xfont0 1.0 cf0 } def
+/CR { ns 0 /Bline Bline fscale0 neg add def Bline moveto } def
+/cf { dup type /realtype ne {1.0} if exch findfont exch kY 0 eq
+	{ 40 mul dup /fscale0 exch def cf0 /xfont0 currentfont def}
+	{fscale0 mul fscale mul cf0} ifelse } def
+/ctmk { counttomark dup 2 add -1 roll pop } bind def
+/label { gsave translate 0 0 moveto dup scale neg /rotval exch def
+	/just exch def just 384 and 0 gt {/mshow {pop} def} {/mshow {show}
+	def} ifelse just 16 and 0 gt {gsave rotval rotate 0 1 dtransform
+	gsave pagemat setmatrix idtransform exch grestore 1 0 dtransform
+	gsave pagemat setmatrix idtransform exch grestore dup abs 1e-9 lt
+	{pop mul 0 gt} {3 1 roll pop pop 0 lt} ifelse grestore {-1 /rotval
+	rotval neg def /just just dup 3 and 1 ne {3 xor} if def} {1} ifelse
+	exch -1e-9 lt {-1 /rotval rotval neg def /just just dup 12 and
+	4 ne {12 xor} if def} {1} ifelse scale } if /showflag 0 def
+	/fspc pspc def /Bline 0 def /Tabs 0 array def /fscale 1.0 def
+	/kY 0 def gsave dup 1 add copy 0 exch 1 0 dtransform exch atan rotate
+	{exch dup type /stringtype eq {true charpath flattenpath} {dup type
+	/arraytype eq {exec} {12 string cvs true charpath flattenpath} ifelse}
+	ifelse} repeat pop pathbbox grestore 3 -1 roll pop 3 1 roll just
+	1 and 0 gt {just 2 and 0 gt {exch pop neg fspc sub} {exch sub 0.5
+	mul neg} ifelse} {pop neg fspc add} ifelse exch Bline exch just 4
+	and 0 gt {just 8 and 0 gt {exch pop neg fspc sub} {add 0.5 mul neg}
+	ifelse} {pop neg fspc add} ifelse rotval rotate Kn currentpoint
+	translate /showflag 1 def /Bline 0 def /Tabs 0 array def /fscale
+	1.0 def /kY 0 def {dup type /stringtype eq {mshow} {dup type
+	/arraytype eq {exec} {12 string cvs mshow} ifelse} ifelse} repeat
+	grestore endclip} def
+/pinlabel { 4 index 32 and 0 ne hlevel 0 eq or { /pspc 10 def label
+	/pspc 0 def } { pop pop pop pop pop {pop} repeat } ifelse } def
+/pinglobal { pinlabel } def
+/infolabel { pinlabel } def
+/graphic { gsave 4 index cvx exec /DataSource get resetfile translate 0 0
+	moveto neg rotate dup scale cvx exec image grestore endclip} def
+
+/scb { setrgbcolor } bind def  /sce { defColor aload pop scb } bind def
+/cRedef {/defColor currentcolor 3 array astore def} def
+/begingate {dup type /dicttype ne {1 dict} if begin	% default params
+	dup type /dicttype ne {1 dict} if begin		% instanced params
+	/hlevel hlevel 1 add def /defColor currentcolor sce 3 array astore
+	def gsave sce translate 0 0 moveto neg rotate dup type /nametype
+	eq not { dup abs currentlinewidth exch div setlinewidth } { pop }
+	ifelse dup abs scale clipped 1 and 1 eq {/clipped clipped 1 add def}
+	if} bind def
+/endgate { /hlevel hlevel 1 sub def grestore defColor aload pop cRedef
+	scb end end endclip} bind def
+
+/hlevel 0 def
+/endclip {clipped 1 and 1 eq {grestore /clipped clipped 1 sub def} if} def
+/tmpa [1 0 0 1 0 0] def
+/gar {8 8 true tmpa {<c0 c0 00 00 0c 0c 00 00>} imagemask} bind
+{8 8 true tmpa {<30 70 60 02 03 07 06 20>} imagemask} bind
+{8 8 true tmpa {<0c 1e 1e 0c c0 e1 e1 c0>} imagemask} bind
+{8 8 true tmpa {<0f 0f 0f 0f f0 f0 f0 f0>} imagemask} bind
+{8 8 true tmpa {<3f f3 e1 e1 f3 3f 1e 1e>} imagemask} bind
+{8 8 true tmpa {<df cf 8f 9f fd fc f8 f9>} imagemask} bind
+{8 8 true tmpa {<ff 3f 3f ff ff f3 f3 ff>} imagemask} bind 7 array astore def
+/ppaint { gsave clip tmpa dup setmatrix pathbbox neg exch neg 4 2 roll
+  neg 4 -1 roll 2 copy gt {exch} if 8 div ceiling 8 mul 4 2 roll neg 2 copy
+  gt {exch} if 8 div ceiling 8 mul 3 -1 roll -8 5 -1 roll
+  { 3 index exch 5 exch put dup -8 3 index { 3 index
+  exch 4 exch put 3 index exec } for } for pop pop pop pop grestore } bind def
+/setstyles {
+  currentlinewidth mul setlinewidth /style exch def style 2048 and 0 gt
+  clipped 1 and 0 eq and {clip newpath /clipped clipped 1 add def} {
+  style 1 and 0 gt not {closepath} if
+  style 1024 and 0 gt {2 setlinecap} if
+  style 2 and 0 gt {currentlinewidth 4 mul dup 2 array astore 0 setdash} if
+  style 4 and 0 gt {0.5 currentlinewidth 4 mul 2 array astore 0 setdash} if
+  gsave style 16 and 0 gt { style 224 and -5 bitshift style 256 and 0 gt {
+  7 exch sub 8 div dup 1 exch sub currentrgbcolor 3 array astore
+  {3 copy mul add 4 1 roll pop} forall pop pop setrgbcolor eofill}
+  {dup 7 lt {gar exch get ppaint} {pop eofill} ifelse} ifelse}
+  {style 256 and 0 gt {1 setgray eofill} if} ifelse grestore style 8 and 0 gt 
+  style 512 eq or {newpath} {stroke} ifelse grestore endclip} ifelse} def     
+
+/addtoy {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll add
+	4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtoy1 {1 exch addtoy pop} def
+/addtoy3 {3 exch addtoy pop} def
+/addtoy4 {4 exch addtoy pop} def
+/addtox {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll 3 -1 roll
+	add exch 4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtox1 {1 exch addtox pop} def
+/addtox3 {3 exch addtox pop} def
+/addtox4 {4 exch addtox pop} def
+/polygon { gsave /num exch def moveto num 1 sub {lineto} repeat setstyles } def
+/xcarc   { gsave newpath arc setstyles } def
+/elb { matrix currentmatrix 7 -1 roll 7 -1 roll translate 5 1 roll 4 -1 roll
+	3 index div 1 scale } def
+/ele { 0 4 1 roll 0 4 1 roll } bind def
+/ellipse { gsave elb newpath ele arc setmatrix setstyles } def
+/pellip  { elb ele arc setmatrix } def
+/nellip  { elb ele arcn setmatrix } def
+/spline  { gsave moveto curveto setstyles } def
+/polyc   { {lineto} repeat } bind def
+/beginpath { gsave moveto } bind def
+/endpath { setstyles } bind def
+/bop	 { 1 setlinecap 0 setlinejoin 6 setmiterlimit 0 0 0 scb cRedef
+	/clipped 0 def} def
+/psinsertion {/PSobj save def /showpage {} def /setpagedevice {pop} def bop
+	rotate translate dup scale} def
+/end_insert {PSobj restore} def
+/setpagemat {/pagemat matrix currentmatrix def} def
+/inchscale  {setpagemat 0.375 mul dup scale} def
+/cmscale    {setpagemat 0.35433071 mul dup scale} def
+
+%%EndResource
+%%EndProlog
+
+% XCircuit output starts here.
+
+%%BeginSetup
+
+/digital::or {
+% trivial
+begingate
+1 1.000 -19 -48 96 30.000 90.000 xcarc
+1 1.000 -19 48 96 270.000 330.000 xcarc
+1 1.000 -112 0 80 -37.000 37.000 xcarc
+1 1.000 -48 48 -19 48 2 polygon
+1 1.000 -48 -48 -19 -48 2 polygon
+1.000 0.000 0.000 scb
+(Out) {/Times-Roman cf} 2 4 0 1.000 64 0 pinlabel
+(In.1) {/Times-Roman cf} 2 7 0 1.000 -40 32 pinlabel
+(In.2) {/Times-Roman cf} 2 7 0 1.000 -40 -32 pinlabel
+endgate
+} def
+
+
+%%EndSetup
+
+%%Page: gates 1
+%%PageOrientation: Portrait
+/pgsave save def bop
+1.0000 inchscale
+2.6000 setlinewidth 480 208 translate
+
+(SQR1) {/Helvetica cf} 2 16 0 1.000 -272 16 label
+(SQR2) {/Helvetica cf} 2 16 0 1.000 -272 96 label
+0 1.000 -288 144 -288 80 -160 80 -160 144 4 polygon
+0 1.000 -288 64 -288 0 -160 0 -160 64 4 polygon
+1 1.000 -160 32 -96 32 2 polygon
+1 1.000 -96 96 -160 96 2 polygon
+(IN1) {/Helvetica cf} 2 16 0 1.000 80 48 label
+0 1.000 64 96 64 32 144 32 144 96 4 polygon
+1 1.000 16 64 64 64 2 polygon
+(OD1) {/Helvetica cf} 2 16 0 1.000 192 96 label
+(GND) {/Helvetica cf} 2 16 0 1.000 192 16 label
+0 1.000 176 64 176 0 288 0 288 64 4 polygon
+0 1.000 176 144 176 80 288 80 288 144 4 polygon
+1 1.000 -48 112 -48 128 176 128 3 polygon
+1 1.000 -48 16 -48 0 160 0 160 16 176 16 5 polygon
+(1) {/Helvetica cf} 2 16 0 1.000 -128 96 label
+(2) {/Helvetica cf} 2 16 0 1.000 -128 32 label
+(3) {/Helvetica cf} 2 16 0 1.000 32 64 label
+(14) {/Helvetica cf} 2 16 0 1.000 -96 128 label
+(7) {/Helvetica cf} 2 16 0 1.000 -80 -16 label
+1.000 0 -48 64 digital::or
+(7432) {/Helvetica cf} 2 16 0 1.000 -80 48 label
+1 1.000 -96 96 -80 96 2 polygon
+1 1.000 -96 32 -80 32 2 polygon
+pgsave restore showpage
+
+%%Trailer
+XCIRCsave restore
+%%EOF
diff --git a/doc/en/Docs-jr/schematics/osc555.png b/doc/en/Docs-jr/schematics/osc555.png
new file mode 100644
index 0000000..718f01f
Binary files /dev/null and b/doc/en/Docs-jr/schematics/osc555.png differ
diff --git a/doc/en/Docs-jr/schematics/osc555.ps b/doc/en/Docs-jr/schematics/osc555.ps
new file mode 100644
index 0000000..37ea9ee
--- /dev/null
+++ b/doc/en/Docs-jr/schematics/osc555.ps
@@ -0,0 +1,279 @@
+%!PS-Adobe-3.0 EPSF-3.0
+%%Title: osc555.ps
+%%Creator: XCircuit v3.7 rev26
+%%CreationDate: Tue Jul 24 11:18:58 2012
+%%Pages: 1
+%%BoundingBox: 68 68 436 472
+%%DocumentNeededResources: font Helvetica Times-Roman 
+%%EndComments
+%%BeginProlog
+%
+%  PostScript prolog for output from xcircuit
+%  Version: 3.7
+%
+%  Electrical circuit (and otherwise general) drawing program
+%
+%  Written by Tim Edwards 8/5/93--11/1/10  (tim.edwards at multigig.com)
+%  The Johns Hopkins University (1993-2004)
+%  MultiGiG, Inc. (2004-present)
+%
+%%BeginResource: procset XCIRCproc 3.7 2
+%
+% supporting definitions --- these are the primary xcircuit types.
+
+/XCIRCsave save def
+/topmat matrix currentmatrix def
+
+/fontslant { /slant exch def [1 0 slant 1 0 0] 
+    exch findfont exch makefont dup length dict /ndict exch def
+    { 1 index /FID ne { ndict 3 1 roll put } { pop pop } ifelse } forall
+    ndict definefont pop} def
+/ul { dup type /stringtype eq showflag 1 eq and { gsave 
+   currentpoint topmat setmatrix 0 0 moveto 2 index stringwidth pop (_)
+   false charpath flattenpath pathbbox grestore exch pop 1 index
+   sub setlinewidth exch pop currentpoint 3 -1 roll add moveto 0
+   rlineto stroke moveto } if } def
+/ol { dup type /stringtype eq showflag 1 eq and { gsave gsave
+   currentpoint topmat setmatrix 2 index stringwidth pop 3 index
+   true charpath flattenpath pathbbox grestore exch pop
+   exch pop topmat setmatrix (_) true charpath pathbbox grestore
+   exch pop 1 index sub setlinewidth exch pop currentpoint
+   exch 4 1 roll exch sub add moveto pop 0 rlineto stroke
+   moveto } if } def
+/stW { gsave currentpoint newpath moveto true charpath flattenpath
+	pathbbox pop exch pop sub grestore } def
+/Ts {mark Tabs aload pop counttomark 1 add array astore /Tabs exch def Tabs
+	0 currentpoint pop put} def
+/Tbn {mark Tabs aload pop counttomark dup 2 add 1 roll cleartomark 1 sub} def
+/Tb { 0 1 Tbn {Tabs exch get dup currentpoint pop lt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/Tf { Tbn -1 0 {Tabs exch get dup currentpoint pop gt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/qS { (aa) stW (a a) stW sub 4 div 0 Kn } def
+/hS { qS qS } def
+/pspc 0 def
+/cf0 { scalefont setfont } bind def
+/Kn { dup kY add /kY exch def rmoveto } bind def
+/ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.33 mul neg Kn} def
+/Ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.67 mul Kn } def
+/ns { 0 kY neg Kn /kY 0 def /fscale 1.0 def xfont0 1.0 cf0 } def
+/CR { ns 0 /Bline Bline fscale0 neg add def Bline moveto } def
+/cf { dup type /realtype ne {1.0} if exch findfont exch kY 0 eq
+	{ 40 mul dup /fscale0 exch def cf0 /xfont0 currentfont def}
+	{fscale0 mul fscale mul cf0} ifelse } def
+/ctmk { counttomark dup 2 add -1 roll pop } bind def
+/label { gsave translate 0 0 moveto dup scale neg /rotval exch def
+	/just exch def just 384 and 0 gt {/mshow {pop} def} {/mshow {show}
+	def} ifelse just 16 and 0 gt {gsave rotval rotate 0 1 dtransform
+	gsave pagemat setmatrix idtransform exch grestore 1 0 dtransform
+	gsave pagemat setmatrix idtransform exch grestore dup abs 1e-9 lt
+	{pop mul 0 gt} {3 1 roll pop pop 0 lt} ifelse grestore {-1 /rotval
+	rotval neg def /just just dup 3 and 1 ne {3 xor} if def} {1} ifelse
+	exch -1e-9 lt {-1 /rotval rotval neg def /just just dup 12 and
+	4 ne {12 xor} if def} {1} ifelse scale } if /showflag 0 def
+	/fspc pspc def /Bline 0 def /Tabs 0 array def /fscale 1.0 def
+	/kY 0 def gsave dup 1 add copy 0 exch 1 0 dtransform exch atan rotate
+	{exch dup type /stringtype eq {true charpath flattenpath} {dup type
+	/arraytype eq {exec} {12 string cvs true charpath flattenpath} ifelse}
+	ifelse} repeat pop pathbbox grestore 3 -1 roll pop 3 1 roll just
+	1 and 0 gt {just 2 and 0 gt {exch pop neg fspc sub} {exch sub 0.5
+	mul neg} ifelse} {pop neg fspc add} ifelse exch Bline exch just 4
+	and 0 gt {just 8 and 0 gt {exch pop neg fspc sub} {add 0.5 mul neg}
+	ifelse} {pop neg fspc add} ifelse rotval rotate Kn currentpoint
+	translate /showflag 1 def /Bline 0 def /Tabs 0 array def /fscale
+	1.0 def /kY 0 def {dup type /stringtype eq {mshow} {dup type
+	/arraytype eq {exec} {12 string cvs mshow} ifelse} ifelse} repeat
+	grestore endclip} def
+/pinlabel { 4 index 32 and 0 ne hlevel 0 eq or { /pspc 10 def label
+	/pspc 0 def } { pop pop pop pop pop {pop} repeat } ifelse } def
+/pinglobal { pinlabel } def
+/infolabel { pinlabel } def
+/graphic { gsave 4 index cvx exec /DataSource get resetfile translate 0 0
+	moveto neg rotate dup scale cvx exec image grestore endclip} def
+
+/scb { setrgbcolor } bind def  /sce { defColor aload pop scb } bind def
+/cRedef {/defColor currentcolor 3 array astore def} def
+/begingate {dup type /dicttype ne {1 dict} if begin	% default params
+	dup type /dicttype ne {1 dict} if begin		% instanced params
+	/hlevel hlevel 1 add def /defColor currentcolor sce 3 array astore
+	def gsave sce translate 0 0 moveto neg rotate dup type /nametype
+	eq not { dup abs currentlinewidth exch div setlinewidth } { pop }
+	ifelse dup abs scale clipped 1 and 1 eq {/clipped clipped 1 add def}
+	if} bind def
+/endgate { /hlevel hlevel 1 sub def grestore defColor aload pop cRedef
+	scb end end endclip} bind def
+
+/hlevel 0 def
+/endclip {clipped 1 and 1 eq {grestore /clipped clipped 1 sub def} if} def
+/tmpa [1 0 0 1 0 0] def
+/gar {8 8 true tmpa {<c0 c0 00 00 0c 0c 00 00>} imagemask} bind
+{8 8 true tmpa {<30 70 60 02 03 07 06 20>} imagemask} bind
+{8 8 true tmpa {<0c 1e 1e 0c c0 e1 e1 c0>} imagemask} bind
+{8 8 true tmpa {<0f 0f 0f 0f f0 f0 f0 f0>} imagemask} bind
+{8 8 true tmpa {<3f f3 e1 e1 f3 3f 1e 1e>} imagemask} bind
+{8 8 true tmpa {<df cf 8f 9f fd fc f8 f9>} imagemask} bind
+{8 8 true tmpa {<ff 3f 3f ff ff f3 f3 ff>} imagemask} bind 7 array astore def
+/ppaint { gsave clip tmpa dup setmatrix pathbbox neg exch neg 4 2 roll
+  neg 4 -1 roll 2 copy gt {exch} if 8 div ceiling 8 mul 4 2 roll neg 2 copy
+  gt {exch} if 8 div ceiling 8 mul 3 -1 roll -8 5 -1 roll
+  { 3 index exch 5 exch put dup -8 3 index { 3 index
+  exch 4 exch put 3 index exec } for } for pop pop pop pop grestore } bind def
+/setstyles {
+  currentlinewidth mul setlinewidth /style exch def style 2048 and 0 gt
+  clipped 1 and 0 eq and {clip newpath /clipped clipped 1 add def} {
+  style 1 and 0 gt not {closepath} if
+  style 1024 and 0 gt {2 setlinecap} if
+  style 2 and 0 gt {currentlinewidth 4 mul dup 2 array astore 0 setdash} if
+  style 4 and 0 gt {0.5 currentlinewidth 4 mul 2 array astore 0 setdash} if
+  gsave style 16 and 0 gt { style 224 and -5 bitshift style 256 and 0 gt {
+  7 exch sub 8 div dup 1 exch sub currentrgbcolor 3 array astore
+  {3 copy mul add 4 1 roll pop} forall pop pop setrgbcolor eofill}
+  {dup 7 lt {gar exch get ppaint} {pop eofill} ifelse} ifelse}
+  {style 256 and 0 gt {1 setgray eofill} if} ifelse grestore style 8 and 0 gt 
+  style 512 eq or {newpath} {stroke} ifelse grestore endclip} ifelse} def     
+
+/addtoy {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll add
+	4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtoy1 {1 exch addtoy pop} def
+/addtoy3 {3 exch addtoy pop} def
+/addtoy4 {4 exch addtoy pop} def
+/addtox {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll 3 -1 roll
+	add exch 4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtox1 {1 exch addtox pop} def
+/addtox3 {3 exch addtox pop} def
+/addtox4 {4 exch addtox pop} def
+/polygon { gsave /num exch def moveto num 1 sub {lineto} repeat setstyles } def
+/xcarc   { gsave newpath arc setstyles } def
+/elb { matrix currentmatrix 7 -1 roll 7 -1 roll translate 5 1 roll 4 -1 roll
+	3 index div 1 scale } def
+/ele { 0 4 1 roll 0 4 1 roll } bind def
+/ellipse { gsave elb newpath ele arc setmatrix setstyles } def
+/pellip  { elb ele arc setmatrix } def
+/nellip  { elb ele arcn setmatrix } def
+/spline  { gsave moveto curveto setstyles } def
+/polyc   { {lineto} repeat } bind def
+/beginpath { gsave moveto } bind def
+/endpath { setstyles } bind def
+/bop	 { 1 setlinecap 0 setlinejoin 6 setmiterlimit 0 0 0 scb cRedef
+	/clipped 0 def} def
+/psinsertion {/PSobj save def /showpage {} def /setpagedevice {pop} def bop
+	rotate translate dup scale} def
+/end_insert {PSobj restore} def
+/setpagemat {/pagemat matrix currentmatrix def} def
+/inchscale  {setpagemat 0.375 mul dup scale} def
+/cmscale    {setpagemat 0.35433071 mul dup scale} def
+
+%%EndResource
+%%EndProlog
+
+% XCircuit output starts here.
+
+%%BeginSetup
+
+/analog::resistor {
+begingate
+1 1.000 0 64 0 36 2 polygon
+1 1.000 0 -64 0 -36 2 polygon
+1 1.000 0 -36 14 -30 -14 -18 14 -6 -14 6 14 18 -14 30 0 36 8 polygon
+1.000 0.000 0.000 scb
+(r.1) {/Times-Roman cf} 2 9 0 1.000 0 64 pinlabel
+(r.2) {/Times-Roman cf} 2 13 0 1.000 0 -64 pinlabel
+0.180 0.545 0.341 scb
+(spice:R%i %pr.1 %pr.2 1.0K) {/Times-Roman cf} 2 0 0 1.000 -208 -160 infolabel
+(sim:r %pr.1 %pr.2) {/Times-Roman cf} 2 0 0 1.000 -208 -208 infolabel
+endgate
+} def
+
+/analog::capacitor {
+begingate
+1 1.000 0 -64 0 -6 2 polygon
+1 1.000 0 64 0 6 2 polygon
+1 1.000 -32 6 32 6 2 polygon
+1 1.000 -32 -6 32 -6 2 polygon
+1.000 0.000 0.000 scb
+(c.1) {/Times-Roman cf} 2 9 0 1.000 0 64 pinlabel
+(c.2) {/Times-Roman cf} 2 13 0 1.000 0 -64 pinlabel
+0.180 0.545 0.341 scb
+(spice:C%i %pc.1 %pc.2 1.0P) {/Times-Roman cf} 2 0 0 1.000 -208 -160 infolabel
+(sim:c %pc.1 %pc.2) {/Times-Roman cf} 2 0 0 1.000 -208 -208 infolabel
+endgate
+} def
+
+/generic::arrowhead {
+% nonetwork
+begingate
+8 -28 beginpath
+3 -18 3 -15 0 0 curveto
+-3 -15 -3 -18 -8 -28 curveto
+-2 -26 2 -26 8 -28 curveto
+249 
+1.000 endpath
+endgate
+} def
+
+
+%%EndSetup
+
+%%Page: osc555 1
+%%PageOrientation: Portrait
+/pgsave save def bop
+1.0000 inchscale
+2.6000 setlinewidth 512 464 translate
+
+1.000 0 -192 80 analog::resistor
+1.000 0 -192 -112 analog::capacitor
+0 1.000 -96 160 -96 -112 112 -112 112 160 4 polygon
+(3) {/Helvetica cf} 2 16 0 1.000 80 32 label
+{/Helvetica cf} 1 16 0 1.000 640 784 label
+{/Helvetica cf} 1 16 0 1.000 640 784 label
+{/Helvetica cf} 1 16 0 1.000 -256 208 label
+{/Helvetica cf} 1 16 0 1.000 -256 208 label
+{/Helvetica cf} 1 16 0 1.000 544 160 label
+{/Helvetica cf} 1 16 0 1.000 544 160 label
+{/Helvetica cf} 1 16 0 1.000 544 160 label
+{/Helvetica cf} 1 16 0 1.000 544 160 label
+{/Helvetica cf} 1 16 0 1.000 544 160 label
+1.000 0 -32 -176 analog::capacitor
+(8) {/Helvetica cf} 2 16 0 1.000 -48 128 label
+(4) {/Helvetica cf} 2 16 0 1.000 48 128 label
+(7) {/Helvetica cf} 2 16 0 1.000 -80 64 label
+(6) {/Helvetica cf} 2 16 0 1.000 -80 0 label
+(2) {/Helvetica cf} 2 16 0 1.000 -80 -64 label
+(5) {/Helvetica cf} 2 16 0 1.000 -32 -96 label
+(1) {/Helvetica cf} 2 16 0 1.000 64 -96 label
+1 1.000 -96 -48 -192 -48 -192 0 -192 16 -96 16 5 polygon
+1 1.000 -192 128 -144 128 -144 80 -96 80 4 polygon
+1 1.000 -192 -176 -192 -240 -32 -240 80 -240 80 -112 5 polygon
+1 1.000 -32 160 -32 192 64 192 64 160 4 polygon
+1.000 270 -80 288 analog::resistor
+1.000 0 -192 208 analog::resistor
+1 1.000 -144 288 -192 288 -192 256 3 polygon
+1.000 90 -208 208 generic::arrowhead
+1 1.000 -240 208 -256 208 -256 144 -192 144 4 polygon
+1 1.000 -16 288 -16 192 2 polygon
+1 1.000 64 192 224 192 2 polygon
+1 1.000 112 48 224 48 2 polygon
+1 1.000 80 -160 224 -160 2 polygon
+(OD1) {/Helvetica cf} 2 16 0 1.000 240 176 label
+(IN1) {/Helvetica cf} 2 16 0 1.000 240 32 label
+(GND) {/Helvetica cf} 2 16 0 1.000 240 -176 label
+0 1.000 224 224 224 160 320 160 320 224 4 polygon
+0 1.000 224 80 224 16 304 16 304 80 4 polygon
+0 1.000 224 -128 224 -192 336 -192 336 -128 4 polygon
+{/Helvetica cf} 1 16 15 1.000 -256 64 label
+(R2) {/Helvetica cf} 2 16 0 1.000 -272 80 label
+(R1) {/Helvetica cf} 2 16 0 1.000 -256 240 label
+(.1uF) {/Helvetica cf} 2 16 0 1.000 -176 -160 label
+(.01uF) {/Helvetica cf} 2 16 0 1.000 -128 -224 label
+(555) {/Helvetica cf} 2 16 0 1.000 -32 0 label
+(4.7K) {/Helvetica cf} 2 16 0 1.000 -288 32 label
+(10K) {/Helvetica cf} 2 16 0 1.000 -176 192 label
+(100) {/Helvetica cf} 2 16 0 1.000 -112 240 label
+0 1.000 -320 320 -320 -272 352 -272 352 320 4 polygon
+pgsave restore showpage
+
+%%Trailer
+XCIRCsave restore
+%%EOF
diff --git a/doc/en/Docs-jr/schematics/pend-wave.png b/doc/en/Docs-jr/schematics/pend-wave.png
new file mode 100644
index 0000000..dcb5707
Binary files /dev/null and b/doc/en/Docs-jr/schematics/pend-wave.png differ
diff --git a/doc/en/Docs-jr/schematics/pend-wave.ps b/doc/en/Docs-jr/schematics/pend-wave.ps
new file mode 100644
index 0000000..2e1f023
--- /dev/null
+++ b/doc/en/Docs-jr/schematics/pend-wave.ps
@@ -0,0 +1,205 @@
+%!PS-Adobe-3.0 EPSF-3.0
+%%Title: pend-wave.ps
+%%Creator: XCircuit v3.7 rev26
+%%CreationDate: Tue Jul 31 14:52:26 2012
+%%Pages: 1
+%%BoundingBox: 68 68 269 179
+%%DocumentNeededResources: font Helvetica 
+%%EndComments
+%%BeginProlog
+%
+%  PostScript prolog for output from xcircuit
+%  Version: 3.7
+%
+%  Electrical circuit (and otherwise general) drawing program
+%
+%  Written by Tim Edwards 8/5/93--11/1/10  (tim.edwards at multigig.com)
+%  The Johns Hopkins University (1993-2004)
+%  MultiGiG, Inc. (2004-present)
+%
+%%BeginResource: procset XCIRCproc 3.7 2
+%
+% supporting definitions --- these are the primary xcircuit types.
+
+/XCIRCsave save def
+/topmat matrix currentmatrix def
+
+/fontslant { /slant exch def [1 0 slant 1 0 0] 
+    exch findfont exch makefont dup length dict /ndict exch def
+    { 1 index /FID ne { ndict 3 1 roll put } { pop pop } ifelse } forall
+    ndict definefont pop} def
+/ul { dup type /stringtype eq showflag 1 eq and { gsave 
+   currentpoint topmat setmatrix 0 0 moveto 2 index stringwidth pop (_)
+   false charpath flattenpath pathbbox grestore exch pop 1 index
+   sub setlinewidth exch pop currentpoint 3 -1 roll add moveto 0
+   rlineto stroke moveto } if } def
+/ol { dup type /stringtype eq showflag 1 eq and { gsave gsave
+   currentpoint topmat setmatrix 2 index stringwidth pop 3 index
+   true charpath flattenpath pathbbox grestore exch pop
+   exch pop topmat setmatrix (_) true charpath pathbbox grestore
+   exch pop 1 index sub setlinewidth exch pop currentpoint
+   exch 4 1 roll exch sub add moveto pop 0 rlineto stroke
+   moveto } if } def
+/stW { gsave currentpoint newpath moveto true charpath flattenpath
+	pathbbox pop exch pop sub grestore } def
+/Ts {mark Tabs aload pop counttomark 1 add array astore /Tabs exch def Tabs
+	0 currentpoint pop put} def
+/Tbn {mark Tabs aload pop counttomark dup 2 add 1 roll cleartomark 1 sub} def
+/Tb { 0 1 Tbn {Tabs exch get dup currentpoint pop lt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/Tf { Tbn -1 0 {Tabs exch get dup currentpoint pop gt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/qS { (aa) stW (a a) stW sub 4 div 0 Kn } def
+/hS { qS qS } def
+/pspc 0 def
+/cf0 { scalefont setfont } bind def
+/Kn { dup kY add /kY exch def rmoveto } bind def
+/ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.33 mul neg Kn} def
+/Ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.67 mul Kn } def
+/ns { 0 kY neg Kn /kY 0 def /fscale 1.0 def xfont0 1.0 cf0 } def
+/CR { ns 0 /Bline Bline fscale0 neg add def Bline moveto } def
+/cf { dup type /realtype ne {1.0} if exch findfont exch kY 0 eq
+	{ 40 mul dup /fscale0 exch def cf0 /xfont0 currentfont def}
+	{fscale0 mul fscale mul cf0} ifelse } def
+/ctmk { counttomark dup 2 add -1 roll pop } bind def
+/label { gsave translate 0 0 moveto dup scale neg /rotval exch def
+	/just exch def just 384 and 0 gt {/mshow {pop} def} {/mshow {show}
+	def} ifelse just 16 and 0 gt {gsave rotval rotate 0 1 dtransform
+	gsave pagemat setmatrix idtransform exch grestore 1 0 dtransform
+	gsave pagemat setmatrix idtransform exch grestore dup abs 1e-9 lt
+	{pop mul 0 gt} {3 1 roll pop pop 0 lt} ifelse grestore {-1 /rotval
+	rotval neg def /just just dup 3 and 1 ne {3 xor} if def} {1} ifelse
+	exch -1e-9 lt {-1 /rotval rotval neg def /just just dup 12 and
+	4 ne {12 xor} if def} {1} ifelse scale } if /showflag 0 def
+	/fspc pspc def /Bline 0 def /Tabs 0 array def /fscale 1.0 def
+	/kY 0 def gsave dup 1 add copy 0 exch 1 0 dtransform exch atan rotate
+	{exch dup type /stringtype eq {true charpath flattenpath} {dup type
+	/arraytype eq {exec} {12 string cvs true charpath flattenpath} ifelse}
+	ifelse} repeat pop pathbbox grestore 3 -1 roll pop 3 1 roll just
+	1 and 0 gt {just 2 and 0 gt {exch pop neg fspc sub} {exch sub 0.5
+	mul neg} ifelse} {pop neg fspc add} ifelse exch Bline exch just 4
+	and 0 gt {just 8 and 0 gt {exch pop neg fspc sub} {add 0.5 mul neg}
+	ifelse} {pop neg fspc add} ifelse rotval rotate Kn currentpoint
+	translate /showflag 1 def /Bline 0 def /Tabs 0 array def /fscale
+	1.0 def /kY 0 def {dup type /stringtype eq {mshow} {dup type
+	/arraytype eq {exec} {12 string cvs mshow} ifelse} ifelse} repeat
+	grestore endclip} def
+/pinlabel { 4 index 32 and 0 ne hlevel 0 eq or { /pspc 10 def label
+	/pspc 0 def } { pop pop pop pop pop {pop} repeat } ifelse } def
+/pinglobal { pinlabel } def
+/infolabel { pinlabel } def
+/graphic { gsave 4 index cvx exec /DataSource get resetfile translate 0 0
+	moveto neg rotate dup scale cvx exec image grestore endclip} def
+
+/scb { setrgbcolor } bind def  /sce { defColor aload pop scb } bind def
+/cRedef {/defColor currentcolor 3 array astore def} def
+/begingate {dup type /dicttype ne {1 dict} if begin	% default params
+	dup type /dicttype ne {1 dict} if begin		% instanced params
+	/hlevel hlevel 1 add def /defColor currentcolor sce 3 array astore
+	def gsave sce translate 0 0 moveto neg rotate dup type /nametype
+	eq not { dup abs currentlinewidth exch div setlinewidth } { pop }
+	ifelse dup abs scale clipped 1 and 1 eq {/clipped clipped 1 add def}
+	if} bind def
+/endgate { /hlevel hlevel 1 sub def grestore defColor aload pop cRedef
+	scb end end endclip} bind def
+
+/hlevel 0 def
+/endclip {clipped 1 and 1 eq {grestore /clipped clipped 1 sub def} if} def
+/tmpa [1 0 0 1 0 0] def
+/gar {8 8 true tmpa {<c0 c0 00 00 0c 0c 00 00>} imagemask} bind
+{8 8 true tmpa {<30 70 60 02 03 07 06 20>} imagemask} bind
+{8 8 true tmpa {<0c 1e 1e 0c c0 e1 e1 c0>} imagemask} bind
+{8 8 true tmpa {<0f 0f 0f 0f f0 f0 f0 f0>} imagemask} bind
+{8 8 true tmpa {<3f f3 e1 e1 f3 3f 1e 1e>} imagemask} bind
+{8 8 true tmpa {<df cf 8f 9f fd fc f8 f9>} imagemask} bind
+{8 8 true tmpa {<ff 3f 3f ff ff f3 f3 ff>} imagemask} bind 7 array astore def
+/ppaint { gsave clip tmpa dup setmatrix pathbbox neg exch neg 4 2 roll
+  neg 4 -1 roll 2 copy gt {exch} if 8 div ceiling 8 mul 4 2 roll neg 2 copy
+  gt {exch} if 8 div ceiling 8 mul 3 -1 roll -8 5 -1 roll
+  { 3 index exch 5 exch put dup -8 3 index { 3 index
+  exch 4 exch put 3 index exec } for } for pop pop pop pop grestore } bind def
+/setstyles {
+  currentlinewidth mul setlinewidth /style exch def style 2048 and 0 gt
+  clipped 1 and 0 eq and {clip newpath /clipped clipped 1 add def} {
+  style 1 and 0 gt not {closepath} if
+  style 1024 and 0 gt {2 setlinecap} if
+  style 2 and 0 gt {currentlinewidth 4 mul dup 2 array astore 0 setdash} if
+  style 4 and 0 gt {0.5 currentlinewidth 4 mul 2 array astore 0 setdash} if
+  gsave style 16 and 0 gt { style 224 and -5 bitshift style 256 and 0 gt {
+  7 exch sub 8 div dup 1 exch sub currentrgbcolor 3 array astore
+  {3 copy mul add 4 1 roll pop} forall pop pop setrgbcolor eofill}
+  {dup 7 lt {gar exch get ppaint} {pop eofill} ifelse} ifelse}
+  {style 256 and 0 gt {1 setgray eofill} if} ifelse grestore style 8 and 0 gt 
+  style 512 eq or {newpath} {stroke} ifelse grestore endclip} ifelse} def     
+
+/addtoy {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll add
+	4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtoy1 {1 exch addtoy pop} def
+/addtoy3 {3 exch addtoy pop} def
+/addtoy4 {4 exch addtoy pop} def
+/addtox {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll 3 -1 roll
+	add exch 4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtox1 {1 exch addtox pop} def
+/addtox3 {3 exch addtox pop} def
+/addtox4 {4 exch addtox pop} def
+/polygon { gsave /num exch def moveto num 1 sub {lineto} repeat setstyles } def
+/xcarc   { gsave newpath arc setstyles } def
+/elb { matrix currentmatrix 7 -1 roll 7 -1 roll translate 5 1 roll 4 -1 roll
+	3 index div 1 scale } def
+/ele { 0 4 1 roll 0 4 1 roll } bind def
+/ellipse { gsave elb newpath ele arc setmatrix setstyles } def
+/pellip  { elb ele arc setmatrix } def
+/nellip  { elb ele arcn setmatrix } def
+/spline  { gsave moveto curveto setstyles } def
+/polyc   { {lineto} repeat } bind def
+/beginpath { gsave moveto } bind def
+/endpath { setstyles } bind def
+/bop	 { 1 setlinecap 0 setlinejoin 6 setmiterlimit 0 0 0 scb cRedef
+	/clipped 0 def} def
+/psinsertion {/PSobj save def /showpage {} def /setpagedevice {pop} def bop
+	rotate translate dup scale} def
+/end_insert {PSobj restore} def
+/setpagemat {/pagemat matrix currentmatrix def} def
+/inchscale  {setpagemat 0.375 mul dup scale} def
+/cmscale    {setpagemat 0.35433071 mul dup scale} def
+
+%%EndResource
+%%EndProlog
+
+% XCircuit output starts here.
+
+%%BeginSetup
+
+
+%%EndSetup
+
+%%Page: pend-wave 1
+%%PageOrientation: Portrait
+/pgsave save def bop
+1.0000 inchscale
+2.6000 setlinewidth 576 387 translate
+
+(DC) {/Helvetica cf} 2 16 0 1.000 -64 48 label
+(MOTOR) {/Helvetica cf} 2 16 0 1.000 -96 0 label
+0 1.000 -112 80 -112 -16 64 -16 64 80 4 polygon
+0 1.000 64 48 64 16 96 16 96 48 4 polygon
+1 1.000 96 48 96 -128 2 polygon
+1 1.000 96 -160 35 0.000 360.000 xcarc
+(IN) {/Helvetica cf} 2 16 0 1.000 -224 32 label
+(OUT) {/Helvetica cf} 2 16 0 1.000 -368 32 label
+(A1) {/Helvetica cf} 2 16 0 1.000 -352 -80 label
+0 1.000 -240 80 -240 16 -176 16 -176 80 4 polygon
+(GND) {/Helvetica cf} 2 16 0 1.000 -240 -80 label
+0 1.000 -240 -32 -240 -96 -144 -96 -144 -32 4 polygon
+1 1.000 -176 32 -112 32 2 polygon
+1 1.000 -160 -32 -160 0 -112 0 3 polygon
+0 1.000 -384 80 -384 16 -272 16 -272 80 4 polygon
+0 1.000 -368 -32 -368 -96 -288 -96 -288 -32 4 polygon
+1 1.000 -320 16 -320 -32 2 polygon
+pgsave restore showpage
+
+%%Trailer
+XCIRCsave restore
+%%EOF
diff --git a/doc/en/Docs-jr/schematics/pt100.png b/doc/en/Docs-jr/schematics/pt100.png
new file mode 100644
index 0000000..67fbc93
Binary files /dev/null and b/doc/en/Docs-jr/schematics/pt100.png differ
diff --git a/doc/en/Docs-jr/schematics/pt100.ps b/doc/en/Docs-jr/schematics/pt100.ps
new file mode 100644
index 0000000..635ea97
--- /dev/null
+++ b/doc/en/Docs-jr/schematics/pt100.ps
@@ -0,0 +1,200 @@
+%!PS-Adobe-3.0 EPSF-3.0
+%%Title: pt100.ps
+%%Creator: XCircuit v3.7 rev26
+%%CreationDate: Sat Jul 28 13:25:35 2012
+%%Pages: 1
+%%BoundingBox: 68 68 298 100
+%%DocumentNeededResources: font Helvetica 
+%%EndComments
+%%BeginProlog
+%
+%  PostScript prolog for output from xcircuit
+%  Version: 3.7
+%
+%  Electrical circuit (and otherwise general) drawing program
+%
+%  Written by Tim Edwards 8/5/93--11/1/10  (tim.edwards at multigig.com)
+%  The Johns Hopkins University (1993-2004)
+%  MultiGiG, Inc. (2004-present)
+%
+%%BeginResource: procset XCIRCproc 3.7 2
+%
+% supporting definitions --- these are the primary xcircuit types.
+
+/XCIRCsave save def
+/topmat matrix currentmatrix def
+
+/fontslant { /slant exch def [1 0 slant 1 0 0] 
+    exch findfont exch makefont dup length dict /ndict exch def
+    { 1 index /FID ne { ndict 3 1 roll put } { pop pop } ifelse } forall
+    ndict definefont pop} def
+/ul { dup type /stringtype eq showflag 1 eq and { gsave 
+   currentpoint topmat setmatrix 0 0 moveto 2 index stringwidth pop (_)
+   false charpath flattenpath pathbbox grestore exch pop 1 index
+   sub setlinewidth exch pop currentpoint 3 -1 roll add moveto 0
+   rlineto stroke moveto } if } def
+/ol { dup type /stringtype eq showflag 1 eq and { gsave gsave
+   currentpoint topmat setmatrix 2 index stringwidth pop 3 index
+   true charpath flattenpath pathbbox grestore exch pop
+   exch pop topmat setmatrix (_) true charpath pathbbox grestore
+   exch pop 1 index sub setlinewidth exch pop currentpoint
+   exch 4 1 roll exch sub add moveto pop 0 rlineto stroke
+   moveto } if } def
+/stW { gsave currentpoint newpath moveto true charpath flattenpath
+	pathbbox pop exch pop sub grestore } def
+/Ts {mark Tabs aload pop counttomark 1 add array astore /Tabs exch def Tabs
+	0 currentpoint pop put} def
+/Tbn {mark Tabs aload pop counttomark dup 2 add 1 roll cleartomark 1 sub} def
+/Tb { 0 1 Tbn {Tabs exch get dup currentpoint pop lt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/Tf { Tbn -1 0 {Tabs exch get dup currentpoint pop gt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/qS { (aa) stW (a a) stW sub 4 div 0 Kn } def
+/hS { qS qS } def
+/pspc 0 def
+/cf0 { scalefont setfont } bind def
+/Kn { dup kY add /kY exch def rmoveto } bind def
+/ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.33 mul neg Kn} def
+/Ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.67 mul Kn } def
+/ns { 0 kY neg Kn /kY 0 def /fscale 1.0 def xfont0 1.0 cf0 } def
+/CR { ns 0 /Bline Bline fscale0 neg add def Bline moveto } def
+/cf { dup type /realtype ne {1.0} if exch findfont exch kY 0 eq
+	{ 40 mul dup /fscale0 exch def cf0 /xfont0 currentfont def}
+	{fscale0 mul fscale mul cf0} ifelse } def
+/ctmk { counttomark dup 2 add -1 roll pop } bind def
+/label { gsave translate 0 0 moveto dup scale neg /rotval exch def
+	/just exch def just 384 and 0 gt {/mshow {pop} def} {/mshow {show}
+	def} ifelse just 16 and 0 gt {gsave rotval rotate 0 1 dtransform
+	gsave pagemat setmatrix idtransform exch grestore 1 0 dtransform
+	gsave pagemat setmatrix idtransform exch grestore dup abs 1e-9 lt
+	{pop mul 0 gt} {3 1 roll pop pop 0 lt} ifelse grestore {-1 /rotval
+	rotval neg def /just just dup 3 and 1 ne {3 xor} if def} {1} ifelse
+	exch -1e-9 lt {-1 /rotval rotval neg def /just just dup 12 and
+	4 ne {12 xor} if def} {1} ifelse scale } if /showflag 0 def
+	/fspc pspc def /Bline 0 def /Tabs 0 array def /fscale 1.0 def
+	/kY 0 def gsave dup 1 add copy 0 exch 1 0 dtransform exch atan rotate
+	{exch dup type /stringtype eq {true charpath flattenpath} {dup type
+	/arraytype eq {exec} {12 string cvs true charpath flattenpath} ifelse}
+	ifelse} repeat pop pathbbox grestore 3 -1 roll pop 3 1 roll just
+	1 and 0 gt {just 2 and 0 gt {exch pop neg fspc sub} {exch sub 0.5
+	mul neg} ifelse} {pop neg fspc add} ifelse exch Bline exch just 4
+	and 0 gt {just 8 and 0 gt {exch pop neg fspc sub} {add 0.5 mul neg}
+	ifelse} {pop neg fspc add} ifelse rotval rotate Kn currentpoint
+	translate /showflag 1 def /Bline 0 def /Tabs 0 array def /fscale
+	1.0 def /kY 0 def {dup type /stringtype eq {mshow} {dup type
+	/arraytype eq {exec} {12 string cvs mshow} ifelse} ifelse} repeat
+	grestore endclip} def
+/pinlabel { 4 index 32 and 0 ne hlevel 0 eq or { /pspc 10 def label
+	/pspc 0 def } { pop pop pop pop pop {pop} repeat } ifelse } def
+/pinglobal { pinlabel } def
+/infolabel { pinlabel } def
+/graphic { gsave 4 index cvx exec /DataSource get resetfile translate 0 0
+	moveto neg rotate dup scale cvx exec image grestore endclip} def
+
+/scb { setrgbcolor } bind def  /sce { defColor aload pop scb } bind def
+/cRedef {/defColor currentcolor 3 array astore def} def
+/begingate {dup type /dicttype ne {1 dict} if begin	% default params
+	dup type /dicttype ne {1 dict} if begin		% instanced params
+	/hlevel hlevel 1 add def /defColor currentcolor sce 3 array astore
+	def gsave sce translate 0 0 moveto neg rotate dup type /nametype
+	eq not { dup abs currentlinewidth exch div setlinewidth } { pop }
+	ifelse dup abs scale clipped 1 and 1 eq {/clipped clipped 1 add def}
+	if} bind def
+/endgate { /hlevel hlevel 1 sub def grestore defColor aload pop cRedef
+	scb end end endclip} bind def
+
+/hlevel 0 def
+/endclip {clipped 1 and 1 eq {grestore /clipped clipped 1 sub def} if} def
+/tmpa [1 0 0 1 0 0] def
+/gar {8 8 true tmpa {<c0 c0 00 00 0c 0c 00 00>} imagemask} bind
+{8 8 true tmpa {<30 70 60 02 03 07 06 20>} imagemask} bind
+{8 8 true tmpa {<0c 1e 1e 0c c0 e1 e1 c0>} imagemask} bind
+{8 8 true tmpa {<0f 0f 0f 0f f0 f0 f0 f0>} imagemask} bind
+{8 8 true tmpa {<3f f3 e1 e1 f3 3f 1e 1e>} imagemask} bind
+{8 8 true tmpa {<df cf 8f 9f fd fc f8 f9>} imagemask} bind
+{8 8 true tmpa {<ff 3f 3f ff ff f3 f3 ff>} imagemask} bind 7 array astore def
+/ppaint { gsave clip tmpa dup setmatrix pathbbox neg exch neg 4 2 roll
+  neg 4 -1 roll 2 copy gt {exch} if 8 div ceiling 8 mul 4 2 roll neg 2 copy
+  gt {exch} if 8 div ceiling 8 mul 3 -1 roll -8 5 -1 roll
+  { 3 index exch 5 exch put dup -8 3 index { 3 index
+  exch 4 exch put 3 index exec } for } for pop pop pop pop grestore } bind def
+/setstyles {
+  currentlinewidth mul setlinewidth /style exch def style 2048 and 0 gt
+  clipped 1 and 0 eq and {clip newpath /clipped clipped 1 add def} {
+  style 1 and 0 gt not {closepath} if
+  style 1024 and 0 gt {2 setlinecap} if
+  style 2 and 0 gt {currentlinewidth 4 mul dup 2 array astore 0 setdash} if
+  style 4 and 0 gt {0.5 currentlinewidth 4 mul 2 array astore 0 setdash} if
+  gsave style 16 and 0 gt { style 224 and -5 bitshift style 256 and 0 gt {
+  7 exch sub 8 div dup 1 exch sub currentrgbcolor 3 array astore
+  {3 copy mul add 4 1 roll pop} forall pop pop setrgbcolor eofill}
+  {dup 7 lt {gar exch get ppaint} {pop eofill} ifelse} ifelse}
+  {style 256 and 0 gt {1 setgray eofill} if} ifelse grestore style 8 and 0 gt 
+  style 512 eq or {newpath} {stroke} ifelse grestore endclip} ifelse} def     
+
+/addtoy {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll add
+	4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtoy1 {1 exch addtoy pop} def
+/addtoy3 {3 exch addtoy pop} def
+/addtoy4 {4 exch addtoy pop} def
+/addtox {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll 3 -1 roll
+	add exch 4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtox1 {1 exch addtox pop} def
+/addtox3 {3 exch addtox pop} def
+/addtox4 {4 exch addtox pop} def
+/polygon { gsave /num exch def moveto num 1 sub {lineto} repeat setstyles } def
+/xcarc   { gsave newpath arc setstyles } def
+/elb { matrix currentmatrix 7 -1 roll 7 -1 roll translate 5 1 roll 4 -1 roll
+	3 index div 1 scale } def
+/ele { 0 4 1 roll 0 4 1 roll } bind def
+/ellipse { gsave elb newpath ele arc setmatrix setstyles } def
+/pellip  { elb ele arc setmatrix } def
+/nellip  { elb ele arcn setmatrix } def
+/spline  { gsave moveto curveto setstyles } def
+/polyc   { {lineto} repeat } bind def
+/beginpath { gsave moveto } bind def
+/endpath { setstyles } bind def
+/bop	 { 1 setlinecap 0 setlinejoin 6 setmiterlimit 0 0 0 scb cRedef
+	/clipped 0 def} def
+/psinsertion {/PSobj save def /showpage {} def /setpagedevice {pop} def bop
+	rotate translate dup scale} def
+/end_insert {PSobj restore} def
+/setpagemat {/pagemat matrix currentmatrix def} def
+/inchscale  {setpagemat 0.375 mul dup scale} def
+/cmscale    {setpagemat 0.35433071 mul dup scale} def
+
+%%EndResource
+%%EndProlog
+
+% XCircuit output starts here.
+
+%%BeginSetup
+
+
+%%EndSetup
+
+%%Page: pt100 1
+%%PageOrientation: Portrait
+/pgsave save def bop
+1.0000 inchscale
+2.6000 setlinewidth 688 192 translate
+
+(PT100) {/Helvetica cf} 2 16 0 1.000 -288 16 label
+{/Helvetica cf} 1 16 0 1.000 -496 32 label
+(GND) {/Helvetica cf} 2 16 0 1.000 -448 16 label
+(CCS) {/Helvetica cf} 2 16 0 1.000 -112 16 label
+0 1.000 -464 64 -464 0 -352 0 -352 64 4 polygon
+0 1.000 -304 64 -304 0 -176 0 -176 64 4 polygon
+0 1.000 -128 64 -128 0 -16 0 -16 64 4 polygon
+0 1.000 16 64 16 0 96 0 96 64 4 polygon
+(IN1) {/Helvetica cf} 2 16 0 1.000 32 16 label
+1 1.000 -16 32 16 32 2 polygon
+1 1.000 -176 32 -128 32 2 polygon
+1 1.000 -352 32 -304 32 2 polygon
+pgsave restore showpage
+
+%%Trailer
+XCIRCsave restore
+%%EOF
diff --git a/doc/en/Docs-jr/schematics/rc-acphase.png b/doc/en/Docs-jr/schematics/rc-acphase.png
new file mode 100644
index 0000000..105d0e2
Binary files /dev/null and b/doc/en/Docs-jr/schematics/rc-acphase.png differ
diff --git a/doc/en/Docs-jr/schematics/rc-acphase.ps b/doc/en/Docs-jr/schematics/rc-acphase.ps
new file mode 100644
index 0000000..30ebd2a
--- /dev/null
+++ b/doc/en/Docs-jr/schematics/rc-acphase.ps
@@ -0,0 +1,216 @@
+%!PS-Adobe-3.0 EPSF-3.0
+%%Title: rc-acphase
+%%Creator: XCircuit v3.6 rev135
+%%CreationDate: Tue Jul 10 16:10:30 2012
+%%Pages: 1
+%%BoundingBox: 68 68 352 106
+%%DocumentNeededResources: font Helvetica Times-Roman 
+%%EndComments
+%%BeginProlog
+%
+%  PostScript prolog for output from xcircuit
+%  Version: 3.3
+%
+%  Electrical circuit (and otherwise general) drawing program
+%
+%  Written by Tim Edwards 8/5/93--7/13/05  (tim.edwards at multigig.com)
+%  The Johns Hopkins University (1993-2004)
+%  MultiGiG, Inc. (2004-present)
+%
+%%BeginResource: procset XCIRCproc 3.3 0
+%
+% supporting definitions --- these are the primary xcircuit types.
+
+/XCIRCsave save def
+/topmat matrix currentmatrix def
+
+/fontslant { /slant exch def [1 0 slant 1 0 0] 
+    exch findfont exch makefont dup length dict /ndict exch def
+    { 1 index /FID ne { ndict 3 1 roll put } { pop pop } ifelse } forall
+    ndict definefont pop} def
+/ul { dup type /stringtype eq showflag 1 eq and { gsave 
+   currentpoint topmat setmatrix 0 0 moveto 2 index stringwidth pop (_)
+   false charpath flattenpath pathbbox grestore exch pop 1 index
+   sub setlinewidth exch pop currentpoint 3 -1 roll add moveto 0
+   rlineto stroke moveto } if } def
+/ol { dup type /stringtype eq showflag 1 eq and { gsave gsave
+   currentpoint topmat setmatrix 2 index stringwidth pop 3 index
+   true charpath flattenpath pathbbox grestore exch pop
+   exch pop topmat setmatrix (_) true charpath pathbbox grestore
+   exch pop 1 index sub setlinewidth exch pop currentpoint
+   exch 4 1 roll exch sub add moveto pop 0 rlineto stroke
+   moveto } if } def
+/stW { gsave currentpoint newpath moveto true charpath flattenpath
+	pathbbox pop exch pop sub grestore } def
+/Ts {mark Tabs aload pop counttomark 1 add array astore /Tabs exch def Tabs
+	0 currentpoint pop put} def
+/Tbn {mark Tabs aload pop counttomark dup 2 add 1 roll cleartomark 1 sub} def
+/Tb { 0 1 Tbn {Tabs exch get dup currentpoint pop lt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/Tf { Tbn -1 0 {Tabs exch get dup currentpoint pop gt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/qS { (aa) stW (a a) stW sub 4 div 0 Kn } def
+/hS { qS qS } def
+/pspc 0 def
+/cf0 { scalefont setfont } bind def
+/Kn { dup kY add /kY exch def rmoveto } bind def
+/ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.33 mul neg Kn} def
+/Ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.67 mul Kn } def
+/ns { 0 kY neg Kn /kY 0 def /fscale 1.0 def xfont0 1.0 cf0 } def
+/CR { ns 0 /Bline Bline fscale0 neg add def Bline moveto } def
+/cf { dup type /realtype ne {1.0} if exch findfont exch kY 0 eq
+	{ 40 mul dup /fscale0 exch def cf0 /xfont0 currentfont def}
+	{fscale0 mul fscale mul cf0} ifelse } def
+/ctmk { counttomark dup 2 add -1 roll pop } bind def
+/label { gsave translate 0 0 moveto dup scale neg /rotval exch def
+	/just exch def just 384 and 0 gt {/mshow {pop} def} {/mshow {show}
+	def} ifelse just 16 and 0 gt {gsave rotval rotate 0 1 dtransform
+	gsave pagemat setmatrix idtransform exch grestore 1 0 dtransform
+	gsave pagemat setmatrix idtransform exch grestore dup abs 1e-9 lt
+	{pop mul 0 gt} {3 1 roll pop pop 0 lt} ifelse grestore {-1 /rotval
+	rotval neg def /just just dup 3 and 1 ne {3 xor} if def} {1} ifelse
+	exch -1e-9 lt {-1 /rotval rotval neg def /just just dup 12 and
+	4 ne {12 xor} if def} {1} ifelse scale } if /showflag 0 def
+	/fspc pspc def /Bline 0 def /Tabs 0 array def /fscale 1.0 def
+	/kY 0 def gsave dup 1 add copy 0 exch 1 0 dtransform exch atan rotate
+	{exch dup type /stringtype eq {true charpath flattenpath} {dup type
+	/arraytype eq {exec} {12 string cvs true charpath flattenpath} ifelse}
+	ifelse} repeat pop pathbbox grestore 3 -1 roll pop 3 1 roll just
+	1 and 0 gt {just 2 and 0 gt {exch pop neg fspc sub} {exch sub 0.5
+	mul neg} ifelse} {pop neg fspc add} ifelse exch Bline exch just 4
+	and 0 gt {just 8 and 0 gt {exch pop neg fspc sub} {add 0.5 mul neg}
+	ifelse} {pop neg fspc add} ifelse rotval rotate Kn currentpoint
+	translate /showflag 1 def /Bline 0 def /Tabs 0 array def /fscale
+	1.0 def /kY 0 def {dup type /stringtype eq {mshow} {dup type
+	/arraytype eq {exec} {12 string cvs mshow} ifelse} ifelse} repeat
+	grestore } def
+/pinlabel { 4 index 32 and 0 ne hlevel 0 eq or { /pspc 10 def label
+	/pspc 0 def } { pop pop pop pop pop {pop} repeat } ifelse } def
+/pinglobal { pinlabel } def
+/infolabel { pinlabel } def
+/graphic { gsave 4 index cvx exec /DataSource get resetfile translate
+	0 0 moveto neg rotate dup scale cvx exec image grestore } def
+
+/scb { setrgbcolor } bind def  /sce { defColor aload pop scb } bind def
+/cRedef {/defColor currentcolor 3 array astore def} def
+/begingate {dup type /dicttype ne {1 dict} if begin	% default params
+	dup type /dicttype ne {1 dict} if begin		% instanced params
+	/hlevel hlevel 1 add def /defColor currentcolor sce 3 array
+	astore def gsave sce translate 0 0 moveto neg rotate dup abs scale
+	} bind def
+/endgate { /hlevel hlevel 1 sub def grestore defColor aload pop cRedef
+	scb end end} bind def
+
+/hlevel 0 def
+/tmpa [1 0 0 1 0 0] def
+/gar {8 8 true tmpa {<c0 c0 00 00 0c 0c 00 00>} imagemask} bind
+{8 8 true tmpa {<30 70 60 02 03 07 06 20>} imagemask} bind
+{8 8 true tmpa {<0c 1e 1e 0c c0 e1 e1 c0>} imagemask} bind
+{8 8 true tmpa {<0f 0f 0f 0f f0 f0 f0 f0>} imagemask} bind
+{8 8 true tmpa {<3f f3 e1 e1 f3 3f 1e 1e>} imagemask} bind
+{8 8 true tmpa {<df cf 8f 9f fd fc f8 f9>} imagemask} bind
+{8 8 true tmpa {<ff 3f 3f ff ff f3 f3 ff>} imagemask} bind 7 array astore def
+/ppaint { gsave clip tmpa dup setmatrix pathbbox neg exch neg 4 2 roll
+  neg 4 -1 roll 2 copy gt {exch} if 8 div ceiling 8 mul 4 2 roll neg 2 copy
+  gt {exch} if 8 div ceiling 8 mul 3 -1 roll -8 5 -1 roll
+  { 3 index exch 5 exch put dup -8 3 index { 3 index
+  exch 4 exch put 3 index exec } for } for pop pop pop pop grestore } bind def
+/setstyles {
+  currentlinewidth mul setlinewidth /style exch def
+  style 1 and 0 gt not {closepath} if
+  style 1024 and 0 gt {2 setlinecap} if
+  style 2 and 0 gt {currentlinewidth 4 mul dup 2 array astore 0 setdash} if
+  style 4 and 0 gt {0.5 currentlinewidth 4 mul 2 array astore 0 setdash} if
+  gsave style 16 and 0 gt { style 224 and -5 bitshift style 256 and 0 gt {
+  7 exch sub 8 div dup 1 exch sub currentrgbcolor 3 array astore
+  {3 copy mul add 4 1 roll pop} forall pop pop setrgbcolor eofill}
+  {dup 7 lt {gar exch get ppaint} {pop eofill} ifelse} ifelse}
+  {style 256 and 0 gt {1 setgray eofill} if} ifelse grestore style 8 and 0 gt 
+  style 512 eq or {newpath} {stroke} ifelse grestore} def     
+
+/polygon { gsave /num exch def moveto num 1 sub {lineto} repeat setstyles } def
+/xcarc   { gsave newpath arc setstyles } def
+/elb { matrix currentmatrix 7 -1 roll 7 -1 roll translate 5 1 roll 4 -1 roll
+	3 index div 1 scale } def
+/ele { 0 4 1 roll 0 4 1 roll } bind def
+/ellipse { gsave elb newpath ele arc setmatrix setstyles } def
+/pellip  { elb ele arc setmatrix } def
+/nellip  { elb ele arcn setmatrix } def
+/spline  { gsave moveto curveto setstyles } def
+/polyc   { {lineto} repeat } bind def
+/beginpath { gsave moveto } bind def
+/endpath { setstyles } bind def
+/bop	 { 1 setlinecap 0 setlinejoin 6 setmiterlimit 0 0 0 scb cRedef } def
+/psinsertion {/PSobj save def /showpage {} def /setpagedevice {pop} def bop
+	rotate translate dup scale} def
+/end_insert {PSobj restore} def
+/setpagemat {/pagemat matrix currentmatrix def} def
+/inchscale  {setpagemat 0.375 mul dup scale} def
+/cmscale    {setpagemat 0.35433071 mul dup scale} def
+
+%%EndResource
+%%EndProlog
+
+% XCircuit output starts here.
+
+%%BeginSetup
+
+/analog::resistor {
+begingate
+1 1.000 0 64 0 36 2 polygon
+1 1.000 0 -64 0 -36 2 polygon
+1 1.000 0 -36 14 -30 -14 -18 14 -6 -14 6 14 18 -14 30 0 36 8 polygon
+1.000 0.000 0.000 scb
+(r.1) {/Times-Roman cf} 2 9 0 1.000 0 64 pinlabel
+(r.2) {/Times-Roman cf} 2 13 0 1.000 0 -64 pinlabel
+0.180 0.545 0.341 scb
+(spice:R%i %pr.1 %pr.2 1.0K) {/Times-Roman cf} 2 0 0 1.000 -208 -160 infolabel
+(sim:r %pr.1 %pr.2) {/Times-Roman cf} 2 0 0 1.000 -208 -208 infolabel
+endgate
+} def
+
+/analog::polarized {
+begingate
+1 1.000 0 -64 0 -6 2 polygon
+1 1.000 0 64 0 6 2 polygon
+1 1.000 -32 6 32 6 2 polygon
+1 1.000 0 -80 74 66.000 114.000 xcarc
+1.000 0.000 0.000 scb
+(t) {/Times-Roman cf} 2 9 0 1.000 0 64 pinlabel
+(b) {/Times-Roman cf} 2 13 0 1.000 0 -64 pinlabel
+0.180 0.545 0.341 scb
+(spice:C%i %pt %pb 1.0P) {/Times-Roman cf} 2 0 0 1.000 -208 -160 infolabel
+(sim:e %pt %pb %pb) {/Times-Roman cf} 2 0 0 1.000 -208 -208 infolabel
+endgate
+} def
+
+
+%%EndSetup
+
+%%Page: 1 1
+%%PageOrientation: Portrait
+/pgsave save def bop
+1.0000 inchscale
+2.6000 setlinewidth 752 208 translate
+
+(A1) {/Helvetica cf} 2 16 0 1.000 -544 16 label
+(SINE) {/Helvetica cf} 2 16 0 1.000 -416 16 label
+(A2) {/Helvetica cf} 2 16 0 1.000 -128 16 label
+(GND) {/Helvetica cf} 2 16 0 1.000 80 16 label
+1.000 270 0 32 analog::resistor
+1.000 270 -208 32 analog::polarized
+0 1.000 -432 64 -432 0 -320 0 -320 64 4 polygon
+0 1.000 -560 64 -560 0 -480 0 -480 64 4 polygon
+1 1.000 -480 32 -432 32 2 polygon
+0 1.000 -144 64 -144 0 -64 0 -64 64 4 polygon
+0 1.000 64 64 64 0 176 0 176 64 4 polygon
+1 1.000 -272 32 -320 32 2 polygon
+(1uF) {/Helvetica cf} 2 16 0 1.000 -288 0 label
+(1K) {/Helvetica cf} 2 16 0 1.000 0 -16 label
+pgsave restore showpage
+
+%%Trailer
+XCIRCsave restore
+%%EOF
diff --git a/doc/en/Docs-jr/schematics/rc-diff.png b/doc/en/Docs-jr/schematics/rc-diff.png
new file mode 100644
index 0000000..d4ba039
Binary files /dev/null and b/doc/en/Docs-jr/schematics/rc-diff.png differ
diff --git a/doc/en/Docs-jr/schematics/rc-diff.ps b/doc/en/Docs-jr/schematics/rc-diff.ps
new file mode 100644
index 0000000..1237666
--- /dev/null
+++ b/doc/en/Docs-jr/schematics/rc-diff.ps
@@ -0,0 +1,230 @@
+%!PS-Adobe-3.0 EPSF-3.0
+%%Title: rc-diff.ps
+%%Creator: XCircuit v3.7 rev26
+%%CreationDate: Mon Jul 30 05:27:07 2012
+%%Pages: 1
+%%BoundingBox: 68 68 316 100
+%%DocumentNeededResources: font Helvetica Times-Roman 
+%%EndComments
+%%BeginProlog
+%
+%  PostScript prolog for output from xcircuit
+%  Version: 3.7
+%
+%  Electrical circuit (and otherwise general) drawing program
+%
+%  Written by Tim Edwards 8/5/93--11/1/10  (tim.edwards at multigig.com)
+%  The Johns Hopkins University (1993-2004)
+%  MultiGiG, Inc. (2004-present)
+%
+%%BeginResource: procset XCIRCproc 3.7 2
+%
+% supporting definitions --- these are the primary xcircuit types.
+
+/XCIRCsave save def
+/topmat matrix currentmatrix def
+
+/fontslant { /slant exch def [1 0 slant 1 0 0] 
+    exch findfont exch makefont dup length dict /ndict exch def
+    { 1 index /FID ne { ndict 3 1 roll put } { pop pop } ifelse } forall
+    ndict definefont pop} def
+/ul { dup type /stringtype eq showflag 1 eq and { gsave 
+   currentpoint topmat setmatrix 0 0 moveto 2 index stringwidth pop (_)
+   false charpath flattenpath pathbbox grestore exch pop 1 index
+   sub setlinewidth exch pop currentpoint 3 -1 roll add moveto 0
+   rlineto stroke moveto } if } def
+/ol { dup type /stringtype eq showflag 1 eq and { gsave gsave
+   currentpoint topmat setmatrix 2 index stringwidth pop 3 index
+   true charpath flattenpath pathbbox grestore exch pop
+   exch pop topmat setmatrix (_) true charpath pathbbox grestore
+   exch pop 1 index sub setlinewidth exch pop currentpoint
+   exch 4 1 roll exch sub add moveto pop 0 rlineto stroke
+   moveto } if } def
+/stW { gsave currentpoint newpath moveto true charpath flattenpath
+	pathbbox pop exch pop sub grestore } def
+/Ts {mark Tabs aload pop counttomark 1 add array astore /Tabs exch def Tabs
+	0 currentpoint pop put} def
+/Tbn {mark Tabs aload pop counttomark dup 2 add 1 roll cleartomark 1 sub} def
+/Tb { 0 1 Tbn {Tabs exch get dup currentpoint pop lt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/Tf { Tbn -1 0 {Tabs exch get dup currentpoint pop gt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/qS { (aa) stW (a a) stW sub 4 div 0 Kn } def
+/hS { qS qS } def
+/pspc 0 def
+/cf0 { scalefont setfont } bind def
+/Kn { dup kY add /kY exch def rmoveto } bind def
+/ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.33 mul neg Kn} def
+/Ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.67 mul Kn } def
+/ns { 0 kY neg Kn /kY 0 def /fscale 1.0 def xfont0 1.0 cf0 } def
+/CR { ns 0 /Bline Bline fscale0 neg add def Bline moveto } def
+/cf { dup type /realtype ne {1.0} if exch findfont exch kY 0 eq
+	{ 40 mul dup /fscale0 exch def cf0 /xfont0 currentfont def}
+	{fscale0 mul fscale mul cf0} ifelse } def
+/ctmk { counttomark dup 2 add -1 roll pop } bind def
+/label { gsave translate 0 0 moveto dup scale neg /rotval exch def
+	/just exch def just 384 and 0 gt {/mshow {pop} def} {/mshow {show}
+	def} ifelse just 16 and 0 gt {gsave rotval rotate 0 1 dtransform
+	gsave pagemat setmatrix idtransform exch grestore 1 0 dtransform
+	gsave pagemat setmatrix idtransform exch grestore dup abs 1e-9 lt
+	{pop mul 0 gt} {3 1 roll pop pop 0 lt} ifelse grestore {-1 /rotval
+	rotval neg def /just just dup 3 and 1 ne {3 xor} if def} {1} ifelse
+	exch -1e-9 lt {-1 /rotval rotval neg def /just just dup 12 and
+	4 ne {12 xor} if def} {1} ifelse scale } if /showflag 0 def
+	/fspc pspc def /Bline 0 def /Tabs 0 array def /fscale 1.0 def
+	/kY 0 def gsave dup 1 add copy 0 exch 1 0 dtransform exch atan rotate
+	{exch dup type /stringtype eq {true charpath flattenpath} {dup type
+	/arraytype eq {exec} {12 string cvs true charpath flattenpath} ifelse}
+	ifelse} repeat pop pathbbox grestore 3 -1 roll pop 3 1 roll just
+	1 and 0 gt {just 2 and 0 gt {exch pop neg fspc sub} {exch sub 0.5
+	mul neg} ifelse} {pop neg fspc add} ifelse exch Bline exch just 4
+	and 0 gt {just 8 and 0 gt {exch pop neg fspc sub} {add 0.5 mul neg}
+	ifelse} {pop neg fspc add} ifelse rotval rotate Kn currentpoint
+	translate /showflag 1 def /Bline 0 def /Tabs 0 array def /fscale
+	1.0 def /kY 0 def {dup type /stringtype eq {mshow} {dup type
+	/arraytype eq {exec} {12 string cvs mshow} ifelse} ifelse} repeat
+	grestore endclip} def
+/pinlabel { 4 index 32 and 0 ne hlevel 0 eq or { /pspc 10 def label
+	/pspc 0 def } { pop pop pop pop pop {pop} repeat } ifelse } def
+/pinglobal { pinlabel } def
+/infolabel { pinlabel } def
+/graphic { gsave 4 index cvx exec /DataSource get resetfile translate 0 0
+	moveto neg rotate dup scale cvx exec image grestore endclip} def
+
+/scb { setrgbcolor } bind def  /sce { defColor aload pop scb } bind def
+/cRedef {/defColor currentcolor 3 array astore def} def
+/begingate {dup type /dicttype ne {1 dict} if begin	% default params
+	dup type /dicttype ne {1 dict} if begin		% instanced params
+	/hlevel hlevel 1 add def /defColor currentcolor sce 3 array astore
+	def gsave sce translate 0 0 moveto neg rotate dup type /nametype
+	eq not { dup abs currentlinewidth exch div setlinewidth } { pop }
+	ifelse dup abs scale clipped 1 and 1 eq {/clipped clipped 1 add def}
+	if} bind def
+/endgate { /hlevel hlevel 1 sub def grestore defColor aload pop cRedef
+	scb end end endclip} bind def
+
+/hlevel 0 def
+/endclip {clipped 1 and 1 eq {grestore /clipped clipped 1 sub def} if} def
+/tmpa [1 0 0 1 0 0] def
+/gar {8 8 true tmpa {<c0 c0 00 00 0c 0c 00 00>} imagemask} bind
+{8 8 true tmpa {<30 70 60 02 03 07 06 20>} imagemask} bind
+{8 8 true tmpa {<0c 1e 1e 0c c0 e1 e1 c0>} imagemask} bind
+{8 8 true tmpa {<0f 0f 0f 0f f0 f0 f0 f0>} imagemask} bind
+{8 8 true tmpa {<3f f3 e1 e1 f3 3f 1e 1e>} imagemask} bind
+{8 8 true tmpa {<df cf 8f 9f fd fc f8 f9>} imagemask} bind
+{8 8 true tmpa {<ff 3f 3f ff ff f3 f3 ff>} imagemask} bind 7 array astore def
+/ppaint { gsave clip tmpa dup setmatrix pathbbox neg exch neg 4 2 roll
+  neg 4 -1 roll 2 copy gt {exch} if 8 div ceiling 8 mul 4 2 roll neg 2 copy
+  gt {exch} if 8 div ceiling 8 mul 3 -1 roll -8 5 -1 roll
+  { 3 index exch 5 exch put dup -8 3 index { 3 index
+  exch 4 exch put 3 index exec } for } for pop pop pop pop grestore } bind def
+/setstyles {
+  currentlinewidth mul setlinewidth /style exch def style 2048 and 0 gt
+  clipped 1 and 0 eq and {clip newpath /clipped clipped 1 add def} {
+  style 1 and 0 gt not {closepath} if
+  style 1024 and 0 gt {2 setlinecap} if
+  style 2 and 0 gt {currentlinewidth 4 mul dup 2 array astore 0 setdash} if
+  style 4 and 0 gt {0.5 currentlinewidth 4 mul 2 array astore 0 setdash} if
+  gsave style 16 and 0 gt { style 224 and -5 bitshift style 256 and 0 gt {
+  7 exch sub 8 div dup 1 exch sub currentrgbcolor 3 array astore
+  {3 copy mul add 4 1 roll pop} forall pop pop setrgbcolor eofill}
+  {dup 7 lt {gar exch get ppaint} {pop eofill} ifelse} ifelse}
+  {style 256 and 0 gt {1 setgray eofill} if} ifelse grestore style 8 and 0 gt 
+  style 512 eq or {newpath} {stroke} ifelse grestore endclip} ifelse} def     
+
+/addtoy {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll add
+	4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtoy1 {1 exch addtoy pop} def
+/addtoy3 {3 exch addtoy pop} def
+/addtoy4 {4 exch addtoy pop} def
+/addtox {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll 3 -1 roll
+	add exch 4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtox1 {1 exch addtox pop} def
+/addtox3 {3 exch addtox pop} def
+/addtox4 {4 exch addtox pop} def
+/polygon { gsave /num exch def moveto num 1 sub {lineto} repeat setstyles } def
+/xcarc   { gsave newpath arc setstyles } def
+/elb { matrix currentmatrix 7 -1 roll 7 -1 roll translate 5 1 roll 4 -1 roll
+	3 index div 1 scale } def
+/ele { 0 4 1 roll 0 4 1 roll } bind def
+/ellipse { gsave elb newpath ele arc setmatrix setstyles } def
+/pellip  { elb ele arc setmatrix } def
+/nellip  { elb ele arcn setmatrix } def
+/spline  { gsave moveto curveto setstyles } def
+/polyc   { {lineto} repeat } bind def
+/beginpath { gsave moveto } bind def
+/endpath { setstyles } bind def
+/bop	 { 1 setlinecap 0 setlinejoin 6 setmiterlimit 0 0 0 scb cRedef
+	/clipped 0 def} def
+/psinsertion {/PSobj save def /showpage {} def /setpagedevice {pop} def bop
+	rotate translate dup scale} def
+/end_insert {PSobj restore} def
+/setpagemat {/pagemat matrix currentmatrix def} def
+/inchscale  {setpagemat 0.375 mul dup scale} def
+/cmscale    {setpagemat 0.35433071 mul dup scale} def
+
+%%EndResource
+%%EndProlog
+
+% XCircuit output starts here.
+
+%%BeginSetup
+
+/analog::resistor {
+begingate
+1 1.000 0 64 0 36 2 polygon
+1 1.000 0 -64 0 -36 2 polygon
+1 1.000 0 -36 14 -30 -14 -18 14 -6 -14 6 14 18 -14 30 0 36 8 polygon
+1.000 0.000 0.000 scb
+(r.1) {/Times-Roman cf} 2 9 0 1.000 0 64 pinlabel
+(r.2) {/Times-Roman cf} 2 13 0 1.000 0 -64 pinlabel
+0.180 0.545 0.341 scb
+(spice:R%i %pr.1 %pr.2 1.0K) {/Times-Roman cf} 2 0 0 1.000 -208 -160 infolabel
+(sim:r %pr.1 %pr.2) {/Times-Roman cf} 2 0 0 1.000 -208 -208 infolabel
+endgate
+} def
+
+/analog::polarized {
+begingate
+1 1.000 0 -64 0 -6 2 polygon
+1 1.000 0 64 0 6 2 polygon
+1 1.000 -32 6 32 6 2 polygon
+1 1.000 0 -80 74 66.000 114.000 xcarc
+1.000 0.000 0.000 scb
+(t) {/Times-Roman cf} 2 9 0 1.000 0 64 pinlabel
+(b) {/Times-Roman cf} 2 13 0 1.000 0 -64 pinlabel
+0.180 0.545 0.341 scb
+(spice:C%i %pt %pb 1.0P) {/Times-Roman cf} 2 0 0 1.000 -208 -160 infolabel
+(sim:e %pt %pb %pb) {/Times-Roman cf} 2 0 0 1.000 -208 -208 infolabel
+endgate
+} def
+
+
+%%EndSetup
+
+%%Page: rc-diff 1
+%%PageOrientation: Portrait
+/pgsave save def bop
+1.0000 inchscale
+2.6000 setlinewidth 320 192 translate
+
+(SQR2) {/Helvetica cf} 2 16 0 1.000 -112 16 label
+(A1) {/Helvetica cf} 2 16 0 1.000 176 16 label
+0 1.000 -128 64 -128 0 0 0 0 64 4 polygon
+0 1.000 160 64 160 0 240 0 240 64 4 polygon
+1.000 270 336 32 analog::resistor
+1.000 270 96 32 analog::polarized
+1 1.000 32 32 0 32 2 polygon
+(GND) {/Helvetica cf} 2 16 0 1.000 416 16 label
+0 1.000 400 64 400 0 512 0 512 64 4 polygon
+1 1.000 272 32 240 32 2 polygon
+{/Helvetica cf} 1 16 0 1.000 80 0 label
+(R) {/Helvetica cf} 2 16 0 1.000 272 0 label
+(C) {/Helvetica cf} 2 16 0 1.000 32 0 label
+pgsave restore showpage
+
+%%Trailer
+XCIRCsave restore
+%%EOF
diff --git a/doc/en/Docs-jr/schematics/rc-integ.png b/doc/en/Docs-jr/schematics/rc-integ.png
new file mode 100644
index 0000000..a2dcdda
Binary files /dev/null and b/doc/en/Docs-jr/schematics/rc-integ.png differ
diff --git a/doc/en/Docs-jr/schematics/rc-integ.ps b/doc/en/Docs-jr/schematics/rc-integ.ps
new file mode 100644
index 0000000..55c5000
--- /dev/null
+++ b/doc/en/Docs-jr/schematics/rc-integ.ps
@@ -0,0 +1,230 @@
+%!PS-Adobe-3.0 EPSF-3.0
+%%Title: rc-integ.ps
+%%Creator: XCircuit v3.7 rev26
+%%CreationDate: Mon Jul 30 05:26:44 2012
+%%Pages: 1
+%%BoundingBox: 68 68 316 106
+%%DocumentNeededResources: font Helvetica Times-Roman 
+%%EndComments
+%%BeginProlog
+%
+%  PostScript prolog for output from xcircuit
+%  Version: 3.7
+%
+%  Electrical circuit (and otherwise general) drawing program
+%
+%  Written by Tim Edwards 8/5/93--11/1/10  (tim.edwards at multigig.com)
+%  The Johns Hopkins University (1993-2004)
+%  MultiGiG, Inc. (2004-present)
+%
+%%BeginResource: procset XCIRCproc 3.7 2
+%
+% supporting definitions --- these are the primary xcircuit types.
+
+/XCIRCsave save def
+/topmat matrix currentmatrix def
+
+/fontslant { /slant exch def [1 0 slant 1 0 0] 
+    exch findfont exch makefont dup length dict /ndict exch def
+    { 1 index /FID ne { ndict 3 1 roll put } { pop pop } ifelse } forall
+    ndict definefont pop} def
+/ul { dup type /stringtype eq showflag 1 eq and { gsave 
+   currentpoint topmat setmatrix 0 0 moveto 2 index stringwidth pop (_)
+   false charpath flattenpath pathbbox grestore exch pop 1 index
+   sub setlinewidth exch pop currentpoint 3 -1 roll add moveto 0
+   rlineto stroke moveto } if } def
+/ol { dup type /stringtype eq showflag 1 eq and { gsave gsave
+   currentpoint topmat setmatrix 2 index stringwidth pop 3 index
+   true charpath flattenpath pathbbox grestore exch pop
+   exch pop topmat setmatrix (_) true charpath pathbbox grestore
+   exch pop 1 index sub setlinewidth exch pop currentpoint
+   exch 4 1 roll exch sub add moveto pop 0 rlineto stroke
+   moveto } if } def
+/stW { gsave currentpoint newpath moveto true charpath flattenpath
+	pathbbox pop exch pop sub grestore } def
+/Ts {mark Tabs aload pop counttomark 1 add array astore /Tabs exch def Tabs
+	0 currentpoint pop put} def
+/Tbn {mark Tabs aload pop counttomark dup 2 add 1 roll cleartomark 1 sub} def
+/Tb { 0 1 Tbn {Tabs exch get dup currentpoint pop lt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/Tf { Tbn -1 0 {Tabs exch get dup currentpoint pop gt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/qS { (aa) stW (a a) stW sub 4 div 0 Kn } def
+/hS { qS qS } def
+/pspc 0 def
+/cf0 { scalefont setfont } bind def
+/Kn { dup kY add /kY exch def rmoveto } bind def
+/ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.33 mul neg Kn} def
+/Ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.67 mul Kn } def
+/ns { 0 kY neg Kn /kY 0 def /fscale 1.0 def xfont0 1.0 cf0 } def
+/CR { ns 0 /Bline Bline fscale0 neg add def Bline moveto } def
+/cf { dup type /realtype ne {1.0} if exch findfont exch kY 0 eq
+	{ 40 mul dup /fscale0 exch def cf0 /xfont0 currentfont def}
+	{fscale0 mul fscale mul cf0} ifelse } def
+/ctmk { counttomark dup 2 add -1 roll pop } bind def
+/label { gsave translate 0 0 moveto dup scale neg /rotval exch def
+	/just exch def just 384 and 0 gt {/mshow {pop} def} {/mshow {show}
+	def} ifelse just 16 and 0 gt {gsave rotval rotate 0 1 dtransform
+	gsave pagemat setmatrix idtransform exch grestore 1 0 dtransform
+	gsave pagemat setmatrix idtransform exch grestore dup abs 1e-9 lt
+	{pop mul 0 gt} {3 1 roll pop pop 0 lt} ifelse grestore {-1 /rotval
+	rotval neg def /just just dup 3 and 1 ne {3 xor} if def} {1} ifelse
+	exch -1e-9 lt {-1 /rotval rotval neg def /just just dup 12 and
+	4 ne {12 xor} if def} {1} ifelse scale } if /showflag 0 def
+	/fspc pspc def /Bline 0 def /Tabs 0 array def /fscale 1.0 def
+	/kY 0 def gsave dup 1 add copy 0 exch 1 0 dtransform exch atan rotate
+	{exch dup type /stringtype eq {true charpath flattenpath} {dup type
+	/arraytype eq {exec} {12 string cvs true charpath flattenpath} ifelse}
+	ifelse} repeat pop pathbbox grestore 3 -1 roll pop 3 1 roll just
+	1 and 0 gt {just 2 and 0 gt {exch pop neg fspc sub} {exch sub 0.5
+	mul neg} ifelse} {pop neg fspc add} ifelse exch Bline exch just 4
+	and 0 gt {just 8 and 0 gt {exch pop neg fspc sub} {add 0.5 mul neg}
+	ifelse} {pop neg fspc add} ifelse rotval rotate Kn currentpoint
+	translate /showflag 1 def /Bline 0 def /Tabs 0 array def /fscale
+	1.0 def /kY 0 def {dup type /stringtype eq {mshow} {dup type
+	/arraytype eq {exec} {12 string cvs mshow} ifelse} ifelse} repeat
+	grestore endclip} def
+/pinlabel { 4 index 32 and 0 ne hlevel 0 eq or { /pspc 10 def label
+	/pspc 0 def } { pop pop pop pop pop {pop} repeat } ifelse } def
+/pinglobal { pinlabel } def
+/infolabel { pinlabel } def
+/graphic { gsave 4 index cvx exec /DataSource get resetfile translate 0 0
+	moveto neg rotate dup scale cvx exec image grestore endclip} def
+
+/scb { setrgbcolor } bind def  /sce { defColor aload pop scb } bind def
+/cRedef {/defColor currentcolor 3 array astore def} def
+/begingate {dup type /dicttype ne {1 dict} if begin	% default params
+	dup type /dicttype ne {1 dict} if begin		% instanced params
+	/hlevel hlevel 1 add def /defColor currentcolor sce 3 array astore
+	def gsave sce translate 0 0 moveto neg rotate dup type /nametype
+	eq not { dup abs currentlinewidth exch div setlinewidth } { pop }
+	ifelse dup abs scale clipped 1 and 1 eq {/clipped clipped 1 add def}
+	if} bind def
+/endgate { /hlevel hlevel 1 sub def grestore defColor aload pop cRedef
+	scb end end endclip} bind def
+
+/hlevel 0 def
+/endclip {clipped 1 and 1 eq {grestore /clipped clipped 1 sub def} if} def
+/tmpa [1 0 0 1 0 0] def
+/gar {8 8 true tmpa {<c0 c0 00 00 0c 0c 00 00>} imagemask} bind
+{8 8 true tmpa {<30 70 60 02 03 07 06 20>} imagemask} bind
+{8 8 true tmpa {<0c 1e 1e 0c c0 e1 e1 c0>} imagemask} bind
+{8 8 true tmpa {<0f 0f 0f 0f f0 f0 f0 f0>} imagemask} bind
+{8 8 true tmpa {<3f f3 e1 e1 f3 3f 1e 1e>} imagemask} bind
+{8 8 true tmpa {<df cf 8f 9f fd fc f8 f9>} imagemask} bind
+{8 8 true tmpa {<ff 3f 3f ff ff f3 f3 ff>} imagemask} bind 7 array astore def
+/ppaint { gsave clip tmpa dup setmatrix pathbbox neg exch neg 4 2 roll
+  neg 4 -1 roll 2 copy gt {exch} if 8 div ceiling 8 mul 4 2 roll neg 2 copy
+  gt {exch} if 8 div ceiling 8 mul 3 -1 roll -8 5 -1 roll
+  { 3 index exch 5 exch put dup -8 3 index { 3 index
+  exch 4 exch put 3 index exec } for } for pop pop pop pop grestore } bind def
+/setstyles {
+  currentlinewidth mul setlinewidth /style exch def style 2048 and 0 gt
+  clipped 1 and 0 eq and {clip newpath /clipped clipped 1 add def} {
+  style 1 and 0 gt not {closepath} if
+  style 1024 and 0 gt {2 setlinecap} if
+  style 2 and 0 gt {currentlinewidth 4 mul dup 2 array astore 0 setdash} if
+  style 4 and 0 gt {0.5 currentlinewidth 4 mul 2 array astore 0 setdash} if
+  gsave style 16 and 0 gt { style 224 and -5 bitshift style 256 and 0 gt {
+  7 exch sub 8 div dup 1 exch sub currentrgbcolor 3 array astore
+  {3 copy mul add 4 1 roll pop} forall pop pop setrgbcolor eofill}
+  {dup 7 lt {gar exch get ppaint} {pop eofill} ifelse} ifelse}
+  {style 256 and 0 gt {1 setgray eofill} if} ifelse grestore style 8 and 0 gt 
+  style 512 eq or {newpath} {stroke} ifelse grestore endclip} ifelse} def     
+
+/addtoy {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll add
+	4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtoy1 {1 exch addtoy pop} def
+/addtoy3 {3 exch addtoy pop} def
+/addtoy4 {4 exch addtoy pop} def
+/addtox {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll 3 -1 roll
+	add exch 4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtox1 {1 exch addtox pop} def
+/addtox3 {3 exch addtox pop} def
+/addtox4 {4 exch addtox pop} def
+/polygon { gsave /num exch def moveto num 1 sub {lineto} repeat setstyles } def
+/xcarc   { gsave newpath arc setstyles } def
+/elb { matrix currentmatrix 7 -1 roll 7 -1 roll translate 5 1 roll 4 -1 roll
+	3 index div 1 scale } def
+/ele { 0 4 1 roll 0 4 1 roll } bind def
+/ellipse { gsave elb newpath ele arc setmatrix setstyles } def
+/pellip  { elb ele arc setmatrix } def
+/nellip  { elb ele arcn setmatrix } def
+/spline  { gsave moveto curveto setstyles } def
+/polyc   { {lineto} repeat } bind def
+/beginpath { gsave moveto } bind def
+/endpath { setstyles } bind def
+/bop	 { 1 setlinecap 0 setlinejoin 6 setmiterlimit 0 0 0 scb cRedef
+	/clipped 0 def} def
+/psinsertion {/PSobj save def /showpage {} def /setpagedevice {pop} def bop
+	rotate translate dup scale} def
+/end_insert {PSobj restore} def
+/setpagemat {/pagemat matrix currentmatrix def} def
+/inchscale  {setpagemat 0.375 mul dup scale} def
+/cmscale    {setpagemat 0.35433071 mul dup scale} def
+
+%%EndResource
+%%EndProlog
+
+% XCircuit output starts here.
+
+%%BeginSetup
+
+/analog::resistor {
+begingate
+1 1.000 0 64 0 36 2 polygon
+1 1.000 0 -64 0 -36 2 polygon
+1 1.000 0 -36 14 -30 -14 -18 14 -6 -14 6 14 18 -14 30 0 36 8 polygon
+1.000 0.000 0.000 scb
+(r.1) {/Times-Roman cf} 2 9 0 1.000 0 64 pinlabel
+(r.2) {/Times-Roman cf} 2 13 0 1.000 0 -64 pinlabel
+0.180 0.545 0.341 scb
+(spice:R%i %pr.1 %pr.2 1.0K) {/Times-Roman cf} 2 0 0 1.000 -208 -160 infolabel
+(sim:r %pr.1 %pr.2) {/Times-Roman cf} 2 0 0 1.000 -208 -208 infolabel
+endgate
+} def
+
+/analog::polarized {
+begingate
+1 1.000 0 -64 0 -6 2 polygon
+1 1.000 0 64 0 6 2 polygon
+1 1.000 -32 6 32 6 2 polygon
+1 1.000 0 -80 74 66.000 114.000 xcarc
+1.000 0.000 0.000 scb
+(t) {/Times-Roman cf} 2 9 0 1.000 0 64 pinlabel
+(b) {/Times-Roman cf} 2 13 0 1.000 0 -64 pinlabel
+0.180 0.545 0.341 scb
+(spice:C%i %pt %pb 1.0P) {/Times-Roman cf} 2 0 0 1.000 -208 -160 infolabel
+(sim:e %pt %pb %pb) {/Times-Roman cf} 2 0 0 1.000 -208 -208 infolabel
+endgate
+} def
+
+
+%%EndSetup
+
+%%Page: rc-integ 1
+%%PageOrientation: Portrait
+/pgsave save def bop
+1.0000 inchscale
+2.6000 setlinewidth 320 208 translate
+
+(SQR2) {/Helvetica cf} 2 16 0 1.000 -112 16 label
+(A1) {/Helvetica cf} 2 16 0 1.000 176 16 label
+0 1.000 -128 64 -128 0 0 0 0 64 4 polygon
+0 1.000 160 64 160 0 240 0 240 64 4 polygon
+1.000 270 96 32 analog::resistor
+1.000 270 336 32 analog::polarized
+1 1.000 32 32 0 32 2 polygon
+(GND) {/Helvetica cf} 2 16 0 1.000 416 16 label
+0 1.000 400 64 400 0 512 0 512 64 4 polygon
+1 1.000 272 32 240 32 2 polygon
+{/Helvetica cf} 1 16 0 1.000 80 0 label
+(R) {/Helvetica cf} 2 16 0 1.000 80 -16 label
+(C) {/Helvetica cf} 2 16 0 1.000 272 0 label
+pgsave restore showpage
+
+%%Trailer
+XCIRCsave restore
+%%EOF
diff --git a/doc/en/Docs-jr/schematics/res-body.png b/doc/en/Docs-jr/schematics/res-body.png
new file mode 100644
index 0000000..f4558f4
Binary files /dev/null and b/doc/en/Docs-jr/schematics/res-body.png differ
diff --git a/doc/en/Docs-jr/schematics/res-body.ps b/doc/en/Docs-jr/schematics/res-body.ps
new file mode 100644
index 0000000..920e74a
--- /dev/null
+++ b/doc/en/Docs-jr/schematics/res-body.ps
@@ -0,0 +1,218 @@
+%!PS-Adobe-3.0 EPSF-3.0
+%%Title: res-body.ps
+%%Creator: XCircuit v3.7 rev26
+%%CreationDate: Fri Aug  3 16:17:30 2012
+%%Pages: 1
+%%BoundingBox: 68 68 370 106
+%%DocumentNeededResources: font Helvetica Times-Roman 
+%%EndComments
+%%BeginProlog
+%
+%  PostScript prolog for output from xcircuit
+%  Version: 3.7
+%
+%  Electrical circuit (and otherwise general) drawing program
+%
+%  Written by Tim Edwards 8/5/93--11/1/10  (tim.edwards at multigig.com)
+%  The Johns Hopkins University (1993-2004)
+%  MultiGiG, Inc. (2004-present)
+%
+%%BeginResource: procset XCIRCproc 3.7 2
+%
+% supporting definitions --- these are the primary xcircuit types.
+
+/XCIRCsave save def
+/topmat matrix currentmatrix def
+
+/fontslant { /slant exch def [1 0 slant 1 0 0] 
+    exch findfont exch makefont dup length dict /ndict exch def
+    { 1 index /FID ne { ndict 3 1 roll put } { pop pop } ifelse } forall
+    ndict definefont pop} def
+/ul { dup type /stringtype eq showflag 1 eq and { gsave 
+   currentpoint topmat setmatrix 0 0 moveto 2 index stringwidth pop (_)
+   false charpath flattenpath pathbbox grestore exch pop 1 index
+   sub setlinewidth exch pop currentpoint 3 -1 roll add moveto 0
+   rlineto stroke moveto } if } def
+/ol { dup type /stringtype eq showflag 1 eq and { gsave gsave
+   currentpoint topmat setmatrix 2 index stringwidth pop 3 index
+   true charpath flattenpath pathbbox grestore exch pop
+   exch pop topmat setmatrix (_) true charpath pathbbox grestore
+   exch pop 1 index sub setlinewidth exch pop currentpoint
+   exch 4 1 roll exch sub add moveto pop 0 rlineto stroke
+   moveto } if } def
+/stW { gsave currentpoint newpath moveto true charpath flattenpath
+	pathbbox pop exch pop sub grestore } def
+/Ts {mark Tabs aload pop counttomark 1 add array astore /Tabs exch def Tabs
+	0 currentpoint pop put} def
+/Tbn {mark Tabs aload pop counttomark dup 2 add 1 roll cleartomark 1 sub} def
+/Tb { 0 1 Tbn {Tabs exch get dup currentpoint pop lt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/Tf { Tbn -1 0 {Tabs exch get dup currentpoint pop gt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/qS { (aa) stW (a a) stW sub 4 div 0 Kn } def
+/hS { qS qS } def
+/pspc 0 def
+/cf0 { scalefont setfont } bind def
+/Kn { dup kY add /kY exch def rmoveto } bind def
+/ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.33 mul neg Kn} def
+/Ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.67 mul Kn } def
+/ns { 0 kY neg Kn /kY 0 def /fscale 1.0 def xfont0 1.0 cf0 } def
+/CR { ns 0 /Bline Bline fscale0 neg add def Bline moveto } def
+/cf { dup type /realtype ne {1.0} if exch findfont exch kY 0 eq
+	{ 40 mul dup /fscale0 exch def cf0 /xfont0 currentfont def}
+	{fscale0 mul fscale mul cf0} ifelse } def
+/ctmk { counttomark dup 2 add -1 roll pop } bind def
+/label { gsave translate 0 0 moveto dup scale neg /rotval exch def
+	/just exch def just 384 and 0 gt {/mshow {pop} def} {/mshow {show}
+	def} ifelse just 16 and 0 gt {gsave rotval rotate 0 1 dtransform
+	gsave pagemat setmatrix idtransform exch grestore 1 0 dtransform
+	gsave pagemat setmatrix idtransform exch grestore dup abs 1e-9 lt
+	{pop mul 0 gt} {3 1 roll pop pop 0 lt} ifelse grestore {-1 /rotval
+	rotval neg def /just just dup 3 and 1 ne {3 xor} if def} {1} ifelse
+	exch -1e-9 lt {-1 /rotval rotval neg def /just just dup 12 and
+	4 ne {12 xor} if def} {1} ifelse scale } if /showflag 0 def
+	/fspc pspc def /Bline 0 def /Tabs 0 array def /fscale 1.0 def
+	/kY 0 def gsave dup 1 add copy 0 exch 1 0 dtransform exch atan rotate
+	{exch dup type /stringtype eq {true charpath flattenpath} {dup type
+	/arraytype eq {exec} {12 string cvs true charpath flattenpath} ifelse}
+	ifelse} repeat pop pathbbox grestore 3 -1 roll pop 3 1 roll just
+	1 and 0 gt {just 2 and 0 gt {exch pop neg fspc sub} {exch sub 0.5
+	mul neg} ifelse} {pop neg fspc add} ifelse exch Bline exch just 4
+	and 0 gt {just 8 and 0 gt {exch pop neg fspc sub} {add 0.5 mul neg}
+	ifelse} {pop neg fspc add} ifelse rotval rotate Kn currentpoint
+	translate /showflag 1 def /Bline 0 def /Tabs 0 array def /fscale
+	1.0 def /kY 0 def {dup type /stringtype eq {mshow} {dup type
+	/arraytype eq {exec} {12 string cvs mshow} ifelse} ifelse} repeat
+	grestore endclip} def
+/pinlabel { 4 index 32 and 0 ne hlevel 0 eq or { /pspc 10 def label
+	/pspc 0 def } { pop pop pop pop pop {pop} repeat } ifelse } def
+/pinglobal { pinlabel } def
+/infolabel { pinlabel } def
+/graphic { gsave 4 index cvx exec /DataSource get resetfile translate 0 0
+	moveto neg rotate dup scale cvx exec image grestore endclip} def
+
+/scb { setrgbcolor } bind def  /sce { defColor aload pop scb } bind def
+/cRedef {/defColor currentcolor 3 array astore def} def
+/begingate {dup type /dicttype ne {1 dict} if begin	% default params
+	dup type /dicttype ne {1 dict} if begin		% instanced params
+	/hlevel hlevel 1 add def /defColor currentcolor sce 3 array astore
+	def gsave sce translate 0 0 moveto neg rotate dup type /nametype
+	eq not { dup abs currentlinewidth exch div setlinewidth } { pop }
+	ifelse dup abs scale clipped 1 and 1 eq {/clipped clipped 1 add def}
+	if} bind def
+/endgate { /hlevel hlevel 1 sub def grestore defColor aload pop cRedef
+	scb end end endclip} bind def
+
+/hlevel 0 def
+/endclip {clipped 1 and 1 eq {grestore /clipped clipped 1 sub def} if} def
+/tmpa [1 0 0 1 0 0] def
+/gar {8 8 true tmpa {<c0 c0 00 00 0c 0c 00 00>} imagemask} bind
+{8 8 true tmpa {<30 70 60 02 03 07 06 20>} imagemask} bind
+{8 8 true tmpa {<0c 1e 1e 0c c0 e1 e1 c0>} imagemask} bind
+{8 8 true tmpa {<0f 0f 0f 0f f0 f0 f0 f0>} imagemask} bind
+{8 8 true tmpa {<3f f3 e1 e1 f3 3f 1e 1e>} imagemask} bind
+{8 8 true tmpa {<df cf 8f 9f fd fc f8 f9>} imagemask} bind
+{8 8 true tmpa {<ff 3f 3f ff ff f3 f3 ff>} imagemask} bind 7 array astore def
+/ppaint { gsave clip tmpa dup setmatrix pathbbox neg exch neg 4 2 roll
+  neg 4 -1 roll 2 copy gt {exch} if 8 div ceiling 8 mul 4 2 roll neg 2 copy
+  gt {exch} if 8 div ceiling 8 mul 3 -1 roll -8 5 -1 roll
+  { 3 index exch 5 exch put dup -8 3 index { 3 index
+  exch 4 exch put 3 index exec } for } for pop pop pop pop grestore } bind def
+/setstyles {
+  currentlinewidth mul setlinewidth /style exch def style 2048 and 0 gt
+  clipped 1 and 0 eq and {clip newpath /clipped clipped 1 add def} {
+  style 1 and 0 gt not {closepath} if
+  style 1024 and 0 gt {2 setlinecap} if
+  style 2 and 0 gt {currentlinewidth 4 mul dup 2 array astore 0 setdash} if
+  style 4 and 0 gt {0.5 currentlinewidth 4 mul 2 array astore 0 setdash} if
+  gsave style 16 and 0 gt { style 224 and -5 bitshift style 256 and 0 gt {
+  7 exch sub 8 div dup 1 exch sub currentrgbcolor 3 array astore
+  {3 copy mul add 4 1 roll pop} forall pop pop setrgbcolor eofill}
+  {dup 7 lt {gar exch get ppaint} {pop eofill} ifelse} ifelse}
+  {style 256 and 0 gt {1 setgray eofill} if} ifelse grestore style 8 and 0 gt 
+  style 512 eq or {newpath} {stroke} ifelse grestore endclip} ifelse} def     
+
+/addtoy {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll add
+	4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtoy1 {1 exch addtoy pop} def
+/addtoy3 {3 exch addtoy pop} def
+/addtoy4 {4 exch addtoy pop} def
+/addtox {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll 3 -1 roll
+	add exch 4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtox1 {1 exch addtox pop} def
+/addtox3 {3 exch addtox pop} def
+/addtox4 {4 exch addtox pop} def
+/polygon { gsave /num exch def moveto num 1 sub {lineto} repeat setstyles } def
+/xcarc   { gsave newpath arc setstyles } def
+/elb { matrix currentmatrix 7 -1 roll 7 -1 roll translate 5 1 roll 4 -1 roll
+	3 index div 1 scale } def
+/ele { 0 4 1 roll 0 4 1 roll } bind def
+/ellipse { gsave elb newpath ele arc setmatrix setstyles } def
+/pellip  { elb ele arc setmatrix } def
+/nellip  { elb ele arcn setmatrix } def
+/spline  { gsave moveto curveto setstyles } def
+/polyc   { {lineto} repeat } bind def
+/beginpath { gsave moveto } bind def
+/endpath { setstyles } bind def
+/bop	 { 1 setlinecap 0 setlinejoin 6 setmiterlimit 0 0 0 scb cRedef
+	/clipped 0 def} def
+/psinsertion {/PSobj save def /showpage {} def /setpagedevice {pop} def bop
+	rotate translate dup scale} def
+/end_insert {PSobj restore} def
+/setpagemat {/pagemat matrix currentmatrix def} def
+/inchscale  {setpagemat 0.375 mul dup scale} def
+/cmscale    {setpagemat 0.35433071 mul dup scale} def
+
+%%EndResource
+%%EndProlog
+
+% XCircuit output starts here.
+
+%%BeginSetup
+
+/analog::resistor {
+begingate
+1 1.000 0 64 0 36 2 polygon
+1 1.000 0 -64 0 -36 2 polygon
+1 1.000 0 -36 14 -30 -14 -18 14 -6 -14 6 14 18 -14 30 0 36 8 polygon
+1.000 0.000 0.000 scb
+(r.1) {/Times-Roman cf} 2 9 0 1.000 0 64 pinlabel
+(r.2) {/Times-Roman cf} 2 13 0 1.000 0 -64 pinlabel
+0.180 0.545 0.341 scb
+(spice:R%i %pr.1 %pr.2 1.0K) {/Times-Roman cf} 2 0 0 1.000 -208 -160 infolabel
+(sim:r %pr.1 %pr.2) {/Times-Roman cf} 2 0 0 1.000 -208 -208 infolabel
+endgate
+} def
+
+
+%%EndSetup
+
+%%Page: res-body 1
+%%PageOrientation: Portrait
+/pgsave save def bop
+1.0000 inchscale
+2.6000 setlinewidth 352 32 translate
+
+(A1) {/Helvetica cf} 2 16 0 1.000 -144 192 label
+(A2) {/Helvetica cf} 2 16 0 1.000 240 192 label
+(GND) {/Helvetica cf} 2 16 0 1.000 528 192 label
+1.000 270 416 208 analog::resistor
+0 1.000 -16 240 -16 176 80 176 80 240 4 polygon
+0 1.000 -160 240 -160 176 -80 176 -80 240 4 polygon
+0 1.000 224 240 224 176 304 176 304 240 4 polygon
+0 1.000 512 240 512 176 624 176 624 240 4 polygon
+1 1.000 480 208 512 208 2 polygon
+1 1.000 352 208 304 208 2 polygon
+(200K) {/Helvetica cf} 2 16 0 1.000 384 160 label
+(PVS) {/Helvetica cf} 2 16 0 1.000 0 192 label
+1 1.000 -16 208 -80 208 2 polygon
+(body) {/Helvetica cf} 2 16 0 1.000 112 208 label
+1 1.000 80 208 112 208 2 polygon
+1 1.000 192 208 224 208 2 polygon
+pgsave restore showpage
+
+%%Trailer
+XCIRCsave restore
+%%EOF
diff --git a/doc/en/Docs-jr/schematics/res-compare.png b/doc/en/Docs-jr/schematics/res-compare.png
new file mode 100644
index 0000000..296a787
Binary files /dev/null and b/doc/en/Docs-jr/schematics/res-compare.png differ
diff --git a/doc/en/Docs-jr/schematics/res-compare.ps b/doc/en/Docs-jr/schematics/res-compare.ps
new file mode 100644
index 0000000..ddcded5
--- /dev/null
+++ b/doc/en/Docs-jr/schematics/res-compare.ps
@@ -0,0 +1,212 @@
+%!PS-Adobe-3.0 EPSF-3.0
+%%Title: res-compare.ps
+%%Creator: XCircuit v3.7 rev26
+%%CreationDate: Mon Jul 16 08:51:57 2012
+%%Pages: 1
+%%BoundingBox: 68 68 286 100
+%%DocumentNeededResources: font Helvetica Times-Roman 
+%%EndComments
+%%BeginProlog
+%
+%  PostScript prolog for output from xcircuit
+%  Version: 3.7
+%
+%  Electrical circuit (and otherwise general) drawing program
+%
+%  Written by Tim Edwards 8/5/93--11/1/10  (tim.edwards at multigig.com)
+%  The Johns Hopkins University (1993-2004)
+%  MultiGiG, Inc. (2004-present)
+%
+%%BeginResource: procset XCIRCproc 3.7 2
+%
+% supporting definitions --- these are the primary xcircuit types.
+
+/XCIRCsave save def
+/topmat matrix currentmatrix def
+
+/fontslant { /slant exch def [1 0 slant 1 0 0] 
+    exch findfont exch makefont dup length dict /ndict exch def
+    { 1 index /FID ne { ndict 3 1 roll put } { pop pop } ifelse } forall
+    ndict definefont pop} def
+/ul { dup type /stringtype eq showflag 1 eq and { gsave 
+   currentpoint topmat setmatrix 0 0 moveto 2 index stringwidth pop (_)
+   false charpath flattenpath pathbbox grestore exch pop 1 index
+   sub setlinewidth exch pop currentpoint 3 -1 roll add moveto 0
+   rlineto stroke moveto } if } def
+/ol { dup type /stringtype eq showflag 1 eq and { gsave gsave
+   currentpoint topmat setmatrix 2 index stringwidth pop 3 index
+   true charpath flattenpath pathbbox grestore exch pop
+   exch pop topmat setmatrix (_) true charpath pathbbox grestore
+   exch pop 1 index sub setlinewidth exch pop currentpoint
+   exch 4 1 roll exch sub add moveto pop 0 rlineto stroke
+   moveto } if } def
+/stW { gsave currentpoint newpath moveto true charpath flattenpath
+	pathbbox pop exch pop sub grestore } def
+/Ts {mark Tabs aload pop counttomark 1 add array astore /Tabs exch def Tabs
+	0 currentpoint pop put} def
+/Tbn {mark Tabs aload pop counttomark dup 2 add 1 roll cleartomark 1 sub} def
+/Tb { 0 1 Tbn {Tabs exch get dup currentpoint pop lt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/Tf { Tbn -1 0 {Tabs exch get dup currentpoint pop gt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/qS { (aa) stW (a a) stW sub 4 div 0 Kn } def
+/hS { qS qS } def
+/pspc 0 def
+/cf0 { scalefont setfont } bind def
+/Kn { dup kY add /kY exch def rmoveto } bind def
+/ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.33 mul neg Kn} def
+/Ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.67 mul Kn } def
+/ns { 0 kY neg Kn /kY 0 def /fscale 1.0 def xfont0 1.0 cf0 } def
+/CR { ns 0 /Bline Bline fscale0 neg add def Bline moveto } def
+/cf { dup type /realtype ne {1.0} if exch findfont exch kY 0 eq
+	{ 40 mul dup /fscale0 exch def cf0 /xfont0 currentfont def}
+	{fscale0 mul fscale mul cf0} ifelse } def
+/ctmk { counttomark dup 2 add -1 roll pop } bind def
+/label { gsave translate 0 0 moveto dup scale neg /rotval exch def
+	/just exch def just 384 and 0 gt {/mshow {pop} def} {/mshow {show}
+	def} ifelse just 16 and 0 gt {gsave rotval rotate 0 1 dtransform
+	gsave pagemat setmatrix idtransform exch grestore 1 0 dtransform
+	gsave pagemat setmatrix idtransform exch grestore dup abs 1e-9 lt
+	{pop mul 0 gt} {3 1 roll pop pop 0 lt} ifelse grestore {-1 /rotval
+	rotval neg def /just just dup 3 and 1 ne {3 xor} if def} {1} ifelse
+	exch -1e-9 lt {-1 /rotval rotval neg def /just just dup 12 and
+	4 ne {12 xor} if def} {1} ifelse scale } if /showflag 0 def
+	/fspc pspc def /Bline 0 def /Tabs 0 array def /fscale 1.0 def
+	/kY 0 def gsave dup 1 add copy 0 exch 1 0 dtransform exch atan rotate
+	{exch dup type /stringtype eq {true charpath flattenpath} {dup type
+	/arraytype eq {exec} {12 string cvs true charpath flattenpath} ifelse}
+	ifelse} repeat pop pathbbox grestore 3 -1 roll pop 3 1 roll just
+	1 and 0 gt {just 2 and 0 gt {exch pop neg fspc sub} {exch sub 0.5
+	mul neg} ifelse} {pop neg fspc add} ifelse exch Bline exch just 4
+	and 0 gt {just 8 and 0 gt {exch pop neg fspc sub} {add 0.5 mul neg}
+	ifelse} {pop neg fspc add} ifelse rotval rotate Kn currentpoint
+	translate /showflag 1 def /Bline 0 def /Tabs 0 array def /fscale
+	1.0 def /kY 0 def {dup type /stringtype eq {mshow} {dup type
+	/arraytype eq {exec} {12 string cvs mshow} ifelse} ifelse} repeat
+	grestore endclip} def
+/pinlabel { 4 index 32 and 0 ne hlevel 0 eq or { /pspc 10 def label
+	/pspc 0 def } { pop pop pop pop pop {pop} repeat } ifelse } def
+/pinglobal { pinlabel } def
+/infolabel { pinlabel } def
+/graphic { gsave 4 index cvx exec /DataSource get resetfile translate 0 0
+	moveto neg rotate dup scale cvx exec image grestore endclip} def
+
+/scb { setrgbcolor } bind def  /sce { defColor aload pop scb } bind def
+/cRedef {/defColor currentcolor 3 array astore def} def
+/begingate {dup type /dicttype ne {1 dict} if begin	% default params
+	dup type /dicttype ne {1 dict} if begin		% instanced params
+	/hlevel hlevel 1 add def /defColor currentcolor sce 3 array astore
+	def gsave sce translate 0 0 moveto neg rotate dup type /nametype
+	eq not { dup abs currentlinewidth exch div setlinewidth } { pop }
+	ifelse dup abs scale clipped 1 and 1 eq {/clipped clipped 1 add def}
+	if} bind def
+/endgate { /hlevel hlevel 1 sub def grestore defColor aload pop cRedef
+	scb end end endclip} bind def
+
+/hlevel 0 def
+/endclip {clipped 1 and 1 eq {grestore /clipped clipped 1 sub def} if} def
+/tmpa [1 0 0 1 0 0] def
+/gar {8 8 true tmpa {<c0 c0 00 00 0c 0c 00 00>} imagemask} bind
+{8 8 true tmpa {<30 70 60 02 03 07 06 20>} imagemask} bind
+{8 8 true tmpa {<0c 1e 1e 0c c0 e1 e1 c0>} imagemask} bind
+{8 8 true tmpa {<0f 0f 0f 0f f0 f0 f0 f0>} imagemask} bind
+{8 8 true tmpa {<3f f3 e1 e1 f3 3f 1e 1e>} imagemask} bind
+{8 8 true tmpa {<df cf 8f 9f fd fc f8 f9>} imagemask} bind
+{8 8 true tmpa {<ff 3f 3f ff ff f3 f3 ff>} imagemask} bind 7 array astore def
+/ppaint { gsave clip tmpa dup setmatrix pathbbox neg exch neg 4 2 roll
+  neg 4 -1 roll 2 copy gt {exch} if 8 div ceiling 8 mul 4 2 roll neg 2 copy
+  gt {exch} if 8 div ceiling 8 mul 3 -1 roll -8 5 -1 roll
+  { 3 index exch 5 exch put dup -8 3 index { 3 index
+  exch 4 exch put 3 index exec } for } for pop pop pop pop grestore } bind def
+/setstyles {
+  currentlinewidth mul setlinewidth /style exch def style 2048 and 0 gt
+  clipped 1 and 0 eq and {clip newpath /clipped clipped 1 add def} {
+  style 1 and 0 gt not {closepath} if
+  style 1024 and 0 gt {2 setlinecap} if
+  style 2 and 0 gt {currentlinewidth 4 mul dup 2 array astore 0 setdash} if
+  style 4 and 0 gt {0.5 currentlinewidth 4 mul 2 array astore 0 setdash} if
+  gsave style 16 and 0 gt { style 224 and -5 bitshift style 256 and 0 gt {
+  7 exch sub 8 div dup 1 exch sub currentrgbcolor 3 array astore
+  {3 copy mul add 4 1 roll pop} forall pop pop setrgbcolor eofill}
+  {dup 7 lt {gar exch get ppaint} {pop eofill} ifelse} ifelse}
+  {style 256 and 0 gt {1 setgray eofill} if} ifelse grestore style 8 and 0 gt 
+  style 512 eq or {newpath} {stroke} ifelse grestore endclip} ifelse} def     
+
+/addtoy {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll add
+	4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtoy1 {1 exch addtoy pop} def
+/addtoy3 {3 exch addtoy pop} def
+/addtoy4 {4 exch addtoy pop} def
+/addtox {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll 3 -1 roll
+	add exch 4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtox1 {1 exch addtox pop} def
+/addtox3 {3 exch addtox pop} def
+/addtox4 {4 exch addtox pop} def
+/polygon { gsave /num exch def moveto num 1 sub {lineto} repeat setstyles } def
+/xcarc   { gsave newpath arc setstyles } def
+/elb { matrix currentmatrix 7 -1 roll 7 -1 roll translate 5 1 roll 4 -1 roll
+	3 index div 1 scale } def
+/ele { 0 4 1 roll 0 4 1 roll } bind def
+/ellipse { gsave elb newpath ele arc setmatrix setstyles } def
+/pellip  { elb ele arc setmatrix } def
+/nellip  { elb ele arcn setmatrix } def
+/spline  { gsave moveto curveto setstyles } def
+/polyc   { {lineto} repeat } bind def
+/beginpath { gsave moveto } bind def
+/endpath { setstyles } bind def
+/bop	 { 1 setlinecap 0 setlinejoin 6 setmiterlimit 0 0 0 scb cRedef
+	/clipped 0 def} def
+/psinsertion {/PSobj save def /showpage {} def /setpagedevice {pop} def bop
+	rotate translate dup scale} def
+/end_insert {PSobj restore} def
+/setpagemat {/pagemat matrix currentmatrix def} def
+/inchscale  {setpagemat 0.375 mul dup scale} def
+/cmscale    {setpagemat 0.35433071 mul dup scale} def
+
+%%EndResource
+%%EndProlog
+
+% XCircuit output starts here.
+
+%%BeginSetup
+
+/analog::resistor {
+begingate
+1 1.000 0 64 0 36 2 polygon
+1 1.000 0 -64 0 -36 2 polygon
+1 1.000 0 -36 14 -30 -14 -18 14 -6 -14 6 14 18 -14 30 0 36 8 polygon
+1.000 0.000 0.000 scb
+(r.1) {/Times-Roman cf} 2 9 0 1.000 0 64 pinlabel
+(r.2) {/Times-Roman cf} 2 13 0 1.000 0 -64 pinlabel
+0.180 0.545 0.341 scb
+(spice:R%i %pr.1 %pr.2 1.0K) {/Times-Roman cf} 2 0 0 1.000 -208 -160 infolabel
+(sim:r %pr.1 %pr.2) {/Times-Roman cf} 2 0 0 1.000 -208 -208 infolabel
+endgate
+} def
+
+
+%%EndSetup
+
+%%Page: res-compare 1
+%%PageOrientation: Portrait
+/pgsave save def bop
+1.0000 inchscale
+2.6000 setlinewidth 400 208 translate
+
+(IN1) {/Helvetica cf} 2 16 0 1.000 48 0 label
+(PVS) {/Helvetica cf} 2 16 0 1.000 -192 0 label
+(GND) {/Helvetica cf} 2 16 0 1.000 256 0 label
+1.000 270 176 32 analog::resistor
+1.000 270 -32 32 analog::resistor
+0 1.000 32 48 32 -16 112 -16 112 48 4 polygon
+0 1.000 240 48 240 -16 352 -16 352 48 4 polygon
+0 1.000 -208 48 -208 -16 -96 -16 -96 48 4 polygon
+(R1) {/Helvetica cf} 2 16 0 1.000 160 -16 label
+(R) {/Helvetica cf} 2 16 0 1.000 -48 -16 label
+pgsave restore showpage
+
+%%Trailer
+XCIRCsave restore
+%%EOF
diff --git a/doc/en/Docs-jr/schematics/res-parallel.png b/doc/en/Docs-jr/schematics/res-parallel.png
new file mode 100644
index 0000000..10ebd49
Binary files /dev/null and b/doc/en/Docs-jr/schematics/res-parallel.png differ
diff --git a/doc/en/Docs-jr/schematics/res-parallel.ps b/doc/en/Docs-jr/schematics/res-parallel.ps
new file mode 100644
index 0000000..624f270
--- /dev/null
+++ b/doc/en/Docs-jr/schematics/res-parallel.ps
@@ -0,0 +1,217 @@
+%!PS-Adobe-3.0 EPSF-3.0
+%%Title: res-parallel.ps
+%%Creator: XCircuit v3.7 rev26
+%%CreationDate: Mon Jul 16 07:57:30 2012
+%%Pages: 1
+%%BoundingBox: 68 68 262 130
+%%DocumentNeededResources: font Helvetica Times-Roman 
+%%EndComments
+%%BeginProlog
+%
+%  PostScript prolog for output from xcircuit
+%  Version: 3.7
+%
+%  Electrical circuit (and otherwise general) drawing program
+%
+%  Written by Tim Edwards 8/5/93--11/1/10  (tim.edwards at multigig.com)
+%  The Johns Hopkins University (1993-2004)
+%  MultiGiG, Inc. (2004-present)
+%
+%%BeginResource: procset XCIRCproc 3.7 2
+%
+% supporting definitions --- these are the primary xcircuit types.
+
+/XCIRCsave save def
+/topmat matrix currentmatrix def
+
+/fontslant { /slant exch def [1 0 slant 1 0 0] 
+    exch findfont exch makefont dup length dict /ndict exch def
+    { 1 index /FID ne { ndict 3 1 roll put } { pop pop } ifelse } forall
+    ndict definefont pop} def
+/ul { dup type /stringtype eq showflag 1 eq and { gsave 
+   currentpoint topmat setmatrix 0 0 moveto 2 index stringwidth pop (_)
+   false charpath flattenpath pathbbox grestore exch pop 1 index
+   sub setlinewidth exch pop currentpoint 3 -1 roll add moveto 0
+   rlineto stroke moveto } if } def
+/ol { dup type /stringtype eq showflag 1 eq and { gsave gsave
+   currentpoint topmat setmatrix 2 index stringwidth pop 3 index
+   true charpath flattenpath pathbbox grestore exch pop
+   exch pop topmat setmatrix (_) true charpath pathbbox grestore
+   exch pop 1 index sub setlinewidth exch pop currentpoint
+   exch 4 1 roll exch sub add moveto pop 0 rlineto stroke
+   moveto } if } def
+/stW { gsave currentpoint newpath moveto true charpath flattenpath
+	pathbbox pop exch pop sub grestore } def
+/Ts {mark Tabs aload pop counttomark 1 add array astore /Tabs exch def Tabs
+	0 currentpoint pop put} def
+/Tbn {mark Tabs aload pop counttomark dup 2 add 1 roll cleartomark 1 sub} def
+/Tb { 0 1 Tbn {Tabs exch get dup currentpoint pop lt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/Tf { Tbn -1 0 {Tabs exch get dup currentpoint pop gt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/qS { (aa) stW (a a) stW sub 4 div 0 Kn } def
+/hS { qS qS } def
+/pspc 0 def
+/cf0 { scalefont setfont } bind def
+/Kn { dup kY add /kY exch def rmoveto } bind def
+/ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.33 mul neg Kn} def
+/Ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.67 mul Kn } def
+/ns { 0 kY neg Kn /kY 0 def /fscale 1.0 def xfont0 1.0 cf0 } def
+/CR { ns 0 /Bline Bline fscale0 neg add def Bline moveto } def
+/cf { dup type /realtype ne {1.0} if exch findfont exch kY 0 eq
+	{ 40 mul dup /fscale0 exch def cf0 /xfont0 currentfont def}
+	{fscale0 mul fscale mul cf0} ifelse } def
+/ctmk { counttomark dup 2 add -1 roll pop } bind def
+/label { gsave translate 0 0 moveto dup scale neg /rotval exch def
+	/just exch def just 384 and 0 gt {/mshow {pop} def} {/mshow {show}
+	def} ifelse just 16 and 0 gt {gsave rotval rotate 0 1 dtransform
+	gsave pagemat setmatrix idtransform exch grestore 1 0 dtransform
+	gsave pagemat setmatrix idtransform exch grestore dup abs 1e-9 lt
+	{pop mul 0 gt} {3 1 roll pop pop 0 lt} ifelse grestore {-1 /rotval
+	rotval neg def /just just dup 3 and 1 ne {3 xor} if def} {1} ifelse
+	exch -1e-9 lt {-1 /rotval rotval neg def /just just dup 12 and
+	4 ne {12 xor} if def} {1} ifelse scale } if /showflag 0 def
+	/fspc pspc def /Bline 0 def /Tabs 0 array def /fscale 1.0 def
+	/kY 0 def gsave dup 1 add copy 0 exch 1 0 dtransform exch atan rotate
+	{exch dup type /stringtype eq {true charpath flattenpath} {dup type
+	/arraytype eq {exec} {12 string cvs true charpath flattenpath} ifelse}
+	ifelse} repeat pop pathbbox grestore 3 -1 roll pop 3 1 roll just
+	1 and 0 gt {just 2 and 0 gt {exch pop neg fspc sub} {exch sub 0.5
+	mul neg} ifelse} {pop neg fspc add} ifelse exch Bline exch just 4
+	and 0 gt {just 8 and 0 gt {exch pop neg fspc sub} {add 0.5 mul neg}
+	ifelse} {pop neg fspc add} ifelse rotval rotate Kn currentpoint
+	translate /showflag 1 def /Bline 0 def /Tabs 0 array def /fscale
+	1.0 def /kY 0 def {dup type /stringtype eq {mshow} {dup type
+	/arraytype eq {exec} {12 string cvs mshow} ifelse} ifelse} repeat
+	grestore endclip} def
+/pinlabel { 4 index 32 and 0 ne hlevel 0 eq or { /pspc 10 def label
+	/pspc 0 def } { pop pop pop pop pop {pop} repeat } ifelse } def
+/pinglobal { pinlabel } def
+/infolabel { pinlabel } def
+/graphic { gsave 4 index cvx exec /DataSource get resetfile translate 0 0
+	moveto neg rotate dup scale cvx exec image grestore endclip} def
+
+/scb { setrgbcolor } bind def  /sce { defColor aload pop scb } bind def
+/cRedef {/defColor currentcolor 3 array astore def} def
+/begingate {dup type /dicttype ne {1 dict} if begin	% default params
+	dup type /dicttype ne {1 dict} if begin		% instanced params
+	/hlevel hlevel 1 add def /defColor currentcolor sce 3 array astore
+	def gsave sce translate 0 0 moveto neg rotate dup type /nametype
+	eq not { dup abs currentlinewidth exch div setlinewidth } { pop }
+	ifelse dup abs scale clipped 1 and 1 eq {/clipped clipped 1 add def}
+	if} bind def
+/endgate { /hlevel hlevel 1 sub def grestore defColor aload pop cRedef
+	scb end end endclip} bind def
+
+/hlevel 0 def
+/endclip {clipped 1 and 1 eq {grestore /clipped clipped 1 sub def} if} def
+/tmpa [1 0 0 1 0 0] def
+/gar {8 8 true tmpa {<c0 c0 00 00 0c 0c 00 00>} imagemask} bind
+{8 8 true tmpa {<30 70 60 02 03 07 06 20>} imagemask} bind
+{8 8 true tmpa {<0c 1e 1e 0c c0 e1 e1 c0>} imagemask} bind
+{8 8 true tmpa {<0f 0f 0f 0f f0 f0 f0 f0>} imagemask} bind
+{8 8 true tmpa {<3f f3 e1 e1 f3 3f 1e 1e>} imagemask} bind
+{8 8 true tmpa {<df cf 8f 9f fd fc f8 f9>} imagemask} bind
+{8 8 true tmpa {<ff 3f 3f ff ff f3 f3 ff>} imagemask} bind 7 array astore def
+/ppaint { gsave clip tmpa dup setmatrix pathbbox neg exch neg 4 2 roll
+  neg 4 -1 roll 2 copy gt {exch} if 8 div ceiling 8 mul 4 2 roll neg 2 copy
+  gt {exch} if 8 div ceiling 8 mul 3 -1 roll -8 5 -1 roll
+  { 3 index exch 5 exch put dup -8 3 index { 3 index
+  exch 4 exch put 3 index exec } for } for pop pop pop pop grestore } bind def
+/setstyles {
+  currentlinewidth mul setlinewidth /style exch def style 2048 and 0 gt
+  clipped 1 and 0 eq and {clip newpath /clipped clipped 1 add def} {
+  style 1 and 0 gt not {closepath} if
+  style 1024 and 0 gt {2 setlinecap} if
+  style 2 and 0 gt {currentlinewidth 4 mul dup 2 array astore 0 setdash} if
+  style 4 and 0 gt {0.5 currentlinewidth 4 mul 2 array astore 0 setdash} if
+  gsave style 16 and 0 gt { style 224 and -5 bitshift style 256 and 0 gt {
+  7 exch sub 8 div dup 1 exch sub currentrgbcolor 3 array astore
+  {3 copy mul add 4 1 roll pop} forall pop pop setrgbcolor eofill}
+  {dup 7 lt {gar exch get ppaint} {pop eofill} ifelse} ifelse}
+  {style 256 and 0 gt {1 setgray eofill} if} ifelse grestore style 8 and 0 gt 
+  style 512 eq or {newpath} {stroke} ifelse grestore endclip} ifelse} def     
+
+/addtoy {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll add
+	4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtoy1 {1 exch addtoy pop} def
+/addtoy3 {3 exch addtoy pop} def
+/addtoy4 {4 exch addtoy pop} def
+/addtox {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll 3 -1 roll
+	add exch 4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtox1 {1 exch addtox pop} def
+/addtox3 {3 exch addtox pop} def
+/addtox4 {4 exch addtox pop} def
+/polygon { gsave /num exch def moveto num 1 sub {lineto} repeat setstyles } def
+/xcarc   { gsave newpath arc setstyles } def
+/elb { matrix currentmatrix 7 -1 roll 7 -1 roll translate 5 1 roll 4 -1 roll
+	3 index div 1 scale } def
+/ele { 0 4 1 roll 0 4 1 roll } bind def
+/ellipse { gsave elb newpath ele arc setmatrix setstyles } def
+/pellip  { elb ele arc setmatrix } def
+/nellip  { elb ele arcn setmatrix } def
+/spline  { gsave moveto curveto setstyles } def
+/polyc   { {lineto} repeat } bind def
+/beginpath { gsave moveto } bind def
+/endpath { setstyles } bind def
+/bop	 { 1 setlinecap 0 setlinejoin 6 setmiterlimit 0 0 0 scb cRedef
+	/clipped 0 def} def
+/psinsertion {/PSobj save def /showpage {} def /setpagedevice {pop} def bop
+	rotate translate dup scale} def
+/end_insert {PSobj restore} def
+/setpagemat {/pagemat matrix currentmatrix def} def
+/inchscale  {setpagemat 0.375 mul dup scale} def
+/cmscale    {setpagemat 0.35433071 mul dup scale} def
+
+%%EndResource
+%%EndProlog
+
+% XCircuit output starts here.
+
+%%BeginSetup
+
+/analog::resistor {
+begingate
+1 1.000 0 64 0 36 2 polygon
+1 1.000 0 -64 0 -36 2 polygon
+1 1.000 0 -36 14 -30 -14 -18 14 -6 -14 6 14 18 -14 30 0 36 8 polygon
+1.000 0.000 0.000 scb
+(r.1) {/Times-Roman cf} 2 9 0 1.000 0 64 pinlabel
+(r.2) {/Times-Roman cf} 2 13 0 1.000 0 -64 pinlabel
+0.180 0.545 0.341 scb
+(spice:R%i %pr.1 %pr.2 1.0K) {/Times-Roman cf} 2 0 0 1.000 -208 -160 infolabel
+(sim:r %pr.1 %pr.2) {/Times-Roman cf} 2 0 0 1.000 -208 -208 infolabel
+endgate
+} def
+
+
+%%EndSetup
+
+%%Page: res-parallel 1
+%%PageOrientation: Portrait
+/pgsave save def bop
+1.0000 inchscale
+2.6000 setlinewidth 544 48 translate
+
+1.000 270 -32 272 analog::resistor
+(CCS) {/Helvetica cf} 2 16 0 1.000 -208 240 label
+(IN1) {/Helvetica cf} 2 16 0 1.000 -336 240 label
+1.000 270 -32 192 analog::resistor
+0 1.000 48 288 48 224 144 224 144 288 4 polygon
+0 1.000 -224 288 -224 224 -112 224 -112 288 4 polygon
+0 1.000 -352 288 -352 224 -272 224 -272 288 4 polygon
+1 1.000 -272 272 -224 272 2 polygon
+1 1.000 -96 272 -112 272 2 polygon
+1 1.000 32 272 48 272 2 polygon
+(GND) {/Helvetica cf} 2 16 0 1.000 48 240 label
+(R1) {/Helvetica cf} 2 16 0 1.000 -48 224 label
+(R2) {/Helvetica cf} 2 16 0 1.000 -64 144 label
+1 1.000 32 192 32 272 2 polygon
+1 1.000 -96 192 -96 272 2 polygon
+pgsave restore showpage
+
+%%Trailer
+XCIRCsave restore
+%%EOF
diff --git a/doc/en/Docs-jr/schematics/res-series.png b/doc/en/Docs-jr/schematics/res-series.png
new file mode 100644
index 0000000..b6627f3
Binary files /dev/null and b/doc/en/Docs-jr/schematics/res-series.png differ
diff --git a/doc/en/Docs-jr/schematics/res-series.ps b/doc/en/Docs-jr/schematics/res-series.ps
new file mode 100644
index 0000000..d324edc
--- /dev/null
+++ b/doc/en/Docs-jr/schematics/res-series.ps
@@ -0,0 +1,215 @@
+%!PS-Adobe-3.0 EPSF-3.0
+%%Title: res-series.ps
+%%Creator: XCircuit v3.7 rev26
+%%CreationDate: Mon Jul 16 07:52:48 2012
+%%Pages: 1
+%%BoundingBox: 68 68 334 100
+%%DocumentNeededResources: font Helvetica Times-Roman 
+%%EndComments
+%%BeginProlog
+%
+%  PostScript prolog for output from xcircuit
+%  Version: 3.7
+%
+%  Electrical circuit (and otherwise general) drawing program
+%
+%  Written by Tim Edwards 8/5/93--11/1/10  (tim.edwards at multigig.com)
+%  The Johns Hopkins University (1993-2004)
+%  MultiGiG, Inc. (2004-present)
+%
+%%BeginResource: procset XCIRCproc 3.7 2
+%
+% supporting definitions --- these are the primary xcircuit types.
+
+/XCIRCsave save def
+/topmat matrix currentmatrix def
+
+/fontslant { /slant exch def [1 0 slant 1 0 0] 
+    exch findfont exch makefont dup length dict /ndict exch def
+    { 1 index /FID ne { ndict 3 1 roll put } { pop pop } ifelse } forall
+    ndict definefont pop} def
+/ul { dup type /stringtype eq showflag 1 eq and { gsave 
+   currentpoint topmat setmatrix 0 0 moveto 2 index stringwidth pop (_)
+   false charpath flattenpath pathbbox grestore exch pop 1 index
+   sub setlinewidth exch pop currentpoint 3 -1 roll add moveto 0
+   rlineto stroke moveto } if } def
+/ol { dup type /stringtype eq showflag 1 eq and { gsave gsave
+   currentpoint topmat setmatrix 2 index stringwidth pop 3 index
+   true charpath flattenpath pathbbox grestore exch pop
+   exch pop topmat setmatrix (_) true charpath pathbbox grestore
+   exch pop 1 index sub setlinewidth exch pop currentpoint
+   exch 4 1 roll exch sub add moveto pop 0 rlineto stroke
+   moveto } if } def
+/stW { gsave currentpoint newpath moveto true charpath flattenpath
+	pathbbox pop exch pop sub grestore } def
+/Ts {mark Tabs aload pop counttomark 1 add array astore /Tabs exch def Tabs
+	0 currentpoint pop put} def
+/Tbn {mark Tabs aload pop counttomark dup 2 add 1 roll cleartomark 1 sub} def
+/Tb { 0 1 Tbn {Tabs exch get dup currentpoint pop lt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/Tf { Tbn -1 0 {Tabs exch get dup currentpoint pop gt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/qS { (aa) stW (a a) stW sub 4 div 0 Kn } def
+/hS { qS qS } def
+/pspc 0 def
+/cf0 { scalefont setfont } bind def
+/Kn { dup kY add /kY exch def rmoveto } bind def
+/ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.33 mul neg Kn} def
+/Ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.67 mul Kn } def
+/ns { 0 kY neg Kn /kY 0 def /fscale 1.0 def xfont0 1.0 cf0 } def
+/CR { ns 0 /Bline Bline fscale0 neg add def Bline moveto } def
+/cf { dup type /realtype ne {1.0} if exch findfont exch kY 0 eq
+	{ 40 mul dup /fscale0 exch def cf0 /xfont0 currentfont def}
+	{fscale0 mul fscale mul cf0} ifelse } def
+/ctmk { counttomark dup 2 add -1 roll pop } bind def
+/label { gsave translate 0 0 moveto dup scale neg /rotval exch def
+	/just exch def just 384 and 0 gt {/mshow {pop} def} {/mshow {show}
+	def} ifelse just 16 and 0 gt {gsave rotval rotate 0 1 dtransform
+	gsave pagemat setmatrix idtransform exch grestore 1 0 dtransform
+	gsave pagemat setmatrix idtransform exch grestore dup abs 1e-9 lt
+	{pop mul 0 gt} {3 1 roll pop pop 0 lt} ifelse grestore {-1 /rotval
+	rotval neg def /just just dup 3 and 1 ne {3 xor} if def} {1} ifelse
+	exch -1e-9 lt {-1 /rotval rotval neg def /just just dup 12 and
+	4 ne {12 xor} if def} {1} ifelse scale } if /showflag 0 def
+	/fspc pspc def /Bline 0 def /Tabs 0 array def /fscale 1.0 def
+	/kY 0 def gsave dup 1 add copy 0 exch 1 0 dtransform exch atan rotate
+	{exch dup type /stringtype eq {true charpath flattenpath} {dup type
+	/arraytype eq {exec} {12 string cvs true charpath flattenpath} ifelse}
+	ifelse} repeat pop pathbbox grestore 3 -1 roll pop 3 1 roll just
+	1 and 0 gt {just 2 and 0 gt {exch pop neg fspc sub} {exch sub 0.5
+	mul neg} ifelse} {pop neg fspc add} ifelse exch Bline exch just 4
+	and 0 gt {just 8 and 0 gt {exch pop neg fspc sub} {add 0.5 mul neg}
+	ifelse} {pop neg fspc add} ifelse rotval rotate Kn currentpoint
+	translate /showflag 1 def /Bline 0 def /Tabs 0 array def /fscale
+	1.0 def /kY 0 def {dup type /stringtype eq {mshow} {dup type
+	/arraytype eq {exec} {12 string cvs mshow} ifelse} ifelse} repeat
+	grestore endclip} def
+/pinlabel { 4 index 32 and 0 ne hlevel 0 eq or { /pspc 10 def label
+	/pspc 0 def } { pop pop pop pop pop {pop} repeat } ifelse } def
+/pinglobal { pinlabel } def
+/infolabel { pinlabel } def
+/graphic { gsave 4 index cvx exec /DataSource get resetfile translate 0 0
+	moveto neg rotate dup scale cvx exec image grestore endclip} def
+
+/scb { setrgbcolor } bind def  /sce { defColor aload pop scb } bind def
+/cRedef {/defColor currentcolor 3 array astore def} def
+/begingate {dup type /dicttype ne {1 dict} if begin	% default params
+	dup type /dicttype ne {1 dict} if begin		% instanced params
+	/hlevel hlevel 1 add def /defColor currentcolor sce 3 array astore
+	def gsave sce translate 0 0 moveto neg rotate dup type /nametype
+	eq not { dup abs currentlinewidth exch div setlinewidth } { pop }
+	ifelse dup abs scale clipped 1 and 1 eq {/clipped clipped 1 add def}
+	if} bind def
+/endgate { /hlevel hlevel 1 sub def grestore defColor aload pop cRedef
+	scb end end endclip} bind def
+
+/hlevel 0 def
+/endclip {clipped 1 and 1 eq {grestore /clipped clipped 1 sub def} if} def
+/tmpa [1 0 0 1 0 0] def
+/gar {8 8 true tmpa {<c0 c0 00 00 0c 0c 00 00>} imagemask} bind
+{8 8 true tmpa {<30 70 60 02 03 07 06 20>} imagemask} bind
+{8 8 true tmpa {<0c 1e 1e 0c c0 e1 e1 c0>} imagemask} bind
+{8 8 true tmpa {<0f 0f 0f 0f f0 f0 f0 f0>} imagemask} bind
+{8 8 true tmpa {<3f f3 e1 e1 f3 3f 1e 1e>} imagemask} bind
+{8 8 true tmpa {<df cf 8f 9f fd fc f8 f9>} imagemask} bind
+{8 8 true tmpa {<ff 3f 3f ff ff f3 f3 ff>} imagemask} bind 7 array astore def
+/ppaint { gsave clip tmpa dup setmatrix pathbbox neg exch neg 4 2 roll
+  neg 4 -1 roll 2 copy gt {exch} if 8 div ceiling 8 mul 4 2 roll neg 2 copy
+  gt {exch} if 8 div ceiling 8 mul 3 -1 roll -8 5 -1 roll
+  { 3 index exch 5 exch put dup -8 3 index { 3 index
+  exch 4 exch put 3 index exec } for } for pop pop pop pop grestore } bind def
+/setstyles {
+  currentlinewidth mul setlinewidth /style exch def style 2048 and 0 gt
+  clipped 1 and 0 eq and {clip newpath /clipped clipped 1 add def} {
+  style 1 and 0 gt not {closepath} if
+  style 1024 and 0 gt {2 setlinecap} if
+  style 2 and 0 gt {currentlinewidth 4 mul dup 2 array astore 0 setdash} if
+  style 4 and 0 gt {0.5 currentlinewidth 4 mul 2 array astore 0 setdash} if
+  gsave style 16 and 0 gt { style 224 and -5 bitshift style 256 and 0 gt {
+  7 exch sub 8 div dup 1 exch sub currentrgbcolor 3 array astore
+  {3 copy mul add 4 1 roll pop} forall pop pop setrgbcolor eofill}
+  {dup 7 lt {gar exch get ppaint} {pop eofill} ifelse} ifelse}
+  {style 256 and 0 gt {1 setgray eofill} if} ifelse grestore style 8 and 0 gt 
+  style 512 eq or {newpath} {stroke} ifelse grestore endclip} ifelse} def     
+
+/addtoy {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll add
+	4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtoy1 {1 exch addtoy pop} def
+/addtoy3 {3 exch addtoy pop} def
+/addtoy4 {4 exch addtoy pop} def
+/addtox {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll 3 -1 roll
+	add exch 4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtox1 {1 exch addtox pop} def
+/addtox3 {3 exch addtox pop} def
+/addtox4 {4 exch addtox pop} def
+/polygon { gsave /num exch def moveto num 1 sub {lineto} repeat setstyles } def
+/xcarc   { gsave newpath arc setstyles } def
+/elb { matrix currentmatrix 7 -1 roll 7 -1 roll translate 5 1 roll 4 -1 roll
+	3 index div 1 scale } def
+/ele { 0 4 1 roll 0 4 1 roll } bind def
+/ellipse { gsave elb newpath ele arc setmatrix setstyles } def
+/pellip  { elb ele arc setmatrix } def
+/nellip  { elb ele arcn setmatrix } def
+/spline  { gsave moveto curveto setstyles } def
+/polyc   { {lineto} repeat } bind def
+/beginpath { gsave moveto } bind def
+/endpath { setstyles } bind def
+/bop	 { 1 setlinecap 0 setlinejoin 6 setmiterlimit 0 0 0 scb cRedef
+	/clipped 0 def} def
+/psinsertion {/PSobj save def /showpage {} def /setpagedevice {pop} def bop
+	rotate translate dup scale} def
+/end_insert {PSobj restore} def
+/setpagemat {/pagemat matrix currentmatrix def} def
+/inchscale  {setpagemat 0.375 mul dup scale} def
+/cmscale    {setpagemat 0.35433071 mul dup scale} def
+
+%%EndResource
+%%EndProlog
+
+% XCircuit output starts here.
+
+%%BeginSetup
+
+/analog::resistor {
+begingate
+1 1.000 0 64 0 36 2 polygon
+1 1.000 0 -64 0 -36 2 polygon
+1 1.000 0 -36 14 -30 -14 -18 14 -6 -14 6 14 18 -14 30 0 36 8 polygon
+1.000 0.000 0.000 scb
+(r.1) {/Times-Roman cf} 2 9 0 1.000 0 64 pinlabel
+(r.2) {/Times-Roman cf} 2 13 0 1.000 0 -64 pinlabel
+0.180 0.545 0.341 scb
+(spice:R%i %pr.1 %pr.2 1.0K) {/Times-Roman cf} 2 0 0 1.000 -208 -160 infolabel
+(sim:r %pr.1 %pr.2) {/Times-Roman cf} 2 0 0 1.000 -208 -208 infolabel
+endgate
+} def
+
+
+%%EndSetup
+
+%%Page: res-parallel 1
+%%PageOrientation: Portrait
+/pgsave save def bop
+1.0000 inchscale
+2.6000 setlinewidth 416 -48 translate
+
+1.000 270 80 288 analog::resistor
+1.000 270 256 288 analog::resistor
+0 1.000 -96 304 -96 240 16 240 16 304 4 polygon
+1 1.000 192 288 144 288 2 polygon
+(GND) {/Helvetica cf} 2 16 0 1.000 368 256 label
+0 1.000 352 304 352 240 464 240 464 304 4 polygon
+1 1.000 320 288 352 288 2 polygon
+(R1) {/Helvetica cf} 2 16 0 1.000 64 240 label
+(R2) {/Helvetica cf} 2 16 0 1.000 240 240 label
+(CCS) {/Helvetica cf} 2 16 0 1.000 -80 256 label
+(IN1) {/Helvetica cf} 2 16 0 1.000 -208 256 label
+0 1.000 -224 304 -224 240 -144 240 -144 304 4 polygon
+1 1.000 -144 272 -96 272 2 polygon
+pgsave restore showpage
+
+%%Trailer
+XCIRCsave restore
+%%EOF
diff --git a/doc/en/Docs-jr/schematics/res-water.png b/doc/en/Docs-jr/schematics/res-water.png
new file mode 100644
index 0000000..ee926c3
Binary files /dev/null and b/doc/en/Docs-jr/schematics/res-water.png differ
diff --git a/doc/en/Docs-jr/schematics/res-water.ps b/doc/en/Docs-jr/schematics/res-water.ps
new file mode 100644
index 0000000..89626fe
--- /dev/null
+++ b/doc/en/Docs-jr/schematics/res-water.ps
@@ -0,0 +1,204 @@
+%!PS-Adobe-3.0 EPSF-3.0
+%%Title: res-water
+%%Creator: XCircuit v3.6 rev135
+%%CreationDate: Sun Jul  8 17:36:54 2012
+%%Pages: 1
+%%BoundingBox: 68 68 388 130
+%%DocumentNeededResources: font Helvetica Times-Roman 
+%%EndComments
+%%BeginProlog
+%
+%  PostScript prolog for output from xcircuit
+%  Version: 3.3
+%
+%  Electrical circuit (and otherwise general) drawing program
+%
+%  Written by Tim Edwards 8/5/93--7/13/05  (tim.edwards at multigig.com)
+%  The Johns Hopkins University (1993-2004)
+%  MultiGiG, Inc. (2004-present)
+%
+%%BeginResource: procset XCIRCproc 3.3 0
+%
+% supporting definitions --- these are the primary xcircuit types.
+
+/XCIRCsave save def
+/topmat matrix currentmatrix def
+
+/fontslant { /slant exch def [1 0 slant 1 0 0] 
+    exch findfont exch makefont dup length dict /ndict exch def
+    { 1 index /FID ne { ndict 3 1 roll put } { pop pop } ifelse } forall
+    ndict definefont pop} def
+/ul { dup type /stringtype eq showflag 1 eq and { gsave 
+   currentpoint topmat setmatrix 0 0 moveto 2 index stringwidth pop (_)
+   false charpath flattenpath pathbbox grestore exch pop 1 index
+   sub setlinewidth exch pop currentpoint 3 -1 roll add moveto 0
+   rlineto stroke moveto } if } def
+/ol { dup type /stringtype eq showflag 1 eq and { gsave gsave
+   currentpoint topmat setmatrix 2 index stringwidth pop 3 index
+   true charpath flattenpath pathbbox grestore exch pop
+   exch pop topmat setmatrix (_) true charpath pathbbox grestore
+   exch pop 1 index sub setlinewidth exch pop currentpoint
+   exch 4 1 roll exch sub add moveto pop 0 rlineto stroke
+   moveto } if } def
+/stW { gsave currentpoint newpath moveto true charpath flattenpath
+	pathbbox pop exch pop sub grestore } def
+/Ts {mark Tabs aload pop counttomark 1 add array astore /Tabs exch def Tabs
+	0 currentpoint pop put} def
+/Tbn {mark Tabs aload pop counttomark dup 2 add 1 roll cleartomark 1 sub} def
+/Tb { 0 1 Tbn {Tabs exch get dup currentpoint pop lt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/Tf { Tbn -1 0 {Tabs exch get dup currentpoint pop gt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/qS { (aa) stW (a a) stW sub 4 div 0 Kn } def
+/hS { qS qS } def
+/pspc 0 def
+/cf0 { scalefont setfont } bind def
+/Kn { dup kY add /kY exch def rmoveto } bind def
+/ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.33 mul neg Kn} def
+/Ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.67 mul Kn } def
+/ns { 0 kY neg Kn /kY 0 def /fscale 1.0 def xfont0 1.0 cf0 } def
+/CR { ns 0 /Bline Bline fscale0 neg add def Bline moveto } def
+/cf { dup type /realtype ne {1.0} if exch findfont exch kY 0 eq
+	{ 40 mul dup /fscale0 exch def cf0 /xfont0 currentfont def}
+	{fscale0 mul fscale mul cf0} ifelse } def
+/ctmk { counttomark dup 2 add -1 roll pop } bind def
+/label { gsave translate 0 0 moveto dup scale neg /rotval exch def
+	/just exch def just 384 and 0 gt {/mshow {pop} def} {/mshow {show}
+	def} ifelse just 16 and 0 gt {gsave rotval rotate 0 1 dtransform
+	gsave pagemat setmatrix idtransform exch grestore 1 0 dtransform
+	gsave pagemat setmatrix idtransform exch grestore dup abs 1e-9 lt
+	{pop mul 0 gt} {3 1 roll pop pop 0 lt} ifelse grestore {-1 /rotval
+	rotval neg def /just just dup 3 and 1 ne {3 xor} if def} {1} ifelse
+	exch -1e-9 lt {-1 /rotval rotval neg def /just just dup 12 and
+	4 ne {12 xor} if def} {1} ifelse scale } if /showflag 0 def
+	/fspc pspc def /Bline 0 def /Tabs 0 array def /fscale 1.0 def
+	/kY 0 def gsave dup 1 add copy 0 exch 1 0 dtransform exch atan rotate
+	{exch dup type /stringtype eq {true charpath flattenpath} {dup type
+	/arraytype eq {exec} {12 string cvs true charpath flattenpath} ifelse}
+	ifelse} repeat pop pathbbox grestore 3 -1 roll pop 3 1 roll just
+	1 and 0 gt {just 2 and 0 gt {exch pop neg fspc sub} {exch sub 0.5
+	mul neg} ifelse} {pop neg fspc add} ifelse exch Bline exch just 4
+	and 0 gt {just 8 and 0 gt {exch pop neg fspc sub} {add 0.5 mul neg}
+	ifelse} {pop neg fspc add} ifelse rotval rotate Kn currentpoint
+	translate /showflag 1 def /Bline 0 def /Tabs 0 array def /fscale
+	1.0 def /kY 0 def {dup type /stringtype eq {mshow} {dup type
+	/arraytype eq {exec} {12 string cvs mshow} ifelse} ifelse} repeat
+	grestore } def
+/pinlabel { 4 index 32 and 0 ne hlevel 0 eq or { /pspc 10 def label
+	/pspc 0 def } { pop pop pop pop pop {pop} repeat } ifelse } def
+/pinglobal { pinlabel } def
+/infolabel { pinlabel } def
+/graphic { gsave 4 index cvx exec /DataSource get resetfile translate
+	0 0 moveto neg rotate dup scale cvx exec image grestore } def
+
+/scb { setrgbcolor } bind def  /sce { defColor aload pop scb } bind def
+/cRedef {/defColor currentcolor 3 array astore def} def
+/begingate {dup type /dicttype ne {1 dict} if begin	% default params
+	dup type /dicttype ne {1 dict} if begin		% instanced params
+	/hlevel hlevel 1 add def /defColor currentcolor sce 3 array
+	astore def gsave sce translate 0 0 moveto neg rotate dup abs scale
+	} bind def
+/endgate { /hlevel hlevel 1 sub def grestore defColor aload pop cRedef
+	scb end end} bind def
+
+/hlevel 0 def
+/tmpa [1 0 0 1 0 0] def
+/gar {8 8 true tmpa {<c0 c0 00 00 0c 0c 00 00>} imagemask} bind
+{8 8 true tmpa {<30 70 60 02 03 07 06 20>} imagemask} bind
+{8 8 true tmpa {<0c 1e 1e 0c c0 e1 e1 c0>} imagemask} bind
+{8 8 true tmpa {<0f 0f 0f 0f f0 f0 f0 f0>} imagemask} bind
+{8 8 true tmpa {<3f f3 e1 e1 f3 3f 1e 1e>} imagemask} bind
+{8 8 true tmpa {<df cf 8f 9f fd fc f8 f9>} imagemask} bind
+{8 8 true tmpa {<ff 3f 3f ff ff f3 f3 ff>} imagemask} bind 7 array astore def
+/ppaint { gsave clip tmpa dup setmatrix pathbbox neg exch neg 4 2 roll
+  neg 4 -1 roll 2 copy gt {exch} if 8 div ceiling 8 mul 4 2 roll neg 2 copy
+  gt {exch} if 8 div ceiling 8 mul 3 -1 roll -8 5 -1 roll
+  { 3 index exch 5 exch put dup -8 3 index { 3 index
+  exch 4 exch put 3 index exec } for } for pop pop pop pop grestore } bind def
+/setstyles {
+  currentlinewidth mul setlinewidth /style exch def
+  style 1 and 0 gt not {closepath} if
+  style 1024 and 0 gt {2 setlinecap} if
+  style 2 and 0 gt {currentlinewidth 4 mul dup 2 array astore 0 setdash} if
+  style 4 and 0 gt {0.5 currentlinewidth 4 mul 2 array astore 0 setdash} if
+  gsave style 16 and 0 gt { style 224 and -5 bitshift style 256 and 0 gt {
+  7 exch sub 8 div dup 1 exch sub currentrgbcolor 3 array astore
+  {3 copy mul add 4 1 roll pop} forall pop pop setrgbcolor eofill}
+  {dup 7 lt {gar exch get ppaint} {pop eofill} ifelse} ifelse}
+  {style 256 and 0 gt {1 setgray eofill} if} ifelse grestore style 8 and 0 gt 
+  style 512 eq or {newpath} {stroke} ifelse grestore} def     
+
+/polygon { gsave /num exch def moveto num 1 sub {lineto} repeat setstyles } def
+/xcarc   { gsave newpath arc setstyles } def
+/elb { matrix currentmatrix 7 -1 roll 7 -1 roll translate 5 1 roll 4 -1 roll
+	3 index div 1 scale } def
+/ele { 0 4 1 roll 0 4 1 roll } bind def
+/ellipse { gsave elb newpath ele arc setmatrix setstyles } def
+/pellip  { elb ele arc setmatrix } def
+/nellip  { elb ele arcn setmatrix } def
+/spline  { gsave moveto curveto setstyles } def
+/polyc   { {lineto} repeat } bind def
+/beginpath { gsave moveto } bind def
+/endpath { setstyles } bind def
+/bop	 { 1 setlinecap 0 setlinejoin 6 setmiterlimit 0 0 0 scb cRedef } def
+/psinsertion {/PSobj save def /showpage {} def /setpagedevice {pop} def bop
+	rotate translate dup scale} def
+/end_insert {PSobj restore} def
+/setpagemat {/pagemat matrix currentmatrix def} def
+/inchscale  {setpagemat 0.375 mul dup scale} def
+/cmscale    {setpagemat 0.35433071 mul dup scale} def
+
+%%EndResource
+%%EndProlog
+
+% XCircuit output starts here.
+
+%%BeginSetup
+
+/analog::resistor {
+begingate
+1 1.000 0 64 0 36 2 polygon
+1 1.000 0 -64 0 -36 2 polygon
+1 1.000 0 -36 14 -30 -14 -18 14 -6 -14 6 14 18 -14 30 0 36 8 polygon
+1.000 0.000 0.000 scb
+(r.1) {/Times-Roman cf} 2 9 0 1.000 0 64 pinlabel
+(r.2) {/Times-Roman cf} 2 13 0 1.000 0 -64 pinlabel
+0.180 0.545 0.341 scb
+(spice:R%i %pr.1 %pr.2 1.0K) {/Times-Roman cf} 2 0 0 1.000 -208 -160 infolabel
+(sim:r %pr.1 %pr.2) {/Times-Roman cf} 2 0 0 1.000 -208 -208 infolabel
+endgate
+} def
+
+
+%%EndSetup
+
+%%Page: 1 1
+%%PageOrientation: Portrait
+/pgsave save def bop
+1.0000 inchscale
+2.6000 setlinewidth 720 128 translate
+
+(Water) {/Helvetica cf} 2 16 0 1.000 -240 80 label
+1 1.000 -256 160 -256 64 -96 64 -96 160 4 polygon
+1 1.000 -256 128 -96 128 2 polygon
+1 1.000 -192 160 -272 272 -336 112 -208 112 spline
+(SINE) {/Helvetica cf} 2 16 0 1.000 -432 96 label
+0 1.000 -448 128 -448 80 -336 80 -336 128 4 polygon
+1 1.000 -128 112 -128 320 -32 128 -144 112 spline
+(A2) {/Helvetica cf} 2 16 0 1.000 -16 80 label
+0 1.000 -32 128 -32 64 64 64 64 128 4 polygon
+1.000 270 128 96 analog::resistor
+(GND) {/Helvetica cf} 2 16 0 1.000 208 80 label
+0 1.000 192 128 192 64 304 64 304 128 4 polygon
+(R) {/Helvetica cf} 2 16 0 1.000 -192 160 label
+(R1) {/Helvetica cf} 2 16 0 1.000 112 128 label
+(A1) {/Helvetica cf} 2 16 0 1.000 -528 96 label
+0 1.000 -528 128 -528 80 -480 80 -480 128 4 polygon
+1 1.000 -480 96 -448 96 2 polygon
+pgsave restore showpage
+
+%%Trailer
+XCIRCsave restore
+%%EOF
diff --git a/doc/en/Docs-jr/schematics/resistors.png b/doc/en/Docs-jr/schematics/resistors.png
new file mode 100644
index 0000000..4099ad1
Binary files /dev/null and b/doc/en/Docs-jr/schematics/resistors.png differ
diff --git a/doc/en/Docs-jr/schematics/resistors.ps b/doc/en/Docs-jr/schematics/resistors.ps
new file mode 100644
index 0000000..0623409
--- /dev/null
+++ b/doc/en/Docs-jr/schematics/resistors.ps
@@ -0,0 +1,218 @@
+%!PS-Adobe-3.0 EPSF-3.0
+%%Title: resistors.ps
+%%Creator: XCircuit v3.7 rev26
+%%CreationDate: Mon Jul 16 07:51:26 2012
+%%Pages: 1
+%%BoundingBox: 68 68 328 106
+%%DocumentNeededResources: font Helvetica Times-Roman 
+%%EndComments
+%%BeginProlog
+%
+%  PostScript prolog for output from xcircuit
+%  Version: 3.7
+%
+%  Electrical circuit (and otherwise general) drawing program
+%
+%  Written by Tim Edwards 8/5/93--11/1/10  (tim.edwards at multigig.com)
+%  The Johns Hopkins University (1993-2004)
+%  MultiGiG, Inc. (2004-present)
+%
+%%BeginResource: procset XCIRCproc 3.7 2
+%
+% supporting definitions --- these are the primary xcircuit types.
+
+/XCIRCsave save def
+/topmat matrix currentmatrix def
+
+/fontslant { /slant exch def [1 0 slant 1 0 0] 
+    exch findfont exch makefont dup length dict /ndict exch def
+    { 1 index /FID ne { ndict 3 1 roll put } { pop pop } ifelse } forall
+    ndict definefont pop} def
+/ul { dup type /stringtype eq showflag 1 eq and { gsave 
+   currentpoint topmat setmatrix 0 0 moveto 2 index stringwidth pop (_)
+   false charpath flattenpath pathbbox grestore exch pop 1 index
+   sub setlinewidth exch pop currentpoint 3 -1 roll add moveto 0
+   rlineto stroke moveto } if } def
+/ol { dup type /stringtype eq showflag 1 eq and { gsave gsave
+   currentpoint topmat setmatrix 2 index stringwidth pop 3 index
+   true charpath flattenpath pathbbox grestore exch pop
+   exch pop topmat setmatrix (_) true charpath pathbbox grestore
+   exch pop 1 index sub setlinewidth exch pop currentpoint
+   exch 4 1 roll exch sub add moveto pop 0 rlineto stroke
+   moveto } if } def
+/stW { gsave currentpoint newpath moveto true charpath flattenpath
+	pathbbox pop exch pop sub grestore } def
+/Ts {mark Tabs aload pop counttomark 1 add array astore /Tabs exch def Tabs
+	0 currentpoint pop put} def
+/Tbn {mark Tabs aload pop counttomark dup 2 add 1 roll cleartomark 1 sub} def
+/Tb { 0 1 Tbn {Tabs exch get dup currentpoint pop lt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/Tf { Tbn -1 0 {Tabs exch get dup currentpoint pop gt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/qS { (aa) stW (a a) stW sub 4 div 0 Kn } def
+/hS { qS qS } def
+/pspc 0 def
+/cf0 { scalefont setfont } bind def
+/Kn { dup kY add /kY exch def rmoveto } bind def
+/ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.33 mul neg Kn} def
+/Ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.67 mul Kn } def
+/ns { 0 kY neg Kn /kY 0 def /fscale 1.0 def xfont0 1.0 cf0 } def
+/CR { ns 0 /Bline Bline fscale0 neg add def Bline moveto } def
+/cf { dup type /realtype ne {1.0} if exch findfont exch kY 0 eq
+	{ 40 mul dup /fscale0 exch def cf0 /xfont0 currentfont def}
+	{fscale0 mul fscale mul cf0} ifelse } def
+/ctmk { counttomark dup 2 add -1 roll pop } bind def
+/label { gsave translate 0 0 moveto dup scale neg /rotval exch def
+	/just exch def just 384 and 0 gt {/mshow {pop} def} {/mshow {show}
+	def} ifelse just 16 and 0 gt {gsave rotval rotate 0 1 dtransform
+	gsave pagemat setmatrix idtransform exch grestore 1 0 dtransform
+	gsave pagemat setmatrix idtransform exch grestore dup abs 1e-9 lt
+	{pop mul 0 gt} {3 1 roll pop pop 0 lt} ifelse grestore {-1 /rotval
+	rotval neg def /just just dup 3 and 1 ne {3 xor} if def} {1} ifelse
+	exch -1e-9 lt {-1 /rotval rotval neg def /just just dup 12 and
+	4 ne {12 xor} if def} {1} ifelse scale } if /showflag 0 def
+	/fspc pspc def /Bline 0 def /Tabs 0 array def /fscale 1.0 def
+	/kY 0 def gsave dup 1 add copy 0 exch 1 0 dtransform exch atan rotate
+	{exch dup type /stringtype eq {true charpath flattenpath} {dup type
+	/arraytype eq {exec} {12 string cvs true charpath flattenpath} ifelse}
+	ifelse} repeat pop pathbbox grestore 3 -1 roll pop 3 1 roll just
+	1 and 0 gt {just 2 and 0 gt {exch pop neg fspc sub} {exch sub 0.5
+	mul neg} ifelse} {pop neg fspc add} ifelse exch Bline exch just 4
+	and 0 gt {just 8 and 0 gt {exch pop neg fspc sub} {add 0.5 mul neg}
+	ifelse} {pop neg fspc add} ifelse rotval rotate Kn currentpoint
+	translate /showflag 1 def /Bline 0 def /Tabs 0 array def /fscale
+	1.0 def /kY 0 def {dup type /stringtype eq {mshow} {dup type
+	/arraytype eq {exec} {12 string cvs mshow} ifelse} ifelse} repeat
+	grestore endclip} def
+/pinlabel { 4 index 32 and 0 ne hlevel 0 eq or { /pspc 10 def label
+	/pspc 0 def } { pop pop pop pop pop {pop} repeat } ifelse } def
+/pinglobal { pinlabel } def
+/infolabel { pinlabel } def
+/graphic { gsave 4 index cvx exec /DataSource get resetfile translate 0 0
+	moveto neg rotate dup scale cvx exec image grestore endclip} def
+
+/scb { setrgbcolor } bind def  /sce { defColor aload pop scb } bind def
+/cRedef {/defColor currentcolor 3 array astore def} def
+/begingate {dup type /dicttype ne {1 dict} if begin	% default params
+	dup type /dicttype ne {1 dict} if begin		% instanced params
+	/hlevel hlevel 1 add def /defColor currentcolor sce 3 array astore
+	def gsave sce translate 0 0 moveto neg rotate dup type /nametype
+	eq not { dup abs currentlinewidth exch div setlinewidth } { pop }
+	ifelse dup abs scale clipped 1 and 1 eq {/clipped clipped 1 add def}
+	if} bind def
+/endgate { /hlevel hlevel 1 sub def grestore defColor aload pop cRedef
+	scb end end endclip} bind def
+
+/hlevel 0 def
+/endclip {clipped 1 and 1 eq {grestore /clipped clipped 1 sub def} if} def
+/tmpa [1 0 0 1 0 0] def
+/gar {8 8 true tmpa {<c0 c0 00 00 0c 0c 00 00>} imagemask} bind
+{8 8 true tmpa {<30 70 60 02 03 07 06 20>} imagemask} bind
+{8 8 true tmpa {<0c 1e 1e 0c c0 e1 e1 c0>} imagemask} bind
+{8 8 true tmpa {<0f 0f 0f 0f f0 f0 f0 f0>} imagemask} bind
+{8 8 true tmpa {<3f f3 e1 e1 f3 3f 1e 1e>} imagemask} bind
+{8 8 true tmpa {<df cf 8f 9f fd fc f8 f9>} imagemask} bind
+{8 8 true tmpa {<ff 3f 3f ff ff f3 f3 ff>} imagemask} bind 7 array astore def
+/ppaint { gsave clip tmpa dup setmatrix pathbbox neg exch neg 4 2 roll
+  neg 4 -1 roll 2 copy gt {exch} if 8 div ceiling 8 mul 4 2 roll neg 2 copy
+  gt {exch} if 8 div ceiling 8 mul 3 -1 roll -8 5 -1 roll
+  { 3 index exch 5 exch put dup -8 3 index { 3 index
+  exch 4 exch put 3 index exec } for } for pop pop pop pop grestore } bind def
+/setstyles {
+  currentlinewidth mul setlinewidth /style exch def style 2048 and 0 gt
+  clipped 1 and 0 eq and {clip newpath /clipped clipped 1 add def} {
+  style 1 and 0 gt not {closepath} if
+  style 1024 and 0 gt {2 setlinecap} if
+  style 2 and 0 gt {currentlinewidth 4 mul dup 2 array astore 0 setdash} if
+  style 4 and 0 gt {0.5 currentlinewidth 4 mul 2 array astore 0 setdash} if
+  gsave style 16 and 0 gt { style 224 and -5 bitshift style 256 and 0 gt {
+  7 exch sub 8 div dup 1 exch sub currentrgbcolor 3 array astore
+  {3 copy mul add 4 1 roll pop} forall pop pop setrgbcolor eofill}
+  {dup 7 lt {gar exch get ppaint} {pop eofill} ifelse} ifelse}
+  {style 256 and 0 gt {1 setgray eofill} if} ifelse grestore style 8 and 0 gt 
+  style 512 eq or {newpath} {stroke} ifelse grestore endclip} ifelse} def     
+
+/addtoy {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll add
+	4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtoy1 {1 exch addtoy pop} def
+/addtoy3 {3 exch addtoy pop} def
+/addtoy4 {4 exch addtoy pop} def
+/addtox {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll 3 -1 roll
+	add exch 4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtox1 {1 exch addtox pop} def
+/addtox3 {3 exch addtox pop} def
+/addtox4 {4 exch addtox pop} def
+/polygon { gsave /num exch def moveto num 1 sub {lineto} repeat setstyles } def
+/xcarc   { gsave newpath arc setstyles } def
+/elb { matrix currentmatrix 7 -1 roll 7 -1 roll translate 5 1 roll 4 -1 roll
+	3 index div 1 scale } def
+/ele { 0 4 1 roll 0 4 1 roll } bind def
+/ellipse { gsave elb newpath ele arc setmatrix setstyles } def
+/pellip  { elb ele arc setmatrix } def
+/nellip  { elb ele arcn setmatrix } def
+/spline  { gsave moveto curveto setstyles } def
+/polyc   { {lineto} repeat } bind def
+/beginpath { gsave moveto } bind def
+/endpath { setstyles } bind def
+/bop	 { 1 setlinecap 0 setlinejoin 6 setmiterlimit 0 0 0 scb cRedef
+	/clipped 0 def} def
+/psinsertion {/PSobj save def /showpage {} def /setpagedevice {pop} def bop
+	rotate translate dup scale} def
+/end_insert {PSobj restore} def
+/setpagemat {/pagemat matrix currentmatrix def} def
+/inchscale  {setpagemat 0.375 mul dup scale} def
+/cmscale    {setpagemat 0.35433071 mul dup scale} def
+
+%%EndResource
+%%EndProlog
+
+% XCircuit output starts here.
+
+%%BeginSetup
+
+/analog::resistor {
+begingate
+1 1.000 0 64 0 36 2 polygon
+1 1.000 0 -64 0 -36 2 polygon
+1 1.000 0 -36 14 -30 -14 -18 14 -6 -14 6 14 18 -14 30 0 36 8 polygon
+1.000 0.000 0.000 scb
+(r.1) {/Times-Roman cf} 2 9 0 1.000 0 64 pinlabel
+(r.2) {/Times-Roman cf} 2 13 0 1.000 0 -64 pinlabel
+0.180 0.545 0.341 scb
+(spice:R%i %pr.1 %pr.2 1.0K) {/Times-Roman cf} 2 0 0 1.000 -208 -160 infolabel
+(sim:r %pr.1 %pr.2) {/Times-Roman cf} 2 0 0 1.000 -208 -208 infolabel
+endgate
+} def
+
+
+%%EndSetup
+
+%%Page: resistors 1
+%%PageOrientation: Portrait
+/pgsave save def bop
+1.0000 inchscale
+2.6000 setlinewidth 496 -128 translate
+
+1.000 270 -96 368 analog::resistor
+1.000 270 176 368 analog::resistor
+(PVS) {/Helvetica cf} 2 16 0 1.000 -288 352 label
+(GND) {/Helvetica cf} 2 16 0 1.000 272 352 label
+0 1.000 -304 400 -304 336 -192 336 -192 400 4 polygon
+0 1.000 16 400 16 336 96 336 96 400 4 polygon
+0 1.000 272 400 272 336 368 336 368 400 4 polygon
+1 1.000 240 368 272 368 2 polygon
+1 1.000 112 368 96 368 2 polygon
+1 1.000 16 368 -32 368 2 polygon
+1 1.000 -160 368 -192 368 2 polygon
+(1K) {/Helvetica cf} 2 16 0 1.000 144 320 label
+(2.2K) {/Helvetica cf} 2 16 0 1.000 -160 320 label
+(IN1) {/Helvetica cf} 2 16 0 1.000 32 352 label
+(R2) {/Helvetica cf} 2 16 0 1.000 -64 320 label
+(R1) {/Helvetica cf} 2 16 0 1.000 208 320 label
+pgsave restore showpage
+
+%%Trailer
+XCIRCsave restore
+%%EOF
diff --git a/doc/en/Docs-jr/schematics/rl-acphase.png b/doc/en/Docs-jr/schematics/rl-acphase.png
new file mode 100644
index 0000000..4cd0e91
Binary files /dev/null and b/doc/en/Docs-jr/schematics/rl-acphase.png differ
diff --git a/doc/en/Docs-jr/schematics/rl-acphase.ps b/doc/en/Docs-jr/schematics/rl-acphase.ps
new file mode 100644
index 0000000..5f0847b
--- /dev/null
+++ b/doc/en/Docs-jr/schematics/rl-acphase.ps
@@ -0,0 +1,236 @@
+%!PS-Adobe-3.0 EPSF-3.0
+%%Title: rl-acphase.ps
+%%Creator: XCircuit v3.7 rev26
+%%CreationDate: Sun Jul 15 03:14:36 2012
+%%Pages: 1
+%%BoundingBox: 68 68 352 106
+%%DocumentNeededResources: font Helvetica Times-Roman 
+%%EndComments
+%%BeginProlog
+%
+%  PostScript prolog for output from xcircuit
+%  Version: 3.7
+%
+%  Electrical circuit (and otherwise general) drawing program
+%
+%  Written by Tim Edwards 8/5/93--11/1/10  (tim.edwards at multigig.com)
+%  The Johns Hopkins University (1993-2004)
+%  MultiGiG, Inc. (2004-present)
+%
+%%BeginResource: procset XCIRCproc 3.7 2
+%
+% supporting definitions --- these are the primary xcircuit types.
+
+/XCIRCsave save def
+/topmat matrix currentmatrix def
+
+/fontslant { /slant exch def [1 0 slant 1 0 0] 
+    exch findfont exch makefont dup length dict /ndict exch def
+    { 1 index /FID ne { ndict 3 1 roll put } { pop pop } ifelse } forall
+    ndict definefont pop} def
+/ul { dup type /stringtype eq showflag 1 eq and { gsave 
+   currentpoint topmat setmatrix 0 0 moveto 2 index stringwidth pop (_)
+   false charpath flattenpath pathbbox grestore exch pop 1 index
+   sub setlinewidth exch pop currentpoint 3 -1 roll add moveto 0
+   rlineto stroke moveto } if } def
+/ol { dup type /stringtype eq showflag 1 eq and { gsave gsave
+   currentpoint topmat setmatrix 2 index stringwidth pop 3 index
+   true charpath flattenpath pathbbox grestore exch pop
+   exch pop topmat setmatrix (_) true charpath pathbbox grestore
+   exch pop 1 index sub setlinewidth exch pop currentpoint
+   exch 4 1 roll exch sub add moveto pop 0 rlineto stroke
+   moveto } if } def
+/stW { gsave currentpoint newpath moveto true charpath flattenpath
+	pathbbox pop exch pop sub grestore } def
+/Ts {mark Tabs aload pop counttomark 1 add array astore /Tabs exch def Tabs
+	0 currentpoint pop put} def
+/Tbn {mark Tabs aload pop counttomark dup 2 add 1 roll cleartomark 1 sub} def
+/Tb { 0 1 Tbn {Tabs exch get dup currentpoint pop lt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/Tf { Tbn -1 0 {Tabs exch get dup currentpoint pop gt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/qS { (aa) stW (a a) stW sub 4 div 0 Kn } def
+/hS { qS qS } def
+/pspc 0 def
+/cf0 { scalefont setfont } bind def
+/Kn { dup kY add /kY exch def rmoveto } bind def
+/ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.33 mul neg Kn} def
+/Ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.67 mul Kn } def
+/ns { 0 kY neg Kn /kY 0 def /fscale 1.0 def xfont0 1.0 cf0 } def
+/CR { ns 0 /Bline Bline fscale0 neg add def Bline moveto } def
+/cf { dup type /realtype ne {1.0} if exch findfont exch kY 0 eq
+	{ 40 mul dup /fscale0 exch def cf0 /xfont0 currentfont def}
+	{fscale0 mul fscale mul cf0} ifelse } def
+/ctmk { counttomark dup 2 add -1 roll pop } bind def
+/label { gsave translate 0 0 moveto dup scale neg /rotval exch def
+	/just exch def just 384 and 0 gt {/mshow {pop} def} {/mshow {show}
+	def} ifelse just 16 and 0 gt {gsave rotval rotate 0 1 dtransform
+	gsave pagemat setmatrix idtransform exch grestore 1 0 dtransform
+	gsave pagemat setmatrix idtransform exch grestore dup abs 1e-9 lt
+	{pop mul 0 gt} {3 1 roll pop pop 0 lt} ifelse grestore {-1 /rotval
+	rotval neg def /just just dup 3 and 1 ne {3 xor} if def} {1} ifelse
+	exch -1e-9 lt {-1 /rotval rotval neg def /just just dup 12 and
+	4 ne {12 xor} if def} {1} ifelse scale } if /showflag 0 def
+	/fspc pspc def /Bline 0 def /Tabs 0 array def /fscale 1.0 def
+	/kY 0 def gsave dup 1 add copy 0 exch 1 0 dtransform exch atan rotate
+	{exch dup type /stringtype eq {true charpath flattenpath} {dup type
+	/arraytype eq {exec} {12 string cvs true charpath flattenpath} ifelse}
+	ifelse} repeat pop pathbbox grestore 3 -1 roll pop 3 1 roll just
+	1 and 0 gt {just 2 and 0 gt {exch pop neg fspc sub} {exch sub 0.5
+	mul neg} ifelse} {pop neg fspc add} ifelse exch Bline exch just 4
+	and 0 gt {just 8 and 0 gt {exch pop neg fspc sub} {add 0.5 mul neg}
+	ifelse} {pop neg fspc add} ifelse rotval rotate Kn currentpoint
+	translate /showflag 1 def /Bline 0 def /Tabs 0 array def /fscale
+	1.0 def /kY 0 def {dup type /stringtype eq {mshow} {dup type
+	/arraytype eq {exec} {12 string cvs mshow} ifelse} ifelse} repeat
+	grestore endclip} def
+/pinlabel { 4 index 32 and 0 ne hlevel 0 eq or { /pspc 10 def label
+	/pspc 0 def } { pop pop pop pop pop {pop} repeat } ifelse } def
+/pinglobal { pinlabel } def
+/infolabel { pinlabel } def
+/graphic { gsave 4 index cvx exec /DataSource get resetfile translate 0 0
+	moveto neg rotate dup scale cvx exec image grestore endclip} def
+
+/scb { setrgbcolor } bind def  /sce { defColor aload pop scb } bind def
+/cRedef {/defColor currentcolor 3 array astore def} def
+/begingate {dup type /dicttype ne {1 dict} if begin	% default params
+	dup type /dicttype ne {1 dict} if begin		% instanced params
+	/hlevel hlevel 1 add def /defColor currentcolor sce 3 array astore
+	def gsave sce translate 0 0 moveto neg rotate dup type /nametype
+	eq not { dup abs currentlinewidth exch div setlinewidth } { pop }
+	ifelse dup abs scale clipped 1 and 1 eq {/clipped clipped 1 add def}
+	if} bind def
+/endgate { /hlevel hlevel 1 sub def grestore defColor aload pop cRedef
+	scb end end endclip} bind def
+
+/hlevel 0 def
+/endclip {clipped 1 and 1 eq {grestore /clipped clipped 1 sub def} if} def
+/tmpa [1 0 0 1 0 0] def
+/gar {8 8 true tmpa {<c0 c0 00 00 0c 0c 00 00>} imagemask} bind
+{8 8 true tmpa {<30 70 60 02 03 07 06 20>} imagemask} bind
+{8 8 true tmpa {<0c 1e 1e 0c c0 e1 e1 c0>} imagemask} bind
+{8 8 true tmpa {<0f 0f 0f 0f f0 f0 f0 f0>} imagemask} bind
+{8 8 true tmpa {<3f f3 e1 e1 f3 3f 1e 1e>} imagemask} bind
+{8 8 true tmpa {<df cf 8f 9f fd fc f8 f9>} imagemask} bind
+{8 8 true tmpa {<ff 3f 3f ff ff f3 f3 ff>} imagemask} bind 7 array astore def
+/ppaint { gsave clip tmpa dup setmatrix pathbbox neg exch neg 4 2 roll
+  neg 4 -1 roll 2 copy gt {exch} if 8 div ceiling 8 mul 4 2 roll neg 2 copy
+  gt {exch} if 8 div ceiling 8 mul 3 -1 roll -8 5 -1 roll
+  { 3 index exch 5 exch put dup -8 3 index { 3 index
+  exch 4 exch put 3 index exec } for } for pop pop pop pop grestore } bind def
+/setstyles {
+  currentlinewidth mul setlinewidth /style exch def style 2048 and 0 gt
+  clipped 1 and 0 eq and {clip newpath /clipped clipped 1 add def} {
+  style 1 and 0 gt not {closepath} if
+  style 1024 and 0 gt {2 setlinecap} if
+  style 2 and 0 gt {currentlinewidth 4 mul dup 2 array astore 0 setdash} if
+  style 4 and 0 gt {0.5 currentlinewidth 4 mul 2 array astore 0 setdash} if
+  gsave style 16 and 0 gt { style 224 and -5 bitshift style 256 and 0 gt {
+  7 exch sub 8 div dup 1 exch sub currentrgbcolor 3 array astore
+  {3 copy mul add 4 1 roll pop} forall pop pop setrgbcolor eofill}
+  {dup 7 lt {gar exch get ppaint} {pop eofill} ifelse} ifelse}
+  {style 256 and 0 gt {1 setgray eofill} if} ifelse grestore style 8 and 0 gt 
+  style 512 eq or {newpath} {stroke} ifelse grestore endclip} ifelse} def     
+
+/addtoy {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll add
+	4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtoy1 {1 exch addtoy pop} def
+/addtoy3 {3 exch addtoy pop} def
+/addtoy4 {4 exch addtoy pop} def
+/addtox {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll 3 -1 roll
+	add exch 4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtox1 {1 exch addtox pop} def
+/addtox3 {3 exch addtox pop} def
+/addtox4 {4 exch addtox pop} def
+/polygon { gsave /num exch def moveto num 1 sub {lineto} repeat setstyles } def
+/xcarc   { gsave newpath arc setstyles } def
+/elb { matrix currentmatrix 7 -1 roll 7 -1 roll translate 5 1 roll 4 -1 roll
+	3 index div 1 scale } def
+/ele { 0 4 1 roll 0 4 1 roll } bind def
+/ellipse { gsave elb newpath ele arc setmatrix setstyles } def
+/pellip  { elb ele arc setmatrix } def
+/nellip  { elb ele arcn setmatrix } def
+/spline  { gsave moveto curveto setstyles } def
+/polyc   { {lineto} repeat } bind def
+/beginpath { gsave moveto } bind def
+/endpath { setstyles } bind def
+/bop	 { 1 setlinecap 0 setlinejoin 6 setmiterlimit 0 0 0 scb cRedef
+	/clipped 0 def} def
+/psinsertion {/PSobj save def /showpage {} def /setpagedevice {pop} def bop
+	rotate translate dup scale} def
+/end_insert {PSobj restore} def
+/setpagemat {/pagemat matrix currentmatrix def} def
+/inchscale  {setpagemat 0.375 mul dup scale} def
+/cmscale    {setpagemat 0.35433071 mul dup scale} def
+
+%%EndResource
+%%EndProlog
+
+% XCircuit output starts here.
+
+%%BeginSetup
+
+/analog::resistor {
+begingate
+1 1.000 0 64 0 36 2 polygon
+1 1.000 0 -64 0 -36 2 polygon
+1 1.000 0 -36 14 -30 -14 -18 14 -6 -14 6 14 18 -14 30 0 36 8 polygon
+1.000 0.000 0.000 scb
+(r.1) {/Times-Roman cf} 2 9 0 1.000 0 64 pinlabel
+(r.2) {/Times-Roman cf} 2 13 0 1.000 0 -64 pinlabel
+0.180 0.545 0.341 scb
+(spice:R%i %pr.1 %pr.2 1.0K) {/Times-Roman cf} 2 0 0 1.000 -208 -160 infolabel
+(sim:r %pr.1 %pr.2) {/Times-Roman cf} 2 0 0 1.000 -208 -208 infolabel
+endgate
+} def
+
+/analog::inductor {
+begingate
+1 1.000 20 -22 20 -38 0 -42 0 -18 spline
+1 1.000 -20 -14 -20 -26 0 -22 0 -18 spline
+1 1.000 20 38 20 22 0 18 0 42 spline
+1 1.000 20 18 20 2 0 -2 0 22 spline
+1 1.000 20 -2 20 -18 0 -22 0 2 spline
+1 1.000 -20 26 -20 14 0 18 0 22 spline
+1 1.000 -20 6 -20 -6 0 -2 0 2 spline
+1 1.000 0 -42 0 -64 2 polygon
+1 1.000 0 42 0 64 2 polygon
+1.000 0.000 0.000 scb
+(l.1) {/Times-Roman cf} 2 9 0 1.000 0 64 pinlabel
+(l.2) {/Times-Roman cf} 2 13 0 1.000 0 -64 pinlabel
+0.180 0.545 0.341 scb
+(spice:L%i %pl.1 %pl.2 1.0U) {/Times-Roman cf} 2 0 0 1.000 -208 -160 infolabel
+(sim:l %pl.1 %pl.2) {/Times-Roman cf} 2 0 0 1.000 -208 -208 infolabel
+endgate
+} def
+
+
+%%EndSetup
+
+%%Page: rl-acphase 1
+%%PageOrientation: Portrait
+/pgsave save def bop
+1.0000 inchscale
+2.6000 setlinewidth 752 208 translate
+
+(A1) {/Helvetica cf} 2 16 0 1.000 -544 16 label
+(SINE) {/Helvetica cf} 2 16 0 1.000 -416 16 label
+(A2) {/Helvetica cf} 2 16 0 1.000 -128 16 label
+(GND) {/Helvetica cf} 2 16 0 1.000 80 16 label
+1.000 270 0 32 analog::resistor
+0 1.000 -432 64 -432 0 -320 0 -320 64 4 polygon
+0 1.000 -560 64 -560 0 -480 0 -480 64 4 polygon
+1 1.000 -480 32 -432 32 2 polygon
+0 1.000 -144 64 -144 0 -64 0 -64 64 4 polygon
+0 1.000 64 64 64 0 176 0 176 64 4 polygon
+(1K) {/Helvetica cf} 2 16 0 1.000 0 -16 label
+1.000 270 -208 34 analog::inductor
+1 1.000 -320 32 -272 32 2 polygon
+(L) {/Helvetica cf} 2 16 0 1.000 -272 0 label
+pgsave restore showpage
+
+%%Trailer
+XCIRCsave restore
+%%EOF
diff --git a/doc/en/Docs-jr/schematics/sound-burst.png b/doc/en/Docs-jr/schematics/sound-burst.png
new file mode 100644
index 0000000..59e9c64
Binary files /dev/null and b/doc/en/Docs-jr/schematics/sound-burst.png differ
diff --git a/doc/en/Docs-jr/schematics/sound-burst.ps b/doc/en/Docs-jr/schematics/sound-burst.ps
new file mode 100644
index 0000000..1fd4960
--- /dev/null
+++ b/doc/en/Docs-jr/schematics/sound-burst.ps
@@ -0,0 +1,232 @@
+%!PS-Adobe-3.0 EPSF-3.0
+%%Title: sound-burst.ps
+%%Creator: XCircuit v3.7 rev26
+%%CreationDate: Tue Jul 31 14:48:15 2012
+%%Pages: 1
+%%BoundingBox: 68 68 269 136
+%%DocumentNeededResources: font Helvetica Times-Roman 
+%%EndComments
+%%BeginProlog
+%
+%  PostScript prolog for output from xcircuit
+%  Version: 3.7
+%
+%  Electrical circuit (and otherwise general) drawing program
+%
+%  Written by Tim Edwards 8/5/93--11/1/10  (tim.edwards at multigig.com)
+%  The Johns Hopkins University (1993-2004)
+%  MultiGiG, Inc. (2004-present)
+%
+%%BeginResource: procset XCIRCproc 3.7 2
+%
+% supporting definitions --- these are the primary xcircuit types.
+
+/XCIRCsave save def
+/topmat matrix currentmatrix def
+
+/fontslant { /slant exch def [1 0 slant 1 0 0] 
+    exch findfont exch makefont dup length dict /ndict exch def
+    { 1 index /FID ne { ndict 3 1 roll put } { pop pop } ifelse } forall
+    ndict definefont pop} def
+/ul { dup type /stringtype eq showflag 1 eq and { gsave 
+   currentpoint topmat setmatrix 0 0 moveto 2 index stringwidth pop (_)
+   false charpath flattenpath pathbbox grestore exch pop 1 index
+   sub setlinewidth exch pop currentpoint 3 -1 roll add moveto 0
+   rlineto stroke moveto } if } def
+/ol { dup type /stringtype eq showflag 1 eq and { gsave gsave
+   currentpoint topmat setmatrix 2 index stringwidth pop 3 index
+   true charpath flattenpath pathbbox grestore exch pop
+   exch pop topmat setmatrix (_) true charpath pathbbox grestore
+   exch pop 1 index sub setlinewidth exch pop currentpoint
+   exch 4 1 roll exch sub add moveto pop 0 rlineto stroke
+   moveto } if } def
+/stW { gsave currentpoint newpath moveto true charpath flattenpath
+	pathbbox pop exch pop sub grestore } def
+/Ts {mark Tabs aload pop counttomark 1 add array astore /Tabs exch def Tabs
+	0 currentpoint pop put} def
+/Tbn {mark Tabs aload pop counttomark dup 2 add 1 roll cleartomark 1 sub} def
+/Tb { 0 1 Tbn {Tabs exch get dup currentpoint pop lt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/Tf { Tbn -1 0 {Tabs exch get dup currentpoint pop gt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/qS { (aa) stW (a a) stW sub 4 div 0 Kn } def
+/hS { qS qS } def
+/pspc 0 def
+/cf0 { scalefont setfont } bind def
+/Kn { dup kY add /kY exch def rmoveto } bind def
+/ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.33 mul neg Kn} def
+/Ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.67 mul Kn } def
+/ns { 0 kY neg Kn /kY 0 def /fscale 1.0 def xfont0 1.0 cf0 } def
+/CR { ns 0 /Bline Bline fscale0 neg add def Bline moveto } def
+/cf { dup type /realtype ne {1.0} if exch findfont exch kY 0 eq
+	{ 40 mul dup /fscale0 exch def cf0 /xfont0 currentfont def}
+	{fscale0 mul fscale mul cf0} ifelse } def
+/ctmk { counttomark dup 2 add -1 roll pop } bind def
+/label { gsave translate 0 0 moveto dup scale neg /rotval exch def
+	/just exch def just 384 and 0 gt {/mshow {pop} def} {/mshow {show}
+	def} ifelse just 16 and 0 gt {gsave rotval rotate 0 1 dtransform
+	gsave pagemat setmatrix idtransform exch grestore 1 0 dtransform
+	gsave pagemat setmatrix idtransform exch grestore dup abs 1e-9 lt
+	{pop mul 0 gt} {3 1 roll pop pop 0 lt} ifelse grestore {-1 /rotval
+	rotval neg def /just just dup 3 and 1 ne {3 xor} if def} {1} ifelse
+	exch -1e-9 lt {-1 /rotval rotval neg def /just just dup 12 and
+	4 ne {12 xor} if def} {1} ifelse scale } if /showflag 0 def
+	/fspc pspc def /Bline 0 def /Tabs 0 array def /fscale 1.0 def
+	/kY 0 def gsave dup 1 add copy 0 exch 1 0 dtransform exch atan rotate
+	{exch dup type /stringtype eq {true charpath flattenpath} {dup type
+	/arraytype eq {exec} {12 string cvs true charpath flattenpath} ifelse}
+	ifelse} repeat pop pathbbox grestore 3 -1 roll pop 3 1 roll just
+	1 and 0 gt {just 2 and 0 gt {exch pop neg fspc sub} {exch sub 0.5
+	mul neg} ifelse} {pop neg fspc add} ifelse exch Bline exch just 4
+	and 0 gt {just 8 and 0 gt {exch pop neg fspc sub} {add 0.5 mul neg}
+	ifelse} {pop neg fspc add} ifelse rotval rotate Kn currentpoint
+	translate /showflag 1 def /Bline 0 def /Tabs 0 array def /fscale
+	1.0 def /kY 0 def {dup type /stringtype eq {mshow} {dup type
+	/arraytype eq {exec} {12 string cvs mshow} ifelse} ifelse} repeat
+	grestore endclip} def
+/pinlabel { 4 index 32 and 0 ne hlevel 0 eq or { /pspc 10 def label
+	/pspc 0 def } { pop pop pop pop pop {pop} repeat } ifelse } def
+/pinglobal { pinlabel } def
+/infolabel { pinlabel } def
+/graphic { gsave 4 index cvx exec /DataSource get resetfile translate 0 0
+	moveto neg rotate dup scale cvx exec image grestore endclip} def
+
+/scb { setrgbcolor } bind def  /sce { defColor aload pop scb } bind def
+/cRedef {/defColor currentcolor 3 array astore def} def
+/begingate {dup type /dicttype ne {1 dict} if begin	% default params
+	dup type /dicttype ne {1 dict} if begin		% instanced params
+	/hlevel hlevel 1 add def /defColor currentcolor sce 3 array astore
+	def gsave sce translate 0 0 moveto neg rotate dup type /nametype
+	eq not { dup abs currentlinewidth exch div setlinewidth } { pop }
+	ifelse dup abs scale clipped 1 and 1 eq {/clipped clipped 1 add def}
+	if} bind def
+/endgate { /hlevel hlevel 1 sub def grestore defColor aload pop cRedef
+	scb end end endclip} bind def
+
+/hlevel 0 def
+/endclip {clipped 1 and 1 eq {grestore /clipped clipped 1 sub def} if} def
+/tmpa [1 0 0 1 0 0] def
+/gar {8 8 true tmpa {<c0 c0 00 00 0c 0c 00 00>} imagemask} bind
+{8 8 true tmpa {<30 70 60 02 03 07 06 20>} imagemask} bind
+{8 8 true tmpa {<0c 1e 1e 0c c0 e1 e1 c0>} imagemask} bind
+{8 8 true tmpa {<0f 0f 0f 0f f0 f0 f0 f0>} imagemask} bind
+{8 8 true tmpa {<3f f3 e1 e1 f3 3f 1e 1e>} imagemask} bind
+{8 8 true tmpa {<df cf 8f 9f fd fc f8 f9>} imagemask} bind
+{8 8 true tmpa {<ff 3f 3f ff ff f3 f3 ff>} imagemask} bind 7 array astore def
+/ppaint { gsave clip tmpa dup setmatrix pathbbox neg exch neg 4 2 roll
+  neg 4 -1 roll 2 copy gt {exch} if 8 div ceiling 8 mul 4 2 roll neg 2 copy
+  gt {exch} if 8 div ceiling 8 mul 3 -1 roll -8 5 -1 roll
+  { 3 index exch 5 exch put dup -8 3 index { 3 index
+  exch 4 exch put 3 index exec } for } for pop pop pop pop grestore } bind def
+/setstyles {
+  currentlinewidth mul setlinewidth /style exch def style 2048 and 0 gt
+  clipped 1 and 0 eq and {clip newpath /clipped clipped 1 add def} {
+  style 1 and 0 gt not {closepath} if
+  style 1024 and 0 gt {2 setlinecap} if
+  style 2 and 0 gt {currentlinewidth 4 mul dup 2 array astore 0 setdash} if
+  style 4 and 0 gt {0.5 currentlinewidth 4 mul 2 array astore 0 setdash} if
+  gsave style 16 and 0 gt { style 224 and -5 bitshift style 256 and 0 gt {
+  7 exch sub 8 div dup 1 exch sub currentrgbcolor 3 array astore
+  {3 copy mul add 4 1 roll pop} forall pop pop setrgbcolor eofill}
+  {dup 7 lt {gar exch get ppaint} {pop eofill} ifelse} ifelse}
+  {style 256 and 0 gt {1 setgray eofill} if} ifelse grestore style 8 and 0 gt 
+  style 512 eq or {newpath} {stroke} ifelse grestore endclip} ifelse} def     
+
+/addtoy {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll add
+	4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtoy1 {1 exch addtoy pop} def
+/addtoy3 {3 exch addtoy pop} def
+/addtoy4 {4 exch addtoy pop} def
+/addtox {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll 3 -1 roll
+	add exch 4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtox1 {1 exch addtox pop} def
+/addtox3 {3 exch addtox pop} def
+/addtox4 {4 exch addtox pop} def
+/polygon { gsave /num exch def moveto num 1 sub {lineto} repeat setstyles } def
+/xcarc   { gsave newpath arc setstyles } def
+/elb { matrix currentmatrix 7 -1 roll 7 -1 roll translate 5 1 roll 4 -1 roll
+	3 index div 1 scale } def
+/ele { 0 4 1 roll 0 4 1 roll } bind def
+/ellipse { gsave elb newpath ele arc setmatrix setstyles } def
+/pellip  { elb ele arc setmatrix } def
+/nellip  { elb ele arcn setmatrix } def
+/spline  { gsave moveto curveto setstyles } def
+/polyc   { {lineto} repeat } bind def
+/beginpath { gsave moveto } bind def
+/endpath { setstyles } bind def
+/bop	 { 1 setlinecap 0 setlinejoin 6 setmiterlimit 0 0 0 scb cRedef
+	/clipped 0 def} def
+/psinsertion {/PSobj save def /showpage {} def /setpagedevice {pop} def bop
+	rotate translate dup scale} def
+/end_insert {PSobj restore} def
+/setpagemat {/pagemat matrix currentmatrix def} def
+/inchscale  {setpagemat 0.375 mul dup scale} def
+/cmscale    {setpagemat 0.35433071 mul dup scale} def
+
+%%EndResource
+%%EndProlog
+
+% XCircuit output starts here.
+
+%%BeginSetup
+
+/analog::resistor {
+begingate
+1 1.000 0 64 0 36 2 polygon
+1 1.000 0 -64 0 -36 2 polygon
+1 1.000 0 -36 14 -30 -14 -18 14 -6 -14 6 14 18 -14 30 0 36 8 polygon
+1.000 0.000 0.000 scb
+(r.1) {/Times-Roman cf} 2 9 0 1.000 0 64 pinlabel
+(r.2) {/Times-Roman cf} 2 13 0 1.000 0 -64 pinlabel
+0.180 0.545 0.341 scb
+(spice:R%i %pr.1 %pr.2 1.0K) {/Times-Roman cf} 2 0 0 1.000 -208 -160 infolabel
+(sim:r %pr.1 %pr.2) {/Times-Roman cf} 2 0 0 1.000 -208 -208 infolabel
+endgate
+} def
+
+/analog::diode {
+begingate
+248 1.000 -18 -16 0 16 18 -16 3 polygon
+1 1.000 0 -48 0 -16 2 polygon
+1 1.000 0 48 0 16 2 polygon
+1 1.000 -18 16 18 16 2 polygon
+1.000 0.000 0.000 scb
+(d.m) {/Times-Roman cf} 2 9 0 1.000 0 48 pinlabel
+(d.p) {/Times-Roman cf} 2 13 0 1.000 0 -48 pinlabel
+endgate
+} def
+
+
+%%EndSetup
+
+%%Page: sound-burst 1
+%%PageOrientation: Portrait
+/pgsave save def bop
+1.0000 inchscale
+2.6000 setlinewidth 320 288 translate
+
+(GND) {/Helvetica cf} 2 16 0 1.000 -112 -64 label
+(MIC) {/Helvetica cf} 2 16 0 1.000 48 16 label
+(A1) {/Helvetica cf} 2 16 0 1.000 208 16 label
+0 1.000 32 64 32 0 128 0 128 64 4 polygon
+0 1.000 192 64 192 0 272 0 272 64 4 polygon
+1 1.000 128 32 192 32 2 polygon
+0 1.000 192 -16 192 -80 112 -80 112 -16 4 polygon
+0 1.000 -128 -16 -128 -80 -16 -80 -16 -16 4 polygon
+1.000 270 48 -48 analog::resistor
+1.000 270 240 -48 analog::diode
+(IN1) {/Helvetica cf} 2 16 0 1.000 128 -64 label
+{/Helvetica cf} 1 16 0 1.000 320 32 label
+(IN) {/Helvetica cf} 2 16 0 1.000 336 16 label
+0 1.000 320 64 320 0 384 0 384 64 4 polygon
+1 1.000 272 32 320 32 2 polygon
+(OUT) {/Helvetica cf} 2 16 0 1.000 304 -64 label
+0 1.000 288 -16 288 -80 384 -80 384 -16 4 polygon
+(10K) {/Helvetica cf} 2 16 0 1.000 32 -96 label
+pgsave restore showpage
+
+%%Trailer
+XCIRCsave restore
+%%EOF
diff --git a/doc/en/Docs-jr/schematics/sound-inter.png b/doc/en/Docs-jr/schematics/sound-inter.png
new file mode 100644
index 0000000..9305c37
Binary files /dev/null and b/doc/en/Docs-jr/schematics/sound-inter.png differ
diff --git a/doc/en/Docs-jr/schematics/sound-inter.ps b/doc/en/Docs-jr/schematics/sound-inter.ps
new file mode 100644
index 0000000..d47e3fe
--- /dev/null
+++ b/doc/en/Docs-jr/schematics/sound-inter.ps
@@ -0,0 +1,202 @@
+%!PS-Adobe-3.0 EPSF-3.0
+%%Title: sound-inter.ps
+%%Creator: XCircuit v3.7 rev26
+%%CreationDate: Sun Jul 22 20:33:34 2012
+%%Pages: 1
+%%BoundingBox: 68 68 382 100
+%%DocumentNeededResources: font Helvetica 
+%%EndComments
+%%BeginProlog
+%
+%  PostScript prolog for output from xcircuit
+%  Version: 3.7
+%
+%  Electrical circuit (and otherwise general) drawing program
+%
+%  Written by Tim Edwards 8/5/93--11/1/10  (tim.edwards at multigig.com)
+%  The Johns Hopkins University (1993-2004)
+%  MultiGiG, Inc. (2004-present)
+%
+%%BeginResource: procset XCIRCproc 3.7 2
+%
+% supporting definitions --- these are the primary xcircuit types.
+
+/XCIRCsave save def
+/topmat matrix currentmatrix def
+
+/fontslant { /slant exch def [1 0 slant 1 0 0] 
+    exch findfont exch makefont dup length dict /ndict exch def
+    { 1 index /FID ne { ndict 3 1 roll put } { pop pop } ifelse } forall
+    ndict definefont pop} def
+/ul { dup type /stringtype eq showflag 1 eq and { gsave 
+   currentpoint topmat setmatrix 0 0 moveto 2 index stringwidth pop (_)
+   false charpath flattenpath pathbbox grestore exch pop 1 index
+   sub setlinewidth exch pop currentpoint 3 -1 roll add moveto 0
+   rlineto stroke moveto } if } def
+/ol { dup type /stringtype eq showflag 1 eq and { gsave gsave
+   currentpoint topmat setmatrix 2 index stringwidth pop 3 index
+   true charpath flattenpath pathbbox grestore exch pop
+   exch pop topmat setmatrix (_) true charpath pathbbox grestore
+   exch pop 1 index sub setlinewidth exch pop currentpoint
+   exch 4 1 roll exch sub add moveto pop 0 rlineto stroke
+   moveto } if } def
+/stW { gsave currentpoint newpath moveto true charpath flattenpath
+	pathbbox pop exch pop sub grestore } def
+/Ts {mark Tabs aload pop counttomark 1 add array astore /Tabs exch def Tabs
+	0 currentpoint pop put} def
+/Tbn {mark Tabs aload pop counttomark dup 2 add 1 roll cleartomark 1 sub} def
+/Tb { 0 1 Tbn {Tabs exch get dup currentpoint pop lt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/Tf { Tbn -1 0 {Tabs exch get dup currentpoint pop gt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/qS { (aa) stW (a a) stW sub 4 div 0 Kn } def
+/hS { qS qS } def
+/pspc 0 def
+/cf0 { scalefont setfont } bind def
+/Kn { dup kY add /kY exch def rmoveto } bind def
+/ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.33 mul neg Kn} def
+/Ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.67 mul Kn } def
+/ns { 0 kY neg Kn /kY 0 def /fscale 1.0 def xfont0 1.0 cf0 } def
+/CR { ns 0 /Bline Bline fscale0 neg add def Bline moveto } def
+/cf { dup type /realtype ne {1.0} if exch findfont exch kY 0 eq
+	{ 40 mul dup /fscale0 exch def cf0 /xfont0 currentfont def}
+	{fscale0 mul fscale mul cf0} ifelse } def
+/ctmk { counttomark dup 2 add -1 roll pop } bind def
+/label { gsave translate 0 0 moveto dup scale neg /rotval exch def
+	/just exch def just 384 and 0 gt {/mshow {pop} def} {/mshow {show}
+	def} ifelse just 16 and 0 gt {gsave rotval rotate 0 1 dtransform
+	gsave pagemat setmatrix idtransform exch grestore 1 0 dtransform
+	gsave pagemat setmatrix idtransform exch grestore dup abs 1e-9 lt
+	{pop mul 0 gt} {3 1 roll pop pop 0 lt} ifelse grestore {-1 /rotval
+	rotval neg def /just just dup 3 and 1 ne {3 xor} if def} {1} ifelse
+	exch -1e-9 lt {-1 /rotval rotval neg def /just just dup 12 and
+	4 ne {12 xor} if def} {1} ifelse scale } if /showflag 0 def
+	/fspc pspc def /Bline 0 def /Tabs 0 array def /fscale 1.0 def
+	/kY 0 def gsave dup 1 add copy 0 exch 1 0 dtransform exch atan rotate
+	{exch dup type /stringtype eq {true charpath flattenpath} {dup type
+	/arraytype eq {exec} {12 string cvs true charpath flattenpath} ifelse}
+	ifelse} repeat pop pathbbox grestore 3 -1 roll pop 3 1 roll just
+	1 and 0 gt {just 2 and 0 gt {exch pop neg fspc sub} {exch sub 0.5
+	mul neg} ifelse} {pop neg fspc add} ifelse exch Bline exch just 4
+	and 0 gt {just 8 and 0 gt {exch pop neg fspc sub} {add 0.5 mul neg}
+	ifelse} {pop neg fspc add} ifelse rotval rotate Kn currentpoint
+	translate /showflag 1 def /Bline 0 def /Tabs 0 array def /fscale
+	1.0 def /kY 0 def {dup type /stringtype eq {mshow} {dup type
+	/arraytype eq {exec} {12 string cvs mshow} ifelse} ifelse} repeat
+	grestore endclip} def
+/pinlabel { 4 index 32 and 0 ne hlevel 0 eq or { /pspc 10 def label
+	/pspc 0 def } { pop pop pop pop pop {pop} repeat } ifelse } def
+/pinglobal { pinlabel } def
+/infolabel { pinlabel } def
+/graphic { gsave 4 index cvx exec /DataSource get resetfile translate 0 0
+	moveto neg rotate dup scale cvx exec image grestore endclip} def
+
+/scb { setrgbcolor } bind def  /sce { defColor aload pop scb } bind def
+/cRedef {/defColor currentcolor 3 array astore def} def
+/begingate {dup type /dicttype ne {1 dict} if begin	% default params
+	dup type /dicttype ne {1 dict} if begin		% instanced params
+	/hlevel hlevel 1 add def /defColor currentcolor sce 3 array astore
+	def gsave sce translate 0 0 moveto neg rotate dup type /nametype
+	eq not { dup abs currentlinewidth exch div setlinewidth } { pop }
+	ifelse dup abs scale clipped 1 and 1 eq {/clipped clipped 1 add def}
+	if} bind def
+/endgate { /hlevel hlevel 1 sub def grestore defColor aload pop cRedef
+	scb end end endclip} bind def
+
+/hlevel 0 def
+/endclip {clipped 1 and 1 eq {grestore /clipped clipped 1 sub def} if} def
+/tmpa [1 0 0 1 0 0] def
+/gar {8 8 true tmpa {<c0 c0 00 00 0c 0c 00 00>} imagemask} bind
+{8 8 true tmpa {<30 70 60 02 03 07 06 20>} imagemask} bind
+{8 8 true tmpa {<0c 1e 1e 0c c0 e1 e1 c0>} imagemask} bind
+{8 8 true tmpa {<0f 0f 0f 0f f0 f0 f0 f0>} imagemask} bind
+{8 8 true tmpa {<3f f3 e1 e1 f3 3f 1e 1e>} imagemask} bind
+{8 8 true tmpa {<df cf 8f 9f fd fc f8 f9>} imagemask} bind
+{8 8 true tmpa {<ff 3f 3f ff ff f3 f3 ff>} imagemask} bind 7 array astore def
+/ppaint { gsave clip tmpa dup setmatrix pathbbox neg exch neg 4 2 roll
+  neg 4 -1 roll 2 copy gt {exch} if 8 div ceiling 8 mul 4 2 roll neg 2 copy
+  gt {exch} if 8 div ceiling 8 mul 3 -1 roll -8 5 -1 roll
+  { 3 index exch 5 exch put dup -8 3 index { 3 index
+  exch 4 exch put 3 index exec } for } for pop pop pop pop grestore } bind def
+/setstyles {
+  currentlinewidth mul setlinewidth /style exch def style 2048 and 0 gt
+  clipped 1 and 0 eq and {clip newpath /clipped clipped 1 add def} {
+  style 1 and 0 gt not {closepath} if
+  style 1024 and 0 gt {2 setlinecap} if
+  style 2 and 0 gt {currentlinewidth 4 mul dup 2 array astore 0 setdash} if
+  style 4 and 0 gt {0.5 currentlinewidth 4 mul 2 array astore 0 setdash} if
+  gsave style 16 and 0 gt { style 224 and -5 bitshift style 256 and 0 gt {
+  7 exch sub 8 div dup 1 exch sub currentrgbcolor 3 array astore
+  {3 copy mul add 4 1 roll pop} forall pop pop setrgbcolor eofill}
+  {dup 7 lt {gar exch get ppaint} {pop eofill} ifelse} ifelse}
+  {style 256 and 0 gt {1 setgray eofill} if} ifelse grestore style 8 and 0 gt 
+  style 512 eq or {newpath} {stroke} ifelse grestore endclip} ifelse} def     
+
+/addtoy {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll add
+	4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtoy1 {1 exch addtoy pop} def
+/addtoy3 {3 exch addtoy pop} def
+/addtoy4 {4 exch addtoy pop} def
+/addtox {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll 3 -1 roll
+	add exch 4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtox1 {1 exch addtox pop} def
+/addtox3 {3 exch addtox pop} def
+/addtox4 {4 exch addtox pop} def
+/polygon { gsave /num exch def moveto num 1 sub {lineto} repeat setstyles } def
+/xcarc   { gsave newpath arc setstyles } def
+/elb { matrix currentmatrix 7 -1 roll 7 -1 roll translate 5 1 roll 4 -1 roll
+	3 index div 1 scale } def
+/ele { 0 4 1 roll 0 4 1 roll } bind def
+/ellipse { gsave elb newpath ele arc setmatrix setstyles } def
+/pellip  { elb ele arc setmatrix } def
+/nellip  { elb ele arcn setmatrix } def
+/spline  { gsave moveto curveto setstyles } def
+/polyc   { {lineto} repeat } bind def
+/beginpath { gsave moveto } bind def
+/endpath { setstyles } bind def
+/bop	 { 1 setlinecap 0 setlinejoin 6 setmiterlimit 0 0 0 scb cRedef
+	/clipped 0 def} def
+/psinsertion {/PSobj save def /showpage {} def /setpagedevice {pop} def bop
+	rotate translate dup scale} def
+/end_insert {PSobj restore} def
+/setpagemat {/pagemat matrix currentmatrix def} def
+/inchscale  {setpagemat 0.375 mul dup scale} def
+/cmscale    {setpagemat 0.35433071 mul dup scale} def
+
+%%EndResource
+%%EndProlog
+
+% XCircuit output starts here.
+
+%%BeginSetup
+
+
+%%EndSetup
+
+%%Page: sound-inter 1
+%%PageOrientation: Portrait
+/pgsave save def bop
+1.0000 inchscale
+2.6000 setlinewidth 432 192 translate
+
+(SQR1) {/Helvetica cf} 2 16 0 1.000 464 16 label
+(GND) {/Helvetica cf} 2 16 0 1.000 144 16 label
+(Piezo1) {/Helvetica cf} 2 16 0 1.000 288 16 label
+(SQR2) {/Helvetica cf} 2 16 0 1.000 -224 16 label
+(Piezo2) {/Helvetica cf} 2 16 0 1.000 -64 16 label
+0 1.000 -240 64 -240 0 -112 0 -112 64 4 polygon
+0 1.000 -80 64 -80 0 80 0 80 64 4 polygon
+0 1.000 128 64 128 0 240 0 240 64 4 polygon
+0 1.000 272 64 272 0 416 0 416 64 4 polygon
+0 1.000 448 64 448 0 576 0 576 64 4 polygon
+1 1.000 416 32 448 32 2 polygon
+1 1.000 240 32 272 32 2 polygon
+1 1.000 80 32 128 32 2 polygon
+1 1.000 -112 32 -80 32 2 polygon
+pgsave restore showpage
+
+%%Trailer
+XCIRCsave restore
+%%EOF
diff --git a/doc/en/Docs-jr/schematics/sound.png b/doc/en/Docs-jr/schematics/sound.png
new file mode 100644
index 0000000..643f67e
Binary files /dev/null and b/doc/en/Docs-jr/schematics/sound.png differ
diff --git a/doc/en/Docs-jr/schematics/sound.ps b/doc/en/Docs-jr/schematics/sound.ps
new file mode 100644
index 0000000..d7ddb90
--- /dev/null
+++ b/doc/en/Docs-jr/schematics/sound.ps
@@ -0,0 +1,201 @@
+%!PS-Adobe-3.0 EPSF-3.0
+%%Title: sound
+%%Creator: XCircuit v3.7 rev26
+%%CreationDate: Fri Jul 20 06:49:32 2012
+%%Pages: 1
+%%BoundingBox: 68 68 370 100
+%%DocumentNeededResources: font Helvetica 
+%%EndComments
+%%BeginProlog
+%
+%  PostScript prolog for output from xcircuit
+%  Version: 3.7
+%
+%  Electrical circuit (and otherwise general) drawing program
+%
+%  Written by Tim Edwards 8/5/93--11/1/10  (tim.edwards at multigig.com)
+%  The Johns Hopkins University (1993-2004)
+%  MultiGiG, Inc. (2004-present)
+%
+%%BeginResource: procset XCIRCproc 3.7 2
+%
+% supporting definitions --- these are the primary xcircuit types.
+
+/XCIRCsave save def
+/topmat matrix currentmatrix def
+
+/fontslant { /slant exch def [1 0 slant 1 0 0] 
+    exch findfont exch makefont dup length dict /ndict exch def
+    { 1 index /FID ne { ndict 3 1 roll put } { pop pop } ifelse } forall
+    ndict definefont pop} def
+/ul { dup type /stringtype eq showflag 1 eq and { gsave 
+   currentpoint topmat setmatrix 0 0 moveto 2 index stringwidth pop (_)
+   false charpath flattenpath pathbbox grestore exch pop 1 index
+   sub setlinewidth exch pop currentpoint 3 -1 roll add moveto 0
+   rlineto stroke moveto } if } def
+/ol { dup type /stringtype eq showflag 1 eq and { gsave gsave
+   currentpoint topmat setmatrix 2 index stringwidth pop 3 index
+   true charpath flattenpath pathbbox grestore exch pop
+   exch pop topmat setmatrix (_) true charpath pathbbox grestore
+   exch pop 1 index sub setlinewidth exch pop currentpoint
+   exch 4 1 roll exch sub add moveto pop 0 rlineto stroke
+   moveto } if } def
+/stW { gsave currentpoint newpath moveto true charpath flattenpath
+	pathbbox pop exch pop sub grestore } def
+/Ts {mark Tabs aload pop counttomark 1 add array astore /Tabs exch def Tabs
+	0 currentpoint pop put} def
+/Tbn {mark Tabs aload pop counttomark dup 2 add 1 roll cleartomark 1 sub} def
+/Tb { 0 1 Tbn {Tabs exch get dup currentpoint pop lt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/Tf { Tbn -1 0 {Tabs exch get dup currentpoint pop gt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/qS { (aa) stW (a a) stW sub 4 div 0 Kn } def
+/hS { qS qS } def
+/pspc 0 def
+/cf0 { scalefont setfont } bind def
+/Kn { dup kY add /kY exch def rmoveto } bind def
+/ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.33 mul neg Kn} def
+/Ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.67 mul Kn } def
+/ns { 0 kY neg Kn /kY 0 def /fscale 1.0 def xfont0 1.0 cf0 } def
+/CR { ns 0 /Bline Bline fscale0 neg add def Bline moveto } def
+/cf { dup type /realtype ne {1.0} if exch findfont exch kY 0 eq
+	{ 40 mul dup /fscale0 exch def cf0 /xfont0 currentfont def}
+	{fscale0 mul fscale mul cf0} ifelse } def
+/ctmk { counttomark dup 2 add -1 roll pop } bind def
+/label { gsave translate 0 0 moveto dup scale neg /rotval exch def
+	/just exch def just 384 and 0 gt {/mshow {pop} def} {/mshow {show}
+	def} ifelse just 16 and 0 gt {gsave rotval rotate 0 1 dtransform
+	gsave pagemat setmatrix idtransform exch grestore 1 0 dtransform
+	gsave pagemat setmatrix idtransform exch grestore dup abs 1e-9 lt
+	{pop mul 0 gt} {3 1 roll pop pop 0 lt} ifelse grestore {-1 /rotval
+	rotval neg def /just just dup 3 and 1 ne {3 xor} if def} {1} ifelse
+	exch -1e-9 lt {-1 /rotval rotval neg def /just just dup 12 and
+	4 ne {12 xor} if def} {1} ifelse scale } if /showflag 0 def
+	/fspc pspc def /Bline 0 def /Tabs 0 array def /fscale 1.0 def
+	/kY 0 def gsave dup 1 add copy 0 exch 1 0 dtransform exch atan rotate
+	{exch dup type /stringtype eq {true charpath flattenpath} {dup type
+	/arraytype eq {exec} {12 string cvs true charpath flattenpath} ifelse}
+	ifelse} repeat pop pathbbox grestore 3 -1 roll pop 3 1 roll just
+	1 and 0 gt {just 2 and 0 gt {exch pop neg fspc sub} {exch sub 0.5
+	mul neg} ifelse} {pop neg fspc add} ifelse exch Bline exch just 4
+	and 0 gt {just 8 and 0 gt {exch pop neg fspc sub} {add 0.5 mul neg}
+	ifelse} {pop neg fspc add} ifelse rotval rotate Kn currentpoint
+	translate /showflag 1 def /Bline 0 def /Tabs 0 array def /fscale
+	1.0 def /kY 0 def {dup type /stringtype eq {mshow} {dup type
+	/arraytype eq {exec} {12 string cvs mshow} ifelse} ifelse} repeat
+	grestore endclip} def
+/pinlabel { 4 index 32 and 0 ne hlevel 0 eq or { /pspc 10 def label
+	/pspc 0 def } { pop pop pop pop pop {pop} repeat } ifelse } def
+/pinglobal { pinlabel } def
+/infolabel { pinlabel } def
+/graphic { gsave 4 index cvx exec /DataSource get resetfile translate 0 0
+	moveto neg rotate dup scale cvx exec image grestore endclip} def
+
+/scb { setrgbcolor } bind def  /sce { defColor aload pop scb } bind def
+/cRedef {/defColor currentcolor 3 array astore def} def
+/begingate {dup type /dicttype ne {1 dict} if begin	% default params
+	dup type /dicttype ne {1 dict} if begin		% instanced params
+	/hlevel hlevel 1 add def /defColor currentcolor sce 3 array astore
+	def gsave sce translate 0 0 moveto neg rotate dup type /nametype
+	eq not { dup abs currentlinewidth exch div setlinewidth } { pop }
+	ifelse dup abs scale clipped 1 and 1 eq {/clipped clipped 1 add def}
+	if} bind def
+/endgate { /hlevel hlevel 1 sub def grestore defColor aload pop cRedef
+	scb end end endclip} bind def
+
+/hlevel 0 def
+/endclip {clipped 1 and 1 eq {grestore /clipped clipped 1 sub def} if} def
+/tmpa [1 0 0 1 0 0] def
+/gar {8 8 true tmpa {<c0 c0 00 00 0c 0c 00 00>} imagemask} bind
+{8 8 true tmpa {<30 70 60 02 03 07 06 20>} imagemask} bind
+{8 8 true tmpa {<0c 1e 1e 0c c0 e1 e1 c0>} imagemask} bind
+{8 8 true tmpa {<0f 0f 0f 0f f0 f0 f0 f0>} imagemask} bind
+{8 8 true tmpa {<3f f3 e1 e1 f3 3f 1e 1e>} imagemask} bind
+{8 8 true tmpa {<df cf 8f 9f fd fc f8 f9>} imagemask} bind
+{8 8 true tmpa {<ff 3f 3f ff ff f3 f3 ff>} imagemask} bind 7 array astore def
+/ppaint { gsave clip tmpa dup setmatrix pathbbox neg exch neg 4 2 roll
+  neg 4 -1 roll 2 copy gt {exch} if 8 div ceiling 8 mul 4 2 roll neg 2 copy
+  gt {exch} if 8 div ceiling 8 mul 3 -1 roll -8 5 -1 roll
+  { 3 index exch 5 exch put dup -8 3 index { 3 index
+  exch 4 exch put 3 index exec } for } for pop pop pop pop grestore } bind def
+/setstyles {
+  currentlinewidth mul setlinewidth /style exch def style 2048 and 0 gt
+  clipped 1 and 0 eq and {clip newpath /clipped clipped 1 add def} {
+  style 1 and 0 gt not {closepath} if
+  style 1024 and 0 gt {2 setlinecap} if
+  style 2 and 0 gt {currentlinewidth 4 mul dup 2 array astore 0 setdash} if
+  style 4 and 0 gt {0.5 currentlinewidth 4 mul 2 array astore 0 setdash} if
+  gsave style 16 and 0 gt { style 224 and -5 bitshift style 256 and 0 gt {
+  7 exch sub 8 div dup 1 exch sub currentrgbcolor 3 array astore
+  {3 copy mul add 4 1 roll pop} forall pop pop setrgbcolor eofill}
+  {dup 7 lt {gar exch get ppaint} {pop eofill} ifelse} ifelse}
+  {style 256 and 0 gt {1 setgray eofill} if} ifelse grestore style 8 and 0 gt 
+  style 512 eq or {newpath} {stroke} ifelse grestore endclip} ifelse} def     
+
+/addtoy {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll add
+	4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtoy1 {1 exch addtoy pop} def
+/addtoy3 {3 exch addtoy pop} def
+/addtoy4 {4 exch addtoy pop} def
+/addtox {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll 3 -1 roll
+	add exch 4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtox1 {1 exch addtox pop} def
+/addtox3 {3 exch addtox pop} def
+/addtox4 {4 exch addtox pop} def
+/polygon { gsave /num exch def moveto num 1 sub {lineto} repeat setstyles } def
+/xcarc   { gsave newpath arc setstyles } def
+/elb { matrix currentmatrix 7 -1 roll 7 -1 roll translate 5 1 roll 4 -1 roll
+	3 index div 1 scale } def
+/ele { 0 4 1 roll 0 4 1 roll } bind def
+/ellipse { gsave elb newpath ele arc setmatrix setstyles } def
+/pellip  { elb ele arc setmatrix } def
+/nellip  { elb ele arcn setmatrix } def
+/spline  { gsave moveto curveto setstyles } def
+/polyc   { {lineto} repeat } bind def
+/beginpath { gsave moveto } bind def
+/endpath { setstyles } bind def
+/bop	 { 1 setlinecap 0 setlinejoin 6 setmiterlimit 0 0 0 scb cRedef
+	/clipped 0 def} def
+/psinsertion {/PSobj save def /showpage {} def /setpagedevice {pop} def bop
+	rotate translate dup scale} def
+/end_insert {PSobj restore} def
+/setpagemat {/pagemat matrix currentmatrix def} def
+/inchscale  {setpagemat 0.375 mul dup scale} def
+/cmscale    {setpagemat 0.35433071 mul dup scale} def
+
+%%EndResource
+%%EndProlog
+
+% XCircuit output starts here.
+
+%%BeginSetup
+
+
+%%EndSetup
+
+%%Page: 1 1
+%%PageOrientation: Portrait
+/pgsave save def bop
+1.0000 inchscale
+2.6000 setlinewidth 704 192 translate
+
+(SQR1) {/Helvetica cf} 2 16 0 1.000 -144 16 label
+(PIEZO) {/Helvetica cf} 2 16 0 1.000 -336 16 label
+(GND) {/Helvetica cf} 2 16 0 1.000 -496 16 label
+(MIC) {/Helvetica cf} 2 16 0 1.000 48 16 label
+(A1) {/Helvetica cf} 2 16 0 1.000 208 16 label
+0 1.000 32 64 32 0 128 0 128 64 4 polygon
+0 1.000 192 64 192 0 272 0 272 64 4 polygon
+1 1.000 128 32 192 32 2 polygon
+0 1.000 -32 64 -32 0 -160 0 -160 64 4 polygon
+0 1.000 -352 64 -352 0 -208 0 -208 64 4 polygon
+1 1.000 -208 32 -160 32 2 polygon
+0 1.000 -512 64 -512 0 -400 0 -400 64 4 polygon
+1 1.000 -400 32 -352 32 2 polygon
+pgsave restore showpage
+
+%%Trailer
+XCIRCsave restore
+%%EOF
diff --git a/doc/en/Docs-jr/schematics/thermistor.png b/doc/en/Docs-jr/schematics/thermistor.png
new file mode 100644
index 0000000..91c0b49
Binary files /dev/null and b/doc/en/Docs-jr/schematics/thermistor.png differ
diff --git a/doc/en/Docs-jr/schematics/thermistor.ps b/doc/en/Docs-jr/schematics/thermistor.ps
new file mode 100644
index 0000000..70c28eb
--- /dev/null
+++ b/doc/en/Docs-jr/schematics/thermistor.ps
@@ -0,0 +1,210 @@
+%!PS-Adobe-3.0 EPSF-3.0
+%%Title: thermistor.ps
+%%Creator: XCircuit v3.7 rev26
+%%CreationDate: Mon Jul 16 08:54:29 2012
+%%Pages: 1
+%%BoundingBox: 68 68 256 100
+%%DocumentNeededResources: font Helvetica Times-Roman 
+%%EndComments
+%%BeginProlog
+%
+%  PostScript prolog for output from xcircuit
+%  Version: 3.7
+%
+%  Electrical circuit (and otherwise general) drawing program
+%
+%  Written by Tim Edwards 8/5/93--11/1/10  (tim.edwards at multigig.com)
+%  The Johns Hopkins University (1993-2004)
+%  MultiGiG, Inc. (2004-present)
+%
+%%BeginResource: procset XCIRCproc 3.7 2
+%
+% supporting definitions --- these are the primary xcircuit types.
+
+/XCIRCsave save def
+/topmat matrix currentmatrix def
+
+/fontslant { /slant exch def [1 0 slant 1 0 0] 
+    exch findfont exch makefont dup length dict /ndict exch def
+    { 1 index /FID ne { ndict 3 1 roll put } { pop pop } ifelse } forall
+    ndict definefont pop} def
+/ul { dup type /stringtype eq showflag 1 eq and { gsave 
+   currentpoint topmat setmatrix 0 0 moveto 2 index stringwidth pop (_)
+   false charpath flattenpath pathbbox grestore exch pop 1 index
+   sub setlinewidth exch pop currentpoint 3 -1 roll add moveto 0
+   rlineto stroke moveto } if } def
+/ol { dup type /stringtype eq showflag 1 eq and { gsave gsave
+   currentpoint topmat setmatrix 2 index stringwidth pop 3 index
+   true charpath flattenpath pathbbox grestore exch pop
+   exch pop topmat setmatrix (_) true charpath pathbbox grestore
+   exch pop 1 index sub setlinewidth exch pop currentpoint
+   exch 4 1 roll exch sub add moveto pop 0 rlineto stroke
+   moveto } if } def
+/stW { gsave currentpoint newpath moveto true charpath flattenpath
+	pathbbox pop exch pop sub grestore } def
+/Ts {mark Tabs aload pop counttomark 1 add array astore /Tabs exch def Tabs
+	0 currentpoint pop put} def
+/Tbn {mark Tabs aload pop counttomark dup 2 add 1 roll cleartomark 1 sub} def
+/Tb { 0 1 Tbn {Tabs exch get dup currentpoint pop lt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/Tf { Tbn -1 0 {Tabs exch get dup currentpoint pop gt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/qS { (aa) stW (a a) stW sub 4 div 0 Kn } def
+/hS { qS qS } def
+/pspc 0 def
+/cf0 { scalefont setfont } bind def
+/Kn { dup kY add /kY exch def rmoveto } bind def
+/ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.33 mul neg Kn} def
+/Ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.67 mul Kn } def
+/ns { 0 kY neg Kn /kY 0 def /fscale 1.0 def xfont0 1.0 cf0 } def
+/CR { ns 0 /Bline Bline fscale0 neg add def Bline moveto } def
+/cf { dup type /realtype ne {1.0} if exch findfont exch kY 0 eq
+	{ 40 mul dup /fscale0 exch def cf0 /xfont0 currentfont def}
+	{fscale0 mul fscale mul cf0} ifelse } def
+/ctmk { counttomark dup 2 add -1 roll pop } bind def
+/label { gsave translate 0 0 moveto dup scale neg /rotval exch def
+	/just exch def just 384 and 0 gt {/mshow {pop} def} {/mshow {show}
+	def} ifelse just 16 and 0 gt {gsave rotval rotate 0 1 dtransform
+	gsave pagemat setmatrix idtransform exch grestore 1 0 dtransform
+	gsave pagemat setmatrix idtransform exch grestore dup abs 1e-9 lt
+	{pop mul 0 gt} {3 1 roll pop pop 0 lt} ifelse grestore {-1 /rotval
+	rotval neg def /just just dup 3 and 1 ne {3 xor} if def} {1} ifelse
+	exch -1e-9 lt {-1 /rotval rotval neg def /just just dup 12 and
+	4 ne {12 xor} if def} {1} ifelse scale } if /showflag 0 def
+	/fspc pspc def /Bline 0 def /Tabs 0 array def /fscale 1.0 def
+	/kY 0 def gsave dup 1 add copy 0 exch 1 0 dtransform exch atan rotate
+	{exch dup type /stringtype eq {true charpath flattenpath} {dup type
+	/arraytype eq {exec} {12 string cvs true charpath flattenpath} ifelse}
+	ifelse} repeat pop pathbbox grestore 3 -1 roll pop 3 1 roll just
+	1 and 0 gt {just 2 and 0 gt {exch pop neg fspc sub} {exch sub 0.5
+	mul neg} ifelse} {pop neg fspc add} ifelse exch Bline exch just 4
+	and 0 gt {just 8 and 0 gt {exch pop neg fspc sub} {add 0.5 mul neg}
+	ifelse} {pop neg fspc add} ifelse rotval rotate Kn currentpoint
+	translate /showflag 1 def /Bline 0 def /Tabs 0 array def /fscale
+	1.0 def /kY 0 def {dup type /stringtype eq {mshow} {dup type
+	/arraytype eq {exec} {12 string cvs mshow} ifelse} ifelse} repeat
+	grestore endclip} def
+/pinlabel { 4 index 32 and 0 ne hlevel 0 eq or { /pspc 10 def label
+	/pspc 0 def } { pop pop pop pop pop {pop} repeat } ifelse } def
+/pinglobal { pinlabel } def
+/infolabel { pinlabel } def
+/graphic { gsave 4 index cvx exec /DataSource get resetfile translate 0 0
+	moveto neg rotate dup scale cvx exec image grestore endclip} def
+
+/scb { setrgbcolor } bind def  /sce { defColor aload pop scb } bind def
+/cRedef {/defColor currentcolor 3 array astore def} def
+/begingate {dup type /dicttype ne {1 dict} if begin	% default params
+	dup type /dicttype ne {1 dict} if begin		% instanced params
+	/hlevel hlevel 1 add def /defColor currentcolor sce 3 array astore
+	def gsave sce translate 0 0 moveto neg rotate dup type /nametype
+	eq not { dup abs currentlinewidth exch div setlinewidth } { pop }
+	ifelse dup abs scale clipped 1 and 1 eq {/clipped clipped 1 add def}
+	if} bind def
+/endgate { /hlevel hlevel 1 sub def grestore defColor aload pop cRedef
+	scb end end endclip} bind def
+
+/hlevel 0 def
+/endclip {clipped 1 and 1 eq {grestore /clipped clipped 1 sub def} if} def
+/tmpa [1 0 0 1 0 0] def
+/gar {8 8 true tmpa {<c0 c0 00 00 0c 0c 00 00>} imagemask} bind
+{8 8 true tmpa {<30 70 60 02 03 07 06 20>} imagemask} bind
+{8 8 true tmpa {<0c 1e 1e 0c c0 e1 e1 c0>} imagemask} bind
+{8 8 true tmpa {<0f 0f 0f 0f f0 f0 f0 f0>} imagemask} bind
+{8 8 true tmpa {<3f f3 e1 e1 f3 3f 1e 1e>} imagemask} bind
+{8 8 true tmpa {<df cf 8f 9f fd fc f8 f9>} imagemask} bind
+{8 8 true tmpa {<ff 3f 3f ff ff f3 f3 ff>} imagemask} bind 7 array astore def
+/ppaint { gsave clip tmpa dup setmatrix pathbbox neg exch neg 4 2 roll
+  neg 4 -1 roll 2 copy gt {exch} if 8 div ceiling 8 mul 4 2 roll neg 2 copy
+  gt {exch} if 8 div ceiling 8 mul 3 -1 roll -8 5 -1 roll
+  { 3 index exch 5 exch put dup -8 3 index { 3 index
+  exch 4 exch put 3 index exec } for } for pop pop pop pop grestore } bind def
+/setstyles {
+  currentlinewidth mul setlinewidth /style exch def style 2048 and 0 gt
+  clipped 1 and 0 eq and {clip newpath /clipped clipped 1 add def} {
+  style 1 and 0 gt not {closepath} if
+  style 1024 and 0 gt {2 setlinecap} if
+  style 2 and 0 gt {currentlinewidth 4 mul dup 2 array astore 0 setdash} if
+  style 4 and 0 gt {0.5 currentlinewidth 4 mul 2 array astore 0 setdash} if
+  gsave style 16 and 0 gt { style 224 and -5 bitshift style 256 and 0 gt {
+  7 exch sub 8 div dup 1 exch sub currentrgbcolor 3 array astore
+  {3 copy mul add 4 1 roll pop} forall pop pop setrgbcolor eofill}
+  {dup 7 lt {gar exch get ppaint} {pop eofill} ifelse} ifelse}
+  {style 256 and 0 gt {1 setgray eofill} if} ifelse grestore style 8 and 0 gt 
+  style 512 eq or {newpath} {stroke} ifelse grestore endclip} ifelse} def     
+
+/addtoy {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll add
+	4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtoy1 {1 exch addtoy pop} def
+/addtoy3 {3 exch addtoy pop} def
+/addtoy4 {4 exch addtoy pop} def
+/addtox {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll 3 -1 roll
+	add exch 4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtox1 {1 exch addtox pop} def
+/addtox3 {3 exch addtox pop} def
+/addtox4 {4 exch addtox pop} def
+/polygon { gsave /num exch def moveto num 1 sub {lineto} repeat setstyles } def
+/xcarc   { gsave newpath arc setstyles } def
+/elb { matrix currentmatrix 7 -1 roll 7 -1 roll translate 5 1 roll 4 -1 roll
+	3 index div 1 scale } def
+/ele { 0 4 1 roll 0 4 1 roll } bind def
+/ellipse { gsave elb newpath ele arc setmatrix setstyles } def
+/pellip  { elb ele arc setmatrix } def
+/nellip  { elb ele arcn setmatrix } def
+/spline  { gsave moveto curveto setstyles } def
+/polyc   { {lineto} repeat } bind def
+/beginpath { gsave moveto } bind def
+/endpath { setstyles } bind def
+/bop	 { 1 setlinecap 0 setlinejoin 6 setmiterlimit 0 0 0 scb cRedef
+	/clipped 0 def} def
+/psinsertion {/PSobj save def /showpage {} def /setpagedevice {pop} def bop
+	rotate translate dup scale} def
+/end_insert {PSobj restore} def
+/setpagemat {/pagemat matrix currentmatrix def} def
+/inchscale  {setpagemat 0.375 mul dup scale} def
+/cmscale    {setpagemat 0.35433071 mul dup scale} def
+
+%%EndResource
+%%EndProlog
+
+% XCircuit output starts here.
+
+%%BeginSetup
+
+/analog::resistor {
+begingate
+1 1.000 0 64 0 36 2 polygon
+1 1.000 0 -64 0 -36 2 polygon
+1 1.000 0 -36 14 -30 -14 -18 14 -6 -14 6 14 18 -14 30 0 36 8 polygon
+1.000 0.000 0.000 scb
+(r.1) {/Times-Roman cf} 2 9 0 1.000 0 64 pinlabel
+(r.2) {/Times-Roman cf} 2 13 0 1.000 0 -64 pinlabel
+0.180 0.545 0.341 scb
+(spice:R%i %pr.1 %pr.2 1.0K) {/Times-Roman cf} 2 0 0 1.000 -208 -160 infolabel
+(sim:r %pr.1 %pr.2) {/Times-Roman cf} 2 0 0 1.000 -208 -208 infolabel
+endgate
+} def
+
+
+%%EndSetup
+
+%%Page: thermistor 1
+%%PageOrientation: Portrait
+/pgsave save def bop
+1.0000 inchscale
+2.6000 setlinewidth 336 208 translate
+
+(CCS) {/Helvetica cf} 2 16 0 1.000 0 0 label
+0 1.000 -16 48 -16 -16 96 -16 96 48 4 polygon
+1.000 270 160 16 analog::resistor
+(GND) {/Helvetica cf} 2 16 0 1.000 240 0 label
+0 1.000 224 48 224 -16 336 -16 336 48 4 polygon
+(IN1) {/Helvetica cf} 2 16 0 1.000 -128 0 label
+0 1.000 -144 48 -144 -16 -64 -16 -64 48 4 polygon
+1 1.000 -64 16 -16 16 2 polygon
+pgsave restore showpage
+
+%%Trailer
+XCIRCsave restore
+%%EOF
diff --git a/doc/en/Docs-jr/schematics/tran.png b/doc/en/Docs-jr/schematics/tran.png
new file mode 100644
index 0000000..be54832
Binary files /dev/null and b/doc/en/Docs-jr/schematics/tran.png differ
diff --git a/doc/en/Docs-jr/schematics/tran.ps b/doc/en/Docs-jr/schematics/tran.ps
new file mode 100644
index 0000000..0d01884
--- /dev/null
+++ b/doc/en/Docs-jr/schematics/tran.ps
@@ -0,0 +1,223 @@
+%!PS-Adobe-3.0 EPSF-3.0
+%%Title: tran
+%%Creator: XCircuit v3.7 rev26
+%%CreationDate: Sun Jul 15 13:00:49 2012
+%%Pages: 1
+%%BoundingBox: 68 68 298 112
+%%DocumentNeededResources: font Helvetica Times-Roman 
+%%EndComments
+%%BeginProlog
+%
+%  PostScript prolog for output from xcircuit
+%  Version: 3.7
+%
+%  Electrical circuit (and otherwise general) drawing program
+%
+%  Written by Tim Edwards 8/5/93--11/1/10  (tim.edwards at multigig.com)
+%  The Johns Hopkins University (1993-2004)
+%  MultiGiG, Inc. (2004-present)
+%
+%%BeginResource: procset XCIRCproc 3.7 2
+%
+% supporting definitions --- these are the primary xcircuit types.
+
+/XCIRCsave save def
+/topmat matrix currentmatrix def
+
+/fontslant { /slant exch def [1 0 slant 1 0 0] 
+    exch findfont exch makefont dup length dict /ndict exch def
+    { 1 index /FID ne { ndict 3 1 roll put } { pop pop } ifelse } forall
+    ndict definefont pop} def
+/ul { dup type /stringtype eq showflag 1 eq and { gsave 
+   currentpoint topmat setmatrix 0 0 moveto 2 index stringwidth pop (_)
+   false charpath flattenpath pathbbox grestore exch pop 1 index
+   sub setlinewidth exch pop currentpoint 3 -1 roll add moveto 0
+   rlineto stroke moveto } if } def
+/ol { dup type /stringtype eq showflag 1 eq and { gsave gsave
+   currentpoint topmat setmatrix 2 index stringwidth pop 3 index
+   true charpath flattenpath pathbbox grestore exch pop
+   exch pop topmat setmatrix (_) true charpath pathbbox grestore
+   exch pop 1 index sub setlinewidth exch pop currentpoint
+   exch 4 1 roll exch sub add moveto pop 0 rlineto stroke
+   moveto } if } def
+/stW { gsave currentpoint newpath moveto true charpath flattenpath
+	pathbbox pop exch pop sub grestore } def
+/Ts {mark Tabs aload pop counttomark 1 add array astore /Tabs exch def Tabs
+	0 currentpoint pop put} def
+/Tbn {mark Tabs aload pop counttomark dup 2 add 1 roll cleartomark 1 sub} def
+/Tb { 0 1 Tbn {Tabs exch get dup currentpoint pop lt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/Tf { Tbn -1 0 {Tabs exch get dup currentpoint pop gt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/qS { (aa) stW (a a) stW sub 4 div 0 Kn } def
+/hS { qS qS } def
+/pspc 0 def
+/cf0 { scalefont setfont } bind def
+/Kn { dup kY add /kY exch def rmoveto } bind def
+/ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.33 mul neg Kn} def
+/Ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.67 mul Kn } def
+/ns { 0 kY neg Kn /kY 0 def /fscale 1.0 def xfont0 1.0 cf0 } def
+/CR { ns 0 /Bline Bline fscale0 neg add def Bline moveto } def
+/cf { dup type /realtype ne {1.0} if exch findfont exch kY 0 eq
+	{ 40 mul dup /fscale0 exch def cf0 /xfont0 currentfont def}
+	{fscale0 mul fscale mul cf0} ifelse } def
+/ctmk { counttomark dup 2 add -1 roll pop } bind def
+/label { gsave translate 0 0 moveto dup scale neg /rotval exch def
+	/just exch def just 384 and 0 gt {/mshow {pop} def} {/mshow {show}
+	def} ifelse just 16 and 0 gt {gsave rotval rotate 0 1 dtransform
+	gsave pagemat setmatrix idtransform exch grestore 1 0 dtransform
+	gsave pagemat setmatrix idtransform exch grestore dup abs 1e-9 lt
+	{pop mul 0 gt} {3 1 roll pop pop 0 lt} ifelse grestore {-1 /rotval
+	rotval neg def /just just dup 3 and 1 ne {3 xor} if def} {1} ifelse
+	exch -1e-9 lt {-1 /rotval rotval neg def /just just dup 12 and
+	4 ne {12 xor} if def} {1} ifelse scale } if /showflag 0 def
+	/fspc pspc def /Bline 0 def /Tabs 0 array def /fscale 1.0 def
+	/kY 0 def gsave dup 1 add copy 0 exch 1 0 dtransform exch atan rotate
+	{exch dup type /stringtype eq {true charpath flattenpath} {dup type
+	/arraytype eq {exec} {12 string cvs true charpath flattenpath} ifelse}
+	ifelse} repeat pop pathbbox grestore 3 -1 roll pop 3 1 roll just
+	1 and 0 gt {just 2 and 0 gt {exch pop neg fspc sub} {exch sub 0.5
+	mul neg} ifelse} {pop neg fspc add} ifelse exch Bline exch just 4
+	and 0 gt {just 8 and 0 gt {exch pop neg fspc sub} {add 0.5 mul neg}
+	ifelse} {pop neg fspc add} ifelse rotval rotate Kn currentpoint
+	translate /showflag 1 def /Bline 0 def /Tabs 0 array def /fscale
+	1.0 def /kY 0 def {dup type /stringtype eq {mshow} {dup type
+	/arraytype eq {exec} {12 string cvs mshow} ifelse} ifelse} repeat
+	grestore endclip} def
+/pinlabel { 4 index 32 and 0 ne hlevel 0 eq or { /pspc 10 def label
+	/pspc 0 def } { pop pop pop pop pop {pop} repeat } ifelse } def
+/pinglobal { pinlabel } def
+/infolabel { pinlabel } def
+/graphic { gsave 4 index cvx exec /DataSource get resetfile translate 0 0
+	moveto neg rotate dup scale cvx exec image grestore endclip} def
+
+/scb { setrgbcolor } bind def  /sce { defColor aload pop scb } bind def
+/cRedef {/defColor currentcolor 3 array astore def} def
+/begingate {dup type /dicttype ne {1 dict} if begin	% default params
+	dup type /dicttype ne {1 dict} if begin		% instanced params
+	/hlevel hlevel 1 add def /defColor currentcolor sce 3 array astore
+	def gsave sce translate 0 0 moveto neg rotate dup type /nametype
+	eq not { dup abs currentlinewidth exch div setlinewidth } { pop }
+	ifelse dup abs scale clipped 1 and 1 eq {/clipped clipped 1 add def}
+	if} bind def
+/endgate { /hlevel hlevel 1 sub def grestore defColor aload pop cRedef
+	scb end end endclip} bind def
+
+/hlevel 0 def
+/endclip {clipped 1 and 1 eq {grestore /clipped clipped 1 sub def} if} def
+/tmpa [1 0 0 1 0 0] def
+/gar {8 8 true tmpa {<c0 c0 00 00 0c 0c 00 00>} imagemask} bind
+{8 8 true tmpa {<30 70 60 02 03 07 06 20>} imagemask} bind
+{8 8 true tmpa {<0c 1e 1e 0c c0 e1 e1 c0>} imagemask} bind
+{8 8 true tmpa {<0f 0f 0f 0f f0 f0 f0 f0>} imagemask} bind
+{8 8 true tmpa {<3f f3 e1 e1 f3 3f 1e 1e>} imagemask} bind
+{8 8 true tmpa {<df cf 8f 9f fd fc f8 f9>} imagemask} bind
+{8 8 true tmpa {<ff 3f 3f ff ff f3 f3 ff>} imagemask} bind 7 array astore def
+/ppaint { gsave clip tmpa dup setmatrix pathbbox neg exch neg 4 2 roll
+  neg 4 -1 roll 2 copy gt {exch} if 8 div ceiling 8 mul 4 2 roll neg 2 copy
+  gt {exch} if 8 div ceiling 8 mul 3 -1 roll -8 5 -1 roll
+  { 3 index exch 5 exch put dup -8 3 index { 3 index
+  exch 4 exch put 3 index exec } for } for pop pop pop pop grestore } bind def
+/setstyles {
+  currentlinewidth mul setlinewidth /style exch def style 2048 and 0 gt
+  clipped 1 and 0 eq and {clip newpath /clipped clipped 1 add def} {
+  style 1 and 0 gt not {closepath} if
+  style 1024 and 0 gt {2 setlinecap} if
+  style 2 and 0 gt {currentlinewidth 4 mul dup 2 array astore 0 setdash} if
+  style 4 and 0 gt {0.5 currentlinewidth 4 mul 2 array astore 0 setdash} if
+  gsave style 16 and 0 gt { style 224 and -5 bitshift style 256 and 0 gt {
+  7 exch sub 8 div dup 1 exch sub currentrgbcolor 3 array astore
+  {3 copy mul add 4 1 roll pop} forall pop pop setrgbcolor eofill}
+  {dup 7 lt {gar exch get ppaint} {pop eofill} ifelse} ifelse}
+  {style 256 and 0 gt {1 setgray eofill} if} ifelse grestore style 8 and 0 gt 
+  style 512 eq or {newpath} {stroke} ifelse grestore endclip} ifelse} def     
+
+/addtoy {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll add
+	4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtoy1 {1 exch addtoy pop} def
+/addtoy3 {3 exch addtoy pop} def
+/addtoy4 {4 exch addtoy pop} def
+/addtox {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll 3 -1 roll
+	add exch 4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtox1 {1 exch addtox pop} def
+/addtox3 {3 exch addtox pop} def
+/addtox4 {4 exch addtox pop} def
+/polygon { gsave /num exch def moveto num 1 sub {lineto} repeat setstyles } def
+/xcarc   { gsave newpath arc setstyles } def
+/elb { matrix currentmatrix 7 -1 roll 7 -1 roll translate 5 1 roll 4 -1 roll
+	3 index div 1 scale } def
+/ele { 0 4 1 roll 0 4 1 roll } bind def
+/ellipse { gsave elb newpath ele arc setmatrix setstyles } def
+/pellip  { elb ele arc setmatrix } def
+/nellip  { elb ele arcn setmatrix } def
+/spline  { gsave moveto curveto setstyles } def
+/polyc   { {lineto} repeat } bind def
+/beginpath { gsave moveto } bind def
+/endpath { setstyles } bind def
+/bop	 { 1 setlinecap 0 setlinejoin 6 setmiterlimit 0 0 0 scb cRedef
+	/clipped 0 def} def
+/psinsertion {/PSobj save def /showpage {} def /setpagedevice {pop} def bop
+	rotate translate dup scale} def
+/end_insert {PSobj restore} def
+/setpagemat {/pagemat matrix currentmatrix def} def
+/inchscale  {setpagemat 0.375 mul dup scale} def
+/cmscale    {setpagemat 0.35433071 mul dup scale} def
+
+%%EndResource
+%%EndProlog
+
+% XCircuit output starts here.
+
+%%BeginSetup
+
+/analog::inductor {
+begingate
+1 1.000 20 -22 20 -38 0 -42 0 -18 spline
+1 1.000 -20 -14 -20 -26 0 -22 0 -18 spline
+1 1.000 20 38 20 22 0 18 0 42 spline
+1 1.000 20 18 20 2 0 -2 0 22 spline
+1 1.000 20 -2 20 -18 0 -22 0 2 spline
+1 1.000 -20 26 -20 14 0 18 0 22 spline
+1 1.000 -20 6 -20 -6 0 -2 0 2 spline
+1 1.000 0 -42 0 -64 2 polygon
+1 1.000 0 42 0 64 2 polygon
+1.000 0.000 0.000 scb
+(l.1) {/Times-Roman cf} 2 9 0 1.000 0 64 pinlabel
+(l.2) {/Times-Roman cf} 2 13 0 1.000 0 -64 pinlabel
+0.180 0.545 0.341 scb
+(spice:L%i %pl.1 %pl.2 1.0U) {/Times-Roman cf} 2 0 0 1.000 -208 -160 infolabel
+(sim:l %pl.1 %pl.2) {/Times-Roman cf} 2 0 0 1.000 -208 -208 infolabel
+endgate
+} def
+
+
+%%EndSetup
+
+%%Page: 1 1
+%%PageOrientation: Portrait
+/pgsave save def bop
+1.0000 inchscale
+2.6000 setlinewidth 864 192 translate
+
+(SINE) {/Helvetica cf} 2 16 0 1.000 -432 16 label
+{/Helvetica cf} 1 16 0 1.000 -352 32 label
+(A1) {/Helvetica cf} 2 16 0 1.000 -528 16 label
+1.000 270 -256 32 analog::inductor
+1.000 90 -256 80 analog::inductor
+(A2) {/Helvetica cf} 2 16 0 1.000 -656 16 label
+0 1.000 -448 64 -448 0 -336 0 -336 64 4 polygon
+1 1.000 -320 32 -336 32 2 polygon
+(GND) {/Helvetica cf} 2 16 0 1.000 -176 32 label
+0 1.000 -192 96 -192 16 -80 16 -80 96 4 polygon
+0 1.000 -544 64 -544 0 -480 0 -480 64 4 polygon
+0 1.000 -672 64 -672 0 -592 0 -592 64 4 polygon
+1 1.000 -480 32 -448 32 2 polygon
+1 1.000 -320 80 -624 80 2 polygon
+1 1.000 -624 64 -624 80 2 polygon
+pgsave restore showpage
+
+%%Trailer
+XCIRCsave restore
+%%EOF
diff --git a/doc/en/Docs-jr/schematics/transistor-ce.png b/doc/en/Docs-jr/schematics/transistor-ce.png
new file mode 100644
index 0000000..2727cb4
Binary files /dev/null and b/doc/en/Docs-jr/schematics/transistor-ce.png differ
diff --git a/doc/en/Docs-jr/schematics/transistor-ce.ps b/doc/en/Docs-jr/schematics/transistor-ce.ps
new file mode 100644
index 0000000..42f8389
--- /dev/null
+++ b/doc/en/Docs-jr/schematics/transistor-ce.ps
@@ -0,0 +1,265 @@
+%!PS-Adobe-3.0 EPSF-3.0
+%%Title: transistor-ce
+%%Creator: XCircuit v3.7 rev26
+%%CreationDate: Mon Jul 23 14:50:55 2012
+%%Pages: 1
+%%BoundingBox: 68 68 298 220
+%%DocumentNeededResources: font Helvetica Times-Roman 
+%%EndComments
+%%BeginProlog
+%
+%  PostScript prolog for output from xcircuit
+%  Version: 3.7
+%
+%  Electrical circuit (and otherwise general) drawing program
+%
+%  Written by Tim Edwards 8/5/93--11/1/10  (tim.edwards at multigig.com)
+%  The Johns Hopkins University (1993-2004)
+%  MultiGiG, Inc. (2004-present)
+%
+%%BeginResource: procset XCIRCproc 3.7 2
+%
+% supporting definitions --- these are the primary xcircuit types.
+
+/XCIRCsave save def
+/topmat matrix currentmatrix def
+
+/fontslant { /slant exch def [1 0 slant 1 0 0] 
+    exch findfont exch makefont dup length dict /ndict exch def
+    { 1 index /FID ne { ndict 3 1 roll put } { pop pop } ifelse } forall
+    ndict definefont pop} def
+/ul { dup type /stringtype eq showflag 1 eq and { gsave 
+   currentpoint topmat setmatrix 0 0 moveto 2 index stringwidth pop (_)
+   false charpath flattenpath pathbbox grestore exch pop 1 index
+   sub setlinewidth exch pop currentpoint 3 -1 roll add moveto 0
+   rlineto stroke moveto } if } def
+/ol { dup type /stringtype eq showflag 1 eq and { gsave gsave
+   currentpoint topmat setmatrix 2 index stringwidth pop 3 index
+   true charpath flattenpath pathbbox grestore exch pop
+   exch pop topmat setmatrix (_) true charpath pathbbox grestore
+   exch pop 1 index sub setlinewidth exch pop currentpoint
+   exch 4 1 roll exch sub add moveto pop 0 rlineto stroke
+   moveto } if } def
+/stW { gsave currentpoint newpath moveto true charpath flattenpath
+	pathbbox pop exch pop sub grestore } def
+/Ts {mark Tabs aload pop counttomark 1 add array astore /Tabs exch def Tabs
+	0 currentpoint pop put} def
+/Tbn {mark Tabs aload pop counttomark dup 2 add 1 roll cleartomark 1 sub} def
+/Tb { 0 1 Tbn {Tabs exch get dup currentpoint pop lt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/Tf { Tbn -1 0 {Tabs exch get dup currentpoint pop gt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/qS { (aa) stW (a a) stW sub 4 div 0 Kn } def
+/hS { qS qS } def
+/pspc 0 def
+/cf0 { scalefont setfont } bind def
+/Kn { dup kY add /kY exch def rmoveto } bind def
+/ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.33 mul neg Kn} def
+/Ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.67 mul Kn } def
+/ns { 0 kY neg Kn /kY 0 def /fscale 1.0 def xfont0 1.0 cf0 } def
+/CR { ns 0 /Bline Bline fscale0 neg add def Bline moveto } def
+/cf { dup type /realtype ne {1.0} if exch findfont exch kY 0 eq
+	{ 40 mul dup /fscale0 exch def cf0 /xfont0 currentfont def}
+	{fscale0 mul fscale mul cf0} ifelse } def
+/ctmk { counttomark dup 2 add -1 roll pop } bind def
+/label { gsave translate 0 0 moveto dup scale neg /rotval exch def
+	/just exch def just 384 and 0 gt {/mshow {pop} def} {/mshow {show}
+	def} ifelse just 16 and 0 gt {gsave rotval rotate 0 1 dtransform
+	gsave pagemat setmatrix idtransform exch grestore 1 0 dtransform
+	gsave pagemat setmatrix idtransform exch grestore dup abs 1e-9 lt
+	{pop mul 0 gt} {3 1 roll pop pop 0 lt} ifelse grestore {-1 /rotval
+	rotval neg def /just just dup 3 and 1 ne {3 xor} if def} {1} ifelse
+	exch -1e-9 lt {-1 /rotval rotval neg def /just just dup 12 and
+	4 ne {12 xor} if def} {1} ifelse scale } if /showflag 0 def
+	/fspc pspc def /Bline 0 def /Tabs 0 array def /fscale 1.0 def
+	/kY 0 def gsave dup 1 add copy 0 exch 1 0 dtransform exch atan rotate
+	{exch dup type /stringtype eq {true charpath flattenpath} {dup type
+	/arraytype eq {exec} {12 string cvs true charpath flattenpath} ifelse}
+	ifelse} repeat pop pathbbox grestore 3 -1 roll pop 3 1 roll just
+	1 and 0 gt {just 2 and 0 gt {exch pop neg fspc sub} {exch sub 0.5
+	mul neg} ifelse} {pop neg fspc add} ifelse exch Bline exch just 4
+	and 0 gt {just 8 and 0 gt {exch pop neg fspc sub} {add 0.5 mul neg}
+	ifelse} {pop neg fspc add} ifelse rotval rotate Kn currentpoint
+	translate /showflag 1 def /Bline 0 def /Tabs 0 array def /fscale
+	1.0 def /kY 0 def {dup type /stringtype eq {mshow} {dup type
+	/arraytype eq {exec} {12 string cvs mshow} ifelse} ifelse} repeat
+	grestore endclip} def
+/pinlabel { 4 index 32 and 0 ne hlevel 0 eq or { /pspc 10 def label
+	/pspc 0 def } { pop pop pop pop pop {pop} repeat } ifelse } def
+/pinglobal { pinlabel } def
+/infolabel { pinlabel } def
+/graphic { gsave 4 index cvx exec /DataSource get resetfile translate 0 0
+	moveto neg rotate dup scale cvx exec image grestore endclip} def
+
+/scb { setrgbcolor } bind def  /sce { defColor aload pop scb } bind def
+/cRedef {/defColor currentcolor 3 array astore def} def
+/begingate {dup type /dicttype ne {1 dict} if begin	% default params
+	dup type /dicttype ne {1 dict} if begin		% instanced params
+	/hlevel hlevel 1 add def /defColor currentcolor sce 3 array astore
+	def gsave sce translate 0 0 moveto neg rotate dup type /nametype
+	eq not { dup abs currentlinewidth exch div setlinewidth } { pop }
+	ifelse dup abs scale clipped 1 and 1 eq {/clipped clipped 1 add def}
+	if} bind def
+/endgate { /hlevel hlevel 1 sub def grestore defColor aload pop cRedef
+	scb end end endclip} bind def
+
+/hlevel 0 def
+/endclip {clipped 1 and 1 eq {grestore /clipped clipped 1 sub def} if} def
+/tmpa [1 0 0 1 0 0] def
+/gar {8 8 true tmpa {<c0 c0 00 00 0c 0c 00 00>} imagemask} bind
+{8 8 true tmpa {<30 70 60 02 03 07 06 20>} imagemask} bind
+{8 8 true tmpa {<0c 1e 1e 0c c0 e1 e1 c0>} imagemask} bind
+{8 8 true tmpa {<0f 0f 0f 0f f0 f0 f0 f0>} imagemask} bind
+{8 8 true tmpa {<3f f3 e1 e1 f3 3f 1e 1e>} imagemask} bind
+{8 8 true tmpa {<df cf 8f 9f fd fc f8 f9>} imagemask} bind
+{8 8 true tmpa {<ff 3f 3f ff ff f3 f3 ff>} imagemask} bind 7 array astore def
+/ppaint { gsave clip tmpa dup setmatrix pathbbox neg exch neg 4 2 roll
+  neg 4 -1 roll 2 copy gt {exch} if 8 div ceiling 8 mul 4 2 roll neg 2 copy
+  gt {exch} if 8 div ceiling 8 mul 3 -1 roll -8 5 -1 roll
+  { 3 index exch 5 exch put dup -8 3 index { 3 index
+  exch 4 exch put 3 index exec } for } for pop pop pop pop grestore } bind def
+/setstyles {
+  currentlinewidth mul setlinewidth /style exch def style 2048 and 0 gt
+  clipped 1 and 0 eq and {clip newpath /clipped clipped 1 add def} {
+  style 1 and 0 gt not {closepath} if
+  style 1024 and 0 gt {2 setlinecap} if
+  style 2 and 0 gt {currentlinewidth 4 mul dup 2 array astore 0 setdash} if
+  style 4 and 0 gt {0.5 currentlinewidth 4 mul 2 array astore 0 setdash} if
+  gsave style 16 and 0 gt { style 224 and -5 bitshift style 256 and 0 gt {
+  7 exch sub 8 div dup 1 exch sub currentrgbcolor 3 array astore
+  {3 copy mul add 4 1 roll pop} forall pop pop setrgbcolor eofill}
+  {dup 7 lt {gar exch get ppaint} {pop eofill} ifelse} ifelse}
+  {style 256 and 0 gt {1 setgray eofill} if} ifelse grestore style 8 and 0 gt 
+  style 512 eq or {newpath} {stroke} ifelse grestore endclip} ifelse} def     
+
+/addtoy {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll add
+	4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtoy1 {1 exch addtoy pop} def
+/addtoy3 {3 exch addtoy pop} def
+/addtoy4 {4 exch addtoy pop} def
+/addtox {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll 3 -1 roll
+	add exch 4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtox1 {1 exch addtox pop} def
+/addtox3 {3 exch addtox pop} def
+/addtox4 {4 exch addtox pop} def
+/polygon { gsave /num exch def moveto num 1 sub {lineto} repeat setstyles } def
+/xcarc   { gsave newpath arc setstyles } def
+/elb { matrix currentmatrix 7 -1 roll 7 -1 roll translate 5 1 roll 4 -1 roll
+	3 index div 1 scale } def
+/ele { 0 4 1 roll 0 4 1 roll } bind def
+/ellipse { gsave elb newpath ele arc setmatrix setstyles } def
+/pellip  { elb ele arc setmatrix } def
+/nellip  { elb ele arcn setmatrix } def
+/spline  { gsave moveto curveto setstyles } def
+/polyc   { {lineto} repeat } bind def
+/beginpath { gsave moveto } bind def
+/endpath { setstyles } bind def
+/bop	 { 1 setlinecap 0 setlinejoin 6 setmiterlimit 0 0 0 scb cRedef
+	/clipped 0 def} def
+/psinsertion {/PSobj save def /showpage {} def /setpagedevice {pop} def bop
+	rotate translate dup scale} def
+/end_insert {PSobj restore} def
+/setpagemat {/pagemat matrix currentmatrix def} def
+/inchscale  {setpagemat 0.375 mul dup scale} def
+/cmscale    {setpagemat 0.35433071 mul dup scale} def
+
+%%EndResource
+%%EndProlog
+
+% XCircuit output starts here.
+
+%%BeginSetup
+
+/generic::arrowhead {
+% nonetwork
+begingate
+8 -28 beginpath
+3 -18 3 -15 0 0 curveto
+-3 -15 -3 -18 -8 -28 curveto
+-2 -26 2 -26 8 -28 curveto
+249 
+1.000 endpath
+endgate
+} def
+
+/analog::npn {
+begingate
+1 1.000 -48 0 -64 0 2 polygon
+1 1.000 -48 48 -48 -48 2 polygon
+1 1.000 0 48 -48 22 2 polygon
+1 1.000 0 48 0 64 2 polygon
+1 1.000 0 -48 -48 -22 2 polygon
+1 1.000 0 -48 0 -64 2 polygon
+1.000 120 0 -48 generic::arrowhead
+1.000 0.000 0.000 scb
+(C) {/Times-Roman cf} 2 9 0 1.000 0 64 pinlabel
+(B) {/Times-Roman cf} 2 7 0 1.000 -64 0 pinlabel
+(E) {/Times-Roman cf} 2 13 0 1.000 0 -64 pinlabel
+0.180 0.545 0.341 scb
+(spice:Q%i %pC %pB %pE npn) {/Times-Roman cf} 2 4 0 1.000 -244 -139 infolabel
+(sim:b %pB %pE %pC) {/Times-Roman cf} 2 4 0 1.000 -244 -187 infolabel
+endgate
+} def
+
+/analog::resistor {
+begingate
+1 1.000 0 64 0 36 2 polygon
+1 1.000 0 -64 0 -36 2 polygon
+1 1.000 0 -36 14 -30 -14 -18 14 -6 -14 6 14 18 -14 30 0 36 8 polygon
+1.000 0.000 0.000 scb
+(r.1) {/Times-Roman cf} 2 9 0 1.000 0 64 pinlabel
+(r.2) {/Times-Roman cf} 2 13 0 1.000 0 -64 pinlabel
+0.180 0.545 0.341 scb
+(spice:R%i %pr.1 %pr.2 1.0K) {/Times-Roman cf} 2 0 0 1.000 -208 -160 infolabel
+(sim:r %pr.1 %pr.2) {/Times-Roman cf} 2 0 0 1.000 -208 -208 infolabel
+endgate
+} def
+
+/analog::polarized {
+begingate
+1 1.000 0 -64 0 -6 2 polygon
+1 1.000 0 64 0 6 2 polygon
+1 1.000 -32 6 32 6 2 polygon
+1 1.000 0 -80 74 66.000 114.000 xcarc
+1.000 0.000 0.000 scb
+(t) {/Times-Roman cf} 2 9 0 1.000 0 64 pinlabel
+(b) {/Times-Roman cf} 2 13 0 1.000 0 -64 pinlabel
+0.180 0.545 0.341 scb
+(spice:C%i %pt %pb 1.0P) {/Times-Roman cf} 2 0 0 1.000 -208 -160 infolabel
+(sim:e %pt %pb %pb) {/Times-Roman cf} 2 0 0 1.000 -208 -208 infolabel
+endgate
+} def
+
+
+%%EndSetup
+
+%%Page: 1 1
+%%PageOrientation: Portrait
+/pgsave save def bop
+1.0000 inchscale
+2.6000 setlinewidth 640 256 translate
+
+1.000 0 -16 64 analog::npn
+1.000 0 -16 192 analog::resistor
+(PVS) {/Helvetica cf} 2 16 0 1.000 -48 272 label
+(GND) {/Helvetica cf} 2 16 0 1.000 48 -16 label
+0 1.000 48 32 48 -32 144 -32 144 32 4 polygon
+1 1.000 -16 0 48 0 2 polygon
+1 1.000 -80 64 -128 64 2 polygon
+0 1.000 -64 320 -64 256 48 256 48 320 4 polygon
+(1K) {/Helvetica cf} 2 16 0 1.000 16 192 label
+1.000 0 -160 0 analog::polarized
+1 1.000 -160 -64 0 -64 0 0 3 polygon
+1.000 90 -256 64 analog::resistor
+1 1.000 -128 64 -192 64 2 polygon
+(SQR1) {/Helvetica cf} 2 16 0 1.000 -432 48 label
+0 1.000 -448 96 -448 32 -320 32 -320 96 4 polygon
+(100uF) {/Helvetica cf} 2 16 0 1.000 -304 -16 label
+(200K) {/Helvetica cf} 2 16 0 1.000 -272 96 label
+pgsave restore showpage
+
+%%Trailer
+XCIRCsave restore
+%%EOF
diff --git a/doc/en/Docs/Makefile b/doc/en/Docs/Makefile
new file mode 100644
index 0000000..1ccedd4
--- /dev/null
+++ b/doc/en/Docs/Makefile
@@ -0,0 +1,32 @@
+DESTDIR =
+LANG    = en
+SOURCES = $(shell ls *.lyx)
+PDFS    = $(patsubst %.lyx, %.pdf, $(SOURCES))
+TEXS    = $(patsubst %.lyx, %.tex, $(SOURCES))
+WARNING=LaTeX Warning: Label(s) may have changed. Rerun
+
+all: $(PDFS)
+
+install: all
+	install -m 644 $(PDFS) $(DESTDIR)
+
+%.pdf: %.lyx
+	@echo -n "Language=$(LANG), exporting $< to a LaTeX file ... "
+	@lyx -e latex $< >/dev/null 2>&1
+	@echo Done.
+	@echo -n "Running PDFLaTeX"
+	@ok=0; lyxfile=$<; \
+	logfile=$$(echo $${lyxfile} | sed 's/\.lyx/.log/'); \
+	texfile=$$(echo $${lyxfile} | sed 's/\.lyx/.tex/'); \
+	while [ $$ok = 0 ]; do \
+	  echo -n " ... "; \
+	  pdflatex -interaction=nonstopmode $${texfile} >/dev/null 2>&1 || true; \
+	  if ! grep -q "$(WARNING)" $${logfile}; then \
+	    ok=1; \
+	  fi; \
+	done
+	@echo Done.
+
+clean:
+	rm -f *~ *.aux *.log *.toc *.lof
+	[ -f /tmp/keep-expeyes-docs ] || rm -f $(PDFS) $(TEXS)
diff --git a/doc/en/Docs/eyes.lyx b/doc/en/Docs/eyes.lyx
new file mode 100644
index 0000000..b116d2a
--- /dev/null
+++ b/doc/en/Docs/eyes.lyx
@@ -0,0 +1,13454 @@
+#LyX 1.6.5 created this file. For more info see http://www.lyx.org/
+\lyxformat 345
+\begin_document
+\begin_header
+\textclass book
+\begin_preamble
+\usepackage{wrapfig}
+ \setlength{\intextsep}{0cm plus1cm minus1cm}
+\newcommand{\menuitem}[1]{\textbf{\emph{#1}}}
+\end_preamble
+\use_default_options false
+\language english
+\inputencoding auto
+\font_roman default
+\font_sans default
+\font_typewriter default
+\font_default_family default
+\font_sc false
+\font_osf false
+\font_sf_scale 100
+\font_tt_scale 100
+
+\graphics default
+\paperfontsize default
+\spacing single
+\use_hyperref false
+\papersize custom
+\use_geometry true
+\use_amsmath 1
+\use_esint 0
+\cite_engine basic
+\use_bibtopic false
+\paperorientation portrait
+\paperwidth 21cm
+\paperheight 29cm
+\leftmargin 4.75cm
+\topmargin 6cm
+\rightmargin 4.75cm
+\bottommargin 6cm
+\secnumdepth 2
+\tocdepth 3
+\paragraph_separation indent
+\defskip medskip
+\quotes_language english
+\papercolumns 1
+\papersides 2
+\paperpagestyle plain
+\tracking_changes false
+\output_changes false
+\author ""
+\author ""
+\end_header
+
+\begin_body
+
+\begin_layout Standard
+\align left
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+thispagestyle{empty}
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+\begin_inset VSpace 0.5in
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+\align center
+
+\size giant
+expEYES
+\end_layout
+
+\begin_layout Standard
+\align center
+\begin_inset Graphics
+	filename pics/eyes.jpg
+	lyxscale 40
+	width 8cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+\align center
+
+\size largest
+Experiments for
+\begin_inset Newline newline
+\end_inset
+
+ Young Engineers and Scientists
+\end_layout
+
+\begin_layout Standard
+\align center
+website : expeyes.in
+\end_layout
+
+\begin_layout Standard
+\begin_inset VSpace 0.5in
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+\align center
+
+\size large
+User Manual (ver.2, Apr-2011)
+\end_layout
+
+\begin_layout Standard
+\align center
+with 50 Science Experiments
+\end_layout
+
+\begin_layout Standard
+\begin_inset VSpace 0.25in
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+\align center
+PHOENIX Project
+\begin_inset Newline newline
+\end_inset
+
+Inter-University Accelerator Centre
+\begin_inset Newline newline
+\end_inset
+
+(A Research Centre of UGC)
+\begin_inset Newline newline
+\end_inset
+
+New Delhi 110 067
+\begin_inset Newline newline
+\end_inset
+
+www.iuac.res.in
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+Preface
+\end_layout
+
+\begin_layout Standard
+The PHOENIX (Physics with Home-made Equipment & Innovative Experiments)
+ project was started in 2004 by Inter-University Accelerator Centre with
+ the objective of improving the science education at Indian Universities.
+ Development of low cost laboratory equipment and training teachers are
+ the two major activities under this project.
+ The first product was a general purpose interface, was also called Phoenix,
+ followed by instruments like Geiger-Muller counter, Alpha spectrometer
+ etc.
+ The power of personal computers have been utilized for performing measurements
+ and data analysis.
+\end_layout
+
+\begin_layout Standard
+The new product, expEYES (Experiments for Young Engineers & Scientists),
+ is meant to be a tool for learning by exploration, suitable for high school
+ classes and above.
+ An attempt is made to strike a balance between open ended experiments mostly
+ meant for exploration and the conventional ones with some specific objective.
+ We have tried optimizing the design to be simple, flexible, rugged and
+ above all low cost.
+ There is no need of a separate power supply since it runs on the 5 volt
+ USB power, taking care of the long power failures common in many places.
+ The low price makes it affordable to individuals and we hope to see students
+ performing experiments outside the four walls of the laboratory, that closes
+ when the bell rings.
+\end_layout
+
+\begin_layout Standard
+Design of hardware developed is open and the software is released under
+ GNU General Public License.
+ The project has progressed due to the active participation and contributions
+ from the user community and many other persons outside IUAC.
+ We thank them all and also expect their continued cooperation.
+ We are grateful to Prof.
+ G.K.Mehta for suggesting corrections to this document.
+ This is distributed under GNU Free Documentation License.
+ More details and updated versions of this document are available on the
+ website
+\shape italic
+expeyes.in
+\end_layout
+
+\begin_layout Standard
+\begin_inset space ~
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+Ajith Kumar B.P.
+\end_layout
+
+\begin_layout Standard
+V V V Satyanarayana
+\end_layout
+
+\begin_layout Standard
+Jimson Sacharias
+\end_layout
+
+\begin_layout Standard
+Deepak Munda
+\end_layout
+
+\begin_layout Standard
+S.
+ Venkataramanan
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+\begin_inset CommandInset toc
+LatexCommand tableofcontents
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Chapter
+Getting Started
+\end_layout
+
+\begin_layout Section
+Introduction
+\end_layout
+
+\begin_layout Standard
+Performance of a student is often measured by the ability to memorize than
+ the real understanding.
+ As a result, most of them fail to apply what they learn in the classroom
+ to things they encounter in their daily life.
+ To some extent this can be corrected by learning based on exploration and
+ experimenting.
+ Experiments generally involve measuring and controlling physical parameters
+ like temperature, pressure, velocity, acceleration, force, voltage, current
+ etc.
+ If the measured physical property is changing rapidly, the measurements
+ need to be automated and a computer becomes a useful tool.
+ For example, understanding the variation of AC mains voltage with time
+ requires measuring it after every millisecond.
+
+\end_layout
+
+\begin_layout Standard
+The ability to perform experiments with reasonable accuracy, opens up an
+ entirely new path for learning science.
+ Students can compare the experimental data with mathematical models and
+ examine the fundamental laws governing various phenomena.
+ Research scientists formulate hypotheses, design and perform experiments,
+ analyze the data to check whether they agree with the theory.
+ The objective of PHOENIX (Physics with Home-made Equipment and Innovative
+ Experiments) project is to provide the same facilities on a smaller scale
+ to the students.
+ It also enables the users to develop new experiments without getting into
+ the details of electronics or computer programming.
+ There are several equipment and experiments developed so far.
+ This document describes some experiments that can be done with the interface
+ named
+\shape italic
+expEYES
+\shape default
+.
+\end_layout
+
+\begin_layout Section
+The equipment
+\end_layout
+
+\begin_layout Standard
+ExpEYES is interfaced and powered by the USB port of the computer.
+ For connecting external signals, it has 32 Input/Output terminals, arranged
+ on both sides, as shown in figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:ExpEYES top panel"
+
+\end_inset
+
+.
+ It can monitor and control the voltages at the terminals.
+ In order to measure other parameters (like temperature, pressure etc.),
+ we need to convert them in to electrical signals by using appropriate sensor
+ elements.
+ Even though our primary objective is to do experiments, you are advised
+ to read through the brief description of the equipment given below.
+\end_layout
+
+\begin_layout Standard
+
+\shape italic
+IMPORTANT : The external voltages connected to expEYES must be within
+\begin_inset Formula $\pm5V$
+\end_inset
+
+ range.
+
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/top-panel.png
+	lyxscale 50
+	width 10cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+The ExpEYES top panel showing the external connections on both sides.
+ The arrows indicates the direction of the signals.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:ExpEYES top panel"
+
+\end_inset
+
+.
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection
+External connections
+\end_layout
+
+\begin_layout Standard
+The external connections can be grouped according to their functions.
+\end_layout
+
+\begin_layout Subsubsection*
+Digital Inputs (ID0 and ID1)
+\end_layout
+
+\begin_layout Standard
+\align block
+Software can read the voltage level applied to them.
+ Any voltage less than .8
+\begin_inset space ~
+\end_inset
+
+V is treated as 0 (LOW) and anything higher than 2
+\begin_inset space ~
+\end_inset
+
+V is treated as 1 (HIGH).
+ If the voltage input is changing between HIGH and LOW, these terminal can
+ measure the frequency and duty-cycle of the connected signal.
+ ExpEYES is capable of measuring time interval between voltage transitions
+ on these pins with microsecond resolution.
+
+\end_layout
+
+\begin_layout Subsubsection*
+Digital Outputs (OD0 and OD1)
+\end_layout
+
+\begin_layout Standard
+Using software, we can make the voltage at these terminals 0 or 5
+\begin_inset space ~
+\end_inset
+
+V.
+ OD0 is transistor buffered and can drive up to 100
+\begin_inset space ~
+\end_inset
+
+mA current.
+ OD1 can only drive only up to 5
+\begin_inset space ~
+\end_inset
+
+mA.
+\end_layout
+
+\begin_layout Subsubsection*
+Signal Generators
+\end_layout
+
+\begin_layout Paragraph*
+SINE
+\end_layout
+
+\begin_layout Standard
+Fixed frequency sine wave generator, frequency is around 100
+\begin_inset space ~
+\end_inset
+
+Hz.
+ Bipolar signal output with an amplitude of around 4
+\begin_inset space ~
+\end_inset
+
+V.
+\end_layout
+
+\begin_layout Paragraph*
+SQR1
+\end_layout
+
+\begin_layout Standard
+Can generate a square wave, swings from 0 to 5
+\begin_inset space ~
+\end_inset
+
+V.
+ Frequency is programmable from 15
+\begin_inset space ~
+\end_inset
+
+Hz to 1
+\begin_inset space ~
+\end_inset
+
+MHz.
+ All intermediate values of frequency are not possible.
+
+\end_layout
+
+\begin_layout Paragraph*
+SQR2
+\end_layout
+
+\begin_layout Standard
+Can generate a square wave, swinging from 0 to 5
+\begin_inset space ~
+\end_inset
+
+V.
+ Frequency can be set anywhere between 0.7
+\begin_inset space ~
+\end_inset
+
+Hz and 90
+\begin_inset space ~
+\end_inset
+
+kHz.
+ The oscillator requires an external variable resistor, 22
+\begin_inset space ~
+\end_inset
+
+k
+\begin_inset Formula $\Omega$
+\end_inset
+
+, for its operation.
+ The frequency range is controlled by software and frequency tuning within
+ the selected range is done by adjusting the resistance.
+ The frequency ranges are < 25
+\begin_inset space ~
+\end_inset
+
+Hz, 25 to 1
+\begin_inset space ~
+\end_inset
+
+kHz, 1
+\begin_inset space ~
+\end_inset
+
+kHz to 10
+\begin_inset space ~
+\end_inset
+
+kHz and 10
+\begin_inset space ~
+\end_inset
+
+kHz to 90
+\begin_inset space ~
+\end_inset
+
+kHz.
+ Entering a frequency in a particular range selects that range.
+ The variable resistor is then adjusted to obtain the desired frequency.
+ The actual value of the frequency is measured and displayed during adjustment.
+\end_layout
+
+\begin_layout Paragraph*
+PULSE
+\end_layout
+
+\begin_layout Standard
+Output frequency is 488
+\begin_inset space ~
+\end_inset
+
+Hz.
+ Duty cycle can be programmed from 0 to 100
+\begin_inset space ~
+\end_inset
+
+% in 255 steps.
+ This terminal can be configured to generate a Square wave, like SQR1.
+ This feature is used by the program demonstrating interference of sound.
+\end_layout
+
+\begin_layout Subsubsection*
+Analog Voltage Inputs
+\end_layout
+
+\begin_layout Paragraph*
+A0 and A1
+\end_layout
+
+\begin_layout Standard
+Can measure voltage within the
+\begin_inset Formula $\pm5\, V$
+\end_inset
+
+ range.
+ The resolution of ADC used is 12
+\begin_inset space ~
+\end_inset
+
+bits.
+ Voltage at these terminals can be displayed as a function of time, giving
+ the functionality of a low frequency two channel oscilloscope.
+\end_layout
+
+\begin_layout Paragraph*
+A2
+\end_layout
+
+\begin_layout Standard
+For voltage measurement.
+ Input must be within the 0 to 5
+\begin_inset space ~
+\end_inset
+
+V range.
+ Resolution is 12
+\begin_inset space ~
+\end_inset
+
+bits.
+ Voltage can be displayed as a function of time using software.
+\end_layout
+
+\begin_layout Subsubsection*
+Analog Voltage Outputs
+\end_layout
+
+\begin_layout Paragraph*
+BPV
+\end_layout
+
+\begin_layout Standard
+Bipolar voltage output.
+ Can be programmed to any value between -5
+\begin_inset space ~
+\end_inset
+
+V to +5
+\begin_inset space ~
+\end_inset
+
+V.
+ The resolution is 12 bit, implies a minimum voltage step of around 2.5
+\begin_inset space ~
+\end_inset
+
+mV.
+\end_layout
+
+\begin_layout Paragraph*
+UPV
+\end_layout
+
+\begin_layout Standard
+Unipolar voltage output.
+ Can be programmed between 0 to +5
+\begin_inset space ~
+\end_inset
+
+V.
+ Cannot be used along with the current source output CS, since they share
+ the same DAC output.
+\end_layout
+
+\begin_layout Paragraph*
+IV
+\end_layout
+
+\begin_layout Standard
+This is just the output BPV coming out through a 1
+\begin_inset Formula $k\Omega$
+\end_inset
+
+ resistor.
+ Used for doing I-V characteristic.
+\end_layout
+
+\begin_layout Subsubsection*
+Constant Current Source (CS)
+\end_layout
+
+\begin_layout Standard
+Programmable to any value from 0.05 to 2.0
+\begin_inset space ~
+\end_inset
+
+mA.
+ The load resistor should be chosen such that the the product
+\begin_inset Formula $IR$
+\end_inset
+
+ is less than 2
+\begin_inset space ~
+\end_inset
+
+V.
+ Remember that CS and UPV shares the same DAC output.
+\end_layout
+
+\begin_layout Subsubsection*
+Inverting Amplifiers
+\end_layout
+
+\begin_layout Standard
+There are three inverting amplifiers, implemented using TL084 op-amps, denoted
+ below using their input and output terminal numbers.
+\end_layout
+
+\begin_layout Paragraph*
+15
+\begin_inset Formula $\Rightarrow$
+\end_inset
+
+13
+\end_layout
+
+\begin_layout Standard
+Input at terminal 15 and output at 13.
+ Default Gain=50.
+ The gain can be reduced by feeding the input through a series resistor.
+ The gain is be governed by
+\begin_inset Formula $G=\frac{R_{f}}{(R_{ext}+1000)}$
+\end_inset
+
+ where the internal
+\begin_inset Formula $R_{f}=50000\,\Omega$
+\end_inset
+
+.
+ The external series resistor is
+\begin_inset Formula $R_{ext}$
+\end_inset
+
+.
+
+\end_layout
+
+\begin_layout Paragraph*
+14
+\begin_inset Formula $\Rightarrow$
+\end_inset
+
+12
+\end_layout
+
+\begin_layout Standard
+Input at terminal 14 and output at 12.
+ Similar to the one mentioned above.
+\end_layout
+
+\begin_layout Paragraph*
+17
+\begin_inset Formula $\Rightarrow$
+\end_inset
+
+18
+\end_layout
+
+\begin_layout Standard
+Input at 17 and output at 18.
+ Default Gain=100.
+ The gain can be reduced by feeding the input through a series resistor.
+ The gain is be governed by
+\begin_inset Formula $G=\frac{R_{f}}{(R_{ext}+100)}$
+\end_inset
+
+ where the internal
+\begin_inset Formula $R_{f}=10000\,\Omega$
+\end_inset
+
+ and the external series resistor is
+\begin_inset Formula $R_{ext}$
+\end_inset
+
+.
+
+\end_layout
+
+\begin_layout Subsubsection*
+Non-Inverting Amplifier
+\end_layout
+
+\begin_layout Standard
+The input is at 21 and output at 22.
+ The gain is decided by an external resistor
+\begin_inset Formula $R_{g}$
+\end_inset
+
+ connected between 19 and 20 and is given by
+\begin_inset Formula $Gain=1+10000/R_{g}$
+\end_inset
+
+.
+ This amplifier is implemented using OP27 IC and has an offset voltage of
+ around
+\begin_inset Formula $30\,\mu V$
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Subsubsection*
+Sensor Input (SEN)
+\end_layout
+
+\begin_layout Standard
+For connecting any sensor whose resistance varies with the measured parameter.
+ When used with the photo-transistor.
+ connect collector to this, and emitter to Ground.
+ Capable of measuring the voltage and frequency.
+\end_layout
+
+\begin_layout Subsubsection*
+Frequency Counters
+\end_layout
+
+\begin_layout Standard
+The terminal 15 can measure the frequency of a bipolar signal (that goes
+ to both negative and posiive values).
+ The minimum measurable amplitude is 100
+\begin_inset space ~
+\end_inset
+
+mV and the maximum is 5
+\begin_inset space ~
+\end_inset
+
+V.
+
+\end_layout
+
+\begin_layout Standard
+ID0, ID1 and SEN are capable of measuring the frequency of signals swinging
+ from 0 to 5
+\begin_inset space ~
+\end_inset
+
+V.
+
+\end_layout
+
+\begin_layout Section
+Software Installation
+\end_layout
+
+\begin_layout Standard
+ExpEYES can run on any computer having a Python Interpreter and a Python
+ module to access the Serial port.
+ The USB interface is handled by the device driver programs that presents
+ the USB port as an RS232 port to the application programs.
+ The communication with expEYES is done using a library written in Python
+ language.
+ Programs with GUI have been written for many experiments.
+ There are many ways to get the software running:
+\end_layout
+
+\begin_layout Subsubsection*
+The expEYES Live CD
+\end_layout
+
+\begin_layout Standard
+The easiest way to get started is to boot your PC with the Phoenix Live-CD.
+ From the PC BIOS, make the CD drive as the first boot device, insert the
+ live CD and reboot the PC.
+ A desktop will appear and you can start expEYES from the menu
+\series bold
+Applications
+\begin_inset space ~
+\end_inset
+
+->
+\begin_inset space ~
+\end_inset
+
+Science
+\series default
+.
+ The expEYES live CD is made from GNU/Linux distribution Ubuntu 10.10.
+\end_layout
+
+\begin_layout Subsubsection*
+Installing on Debian or Ubuntu GNU/Linux distributions
+\end_layout
+
+\begin_layout Standard
+Install python-imaging-tk from the repository of the distribution you are
+ running.
+ Download
+\series bold
+expeyes.deb
+\series default
+ from
+\series bold
+http://expeyes.in
+\series default
+ and install it.
+ Also install python-scipy and grace (a 2D plotting program) for full functional
+ity.
+
+\end_layout
+
+\begin_layout Subsubsection*
+For other GNU/Linux distributions
+\end_layout
+
+\begin_layout Standard
+Download
+\series bold
+expeyes.tgz
+\series default
+ from
+\series bold
+http://expeyes.in
+\series default
+ and follow the instructions in the README file.
+ It is important to give read/write permissions for all users on the USB
+ port where expEYES is connected.
+\end_layout
+
+\begin_layout Subsubsection*
+On MSWindows
+\end_layout
+
+\begin_layout Standard
+Even though expEYES is Free Software and it developed using Free and Open
+ software, it runs on non-free platforms also.
+ To install it on MS windows, you need the following files (given on the
+ CD)
+\end_layout
+
+\begin_layout Enumerate
+CDM20814_Setup.exe
+\end_layout
+
+\begin_layout Enumerate
+python-2.6.6.msi
+\end_layout
+
+\begin_layout Enumerate
+pyserial-2.5.win32.exe
+\end_layout
+
+\begin_layout Enumerate
+PIL-1.1.7.win32-py2.6.exe
+\end_layout
+
+\begin_layout Enumerate
+numpy-1.6.0b2-win32-superpack-python2.6.exe
+\end_layout
+
+\begin_layout Enumerate
+scipy-0.9.0-win32-superpack-python2.6.exe
+\end_layout
+
+\begin_layout Enumerate
+expeyes.zip
+\end_layout
+
+\begin_layout Standard
+Unzip the file
+\series bold
+expeyes.zip
+\series default
+, and double click on
+\series bold
+explore.py
+\series default
+ inside the newly created directory named EYES.
+
+\end_layout
+
+\begin_layout Standard
+If you have expEYES liveCD, browse inside the directory named WINEYES.
+ All the files mentioned above are inside that directory.
+ Double click on them in the order mentioned above to install them.
+ The XmGrace plotting utility is not available under MSwindows.
+ Fourier transform output will be saved to disk in text format.
+\end_layout
+
+\begin_layout Section
+The main GUI program
+\end_layout
+
+\begin_layout Standard
+Start Applications->Science->expEYES from the menu.
+ It will show a graphics window as shown in figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Explorer screenshot"
+
+\end_inset
+
+, and is briefly explained below.
+\end_layout
+
+\begin_layout Itemize
+Clicking on the boxes showing the terminal numbers will display help messages.
+\end_layout
+
+\begin_layout Itemize
+Right Clicking on the left panel produces a pop-up menu of applications.
+\end_layout
+
+\begin_layout Itemize
+The status of Digital Inputs decides the colour of the display area against
+ them.
+ Pale green indicates High and Gray indicates Low.
+ When the input voltage swings from 0 to 5 volts, this field blinks.
+
+\end_layout
+
+\begin_layout Itemize
+The display field against T15 may blink if an AC signal is given there.
+\end_layout
+
+\begin_layout Itemize
+The Frequency display field of SEN may blink if the input is varying between
+ 0 and 5 volts.
+ This will happen if the connected resistance is varying.
+\end_layout
+
+\begin_layout Itemize
+The Buttons marked 'F' may be used for measuring the frequency, while the
+ display fields are blinking.
+\end_layout
+
+\begin_layout Itemize
+The values of output signals can be set by entering them in the nearby text
+ box.
+ Voltage, current, frequency and duty-cycle are set like this.
+ Press <Enter> to set the entered value.
+ If successful, the display will show a decimal point.
+\end_layout
+
+\begin_layout Itemize
+SQR2 require an external resistor to function, we use a 22k
+\begin_inset Formula $\Omega$
+\end_inset
+
+ variable resistor.
+ The actual frequency is displayed just below the text field, where you
+ set the frequency.
+\end_layout
+
+\begin_layout Itemize
+State of Digital Outputs, OD0 and OD1, can be changed by using the Check
+ Buttons.
+\end_layout
+
+\begin_layout Itemize
+The voltages at the input terminals 23,24,25 and 26 are displayed continuously
+ against them.
+\end_layout
+
+\begin_layout Standard
+The GUI is made using a photograph of the device.
+ Control and monitor widgets are placed on the photograph at appropriate
+ places.
+ Right click on the panel to get a pop-up menu of application programs for
+ various experiments.
+\end_layout
+
+\begin_layout Subsubsection*
+The Plot Window
+\end_layout
+
+\begin_layout Standard
+The plot window on the right side works like a low frequency oscilloscope.
+ The maximum sampling rate is 100
+\begin_inset space ~
+\end_inset
+
+kHz only.
+ You can digitise sinewaves upto 20
+\begin_inset space ~
+\end_inset
+
+kHz while using only one channel and upto 10
+\begin_inset space ~
+\end_inset
+
+kHz when both are enabled.
+ The following controls are available.
+\end_layout
+
+\begin_layout Itemize
+Horizontal scale Slider (ms/Sec).
+ Set this to the minimum value and increase to view more number of cycles
+ on the screen.
+\end_layout
+
+\begin_layout Itemize
+Channel selection Checkboxes for A0 and A1.
+\end_layout
+
+\begin_layout Itemize
+LIZ checkbox to make a Lissajous figure using A0 and A1 inputs.
+\end_layout
+
+\begin_layout Itemize
+FIT checkbox to enable calculating amplitude, frequency and phase by fitting
+ the data using the equation
+\begin_inset Formula $V=V_{0}\sin\left(\omega t+\theta\right)+C$
+\end_inset
+
+ .
+ The RMS value of the voltage and frequency are displayed.
+ When both the channels are selected, the phase difference is diplayed.
+\end_layout
+
+\begin_layout Itemize
+SAVE button to save the data to
+\shape italic
+explore.dat
+\shape default
+ as two column text.
+\end_layout
+
+\begin_layout Itemize
+FT to do a Fourier Transform power spectrum of the data from enabled channels.
+ If Xmgrace and pygrace are installed, a window is opened.
+ Power spectrum is saved to
+\shape italic
+exploreFFT.dat
+\shape default
+ in text form.
+
+\end_layout
+
+\begin_layout Section
+Basic measurements using expEYES
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/explorer.png
+	lyxscale 50
+	width 11cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Screen shot of Explore program.
+ Arrows indicate the signal direction.
+ Text fields are used for setting values.
+ Buttons are provided for frequency measurements.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Explorer screenshot"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+Before proceeding with the experiments, let us do some simple exercises
+ to become familiar with expEYES.
+ Boot your computer from the LiveCD, connect expEYES to the USB port and
+ start the expEYES program from the menu 'Applications->Science'.
+\end_layout
+
+\begin_layout Subsection
+Generate & measure voltages
+\end_layout
+
+\begin_layout Itemize
+Connect BPV to A0
+\end_layout
+
+\begin_layout Itemize
+Set BPV to some voltage and observe the reading at A0
+\end_layout
+
+\begin_layout Itemize
+Try A1 instead of A0
+\end_layout
+
+\begin_layout Itemize
+Repeat the same by connecting UPV to A2
+\end_layout
+
+\begin_layout Subsection
+Observe voltage waveforms
+\end_layout
+
+\begin_layout Itemize
+Connect SQR1 to A0
+\end_layout
+
+\begin_layout Itemize
+Set SQR1 to 100 Hz
+\end_layout
+
+\begin_layout Itemize
+Adjust the horizontal scale (ms/Div) to view 4 or 5 cycles of the square
+ wave
+\end_layout
+
+\begin_layout Itemize
+Repeat with other frequency values
+\end_layout
+
+\begin_layout Itemize
+Connect SINE to A1 and watch both traces simultaneously
+\end_layout
+
+\begin_layout Itemize
+Explore the FIT, XM and FT options.
+\end_layout
+
+\begin_layout Subsection
+Measure frequency
+\end_layout
+
+\begin_layout Itemize
+Connect SQR1 to ID0
+\end_layout
+
+\begin_layout Itemize
+Set SQR1 to 1000
+\end_layout
+
+\begin_layout Itemize
+Click on the 'F' button of ID0
+\end_layout
+
+\begin_layout Itemize
+Connect SINE to T15 and measure the frequency.
+\begin_inset Foot
+status collapsed
+
+\begin_layout Plain Layout
+T15 will not measure the frequency of SQR1 or SQR2 outputs, because they
+ do not swing below zero.
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection
+Measure duty cycle
+\end_layout
+
+\begin_layout Itemize
+Connect PULSE to ID0
+\end_layout
+
+\begin_layout Itemize
+Also to A0, if you want to watch the waveform.
+\end_layout
+
+\begin_layout Itemize
+Set PULSE to any value from 0 to 100
+\end_layout
+
+\begin_layout Itemize
+Click on the '%' button of ID0, to measure the duty cycle.
+\end_layout
+
+\begin_layout Subsection
+Setting voltage levels
+\end_layout
+
+\begin_layout Itemize
+Connect OD0 to ID0
+\end_layout
+
+\begin_layout Itemize
+Click on the Check button and watch ID0 display color.
+\end_layout
+
+\begin_layout Section
+Experiments
+\end_layout
+
+\begin_layout Standard
+A science experiment generally involves control and measurement of various
+ physical parameters like temperature, pressure, voltage, current etc.
+ The basic expEYES hardware can generate different kinds of electrical signals
+ and measure electrical signals.
+ For measuring anything other than voltage, we need to convert it using
+ appropriate sensor elements.
+ For example a temperature sensor will give a voltage indicating the temperature.
+ Since experiments in electricity and magnetism does not require any sensor
+ elements, we have more number of experiments based on electricity and magnetism.
+\end_layout
+
+\begin_layout Standard
+A GUI program is provided for every experiment given in this manual.
+ However, it is possible to do the same by writing few lines of code written
+ in Python language.
+ All the communication to expEYES is done using a Python library called
+
+\shape italic
+eyes.py
+\shape default
+.
+ Python libraries are used for data analysis.
+ If you are interested in developing new experiments based on expEYES, it
+ would be a good idea to learn Python programming language.
+ Almost every experiment can be extended in several ways and some hints
+ are given in this direction.
+
+\end_layout
+
+\begin_layout Standard
+The following chapters describe experiments from different topics like electrici
+ty, magnetism, electronics, sound, heat, etc.
+ Since the expEYES kit is meant for self learning, we have included some
+ very trivial experiments in the beginning.
+\end_layout
+
+\begin_layout Chapter
+Electricity
+\end_layout
+
+\begin_layout Standard
+We start with the trivial task of measuring the voltage of a battery.
+ Current and resistance are introduced next, followed by resistances changing
+ with temperature and light.
+ The concept of Alternating Current is introduced by plotting the voltage
+ as a function of time.
+ The behavior of circuit elements like capacitors and inductors in AC and
+ DC circuits are explored, by measuring parameters like amplitude, frequency
+ and phase.
+ The transient response of a resistor and capacitor in series is used for
+ measuring the capacitance.
+ Inductance also is measured in the same manner.
+ The effect of ferromagnetic materials inside an inductor in examined.
+\end_layout
+
+\begin_layout Standard
+The Fourier analysis of square wave is done to study the harmonics.
+ Integration and differentiation of a square wave using RC circuits also
+ is explored.
+
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Measuring voltage
+\begin_inset CommandInset label
+LatexCommand label
+name "sec:Measuring-Voltage-*"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Learn to measure voltage using expEYES and get some idea about the concept
+ of Electrical Ground.
+\end_layout
+
+\begin_layout Subsection*
+Equipment
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename schematics/cell-volatge.png
+	height 2cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/drycell-voltage.png
+	height 2cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Measuring the voltage of a battery
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Measuring-drycells"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+1.5 Volts dry cells
+\end_layout
+
+\begin_layout Itemize
+Battery holder with two connecting wires.
+
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Itemize
+Connect Negative terminal of the battery to Ground.
+\end_layout
+
+\begin_layout Itemize
+Positive terminal of the cell to A0.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+Voltage will be displayed on the left side of A0, as shown in figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Measuring-drycells"
+
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+We are measuring the potential difference between two points.
+ One of them can be treated as at zero or Ground potential.
+ The voltage measuring points of expEYES measure the voltage with respect
+ to the terminals marked GND.
+ We have connected the negative terminal of the cell to Ground.
+ The positive terminal is at +3 volts with respect to the negative terminal.
+\end_layout
+
+\begin_layout Standard
+Repeat the experiment by connecting the positive terminal of the cell to
+ GND and the negative to A0.
+ The voltage will be shown as negative.
+
+\shape italic
+Will it show correct voltage if Ground is not connected ?
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Voltage, current & resistance
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Learn about Current, Resistance and Ohm's law.
+ Plot I-V curve of a resistor.
+\end_layout
+
+\begin_layout Subsection*
+Theory
+\end_layout
+
+\begin_layout Standard
+The voltage across a conductor is directly proportional to current flowing
+ through it.
+ The constant of proportionality is called Resistance.
+ This is known as Ohm's Law, expressed mathematically as
+\begin_inset Formula \[
+V\varpropto I\,\,\,;\,\,\,\, V=IR\,\,\,\, or\,\,\, R=\frac{V}{I}\]
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Equipment
+\end_layout
+
+\begin_layout Standard
+\align left
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename schematics/res-measure.png
+	width 3cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/resistor_iv.png
+	lyxscale 50
+	width 4cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+IV-characteristic of resistor
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:I-V of -resistor"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+A
+\begin_inset Formula $1\, k\Omega$
+\end_inset
+
+ resistor
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Itemize
+Connect resistor from CS to Ground.
+\end_layout
+
+\begin_layout Itemize
+Set the current to 0.5
+\begin_inset space ~
+\end_inset
+
+mA and note down the voltage at CS.
+\end_layout
+
+\begin_layout Itemize
+Change the current in .5
+\begin_inset space ~
+\end_inset
+
+mA steps.
+ (V should not exceed 2
+\begin_inset space ~
+\end_inset
+
+V at CS)
+\end_layout
+
+\begin_layout Itemize
+Right click on the Panel.
+ Choose
+\shape italic
+
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{Resistor IV}
+\end_layout
+
+\end_inset
+
+
+\shape default
+ from the pop-up menu.
+\end_layout
+
+\begin_layout Itemize
+Draw the graph using
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+buttonlabel{START}
+\end_layout
+
+\end_inset
+
+ button.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+\begin_inset Tabular
+<lyxtabular version="3" rows="4" columns="2">
+<features>
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+I
+\begin_inset space ~
+\end_inset
+
+(mA)
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+V
+\begin_inset space ~
+\end_inset
+
+(volt)
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+0.5
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+.508
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1.0
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1.011
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1.5
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1.5.10
+\end_layout
+
+\end_inset
+</cell>
+</row>
+</lyxtabular>
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+The current source accuracy is only 1%, due to the tolerance value of the
+ resistor used.
+ For applications requiring higher accuracy, you can calibrate it using
+ a known resistance.
+ The I-V curve is shown in figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:I-V of -resistor"
+
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+Using expEYES, we can set the current from CS (from 0.05
+\begin_inset space ~
+\end_inset
+
+mA to 2
+\begin_inset space ~
+\end_inset
+
+mA).
+ The voltage at CS will depend on the resistance connected from the current
+ source to Ground.
+\begin_inset Foot
+status collapsed
+
+\begin_layout Plain Layout
+ The voltage across this particular current source should not exceed 2 volts.
+ Choose the load resistor and current values accordingly.
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+The graph is a straight line since the voltage is directly proportional
+ to current.
+ The graph will not be a straight line for non-linear elements, like a diode.
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Resistances in series
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Finding the effective resistance of a series combination of resistors.
+\end_layout
+
+\begin_layout Subsection*
+Theory
+\end_layout
+
+\begin_layout Standard
+For series combination of resistors, total resistance is given by
+\begin_inset Formula $R=R1+R2+\cdots$
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Equipment
+\end_layout
+
+\begin_layout Itemize
+\begin_inset Formula $560\,\Omega$
+\end_inset
+
+ and
+\begin_inset Formula $1\, k\Omega$
+\end_inset
+
+ resistors
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/res-series.png
+	height 0.8cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Connect both resistors in series from CS to Ground
+\end_layout
+
+\begin_layout Itemize
+Set the current to 1 mA and note down the voltage displayed at CS.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+\begin_inset Tabular
+<lyxtabular version="3" rows="4" columns="2">
+<features>
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+R
+\begin_inset space ~
+\end_inset
+
+(
+\begin_inset Formula $\Omega)$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+V
+\begin_inset space ~
+\end_inset
+
+(volts)
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+560
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+.558
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1000
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+0.998
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1000+560
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1.556
+\end_layout
+
+\end_inset
+</cell>
+</row>
+</lyxtabular>
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+Since the current is same, the total voltage drop gives the effective resistance.
+ It can be seen that it is the sum of the individual values, within the
+ measurement error.
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+Very high resistances (
+\begin_inset Formula $>10^{9}\Omega$
+\end_inset
+
+) are often implemented using series combinations.
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Resistances in parallel
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Find the effective resistance of parallel combination of resistors.
+\end_layout
+
+\begin_layout Subsection*
+Theory
+\end_layout
+
+\begin_layout Standard
+For parallel combination, effective resistance is given by
+\begin_inset Formula \[
+\frac{1}{R}=\frac{1}{R1}+\frac{1}{R2}+\cdots\]
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Equipment
+\end_layout
+
+\begin_layout Itemize
+Two 1
+\begin_inset Formula $k\Omega$
+\end_inset
+
+ resistors
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/res-par.png
+	height 1cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Connect
+\begin_inset Formula $1\, k\Omega$
+\end_inset
+
+ resistor from CS to Ground.
+\end_layout
+
+\begin_layout Itemize
+Set the current to 1
+\begin_inset space ~
+\end_inset
+
+mA (0.001
+\begin_inset space ~
+\end_inset
+
+Ampere) and note down the voltage displayed at CS.
+\end_layout
+
+\begin_layout Itemize
+Repeat the same with two resistors connected in parallel.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+\begin_inset Tabular
+<lyxtabular version="3" rows="3" columns="2">
+<features>
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $R_{connected}(\Omega)$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $V_{measured}(V)$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1000
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1.008
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1000
+\begin_inset Formula $\parallel$
+\end_inset
+
+1000
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+0.503
+\end_layout
+
+\end_inset
+</cell>
+</row>
+</lyxtabular>
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+Since we know the current, we can calculate the resistance from the measured
+ voltage.
+ As per the measured voltage the resistance of the parallel combination
+ is
+\begin_inset Formula $\frac{0.503\, V}{0.001\, A}=503\,\Omega$
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+Why one wants to connect resistors in parallel ?
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Measure resistance by comparison
+\begin_inset CommandInset label
+LatexCommand label
+name "sec:Measure-resistance-by"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Learn to apply Ohm's law to find the value of an unknown resistance by comparing
+ it with a known one.
+\end_layout
+
+\begin_layout Subsection*
+Theory
+\end_layout
+
+\begin_layout Standard
+Voltage across a resistor is given by
+\begin_inset Formula $V=IR$
+\end_inset
+
+ .
+ If same amount of current is flowing through two different resistors, the
+ ratio of voltages will be the same as the ratio of resistances.
+\begin_inset Formula \[
+I=\frac{V1}{R1}=\frac{V2}{R2}\]
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Equipment
+\end_layout
+
+\begin_layout Itemize
+A 1
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset Formula $k\Omega$
+\end_inset
+
+ as the reference and another resistors.
+ (some value from 100
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset Formula $\Omega$
+\end_inset
+
+ to 10
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset Formula $k\Omega$
+\end_inset
+
+)
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/res-comp.png
+	height 1cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Connect the unknown resistor R from UPV to A2.
+\begin_inset Foot
+status collapsed
+
+\begin_layout Plain Layout
+We use A2 when the voltage is in the 0 to 5V range.
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Connect 1
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset Formula $k\Omega$
+\end_inset
+
+ (R2) from A2 to Ground.
+\end_layout
+
+\begin_layout Itemize
+Set UPV to 4 volts.
+\end_layout
+
+\begin_layout Itemize
+Measure voltage at A2.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+Voltage at A2 = 1.244, implies voltage across the unknown resistor is
+\begin_inset Formula $4-1.244=2.756$
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+Current
+\begin_inset Formula $I=\frac{1.244}{1000}=1.244mA$
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+Unknown resistor value =
+\begin_inset Formula $\frac{2.756}{1.244}=2.215\, k\Omega$
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+What is the limitation of this method ? How do we choose the reference resistor
+ ? suppose the unknown value is in Mega Ohms, what will be the voltage drop
+ across a
+\begin_inset Formula $1k\Omega$
+\end_inset
+
+ reference resistor ? Our voltage measurement is having a resolution of
+
+\begin_inset Formula $\frac{1}{4095}$
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Standard
+We will use this method later to measure the resistance of solutions.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Voltage of a lemon cell
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Make a voltage source.
+ Learn about current capability.
+ Concept of internal resistance.
+\end_layout
+
+\begin_layout Subsection*
+Equipment
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename schematics/lemon-cell.png
+	lyxscale 120
+	width 4cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/lemoncellDC.png
+	lyxscale 50
+	width 4.6cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+(a)Copper and Zinc plates inserted into a Lemon.
+ (b) The DC voltage output from the cell.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:lemoncell"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Ripe Lemon (or some acid), thin Zinc and Copper plates.
+\end_layout
+
+\begin_layout Itemize
+A 1
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset Formula $k\Omega$
+\end_inset
+
+ resistor.
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Itemize
+Insert the zinc and copper plates into the lemon.
+\end_layout
+
+\begin_layout Itemize
+Connect one plate to ground and another to A0, using two wires.
+\end_layout
+
+\begin_layout Itemize
+Connect the resistor from A0 to ground.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+Voltage across the Copper and Zinc terminals will be nearly .9 volts.
+ Connecting the resistor reduces it to .33 volts.
+\end_layout
+
+\begin_layout Standard
+What is the internal resistance of the cell ?
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+When connected, current will start flowing through the resistor.
+ But why the voltage is going down ?
+\end_layout
+
+\begin_layout Standard
+It does not happen with a new dry-cell.
+ Why ?
+\end_layout
+
+\begin_layout Standard
+Current is the flow of charges and it has to complete the path.
+ That means, current has to flow through the cell also.
+ Depending on the internal resistance of the cell, part of the voltage gets
+ droped inside the cell itself.
+\end_layout
+
+\begin_layout Standard
+An ideal voltage source should have zero internal resistance.
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Voltage changing with time
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Introduce the concept of time dependent voltages, using a V(t) graph.
+\end_layout
+
+\begin_layout Subsection*
+Equipment
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename schematics/cell-volatge.png
+	width 4cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/dcvoltage.png
+	lyxscale 70
+	width 4cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Plotting DC voltage with Time
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Graph-of-DC"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+1.5 Volts dry cells
+\end_layout
+
+\begin_layout Itemize
+Battery holder with leads.
+
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Itemize
+Connect Negative of the dry cell to Ground.
+\end_layout
+
+\begin_layout Itemize
+Positive terminal of the cell to A0.
+\end_layout
+
+\begin_layout Itemize
+Observe the graph on the right side window.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Itemize
+A horizontal line appears on the Graph, Time on x-axis and voltage on y-axis.
+
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+Voltage is constant in time.
+ A cell is a source of Direct Current (DC).
+ Another type of current is called Alternating Current or AC, which changes
+ the magnitude and direction with time.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Alternating current (AC)
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Learn about AC, using graphs.
+ Get familiar with the sinusoidal voltage waveform.
+\end_layout
+
+\begin_layout Subsection*
+Equipment
+\end_layout
+
+\begin_layout Itemize
+A piece of wire.
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Itemize
+Connect SINE to A0.
+\end_layout
+
+\begin_layout Itemize
+Adjust the horizontal scale to view 4 to 5 cycles.
+\end_layout
+
+\begin_layout Itemize
+Enable the Checkbox 'FIT'.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename schematics/sine-a0.png
+	width 2cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/sinewave90hz.png
+	lyxscale 50
+	width 4cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+AC voltage waveform from SINE
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Sinewave"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+The waveform is shown in the figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Sinewave"
+
+\end_inset
+
+.
+ Enable the 'FIT' option to calculate the amplitude and frequency by fitting
+ the data with the equation
+\begin_inset Formula $V=V_{0}\sin(2\pi ft+\theta)$
+\end_inset
+
+ , where
+\begin_inset Formula $V_{0}$
+\end_inset
+
+ is the amplitude and
+\begin_inset Formula $f$
+\end_inset
+
+
+\begin_inset space ~
+\end_inset
+
+is the frequency.
+
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+The voltage is changing with time.
+ It goes to both negative and positive.
+ One full cycle takes around 12 milli seconds, ie.
+ around 90 cycles per second or 90 Hertz.
+ This voltage waveform is generated by using electronic circuits.
+\begin_inset Foot
+status collapsed
+
+\begin_layout Plain Layout
+The frequency of the SIN output is around 90 Hz.
+ It's variation is due to the 20% tolerance of the capacitors deciding the
+ frequency.
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+The AC mains supply coming to our houses has a frequency of 50 Hz.
+\end_layout
+
+\begin_layout Standard
+What is the significance of
+\begin_inset Formula $\theta$
+\end_inset
+
+ in the equation above ?
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+AC Powerline pickup
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Learn about the AC mains supply.
+ Explore the phenomenon of propagation of AC through free space.
+\end_layout
+
+\begin_layout Subsection*
+Equipment
+\end_layout
+
+\begin_layout Itemize
+A long piece of wire.
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Itemize
+Connect one end of the wire to A0.
+
+\end_layout
+
+\begin_layout Itemize
+Take the other end near the power line (never touch the line) and change
+ the orientation of the wire until you get a good signal on the screen.
+\end_layout
+
+\begin_layout Itemize
+Adjust the horizontal scale to 10 milliseconds per division.
+\end_layout
+
+\begin_layout Itemize
+Enable the Checkbox 'FIT'.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename schematics/pickup.png
+	width 4cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/sinewave50hz.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Power line pickup
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Power-line-pickup"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+The observed waveform is shown in figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Power-line-pickup"
+
+\end_inset
+
+.
+ The frequency calculated by fitting the data is 49.65 Hz
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+Without making any connection, how we are getting the AC voltage from the
+ mains supply ? Do this experiment with a laptop computer staying far away
+ from mains supply lines.
+\end_layout
+
+\begin_layout Standard
+Is it similar to the radiation from a cell phone ?
+\end_layout
+
+\begin_layout Standard
+Why the frequency is differing from 50 Hz ?
+\end_layout
+
+\begin_layout Standard
+We are watching the voltage picked up by the wire, it acts as an antenna
+ and receives the 50 Hz radiation from the power line.
+ Touching the floating end of the wire increases the pickup, you are becoming
+ part of the antenna.
+ The frequency
+\begin_inset Formula $f$
+\end_inset
+
+ is calculated by fitting the collected data with the equation
+\begin_inset Formula $V=V_{0}\sin(2\pi ft+\theta)$
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Standard
+Try measuring during the daytime and at midnight to compare the measured
+ frequecies.
+ It depends on the total load on the grid.
+ If the power distribution system is really good, the frequency will remain
+ constant.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+DC & AC components of a time dependent voltage
+\begin_inset CommandInset label
+LatexCommand label
+name "sec:DC-&-AC"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Learn about the AC and DC components of a time dependent voltage.
+ Separating AC and DC using a capacitor.
+\end_layout
+
+\begin_layout Subsection*
+Equipment
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename schematics/sqr-a0.png
+	width 2cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/sqrwave2.png
+	lyxscale 50
+	width 3.3cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename schematics/ac-dc.png
+	width 2.5cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/sqrwave_dcblocked.png
+	lyxscale 50
+	width 3.3cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Voltage swinging between 0 and 5 volts (a) Direct connection (b) With a
+ DC blocking capacitor
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Square-wave"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+1
+\begin_inset space ~
+\end_inset
+
+µF capacitor, 10
+\begin_inset space ~
+\end_inset
+
+k
+\begin_inset Formula $\Omega$
+\end_inset
+
+ resistor
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Itemize
+Connect SQR1 to A0 using the wire.
+\end_layout
+
+\begin_layout Itemize
+Enter
+\shape italic
+500
+\shape default
+ in the Textbox of SQR1 and press Enter key.
+\end_layout
+
+\begin_layout Itemize
+Adjust the horizontal scale to see several cycles.
+\end_layout
+
+\begin_layout Itemize
+Insert a 1
+\begin_inset space ~
+\end_inset
+
+µF between SQR1 and A0
+\end_layout
+
+\begin_layout Itemize
+Connect 10
+\begin_inset space ~
+\end_inset
+
+k
+\begin_inset Formula $\Omega$
+\end_inset
+
+ from A0 to ground.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+The observed waveforms with and without the series capacitor are shown in
+ figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Square-wave"
+
+\end_inset
+
+.
+ The voltage is swinging between 0 and 5 volts.
+ After passing through the capacitor the voltage swings from -2.5 volts to
+ +2.5 volts.
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+What will you get if subtract a 2.5 from the y-cordinate of every point of
+ the first graph.
+ That is what the capacitor did.
+ It did not allow the DC part to pass through.
+\end_layout
+
+\begin_layout Standard
+This original voltage can be considered as a 5V AC superimposed on a 2.5V
+ DC.
+\end_layout
+
+\begin_layout Standard
+You may need to connect a 10
+\begin_inset Formula $k\Omega$
+\end_inset
+
+ resistor from A0 to Ground to see a waveform swinging between -2.5 to +2.5
+ volts.
+
+\end_layout
+
+\begin_layout Standard
+Why the resistor is required?
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Resistance of human body
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Get some idea about the resistance of the human skin and how it varies.
+\end_layout
+
+\begin_layout Subsection*
+Equipment
+\end_layout
+
+\begin_layout Itemize
+Two pieces of wire.
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Itemize
+Set SQR1 to 500
+\end_layout
+
+\begin_layout Itemize
+make a connection from SQR1 to A0, through your body
+\end_layout
+
+\begin_layout Itemize
+Adjust the horizontal scale to see several cycles.
+\end_layout
+
+\begin_layout Itemize
+Repeat the same by using SINE instead of SQR1.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/conduct-hand.jpg
+	lyxscale 40
+	width 4.1cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/sqrwave_hand.png
+	lyxscale 50
+	width 4cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Voltage after passing through the hand.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Square-wave-hand"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+The observed waveform is shown in figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Square-wave-hand"
+
+\end_inset
+
+.
+ The wave is not clean and the amplitude is reduced from 5 volts.
+
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+Using the method of comparison, try to calculate the resistance of the portion
+ of the hand between the two wires, you were holding.
+ The reference resistance is 10
+\begin_inset Formula $M\Omega$
+\end_inset
+
+, internally connected from A0 to ground.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Temperature dependent resistors
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Show the dependence of resistance on temperature.
+ Basic concept of a temperature sensor.
+\end_layout
+
+\begin_layout Subsection*
+Equipment
+\end_layout
+
+\begin_layout Itemize
+Thermistor (NTC)
+\begin_inset Foot
+status collapsed
+
+\begin_layout Plain Layout
+Negative Temperature Coefficient
+\end_layout
+
+\end_inset
+
+.
+ Resistance
+\begin_inset Formula $1k\Omega$
+\end_inset
+
+ at 25 degree Celsius.
+\end_layout
+
+\begin_layout Itemize
+Cold water
+\end_layout
+
+\begin_layout Itemize
+A candle or some other heat source.
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/ntc.png
+	height 0.8cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Connect the Thermistor (NTC) from CS to ground
+\end_layout
+
+\begin_layout Itemize
+Set CS to 1.0 mA
+\end_layout
+
+\begin_layout Itemize
+Measure the voltage across the thermistor at different temperatures
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+\begin_inset Tabular
+<lyxtabular version="3" rows="3" columns="3">
+<features>
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Setup
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+V=IR
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $R=\frac{V}{I}$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Place in cold water
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1.2
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1200
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Room Temperature
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+0.935
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+935
+\end_layout
+
+\end_inset
+</cell>
+</row>
+</lyxtabular>
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+Why materials show electrical resistance ?
+\end_layout
+
+\begin_layout Standard
+Why it depends on temperature ?
+\end_layout
+
+\begin_layout Standard
+For metals, R increases with T.
+ But for insulators and semiconductors it decreases.
+ Why ?
+\end_layout
+
+\begin_layout Standard
+What is the meaning of temperature at molecular level ?
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Light dependent resistors
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Learn about LDR.
+ Measure intensity of light and its variation with distance from the source.
+\end_layout
+
+\begin_layout Subsection*
+Equipment
+\end_layout
+
+\begin_layout Itemize
+A Light Dependent Resistor, LDR
+\end_layout
+
+\begin_layout Itemize
+10k
+\begin_inset Formula $\Omega$
+\end_inset
+
+ resistor
+\end_layout
+
+\begin_layout Itemize
+A torch bulb without any reflector.
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/ldr.png
+	height 0.8cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Connect LDR between UPV and A2
+\end_layout
+
+\begin_layout Itemize
+set UPV to 4 volts.
+\end_layout
+
+\begin_layout Itemize
+10
+\begin_inset space ~
+\end_inset
+
+k
+\begin_inset Formula $\Omega$
+\end_inset
+
+ resistor from A2 to ground
+\end_layout
+
+\begin_layout Itemize
+Measure the voltage at A2, with no light on LDR.
+\end_layout
+
+\begin_layout Itemize
+Measure it by keeping the torch bulb at a distance
+\begin_inset Foot
+status collapsed
+
+\begin_layout Plain Layout
+Need to be done in a dark room
+\end_layout
+
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Itemize
+Change the distance and note down the voltage at A2.
+\end_layout
+
+\begin_layout Itemize
+Calculate the resistance by camparison as described in section
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "sec:Measure-resistance-by"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+The resistance vary from 1
+\begin_inset space ~
+\end_inset
+
+k
+\begin_inset Formula $\Omega$
+\end_inset
+
+ to around 100
+\begin_inset space ~
+\end_inset
+
+k
+\begin_inset Formula $\Omega$
+\end_inset
+
+ depending on the intensity of light falling on it.
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+The voltage is proportional to the resistance.
+ The resistance decreases with intensity of light.
+ If you use a point source of light, the resistance should increase as the
+ square of the distance.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Electricity through liquids, DC & AC
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Measure the resistance of liquids.
+ Using both DC and AC voltages.
+\end_layout
+
+\begin_layout Subsection*
+Equipment
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename schematics/water.png
+	width 3.9cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/DCthrough_water.png
+	lyxscale 50
+	width 3.6cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/ACthrough_water.png
+	lyxscale 50
+	width 3.6cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+(a)Experimrntal setup.
+ (b)Total DC voltage and voltage across the 1k
+\begin_inset Formula $\Omega$
+\end_inset
+
+ resistor.
+ (c) Total AC voltage and voltage across 1k
+\begin_inset Formula $\Omega$
+\end_inset
+
+ resistor.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Current through water"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+A 100 ml beaker
+\end_layout
+
+\begin_layout Itemize
+Common salt
+\end_layout
+
+\begin_layout Itemize
+10k resistor
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Itemize
+Take some tap water in the beaker
+\end_layout
+
+\begin_layout Itemize
+Connect a wire to BPV and place other end in water
+\end_layout
+
+\begin_layout Itemize
+Another wire from A0 to water
+\end_layout
+
+\begin_layout Itemize
+Connect 10k resistor from A0 to ground.
+\end_layout
+
+\begin_layout Itemize
+Set 2.8 volts on BPV and watch the value of A0
+\begin_inset Foot
+status collapsed
+
+\begin_layout Plain Layout
+If the voltage is too low use a higher resistance than 10k, otherwise use
+ a lower one.
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Try changing BPV from +2.8V to -2.8V and watch the horizontal trace.
+\end_layout
+
+\begin_layout Itemize
+Repeat the experiment using AC from SINE instead of DC from BPV
+\end_layout
+
+\begin_layout Itemize
+Calculate the resistance as explained in section
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "sec:Measure-resistance-by"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+\begin_inset Tabular
+<lyxtabular version="3" rows="3" columns="6">
+<features>
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $V_{total}$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $V_{10k\Omega}$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $V_{liq}$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $I=\frac{V_{10k\Omega}}{1000}$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $R_{liq}=\frac{V_{liq}}{I}$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+AC
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+2.6
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+2.3
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+0.3
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+.23 mA
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1.3 k
+\begin_inset Formula $\Omega$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+DC
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+2.6
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1.3
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1.3
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+.13 mA
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+10 k
+\begin_inset Formula $\Omega$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+</lyxtabular>
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+Observed values are shown in the table
+\begin_inset Foot
+status collapsed
+
+\begin_layout Plain Layout
+The values you may get could be very different depending on the ion concentratio
+n and presence of impurities in the water used.
+\end_layout
+
+\end_inset
+
+.
+ The DC and AC resistances seems to be very different.
+ However, when you change the polarity of BPV, the voltage across the resistor
+ stays around the AC value for a while and then goes down.
+ This indicates that the resistance of the liquid increases with time, may
+ be due to some bubble formation.
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+Why the behavior is different for AC and DC ?
+\end_layout
+
+\begin_layout Standard
+What are the charge carriers responsible for the flow of electricity through
+ solutions ?
+\end_layout
+
+\begin_layout Standard
+Is there any chemical reaction taking place ?
+\end_layout
+
+\begin_layout Standard
+Try adding some common salt and repeat the measurements.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Transient Response of RC circuits
+\begin_inset CommandInset label
+LatexCommand label
+name "sec:Capacitor-charging-&"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+In section
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "sec:DC-&-AC"
+
+\end_inset
+
+, we have seen that a capacitor blocks DC but allows AC to pass through.
+ In this experiment, we will explore the nature of current and voltage when
+ a voltage step is applied.
+ By measuring the voltage across the capacitor as a function of time, we
+ can calculate the value of the capacitance.
+\end_layout
+
+\begin_layout Subsection*
+Theory
+\end_layout
+
+\begin_layout Standard
+Voltage across a capacitor while charging through a resistor
+\begin_inset Formula \[
+V(t)=V_{0}\left(1-e^{-\frac{t}{RC}}\right)\]
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+\align left
+Voltage across a capacitor while discharging through a resistor
+\begin_inset Formula \[
+V(t)=V_{0}e^{-\frac{t}{RC}}\]
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Equipment
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename schematics/rc-tran.png
+	width 3.2cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/CR-transient-screen.png
+	lyxscale 50
+	width 3.8cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/capacitor_linear.png
+	lyxscale 50
+	width 3.8cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Transient response of RC circuit.
+ The third one is the charging of a capacitor through a constant current
+ source.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Capacitor-screenshot"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+A
+\begin_inset Formula $1\mu F$
+\end_inset
+
+ capacitor and a
+\begin_inset Formula $1k\Omega$
+\end_inset
+
+ resistor.
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Itemize
+Connect the capacitor from A0 to Ground.
+\end_layout
+
+\begin_layout Itemize
+Connect the resistor from A0 to OD1.
+\end_layout
+
+\begin_layout Itemize
+Right click on the Panel and select
+\shape italic
+
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{RC Circuit}
+\end_layout
+
+\end_inset
+
+
+\shape default
+ from the pop-up menu
+\end_layout
+
+\begin_layout Itemize
+Click on
+\shape italic
+\emph on
+
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+buttonlabel{
+\shape italic
+\emph on
+\lang english
+0->5V STEP
+\shape default
+\emph default
+}
+\end_layout
+
+\end_inset
+
+
+\shape default
+\emph default
+ and
+\shape italic
+\emph on
+
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+buttonlabel{
+\shape italic
+\emph on
+\lang english
+5->0V
+\shape default
+\emph default
+STEP}
+\end_layout
+
+\end_inset
+
+
+\shape default
+\emph default
+ Buttons to plot the graphs
+\end_layout
+
+\begin_layout Itemize
+Adjust the horizontal scale, if required, and repeat.
+\end_layout
+
+\begin_layout Itemize
+FIT the curve to extract the time constant RC.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+Applying a 0 to 5V step makes the voltage across the capacitor to rise exponenti
+ally as shown in the figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Capacitor-screenshot"
+
+\end_inset
+
+.
+ By fitting the graph we can extract the RC time constant and find the values
+ of capacitance from it.
+
+\end_layout
+
+\begin_layout Standard
+This experiment can be extended to masure die-electric constant of materials
+ by making capacitors using them.
+ To get the graph shown in
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Capacitor-screenshot"
+
+\end_inset
+
+(c), connect R from CS to A0, C from OD1 to A0, set CS to 1mA and click
+ on
+\shape italic
+5->0V.
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+Why the graph is Exponential ?
+\end_layout
+
+\begin_layout Standard
+A capacitor is made of two parallel metal plates separated by a thin layer
+ of dielectric material.
+ We have connected one plate (call it plate A) to ground and another plate
+ (call it B) to OD1 via a resistor.
+ Connection to A0 is for monitoring the voltage.
+ Initially both plates are at zero volts.
+ By clicking on
+\shape italic
+0->5V
+\shape default
+ ,we take OD1 to 5 volts.
+ A current will start flowing through the resistor to plate B, due to the
+ potential difference created.
+ This current (flow of charge) will result in an accumulation a charge on
+ plate B.
+ The voltage at B will be given by
+\begin_inset Formula $V=Q/C$
+\end_inset
+
+, where Q is called the capacitance.
+ As more and more charge arrives, voltage at B also will increase.
+ But, as per Ohm's law the current through the resistor is decided by the
+ voltage difference across it.
+ That mean the current will gradually decrease and reach zero when the voltage
+ at plate B becomes 5 volts.
+ The time for this is decided by the product RC, and is given by
+\end_layout
+
+\begin_layout Standard
+\begin_inset Formula \[
+V(t)=V_{0}\left(1-e^{-\frac{t}{RC}}\right)\]
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+The product RC is called the time constant of the circuit.
+
+\shape italic
+
+\begin_inset Foot
+status collapsed
+
+\begin_layout Plain Layout
+
+\shape italic
+http://hyperphysics.phy-astr.gsu.edu/hbase/electric/capchg.html
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Transient Response of RL circuits
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Explore the nature of current and voltage when a voltage step is applied
+ to resistor and inductor in series.
+ By measuring the voltage across the inductor as a function of time, we
+ can calculate its value.
+\end_layout
+
+\begin_layout Subsection*
+Theory
+\end_layout
+
+\begin_layout Standard
+In an RL circuit
+\begin_inset Formula $V=IR+L\frac{dI}{dt}$
+\end_inset
+
+ .
+ Solving this will give
+\begin_inset Formula $I=I_{0}e^{-\frac{R}{L}t}$
+\end_inset
+
+ .
+ The coefficient of the exponential term R/L can be extracted from the graph
+ of voltage across the inductor.
+ The resistance of the inductor coil should be included in the calculations,
+
+\begin_inset Formula $R=R_{ext}+R_{L}$
+\end_inset
+
+.
+
+\begin_inset Foot
+status collapsed
+
+\begin_layout Plain Layout
+http://nptel.iitm.ac.in/courses/Webcourse-contents/IIT-KANPUR/esc102/node14.html
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Equipment
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename schematics/rl-tran.png
+	width 3.2cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/LR-downstep.png
+	lyxscale 50
+	width 4cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+LR circuit.
+ Voltage across the inductor after a 5 to 0V step.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:LR-circuit.-Voltage"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+\begin_inset Formula $1k\Omega$
+\end_inset
+
+ resistor.
+\end_layout
+
+\begin_layout Itemize
+3000 turns coil, 1000 turns coil & ferrite core
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Itemize
+Connect the 3000 Turns coil from A0 to Ground.
+\end_layout
+
+\begin_layout Itemize
+Connect the resistor from A0 to OD1.
+\end_layout
+
+\begin_layout Itemize
+Connect a wire from OD1 to A2 (for accurate measurement of total voltage)
+\end_layout
+
+\begin_layout Itemize
+Right click on the Panel and select
+\shape italic
+
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{RL Circuit}
+\end_layout
+
+\end_inset
+
+
+\shape default
+ from the pop-up menu
+\end_layout
+
+\begin_layout Itemize
+Click on
+\shape italic
+\emph on
+\lang french
+
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+buttonlabel{0->5V STEP}
+\end_layout
+
+\end_inset
+
+
+\shape default
+\emph default
+\lang english
+ and
+\shape italic
+\emph on
+\lang french
+
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+buttonlabel{5->0V STEP}
+\end_layout
+
+\end_inset
+
+
+\shape default
+\emph default
+\lang english
+ Buttons to plot the graphs
+\end_layout
+
+\begin_layout Itemize
+Adjust the horizontal scale, if required, and repeat.
+\end_layout
+
+\begin_layout Itemize
+Calculate the value of inductance
+\end_layout
+
+\begin_layout Itemize
+Repeat by inserting core.
+ Repeat with other coils.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+The Voltage across the inductor just after a 5V to 0V step is shown in figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:LR-circuit.-Voltage"
+
+\end_inset
+
+.
+ The exponential curve is fitted to extract the L/R value.
+ The resistance of the coil is measured by comparing it with the known external
+ resistance under DC conditions.
+ The inductances measured are tabulated below.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Tabular
+<lyxtabular version="3" rows="4" columns="3">
+<features>
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Coil
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Inductance (mH)
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Resistance (
+\begin_inset Formula $\Omega$
+\end_inset
+
+)
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+3000 Turns coil
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+126
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+565
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1000 T
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+4.7
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+42
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1000T /ferrite
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+25
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+42
+\end_layout
+
+\end_inset
+</cell>
+</row>
+</lyxtabular>
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+The applied voltages are above zero, but the graph went to negative voltages.
+ Why ?
+\end_layout
+
+\begin_layout Standard
+What was the current before doing the 5->0 step ? What is back EMF ?
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Transient response of LCR circuits
+\begin_inset CommandInset label
+LatexCommand label
+name "sec:Step-Response-ofRLC"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+The response of RL and RC circuits were done in the previous sections.
+ Now we will explore the oscillatory nature by connecting L and C in series.
+\end_layout
+
+\begin_layout Subsection*
+Theory
+\end_layout
+
+\begin_layout Standard
+Resonant frequency of series LC circuit =
+\begin_inset Formula $\omega_{0}=\frac{1}{2\pi\sqrt{LC}}$
+\end_inset
+
+, Damping factor =
+\begin_inset Formula $\frac{R}{2}\sqrt{\frac{C}{L}}$
+\end_inset
+
+, equal to 1 for critical damping.
+\begin_inset Foot
+status collapsed
+
+\begin_layout Plain Layout
+http://en.wikiversity.org/wiki/RLC_circuit
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Equipment
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename schematics/lc-tran.png
+	width 3cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/LCRdischarge.png
+	lyxscale 50
+	width 3.5cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/LCRdischarge_ferrite.png
+	lyxscale 50
+	width 3.5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Transient response of LCR circuit.
+ (a)
+\begin_inset space ~
+\end_inset
+
+Setup (b)
+\begin_inset space ~
+\end_inset
+
+Result with air core inductor.
+ (c)
+\begin_inset space ~
+\end_inset
+
+With ferrite core
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:LCR-response-setup"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+0.1uF capacitor
+\end_layout
+
+\begin_layout Itemize
+3000 & 1000 Turns coil
+\end_layout
+
+\begin_layout Itemize
+Ferrite core
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Itemize
+Connect Coil from OD1 to A0
+\end_layout
+
+\begin_layout Itemize
+Capacitor from A0 to ground
+\end_layout
+
+\begin_layout Itemize
+Right-click on Panel and select
+\shape italic
+
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{RLC Discharge}
+\end_layout
+
+\end_inset
+
+
+\shape default
+ from the menu.
+\end_layout
+
+\begin_layout Itemize
+Click on Discharge.
+ Adjust x-axis and repeat if required.
+\end_layout
+
+\begin_layout Itemize
+FIT the graph to find the resonant frequency & Damping.
+\end_layout
+
+\begin_layout Itemize
+Repeat the experiment with ferrite core inserted
+\end_layout
+
+\begin_layout Itemize
+Repeat with a 1
+\begin_inset Formula $k\Omega$
+\end_inset
+
+ resistor in series.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename schematics/rlc-tran.png
+	width 3cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/LCRdischarge_1k.png
+	lyxscale 50
+	width 4cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+LCR with a 1k series resistor providing damping.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:LCR-response-screen"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+Measurements have been done using the 1000 Turns coil, with and without
+ ferrite core, and the 3000 Turn coil.
+ The results are tabulated below.
+ The capacitance and inductance were measured by an LCR meter.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Tabular
+<lyxtabular version="3" rows="4" columns="4">
+<features>
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+C
+\begin_inset Formula $\mu F$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+L mH
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $f=\frac{1}{2\pi}\sqrt{\frac{1}{LC}}$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $f_{measured}(Hz)$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+.097
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+3.57
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+8552
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+8430
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+.097
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+23.2
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+3354
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+3400
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+.097
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+125
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1445
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1400
+\end_layout
+
+\end_inset
+</cell>
+</row>
+</lyxtabular>
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+The under damped waveform require a resistance,
+\begin_inset Formula $R=\sqrt{\frac{4L}{C}}=\sqrt{\frac{4\times23.2e-3}{.097e-6}}=963$
+\end_inset
+
+ to make it critically damped.
+ Result with a 1k
+\begin_inset Formula $\Omega$
+\end_inset
+
+ series resistor is shown in figure .
+\end_layout
+
+\begin_layout Standard
+Why the amplitude went up after inserting the ferrite core ?
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Capacitor in AC circuits
+\begin_inset CommandInset label
+LatexCommand label
+name "sec:Capacitor-in-AC"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Explore the effect of a series capacitor in AC circuits, under steady state
+ conditions.
+\end_layout
+
+\begin_layout Subsection*
+Theory
+\end_layout
+
+\begin_layout Standard
+Impedance of a Capacitor
+\begin_inset Formula $X_{c}=\frac{1}{2\pi fC}$
+\end_inset
+
+ , where
+\begin_inset Formula $f$
+\end_inset
+
+ is the frequency in Hertz and
+\begin_inset Formula $C$
+\end_inset
+
+ is the capacitance in Farads.
+ Remember the working of a capacitor explained in section
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "sec:Capacitor-charging-&"
+
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename schematics/rc-steadystate.png
+	width 3cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/CRphaseshift-1uf560.png
+	lyxscale 50
+	width 4cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Screenshot showing the total voltage across the RC circuit and the voltage
+ across the capacitor.
+ C=1 uF and R = 560
+\begin_inset Formula $\Omega$
+\end_inset
+
+.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:CRcircuit_voltages"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Equipment
+\end_layout
+
+\begin_layout Itemize
+1 uF capacitor
+\end_layout
+
+\begin_layout Itemize
+560
+\begin_inset Formula $\Omega$
+\end_inset
+
+ resistor
+\end_layout
+
+\begin_layout Itemize
+A voltmeter, if you want to measure the voltage across the elements not
+ directly connected to ground.
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Itemize
+Connect a wire from SINE to A0
+\end_layout
+
+\begin_layout Itemize
+Connect the capacitor from A0 to A1
+\end_layout
+
+\begin_layout Itemize
+Connect resistor from A1 to Ground.
+\end_layout
+
+\begin_layout Itemize
+Enable A1 also.
+ Adjust the horizontal scale to view more than 4 cycles.
+\end_layout
+
+\begin_layout Itemize
+Enable 'FIT' to show RMS voltage, Frequency etc.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+The input waveform and the voltage across the resistor
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:CRcircuit_voltages"
+
+\end_inset
+
+.
+ The voltage across the capacitor is calculated using Ohm's law, you can
+ also measure it with a volt meter.
+
+\end_layout
+
+\begin_layout Standard
+The sum of the two voltages looks like more than the total applied voltage.
+\end_layout
+
+\begin_layout Standard
+Are we violating Ohm's Law ?
+\end_layout
+
+\begin_layout Standard
+What mistake we are making while adding the voltages ?
+\end_layout
+
+\begin_layout Standard
+\begin_inset Tabular
+<lyxtabular version="3" rows="2" columns="5">
+<features>
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $V_{Tot}$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $V_{Res}$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $I=\frac{V_{res}}{R}$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $V_{cap}=IX_{c}$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $V_{R}+V_{c}$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+2.6
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+.8
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+0.0014
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+2.4
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+3.2
+\end_layout
+
+\end_inset
+</cell>
+</row>
+</lyxtabular>
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+\begin_inset Formula $X_{c}=\frac{1}{2\pi fC}=\frac{1}{2\pi\times93.6\times1e-6}=1712$
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+\begin_inset Formula $V_{c}=IX_{c}=1712\times0.0014$
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+We need to account for the phase shift introduced by the capacitor
+\begin_inset Foot
+status collapsed
+
+\begin_layout Plain Layout
+http://www.play-hookey.com/ac_theory/ac_rc_series.html
+\end_layout
+
+\end_inset
+
+.
+ Refer to the next section.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+AC phase shift in RC circuits
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Measure the AC voltage phase shift across the capacitor in an RC circuit.
+
+\end_layout
+
+\begin_layout Subsection*
+Theory
+\end_layout
+
+\begin_layout Standard
+In an RC circuit, the phase shift across the inductor is given by the equation
+\begin_inset Formula $\triangle\Phi=\arctan\left(\frac{X_{c}}{R}\right)$
+\end_inset
+
+, where R is the resistance and
+\begin_inset Formula $X_{c}$
+\end_inset
+
+ is the capacitive reactance.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename schematics/rc-steadystate.png
+	width 3cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/CRphaseshift-1uf560.png
+	lyxscale 50
+	width 4cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Screenshot showing phase shifts for R=560
+\begin_inset Formula $\Omega$
+\end_inset
+
+ and C = 1
+\begin_inset Formula $\mu F$
+\end_inset
+
+.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:RC phaseshift"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Equipment
+\end_layout
+
+\begin_layout Itemize
+1 uF capacitor
+\end_layout
+
+\begin_layout Itemize
+560
+\begin_inset Formula $\Omega$
+\end_inset
+
+ resistor (Try other values also)
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Itemize
+Connect a wire from SINE to A0
+\end_layout
+
+\begin_layout Itemize
+Connect the capacitor between A0 and A1
+\end_layout
+
+\begin_layout Itemize
+Connect Resistor from A1 to Ground.
+\end_layout
+
+\begin_layout Itemize
+Enable A1 also.
+ Adjust the horizontal scale to view more than 4 cycles.
+\end_layout
+
+\begin_layout Itemize
+Enable 'FIT' to show RMS voltage, Frequency and Phase difference.
+
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+The measured phase shifts are tabulated below.
+ The connections and the voltage waveforms are shown in figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:RC phaseshift"
+
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Tabular
+<lyxtabular version="3" rows="2" columns="5">
+<features>
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+C(uF)
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+R(k
+\begin_inset Formula $\Omega$
+\end_inset
+
+)
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Freq (Hz)
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $\bigtriangleup\Phi$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $\arctan\left(\frac{X_{c}}{X_{R}}\right)$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+560
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+93
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+71.3
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+71.9
+\end_layout
+
+\end_inset
+</cell>
+</row>
+</lyxtabular>
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+where
+\begin_inset Formula $X_{c}=\frac{1}{2\pi fC}$
+\end_inset
+
+ is the impedance of the capacitor, Frequency is 93Hz.
+
+\begin_inset Formula $X_{R}$
+\end_inset
+
+ is the resistance.
+\end_layout
+
+\begin_layout Standard
+Current through a capacitor leads the voltage across it by
+\begin_inset Formula $90^{0}$
+\end_inset
+
+.
+ Why ?
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+Why the phase of the voltage advances ? Assume we have connected the AC
+ to plate A and at an instance
+\begin_inset Formula $t=t_{0}$
+\end_inset
+
+ the input voltage is at zero volts.
+ We can see that the slope of the curve is maximum there, ie.
+ the rate of change of voltage is maximum.
+ The capacitor gets charged very fast at this point.
+ The plate B also gathers the same charge as plate A , that is how a capacitor
+ works.
+ The current to plate B is flowing from ground through the resistor and
+ we are measuring the IR drop across the resistor, it will be already positive
+ when plate A is at zero.
+ This results in the phase advance.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+AC phase shift in RL circuits
+\begin_inset CommandInset label
+LatexCommand label
+name "sec:Inductor-in-AC"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Measure the AC voltage phase shift in an RL circuit.
+\end_layout
+
+\begin_layout Subsection*
+Theory
+\end_layout
+
+\begin_layout Standard
+Impedance of an Inductor
+\begin_inset Formula $X_{L}=2\pi fL$
+\end_inset
+
+ , where
+\begin_inset Formula $f$
+\end_inset
+
+ is the frequency in Hertz and L is the inductance in Henry.
+ In an LC circuit, the phase lag across the inductor is given by the equation
+\begin_inset Formula $\triangle\Phi=\arctan\left(\frac{X_{L}}{R}\right)$
+\end_inset
+
+, where R is the resistance in Ohms.
+\end_layout
+
+\begin_layout Subsection*
+Equipment
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename schematics/rl-steadystate.png
+	width 3cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/LRphaseshift-125mH-125ohm.png
+	lyxscale 50
+	width 4cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Sine wave to LR circuit.
+ Phase shift across inductor
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:LR  phaseshift-screen"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Inductor, use the solenoid coils supplied.
+\end_layout
+
+\begin_layout Itemize
+560
+\begin_inset Formula $\Omega$
+\end_inset
+
+ and 1
+\begin_inset Formula $k\Omega$
+\end_inset
+
+ resistors
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Itemize
+Connect a wire from SINE to A0
+\end_layout
+
+\begin_layout Itemize
+Connect the Inductor between A0 and A1
+\end_layout
+
+\begin_layout Itemize
+Connect the 1k
+\begin_inset Formula $\Omega$
+\end_inset
+
+ resistor from A1 to Ground.
+\end_layout
+
+\begin_layout Itemize
+Enable A1 also.
+ Adjust the horizontal scale to view more than 4 cycles.
+\end_layout
+
+\begin_layout Itemize
+Enable 'FIT' to show RMS voltage, Frequency and Phase difference.
+
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+The measured phase shifts are shown below.
+ Waveforms for the 125 mH inductor is shown in figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:LR  phaseshift-screen"
+
+\end_inset
+
+.
+ The resistance of the inductor also should be included while calculating
+ the phase shift.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Tabular
+<lyxtabular version="3" rows="3" columns="4">
+<features>
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+L(mH)
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $R=R_{coil}+R_{ext}$
+\end_inset
+
+(
+\begin_inset Formula $\Omega$
+\end_inset
+
+)
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $\bigtriangleup\Phi=\arctan\left(\frac{X_{L}}{X_{R}}\right)$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $\bigtriangleup\Phi_{measured}$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+125
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+565 + 560
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+3.71
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+-3.8
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+25
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+42 + 560
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1.39
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+-1.4
+\end_layout
+
+\end_inset
+</cell>
+</row>
+</lyxtabular>
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+Current through an inductor lags by
+\begin_inset Formula $90^{0}$
+\end_inset
+
+
+\begin_inset Foot
+status collapsed
+
+\begin_layout Plain Layout
+http://www.play-hookey.com/ac_theory/ac_inductors.html
+\end_layout
+
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+If you do not know the value of an inductor, you can use this experiment
+ to determine it from the phase shift observed with a known resistor value.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Ferromagnetic material inside inductor
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Observe the effect of ferromagnetic materials inside a solenoid coil inductor.
+\end_layout
+
+\begin_layout Subsection*
+Theory
+\end_layout
+
+\begin_layout Standard
+Self Inductance of a solenoid is given by
+\begin_inset Formula $L=\frac{\mu N^{2}A}{l}$
+\end_inset
+
+ , where N is the number of turns, A is the cross sectional area,
+\begin_inset Formula $\mu$
+\end_inset
+
+ is the permeability of the surrounding media and
+\begin_inset Formula $l$
+\end_inset
+
+ is the length.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename schematics/rl-steadystate-ferrite.png
+	width 3cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/LRphaseshift_ferrite.png
+	lyxscale 50
+	width 4cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+LR circuit.
+ Effect of Ferrite core.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Ferrite_LR-screen"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Equipment
+\end_layout
+
+\begin_layout Itemize
+1000 turns coil
+\end_layout
+
+\begin_layout Itemize
+1
+\begin_inset Formula $k\Omega$
+\end_inset
+
+ resistor (You can use other values also)
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Itemize
+Connect as explained in
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "sec:Inductor-in-AC"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Insert an ion rod into the coil and observe the changes
+\end_layout
+
+\begin_layout Itemize
+Repeat with the 3000 turns coil.
+
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+The phase shift increased from 3.7 to 11.6 by inserting the ferrite core.
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+The phase shift went from 3.7 to 11.6 degrees, around 3 times increase in
+ the Inductance.
+ However, in this case it will be wrong to assume that the permeability
+ of the core is 3 Why ? (look at the geometry)
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+RC Integration & Differentiation
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Integrate and differentiate a squarewave using and RC circuit.
+
+\end_layout
+
+\begin_layout Subsection*
+Theory
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/RCinteg.png
+	width 4cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/RCdiff.png
+	width 4cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/triwave_diff.png
+	width 1.6cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+(a)RC Intergrator (b)RC Differentiator (c) Square wave, integrated and different
+iated.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:RC-Integ-diff"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+For the circuit shown in figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:RC-Integ-diff"
+
+\end_inset
+
+(a)
+\end_layout
+
+\begin_layout Standard
+\begin_inset Formula \[
+V_{out}=\frac{1}{RC}\int V_{in}dt\]
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+and for the one in figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:RC-Integ-diff"
+
+\end_inset
+
+(b)
+\begin_inset Formula \[
+V_{out}=RC\frac{dV_{in}}{dt}\]
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+Figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:RC-Integ-diff"
+
+\end_inset
+
+(c) shows a square wave, with it's integrated and differentiated outputs.
+ It is easy to understand as the triagular wave differentiated twice.
+ The constant positive slope of triangular wave gives the positive horizontal
+ part of the square wave.
+ Differentiating the square wave gives spikes at the rising and falling
+ edges.
+ These are ideal cases.
+
+\end_layout
+
+\begin_layout Subsection*
+Equipment
+\end_layout
+
+\begin_layout Itemize
+1uF capacitor
+\end_layout
+
+\begin_layout Itemize
+1
+\begin_inset Formula $k\Omega$
+\end_inset
+
+ resistor
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/rc-integ.png
+	height 0.8cm
+
+\end_inset
+
+
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset Graphics
+	filename schematics/rc-diff.png
+	height 0.8cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Connect a wire from SQR1 to A0
+\end_layout
+
+\begin_layout Itemize
+Connect R from SQR1 to A1
+\end_layout
+
+\begin_layout Itemize
+Connect C from A1 to Ground.
+\end_layout
+
+\begin_layout Itemize
+Enable A1.
+ Adjust the horizontal scale to view more than 4 cycles.
+\end_layout
+
+\begin_layout Itemize
+Set SQR1 to 20Hz, 100Hz and 1kHz and view the waveforms.
+\end_layout
+
+\begin_layout Itemize
+Interchange the positions of R and C to watch differentiation.
+\end_layout
+
+\begin_layout Itemize
+Click on the Button
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+buttonlabel{
+\lang english
+FT
+}
+\end_layout
+
+\end_inset
+
+ to view a Fourier Transform.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/squarewave_interg20hz.png
+	lyxscale 50
+	width 3.6cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/squarewave_interg1khz.png
+	lyxscale 50
+	width 3.6cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/squarewave_diff20hz.png
+	lyxscale 50
+	width 3.6cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+(a) Integration at 20Hz (b)Integration at 1kHz (c) Differentiation at 20Hz.
+ For all cases R=1k
+\begin_inset Formula $\Omega$
+\end_inset
+
+ and C=1uF
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Effect-of-RCon squarewave"
+
+\end_inset
+
+.
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+Integration observed at 20Hz and 1kHz are shown in figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Effect-of-RCon squarewave"
+
+\end_inset
+
+, using an RC of 1 milliseconds.
+ At 20Hz, the squarewave passes through the cpacitor with a small distortion.
+
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+When the time period becomes comparable with the RC value, the waveform
+ becomes triangular.
+ The differentiation can only be shown at lower frequency since capturing
+ the narrow spike require a fast oscilloscope.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Fourier Analysis
+\begin_inset CommandInset label
+LatexCommand label
+name "sec:Fourier-Transform-**"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Learn about Fourier Transform of a signal.
+ Time and Frequency domain representations.
+\end_layout
+
+\begin_layout Subsection*
+Equipment
+\end_layout
+
+\begin_layout Itemize
+A piece of wire.
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Itemize
+Connect SINE to A0
+\end_layout
+
+\begin_layout Itemize
+Adjust the horizontal scale to view several cycles.
+\end_layout
+
+\begin_layout Itemize
+Click on FT to do a Fourier tarnsform
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Itemize
+The sinewave and it's Fourier transform are shown in figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Sine-wave-and"
+
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/sinewave.png
+	lyxscale 50
+	width 6cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/sine90hz-fft.png
+	lyxscale 15
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+(a)Sine wave.
+ (b) Frequency spectrum by Fourier transform.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Sine-wave-and"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+The original display was showing the amplitude as a function of time, and
+ therefore is called the time domain representation of the signal.
+ In the Fourier transform plot, frequency is on the x-axis and the y-axis
+ shows the relative strength of the frequency components of the signal.
+ This is called the frequency domain representation of the signal.
+\begin_inset Foot
+status collapsed
+
+\begin_layout Plain Layout
+http://en.wikipedia.org/wiki/Fourier_transform
+\end_layout
+
+\end_inset
+
+In this case there is only one dominant peak.
+ The small peak at three times the fundamental frequency is a measure of
+ distortion of our sine wave.
+
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Harmonics of a square wave
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Explore the harmonic content of a square wave using Fourier Transform .
+\end_layout
+
+\begin_layout Subsection*
+Equipment
+\end_layout
+
+\begin_layout Itemize
+A piece of wire.
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Itemize
+Connect terminal 6 (SQR1) to 26 (A0)
+\end_layout
+
+\begin_layout Itemize
+Enter 100 on the text field near SQR1 and press Enter key.
+\end_layout
+
+\begin_layout Itemize
+Enable the Yellow Checkbox A0 on the right side window.
+
+\end_layout
+
+\begin_layout Itemize
+Adjust the horizontal scale to 10 milliseconds per division.
+\end_layout
+
+\begin_layout Itemize
+Press A0-FT
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/sqr1000Hz.png
+	lyxscale 50
+	width 5.5cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/sqr1000Hz-fft.png
+	lyxscale 15
+	width 5.5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Squarewave and it's Fourier transform
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Squarewave-and-it's"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+A new window opens displaying a trace as shown in figure .
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+Fourier series decomposes any periodic function or periodic signal into
+ the sum of a set of simple oscillating functions, namely sines and cosines.
+ A square wave function can be represented as
+\begin_inset Formula $f(\theta)=sin(\theta)+\frac{sin(3\theta)}{3}+\frac{sin(5\theta)}{5}+\cdots$
+\end_inset
+
+.
+ In the Fourier transform of a square wave of frequency
+\begin_inset Formula $f$
+\end_inset
+
+ , there will be a
+\begin_inset Formula $3f$
+\end_inset
+
+ component (having an amplitude of one third of
+\begin_inset Formula $f$
+\end_inset
+
+ ),
+\begin_inset Formula $5f$
+\end_inset
+
+ component (amplitude one fifth) etc.
+ as shown in the figure
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Chapter
+Electricity & Magnetism
+\end_layout
+
+\begin_layout Standard
+Electromagnetic induction is demonstrated using a moving magnet and a coil
+ powered by an AC voltage.
+ Working of transformer is demonstrated using two coils.
+ A simple AC generator, capable of generating multi-phase output, is made
+ using a rotating magnet.
+\end_layout
+
+\begin_layout Section
+Electromagnetic induction
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Explore the voltage induced across a coil by a changing magnetic field.
+\end_layout
+
+\begin_layout Subsection*
+Equipment
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/EMinduction-photo.jpg
+	lyxscale 50
+	width 5.8cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/em_induction.png
+	lyxscale 50
+	width 4.9cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Voltage induced on a coil by a moving magnet.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:EM Induction"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Small cylindrical magnets.
+
+\end_layout
+
+\begin_layout Itemize
+3000 Tunrns coil and a paper tube to guide the magnet.
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Itemize
+Connect the coil from A0 to Ground.
+\end_layout
+
+\begin_layout Itemize
+From Panel right-click and open
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{EM Induction}
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Click on
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+buttonlabel{
+\lang english
+Start Scanning
+}
+\end_layout
+
+\end_inset
+
+.
+ A horizontal trace should appear
+\end_layout
+
+\begin_layout Itemize
+Drop the magnet through the coil until a trace is caught.
+\end_layout
+
+\begin_layout Itemize
+Repeat the process by changing the parameters like magnet strength, speed
+ etc.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+The result is shown in figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:EM Induction"
+
+\end_inset
+
+.
+ The amplitude inceases with the speed of the magnet.
+ From the graph, we can find the time taken by the magnet to travel through
+ the coil.
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+The second peak is bigger than the first peak.
+ Why ? Where will be the magnet at the zero crossing of the induced voltage?
+\end_layout
+
+\begin_layout Standard
+Drop the magnet from different heights and plot the voltage vs square root
+ of the height.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+A simple AC generator
+\begin_inset CommandInset label
+LatexCommand label
+name "sec:A-simple-AC"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Measure the frequency and amplitude of the voltage induced across a solenoid
+ coil by a rotating magnet.
+ Gain some understanding about the AC generators by looking at the output
+ and the drawbacks of the setup.
+\end_layout
+
+\begin_layout Subsection*
+Equipment
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename schematics/ac-gen.png
+	width 3cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/ACgen-output-30pct.png
+	lyxscale 50
+	width 4cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Voltage output of the AC generator with different speeds of rotation of
+ the magnet
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:AC generator output"
+
+\end_inset
+
+.
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+A magnet , D = 10 mm, L = 10 mm
+\end_layout
+
+\begin_layout Itemize
+DC motor
+\end_layout
+
+\begin_layout Itemize
+3000 Turns coil
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Itemize
+Connect the DC motor to PULSE (T10), mount the magnet horizontally.
+\end_layout
+
+\begin_layout Itemize
+Connect the coil from A0 to ground
+\end_layout
+
+\begin_layout Itemize
+Hold the coil perpendicular to the axis of rotation of the motor, close
+ to the magnet.
+ Be careful not to touch it.
+\end_layout
+
+\begin_layout Itemize
+Set PULSE to 10 (% duty cycle)
+\end_layout
+
+\begin_layout Itemize
+Measure the frequency & amplitude by enabling FIT.
+\end_layout
+
+\begin_layout Itemize
+Repeat by changing PULSE to 20 , 30 and 40 (NOT beyond that)
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+The voltage output is shown in figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:AC generator output"
+
+\end_inset
+
+.
+ The speed of the motor is nearly proportional to the duty cycle (from 20%
+ to 40%)
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+Connect another coil to A1 and bring that also near the magnet to view a
+ two phase AC waveform.
+ You can change the relative phase by changing the angular positions of
+ the coils.
+\end_layout
+
+\begin_layout Standard
+Bring another shorted coil near the magnet to observe the change in frequency.
+ The shorted coil is drawing energy from the generator and the speed get
+ reduced.
+
+\end_layout
+
+\begin_layout Standard
+The magnetic field in this generator is very weak.
+ The resistance of the coil is very high and trying to draw any current
+ from it will drop most of the voltage across the coil itself.
+
+\begin_inset Foot
+status collapsed
+
+\begin_layout Plain Layout
+It would be safer to power the motor from a separate variable voltage power
+ supply (<3 volts).
+ The PULSE output is NOT meant for this job, we are almost short circuiting
+ an output pin of the micro-controller.
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Mutual induction, transformer
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Demonstrate mutual induction between two coils, working of the transformer.
+\end_layout
+
+\begin_layout Subsection*
+Equipment
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename schematics/transformer.png
+	width 3cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/mutual_induction.png
+	lyxscale 50
+	width 3.4cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/mutual_induction_Ecore_1kload.png
+	lyxscale 50
+	width 3.4cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Mutual Induction between two coils.(a) Connections (b) using a ferrite rod
+ (c) using two E shaped cores
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Mutual-Induction-between"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Two coils, each having 3000 turns.
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Itemize
+Connect the first coil from SINE to ground.
+\end_layout
+
+\begin_layout Itemize
+A wire from SINE to A0, for monitoring the input wave form.
+\end_layout
+
+\begin_layout Itemize
+Second coil from A1 to Ground.
+\end_layout
+
+\begin_layout Itemize
+Align coils and insert the ferrite rod through them.
+\end_layout
+
+\begin_layout Itemize
+Enable A1 and FIT
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+The applied waveform and the induced waveform are shown in figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Mutual-Induction-between"
+
+\end_inset
+
+.
+ A changing magnetic filed is causing the induced voltage.
+ In the previous two experiments, the changing magnetic field is created
+ by the movement of permanent magnets.
+ In the present case the changing magnetic field is created by a time varying
+ current.
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+The output should have been in phase with the input as per the theory.
+\begin_inset Foot
+status collapsed
+
+\begin_layout Plain Layout
+http://sound.westhost.com/xfmr.htm
+\end_layout
+
+\end_inset
+
+However, this is not happening if the coupling is not enough.
+
+\end_layout
+
+\begin_layout Standard
+With more ferrite material, the phase shift is as expected from the theory.
+\end_layout
+
+\begin_layout Standard
+Try doing this experiment using a squarewave of 100 Hz, 1000 Hz etc.
+ Connect a 1k
+\begin_inset Formula $\Omega$
+\end_inset
+
+ resistor from secondary to ground to reduce the ringing.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Electromagnet, solenoid coil
+\begin_inset CommandInset label
+LatexCommand label
+name "sec:Magnetic-Effect-of"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Demonstrate the magnetic effect of electric current, using a solenoid coil
+ and permanent magnet.
+\end_layout
+
+\begin_layout Subsection*
+Equipment
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/coil-magnetpendulum-photo.JPG
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/solenoid_field.jpg
+	lyxscale 40
+	width 6cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+(a) Current carrying solenoid repelling a permanent magnet.
+ (b)Magnetic field of a current carrying solenoid
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Solenoid Magnetic-field"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Solenoid coil.
+\end_layout
+
+\begin_layout Itemize
+Two Button shaped magnets
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Itemize
+Connect the solenoid from OD0 to Ground.
+\end_layout
+
+\begin_layout Itemize
+Make a pendulum using a paper strip and the magnets.
+\end_layout
+
+\begin_layout Itemize
+Hang the pendulum near the solenoid as shown in figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Solenoid Magnetic-field"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Take OD0 to HIGH and observe the force
+\end_layout
+
+\begin_layout Itemize
+Reverse the direction of the pendulum
+\end_layout
+
+\begin_layout Itemize
+Place the pendulum on the other side of the coil.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+The solenoid behaves just like a bar magnet.
+ Hang the pendulum magnet near the coil and change the direction of current
+ by interchanging the wires connected to OD0 and ground
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+The magnetic field of a solenoid coil is shown in figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Solenoid Magnetic-field"
+
+\end_inset
+
+.
+ The direction of field depends on the direction of the current.
+
+\end_layout
+
+\begin_layout Standard
+Find the direction of winding of the solenoid from the above observations.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Eddy current braking
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Demonstrate the effect of eddy currents by moving a conductor perpendicular
+ to a magnetic field.
+\end_layout
+
+\begin_layout Subsection*
+Equipment
+\end_layout
+
+\begin_layout Itemize
+DC motor
+\end_layout
+
+\begin_layout Itemize
+Annular disc of aluminium
+\end_layout
+
+\begin_layout Itemize
+magnet 10mm x 10mm
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Itemize
+Fix the disc on the motor using a cello-tape
+\end_layout
+
+\begin_layout Itemize
+Connect motor between PULSE and Ground
+\end_layout
+
+\begin_layout Itemize
+Set PULSE to 30%
+\end_layout
+
+\begin_layout Itemize
+Bring the magnet close to the disc surface
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+The speed of rotation reduces when magnet is brought near the surface of
+ the disc.
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+Eddy currents are created when a conductor experiences changes in the magnetic
+ field.
+ If either the conductor is moving through a steady magnetic field, or the
+ magnetic field is changing around a stationary conductor, eddy currents
+ will occur in the conductor.
+
+\end_layout
+
+\begin_layout Chapter
+Sound
+\end_layout
+
+\begin_layout Standard
+Sound is generated from electrical signals and frequency of sound is measured
+ by converting it back into electrical signal.
+ Reflection and interference of sound are explored.
+ Velocity of sound is measured by observing the phase shift of digitized
+ sound with distance.
+
+\end_layout
+
+\begin_layout Section
+Generating sound
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+To find some answer to questions like:
+\end_layout
+
+\begin_layout Itemize
+What is a description of sound?
+\end_layout
+
+\begin_layout Itemize
+What are the characteristics of sound waves?
+\end_layout
+
+\begin_layout Itemize
+How is sound created and detected?
+\end_layout
+
+\begin_layout Subsection*
+Equipment
+\end_layout
+
+\begin_layout Itemize
+Loudspeaker
+\end_layout
+
+\begin_layout Itemize
+Piezo electric disc
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Itemize
+Connect the 150
+\begin_inset Formula $\Omega$
+\end_inset
+
+ speaker from SQR1 to GND
+\end_layout
+
+\begin_layout Itemize
+Set SQR1 to 1000.
+
+\end_layout
+
+\begin_layout Itemize
+Listen to the sound.
+
+\end_layout
+
+\begin_layout Itemize
+Change the frequecy to note the difference in the sound generated.
+\end_layout
+
+\begin_layout Itemize
+Repeat the same using Piezo disc also
+\end_layout
+
+\begin_layout Itemize
+Right Click and open
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{Music}
+\end_layout
+
+\end_inset
+
+ from the menu
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Itemize
+The pitch of sound produced depends on the frequency.
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+How the loudspeaker is making sound? When the AC voltage is applied, the
+ diaphragm of the speaker moves back and forth.
+ In one forward movement, it pushes against the air in front of it and creates
+ a compressed or high pressure region.
+ Next it moves backward creating a low pressure region just behind the high
+ pressure region created earlier, and completed one cycle.
+ In the next forward movement, another high pressure region is created and
+ it pushes the high and low pressure regions created by the last cycle.
+ This process is repeated and the alternate high and low pressure regions
+ travels forward.
+ This is sound.
+\end_layout
+
+\begin_layout Standard
+Generating different frequencies creates music.
+ However the richness is provided by the right amount of harmonics for each
+ frequency, something that we cannot control in this setup.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Frequency of sound
+\begin_inset CommandInset label
+LatexCommand label
+name "sec:Sound Frequency"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Measure the frequency of sound by converting it in to an electrical signal.
+\end_layout
+
+\begin_layout Subsection*
+Equipment
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename schematics/sound-freq.png
+	width 3cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/sound3012hz.png
+	lyxscale 50
+	width 3.5cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/sound2000hz.png
+	lyxscale 50
+	width 3.5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Digitizing sound.(a)Connections (b) Frequency is 3012 Hz (c) 2000 Hz.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Digitized sound screen"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Microphone assembly
+\end_layout
+
+\begin_layout Itemize
+Piezo disc
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Itemize
+Connect the microphone between T15 & T16.
+ Bias resistor to UPV
+\end_layout
+
+\begin_layout Itemize
+Connect amplifier output (T13) to A0
+\end_layout
+
+\begin_layout Itemize
+Set 5 volts on UPV.
+\end_layout
+
+\begin_layout Itemize
+Connect Speaker from SQR1 to Ground.
+\end_layout
+
+\begin_layout Itemize
+Set SQR1 to 3000 Hz and fix the speaker facing it
+\end_layout
+
+\begin_layout Itemize
+Watch the waveform and adjust the timebase
+\end_layout
+
+\begin_layout Itemize
+Enable FIT to measure the frequency
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+\begin_inset Tabular
+<lyxtabular version="3" rows="3" columns="2">
+<features>
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+freq.
+ set
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+freq.
+ measured
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+3012.0
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+3011.94
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+2000
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+2000.46
+\end_layout
+
+\end_inset
+</cell>
+</row>
+</lyxtabular>
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+By fitting the digitized data, we are able to extract the frequency information.
+ However, the waveform looks cleaner near 3000 Hz.
+ This is because the resonant frequency of the speaker is near 3000 Hz.
+\end_layout
+
+\begin_layout Standard
+The output of 2000 Hz contains the 6000 Hz component.
+ Click on FT to view the Power specta and compare both.
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+Sound waves creates pressure variations in the medium through which it travels.
+ The microphone generates a voltage is proportional to the pressure.
+ Since this signal is very small, we amplify it 50 times before digitizing
+ it.
+ The voltage variations are in tune with the pressure variations.
+ You can consider the microphone as a pressure sensor, but working only
+ for time varying pressures.
+
+\end_layout
+
+\begin_layout Standard
+Repeating the experiment will give results that may look strange at first
+ sight.
+ Powering by 100 Hz will give you a frequency of around 3900 Hz, but with
+ a small amplitude.
+ Resonance is again the reason.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Velocity of sound
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Calculate the velocity of sound by measuring the air pressure variation
+ with distance.
+\end_layout
+
+\begin_layout Subsection*
+Equipment
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename schematics/sound-vel.png
+	width 4cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/sound_waves.png
+	lyxscale 80
+	width 6.2cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+(a)Experimental setup (b) Schematic of the propagation of sound waves, and
+ the variation of microphone output with pressure.
+
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Sound-waves"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Piezo disc
+\end_layout
+
+\begin_layout Itemize
+Microphone assembly
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Itemize
+Connect the Piezo from SQR1 to Ground
+\end_layout
+
+\begin_layout Itemize
+Connect the microphone assembly and set UPV to 5 volts.
+\end_layout
+
+\begin_layout Itemize
+Connect Amplifier output to A0 and SQR1 to A1
+\end_layout
+
+\begin_layout Itemize
+Right click and Start
+\shape italic
+
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{Velocity of Sound}
+\end_layout
+
+\end_inset
+
+
+\shape default
+from the menu
+\end_layout
+
+\begin_layout Itemize
+Keep the Piezo on the edge of a piece of soft cloth, facing the microphone
+\end_layout
+
+\begin_layout Itemize
+Adjust the distance to make the waveforms in phase
+\end_layout
+
+\begin_layout Itemize
+Slide it away to make the waveform out of phase, without moving the cloth.
+\end_layout
+
+\begin_layout Itemize
+Measure the distance from the edge of the cloth to the current position.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/sound_inphase.png
+	lyxscale 50
+	width 5.4cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/sound_outofphase.png
+	lyxscale 50
+	width 5.4cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Sound amplitude captured at half wave length apart.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Sound-amplitude-captured"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+The amplitude of sound captured at two points is shown in figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Sound-amplitude-captured"
+
+\end_inset
+
+.
+ The squarewave is the voltage driving the piezo electric disc.
+ To change the phase of the sinusoidal wave, the microphone output, by 180
+ degrees ( half wavelength) the microphone is moved by 4.3 cm.
+ The velocity of sound is given by
+\begin_inset Formula $v=f\lambda=4000*2*0.043=344$
+\end_inset
+
+ meter per second.
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+Sound travels as a series of compressions and rarefactions.
+ The lower part of figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Sound-waves"
+
+\end_inset
+
+ shows the High and Low pressure regions along the direction of travel of
+ the sound wave.
+ The pressure as a function of time at any stationary point on the path
+ is given by the microphone output, as shown in the upper part of figure
+
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Sound-waves"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+We can display the pressure variation at any point with respect to the variation
+ at the starting point.
+ The relative phase of the two waveforms changes when you move the microphone.
+ Moving by one wavelength changes the phase by 360 degrees.
+ We have moved half wavelength to makethe phase differ by 180 degrees.
+ The velocity of sound can be calculated by multiplying the frequency and
+ the measured wavelength.
+\end_layout
+
+\begin_layout Standard
+Why use the folded soft cloth cloth ? Why not do it on a hard surface ?
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Reflection of sound
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Study the nature of sound reflection from a hard surface
+\end_layout
+
+\begin_layout Subsection*
+Equipment
+\end_layout
+
+\begin_layout Itemize
+Microphone assembly
+\end_layout
+
+\begin_layout Itemize
+Piezo electric disc, powered by SQR1.
+\end_layout
+
+\begin_layout Itemize
+A 10cm x 10cm hard sheet, plastic or cardboard.
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Itemize
+Connect the Microphone as explained in section
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "sec:Sound Frequency"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Connect the Piezo from SQR1 to Ground.
+\end_layout
+
+\begin_layout Itemize
+Fix the mic and buzzer facing the same direction
+\end_layout
+
+\begin_layout Itemize
+Watch the amplitude of A0.
+\end_layout
+
+\begin_layout Itemize
+Keep a piece of paper in front and capture again.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+Sound is getting reflected from a hard surface.
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+Change the orientation of reflector and observe the changes.
+ How does it compare with the reflection of light from a mirror (or rather
+ from a white sheet of paper)
+\end_layout
+
+\begin_layout Standard
+Try the reflection from a soft surface like cloth or sponge.
+\end_layout
+
+\begin_layout Standard
+The effect of reflection is what forced us to use the cloth surface in the
+ previous experiment.
+ Try placing a hard surface parallel to the direction of sound to see the
+ effect of it on the phase difference.
+ The sound travelling directly interfere with the part reflected from the
+ hard surface results in phase change at the microphone.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Interference of sound
+\begin_inset CommandInset label
+LatexCommand label
+name "sec:Interference-of-sound"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Study the interference of sound from two individual sources
+\end_layout
+
+\begin_layout Subsection*
+Equipment
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename schematics/sound-beats.png
+	width 5cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/sound_beats.png
+	lyxscale 40
+	width 6cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Beats created using two nearby frequencies.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:SoundBeats"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Condenser microphone
+\end_layout
+
+\begin_layout Itemize
+Two Piezo electric discs, powered by SQR1 and PULSE.
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Itemize
+Make connections as shown in the figure.
+\end_layout
+
+\begin_layout Itemize
+Right Click and start
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{Interference of Sound}
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Set SQR1 to 4200 Hz and PULSE to 3800 Hz
+\end_layout
+
+\begin_layout Itemize
+Adjust distances to get clear beat pattern.
+\end_layout
+
+\begin_layout Itemize
+Repeat with other values of frequencies.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+The individual frequencies are 4201.7 Hz and 3816.8, differing by 384.9 Hz.
+ From figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:SoundBeats"
+
+\end_inset
+
+ it can be seen that one wave envelope is around 2.65 mS, ie.
+ a frequency of around 380 Hz.
+ Wavelength is the distance between two minimum pressure points.
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+The relative strength of the individual frequency components can be measured
+ by taking a Fourier transform of the output.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Analysing Music
+\begin_inset Foot
+status open
+
+\begin_layout Plain Layout
+Contributed by jithinbp at gmail.com
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Equipment
+\end_layout
+
+\begin_layout Itemize
+Guitar with someone who knows how to use it
+\end_layout
+
+\begin_layout Itemize
+Microphone assembly
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Itemize
+Connect the microphone between T15 & T16
+\end_layout
+
+\begin_layout Itemize
+Bias to UPV and set it to 5 volts
+\end_layout
+
+\begin_layout Itemize
+Play different notes and click on FT to take the Fourier transform
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+The results are shown in figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Fourier-analysis-of Music"
+
+\end_inset
+
+.
+ Base string E is used.
+ Ratio between notes is given by
+\end_layout
+
+\begin_layout Standard
+\align center
+\begin_inset Tabular
+<lyxtabular version="3" rows="2" columns="8">
+<features>
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Sa
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Re
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Ga
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Ma
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Pa
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Dha
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Ni
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Sa
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+9/8
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+5/4
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+4/3
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+3/2
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+5/3
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+15/8
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+2
+\end_layout
+
+\end_inset
+</cell>
+</row>
+</lyxtabular>
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/sariga.png
+	lyxscale 50
+	width 6cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Fourier analysis of musical notes.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Fourier-analysis-of Music"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Velocity, using ultrasound
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Measure the velocity of sound from the time of flight of ultrasound bursts.
+\end_layout
+
+\begin_layout Subsection*
+Equipment
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/40kHz-piezo-photo.jpg
+	lyxscale 50
+	width 4cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename schematics/ultra-sound.png
+	width 3cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+(a)Experimental setup (b) Connections
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Ultrasound"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+40 kHz piezo transmitter and receiver
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Itemize
+Connect the Transmitter Piezo from OD1 to Ground
+\end_layout
+
+\begin_layout Itemize
+Receiver from T15 to Ground.
+\end_layout
+
+\begin_layout Itemize
+Right click and open
+\shape italic
+
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{40 kHz Piezo TOF}
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Place the Transmitter and receiver facing each other at 5 cm
+\end_layout
+
+\begin_layout Itemize
+Measure Time of Travel
+\end_layout
+
+\begin_layout Itemize
+Repeat at 6 and 7 cm also
+\end_layout
+
+\begin_layout Itemize
+Calculate velocity of sound from the differences in distance and time
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+\begin_inset Tabular
+<lyxtabular version="3" rows="4" columns="2">
+<features>
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Distance
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Time(usec)
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+4
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+223
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+5
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+253
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+6
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+282
+\end_layout
+
+\end_inset
+</cell>
+</row>
+</lyxtabular>
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+In this experiment, we use a pair of 40 kHz piezo electric crystals to study
+ the propagation of sound in air.
+ We apply a 5 volts pulse, 13 microseconds wide, to the transmitter piezo
+ to make it undergo mechanical vibrations to generate a 40 kHz sound wave
+ burst.
+ The receiver piezo kept at a distance converts this sound waves back into
+ an electrical signal.
+ It is amplified and the time interval between the pulse and the arrival
+ of the waves at the receiver is measured.
+
+\end_layout
+
+\begin_layout Standard
+To eliminate systematic errors like the response time of the transmitter,
+ amplifier delays etc., we use the difference in time with change in distance.
+
+\begin_inset Formula $0.02/(0.000282-0.000223)=338m/sec$
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Forced Oscillations of Piezo-electric crystal
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Study the behavior of a Piezo-electric disc at various excitation frequencies.
+ This is just an exploration.
+\end_layout
+
+\begin_layout Subsection*
+Equipment
+\end_layout
+
+\begin_layout Itemize
+Piezo disc
+\end_layout
+
+\begin_layout Itemize
+Microphone assembly
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Itemize
+Connect the Piezo from SQR1 to Ground
+\end_layout
+
+\begin_layout Itemize
+Connect the microphone to T15, T16 and T31
+\end_layout
+
+\begin_layout Itemize
+Right click and open
+\shape italic
+
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{Interference of Sound}
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Place the Tansmitter and receiver facing each other
+\end_layout
+
+\begin_layout Itemize
+Set NS (number of samples) to 800
+\end_layout
+
+\begin_layout Itemize
+Tick SQR1, set it to 200
+\end_layout
+
+\begin_layout Itemize
+Tick START
+\end_layout
+
+\begin_layout Itemize
+Adjust distance and click on FFT
+\end_layout
+
+\begin_layout Itemize
+Change SQR1 to 500, Disable and Enable START
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+The resonant frequency of the Piezo crystal is around 3600 Hz, where it
+ gives maximum amplitude as shown in figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Piezo-Sound-output"
+
+\end_inset
+
+(a).
+ When the excitation frequency is 100 Hz, the piezo gets a kick every 5
+ milli seconds, as shown in figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Piezo-Sound-output"
+
+\end_inset
+
+(b), ie.
+ at rising and falling edges of the exciting squarewave.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status collapsed
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/piezo-3600hz.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/piezo-100hz.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Sound output from Piezo (a)Excitation frequency 3625 Hz (b) Excitation frequency
+ 100 Hz
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Piezo-Sound-output"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status collapsed
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/piezo-fft-100hz.png
+	lyxscale 70
+	width 5cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/piezo-fft-500hz.png
+	lyxscale 70
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Fourier power spectrum of the sound from Piezo disk.
+ (a)Excited by 100 Hz (b)Excited by 500 Hz.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Piezo-Fourier-spectrum"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+The Fourier power spectrum shows that the output is amplitude modulated.
+ The resonant frequency peak has sidebands at twice the driving frequency.
+ This behavior is seen only at lower excitation frequencies.
+\end_layout
+
+\begin_layout Standard
+It may be interesting to repeat this study using a variable frequency sine
+ wave instead of the square wave.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Chapter
+Electronics
+\end_layout
+
+\begin_layout Standard
+The non-linear elements like diodes and transistors are studied by drawing
+ their characteristic curves and making simple circuits to demonstrate their
+ functioning.
+ Photo-transistor is used for transparency measurements, optical signal
+ transmission and for timing the mechanical movements.
+ Amplitude and Frequency modulation are explored.
+\end_layout
+
+\begin_layout Section
+Half wave rectifier, PN junction
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Learn the working of a PN junction diode.
+ Making DC from a sinusoidal AC.
+ Filtering to reduce the AC component.
+\end_layout
+
+\begin_layout Subsection*
+Equipment
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename schematics/half-wave.png
+	width 3cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/diode-halfwave.png
+	lyxscale 50
+	width 4cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Diode as a half wave rectifier.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Diode-rectifier"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+1N4148 diode, 1 k
+\begin_inset Formula $\Omega$
+\end_inset
+
+ resistor
+\end_layout
+
+\begin_layout Itemize
+1 uF and 100 uF capacitors.
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Itemize
+Connect SINE to A0
+\end_layout
+
+\begin_layout Itemize
+Diode from A0 to A1
+\end_layout
+
+\begin_layout Itemize
+Load resistor from A1 to Ground
+\end_layout
+
+\begin_layout Itemize
+View the waveform on A0 and A1
+\end_layout
+
+\begin_layout Itemize
+Add different values of filter capacitors from A1 to ground
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/diode-halfwave-1uF.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/diode-halfwave-100uF.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Rectifier with Filter.
+ (a) 1 uF (b) 100 uF.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Rectifier-with-Filter"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+The negative half is removed by the diode as shown in figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Diode-rectifier"
+
+\end_inset
+
+.
+ Also notice that the voltage in the positive half is reduced by around
+ 0.7 volts, the knee voltage of a silicon diode.
+ A load resistor is required for the proper operation of the circuit, it
+ could be more than 1k
+\begin_inset Formula $\Omega$
+\end_inset
+
+ but do NOT use very low values since our AC source can drive only up to
+ 5 mA current.
+\end_layout
+
+\begin_layout Standard
+The effect of a capacitor is shown in figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Rectifier-with-Filter"
+
+\end_inset
+
+.
+ We can see that the capacitor charges up and then during the missing cycle
+ it maintains the voltage.
+ The remaining AC component is called the ripple in the DC.
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+Can we use very large capacitance to reduce the ripple ?
+\end_layout
+
+\begin_layout Standard
+During what part of the cycle current flows through the diode ?
+\end_layout
+
+\begin_layout Standard
+Amount of peak current is decided by what ?
+\end_layout
+
+\begin_layout Standard
+Do not get the impression that you can reduce ripple by increasing the capacitan
+ce.
+ During the rising part of the positive half cycle, the capacitive reactance
+ decides the current through the diode, and in practical circuits it should
+ not exceed diode limits.
+
+\end_layout
+
+\begin_layout Standard
+Practical circuits use full wave rectifier or bridge rectifier.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+180
+\begin_inset Formula $^{0}$
+\end_inset
+
+out of phase sine waves
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+To demonstrate the working of a fullwave rectifier using two diodes, we
+ need two AC waveforms differing by 180 degree in phase.
+ We do this by inverting the output of SINE using an inverting amplifier.
+ The gain is made near unity by feeding the amplifier input through a 10k
+\begin_inset Formula $\Omega$
+\end_inset
+
+ series resistor.
+\begin_inset Foot
+status collapsed
+
+\begin_layout Plain Layout
+We can also generate two out of phase waveforms by placing two coils on
+ the opposite side of the rotating magnet, explained in section
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "sec:A-simple-AC"
+
+\end_inset
+
+.
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Equipment
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status collapsed
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename schematics/sine-180deg.png
+	width 3cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/sine-two-180deg.png
+	lyxscale 50
+	width 4cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Inverting Amplifier making 180
+\begin_inset Formula $^{0}$
+\end_inset
+
+out of phase sine wave.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Inverting-Amplifier-making"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+10 k
+\begin_inset Formula $\Omega$
+\end_inset
+
+ resistor
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Itemize
+Connect as shown in the figure
+\end_layout
+
+\begin_layout Itemize
+View the waveforms on A0 and A1
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+The result is shown in the figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Inverting-Amplifier-making"
+
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+The amplitudes are not exactly equal.
+ The gain is given by
+\begin_inset Formula $G=\frac{10000}{10000+100}$
+\end_inset
+
+.
+
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Diodes, Fullwave rectifier
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Make a fullwave rectifier from two AC waveforms differing by 180 degree
+ in phase.
+
+\end_layout
+
+\begin_layout Subsection*
+Equipment
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename schematics/full-wave.png
+	width 4cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/diode-fullwave.png
+	lyxscale 50
+	width 5.7cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Full wave rectifier using two diodes.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Diode-fullwave-rectifier-1"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Two 1N4148 diodes
+\end_layout
+
+\begin_layout Itemize
+1 k
+\begin_inset Formula $\Omega$
+\end_inset
+
+ and 10 k
+\begin_inset Formula $\Omega$
+\end_inset
+
+ resistors
+\end_layout
+
+\begin_layout Itemize
+1 uF and 100 uF capacitor.
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Itemize
+Connect SINE to A0
+\end_layout
+
+\begin_layout Itemize
+Connect SINE to T17 through a 10k
+\begin_inset Formula $\Omega$
+\end_inset
+
+ resistor.
+\end_layout
+
+\begin_layout Itemize
+One Diode from A0 to A1
+\end_layout
+
+\begin_layout Itemize
+Another Diode from T18 to A1
+\end_layout
+
+\begin_layout Itemize
+1k
+\begin_inset Formula $\Omega$
+\end_inset
+
+ resistor from A1 to Ground
+\end_layout
+
+\begin_layout Itemize
+View the waveform on A0 and A1
+\end_layout
+
+\begin_layout Itemize
+Add Capacitor from A1 to ground
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+The result is shown in the figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Diode-fullwave-rectifier-1"
+
+\end_inset
+
+.
+ Adding capacitors to reduce the ripple is left as an exercise to the user.
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+This is only to demonstrate the working of a full wave rectifier.
+ You cannot draw only draw few milli amperes of current from this circuit.
+\end_layout
+
+\begin_layout Standard
+Why fullwave rectifier is superior to halfwave rectifier ?
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Diode I-V characteristic
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Draw the I-V Characteristic of diode.
+ Examine the Diode equation.
+\end_layout
+
+\begin_layout Subsection*
+Theory
+\end_layout
+
+\begin_layout Standard
+The IV characteristic of an ideal PN junction diode is given by equation
+\begin_inset Formula \[
+I=I_{0}\left(e^{\frac{qV}{kT}}-1\right)\]
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+\begin_inset Formula $I_{0}$
+\end_inset
+
+ ,Reverse saturation current
+\end_layout
+
+\begin_layout Itemize
+q , Charge of electron
+\end_layout
+
+\begin_layout Itemize
+k, Boltzmann constant
+\end_layout
+
+\begin_layout Itemize
+T, Absolute temperature
+\end_layout
+
+\begin_layout Standard
+For practical (non-ideal) diode, the form used is.
+\begin_inset Formula \[
+I=I_{0}\left(e^{\frac{qV}{nkT}}-1\right)\]
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+where
+\begin_inset Formula $n=1$
+\end_inset
+
+ for an ideal diode.
+ For practical diodes it varies from 1 to 2.
+\end_layout
+
+\begin_layout Subsection*
+Equipment
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename schematics/diode-iv.png
+	width 3cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/diode_4148.png
+	lyxscale 40
+	width 3.7cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/diode_zener_iv.png
+	lyxscale 40
+	width 3.7cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Diode IV characteristic curves for 1N4148 and a 3.3 volts zener diode.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Diode-IV-characteristic"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Diodes 1N4148 and a 3.3 volts Zener diode (To see the reverse biased curve).
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Itemize
+Connect the 1N4148 diode from I-V to Ground.
+ (N side to ground)
+\end_layout
+
+\begin_layout Itemize
+Connect IV to A0 by a wire.
+\end_layout
+
+\begin_layout Itemize
+Right Click and select
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{Diode IV}
+\end_layout
+
+\end_inset
+
+ from the menu.
+\end_layout
+
+\begin_layout Itemize
+Click on START to draw the characteristic curve.
+\end_layout
+
+\begin_layout Itemize
+Click on FIT to calculate the Diode Ideality factor.
+\end_layout
+
+\begin_layout Itemize
+Replace 1N4148 with the Zener diode.
+\end_layout
+
+\begin_layout Itemize
+Enable the ZENER Checkbox and click
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+buttonlabel{START}
+\end_layout
+
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+The curves obtained are shown in figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Diode-IV-characteristic"
+
+\end_inset
+
+.
+ The value of n for 1N4148 is 1.93 and for the zener it is 1.5.
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+We have calculated the value of
+\begin_inset Formula $n$
+\end_inset
+
+ by fitting the experimental data with the equation.
+ The ideality factor of the Zener is calculated fitting the forward biased
+ part of the data only.
+\end_layout
+
+\begin_layout Standard
+Repeat the experiment by heating the diode to different temperatures.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Light emitting diodes, LED
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Plot IV curves for LEDs of different wavelengths.
+ Relate to Plank's constant.
+\end_layout
+
+\begin_layout Subsection*
+Theory
+\end_layout
+
+\begin_layout Standard
+Energy of photon from is given by
+\begin_inset Formula $E=h\nu=hc/\lambda$
+\end_inset
+
+ .
+ This energy is equal to the energy of an electron that overcomes the junction
+ barrier and is given by
+\begin_inset Formula $E=eV_{0}$
+\end_inset
+
+.
+ So Plank's constant
+\begin_inset Formula $h=eV_{0}\lambda/c$
+\end_inset
+
+ , where
+\begin_inset Formula $\lambda$
+\end_inset
+
+ is the wavelength of light from the LED,
+\begin_inset Formula $e$
+\end_inset
+
+ the charge of electron and
+\begin_inset Formula $c$
+\end_inset
+
+ the velocity of light.
+\end_layout
+
+\begin_layout Subsection*
+Equipment
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename schematics/diode-iv.png
+	width 3cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/diode-LED-iv.png
+	lyxscale 50
+	width 4cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+IV characteristics of Blue & Green LEDS
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:LED IV-char"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Red, Blue, Green and Yellow LEDs.
+ All with clear glass cover, ie.
+ not colored.
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Itemize
+Right click on the Panel and open
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{LED IV}
+\end_layout
+
+\end_inset
+
+ from the popup menu.
+\end_layout
+
+\begin_layout Itemize
+Connect the diodes from I-V to Ground, one by one, and plot the graph.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+The observed characteristics are shown in figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:LED IV-char"
+
+\end_inset
+
+.
+ The linear part of the curve is fitted to find the x-intercept point, and
+ it is 1.788 for the red LED
+\begin_inset Formula $(\lambda=660nm)$
+\end_inset
+
+, which gives
+\begin_inset Formula \[
+h=\frac{1.6e-19\times1.788\times600e-9}{3e-8}=6.29e-34\]
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+
+\shape italic
+Note: This need to be done more accurately.
+ Compare the ratio of voltages with wavelength to estimate the accuracy
+ of measurements.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Transistor CE characteristic
+\begin_inset CommandInset label
+LatexCommand label
+name "sec:Transistor-CE-Characteristic"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Plot the CE characteristic curve of a transistor.
+\end_layout
+
+\begin_layout Subsection*
+Equipment
+\end_layout
+
+\begin_layout Itemize
+2N2222 transistor, 200
+\begin_inset Formula $k\Omega$
+\end_inset
+
+ resistor, wires
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Itemize
+Solder two small wires to the collector.
+
+\end_layout
+
+\begin_layout Itemize
+Solder the resistor to the base.
+\end_layout
+
+\begin_layout Itemize
+Connect Base to UPV (0 to 5V output)
+\end_layout
+
+\begin_layout Itemize
+Collector to IV, and to A0 for voltage monitoring
+\end_layout
+
+\begin_layout Itemize
+emitter to Ground
+\end_layout
+
+\begin_layout Itemize
+Right-click on Panel and open
+\shape italic
+
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{Transistor CE}
+\end_layout
+
+\end_inset
+
+
+\shape default
+ from the menu
+\end_layout
+
+\begin_layout Itemize
+Enter the Bias supply voltage to the base and
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+buttonlabel{START}
+\end_layout
+
+\end_inset
+
+.
+ Repeat for different Vb.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename schematics/tran-ce.png
+	width 3cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/tran_ce.png
+	lyxscale 50
+	width 4cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Transistor common emitter characteristics
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Transistor-common-emitter"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+The characteristic curves for different base currents are shown in figure
+
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Transistor-common-emitter"
+
+\end_inset
+
+.
+
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+We are connecting the Collector to a I-V , which is connected internally
+ to BPV.
+ The base current is set by setting the voltage at one end of the 200 k
+\begin_inset Formula $\Omega$
+\end_inset
+
+ resistor, the other end is connected to the transistor base.
+ The value of base current is calculated by
+\end_layout
+
+\begin_layout Standard
+\begin_inset Formula \[
+I_{b}=\frac{V_{bias}-0.6}{200\times10^{3}}\times10^{6}\mu A\]
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Transistor amplifier (CE)
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Demonstrate the working of a transistor amplifier in common emitter configuratio
+n.
+ The operating point is set by changing the bias voltage, using UPV.
+ An AC signal is generated using the loudspeaker as a microphone and this
+ input is given to the base through DC blocking capacitor.
+\end_layout
+
+\begin_layout Subsection*
+Equipment
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename schematics/tran-amp.png
+	width 4cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/tran_amp2V.png
+	lyxscale 50
+	width 3.4cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/tran_amp4V.png
+	lyxscale 50
+	width 3.4cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Transistor amplifier at different operating points.(a) Setup (b)
+\begin_inset Formula $Bias=2V$
+\end_inset
+
+ (c)
+\begin_inset Formula $Bias=4V$
+\end_inset
+
+
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Transistor-amplifier-at"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Transistor holder with 200
+\begin_inset Formula $k\Omega$
+\end_inset
+
+ resistor and
+\begin_inset Formula $.1\mu F$
+\end_inset
+
+ capacitor at the Base.
+\end_layout
+
+\begin_layout Itemize
+Small Loudspeaker, to be used as a microphone
+\end_layout
+
+\begin_layout Itemize
+Piezo disk, to generate sound.
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Itemize
+Transistor Collector to IV and A0
+\end_layout
+
+\begin_layout Itemize
+Connect Transistor Base to UPV
+\end_layout
+
+\begin_layout Itemize
+Piezo Disc to SQR1 and set SQR1 to 3000 Hz
+\end_layout
+
+\begin_layout Itemize
+Small loudspeaker to Base through the 0.1
+\begin_inset Formula $\mu F$
+\end_inset
+
+ capacitor, other end Ground.
+\end_layout
+
+\begin_layout Itemize
+Set Bias voltage on UPV.
+ Try values from 1V to 5V.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+The collector voltage for different base voltages are shown in figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Transistor-amplifier-at"
+
+\end_inset
+
+.
+
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+Remember that the voltage gain is not same as the transistor
+\begin_inset Formula $\beta$
+\end_inset
+
+ , but it depends on the resistance in the collector circuit.
+ In our setup the the collector is connected to 5V through a 1k
+\begin_inset Formula $\Omega$
+\end_inset
+
+ resistor.
+\end_layout
+
+\begin_layout Standard
+Why do we need to feed the input through a capacitor ? Why not connect the
+ signal directly ? Try short circuiting the capacitor by a piece of wire,
+ while observing the trace.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Photo-transistor
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Understand the photo transistor.
+ Draw the CE characteristic
+\end_layout
+
+\begin_layout Subsection*
+Equipment
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename schematics/phtran-ce.png
+	width 4cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/photo-tran_ce.png
+	lyxscale 50
+	width 4cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+CE characteristic of photo-transistor.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:CE-char phototran"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Photo transistor (base lead removed) and wires
+\end_layout
+
+\begin_layout Itemize
+white LED
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Itemize
+Transistor Emitter to ground and Collector to IV and A0
+\end_layout
+
+\begin_layout Itemize
+LED from SQR2 to ground
+\end_layout
+
+\begin_layout Itemize
+Right-click on Panel and open
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{Photo-Transistor CE}
+\end_layout
+
+\end_inset
+
+ from the menu
+\end_layout
+
+\begin_layout Itemize
+Place a light source 5 cm away from the Transistor
+\end_layout
+
+\begin_layout Itemize
+Click on
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+buttonlabel{START}
+\end_layout
+
+\end_inset
+
+, to draw the CE curve
+\end_layout
+
+\begin_layout Itemize
+Repeat by changing the distance between light source and transistor
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+The characteristic curves for different light intensities are shown in figure.
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+The base current is decided by the intensity of light.
+
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Opto-electric signal transmission
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Demonstrate the transmission of signals through optical media.
+ Electrical signals are connected into light at the transmitter and converted
+ back into electrical signals at the receiver.
+\end_layout
+
+\begin_layout Subsection*
+Equipment
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename schematics/opto-tran.png
+	width 4cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/phototran_sqr_received.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+The waveform at the photo-transistor collector
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Phototransistor output"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+LED and Photo transistor.
+\end_layout
+
+\begin_layout Itemize
+Fiber optic cable.
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Itemize
+Connect LED from SQR2 to ground.
+\end_layout
+
+\begin_layout Itemize
+Connect the 22k resistor of SQR2
+\end_layout
+
+\begin_layout Itemize
+Phototransistor emitter to ground and collector to SEN (T23)
+\end_layout
+
+\begin_layout Itemize
+Connect SEN to A0
+\end_layout
+
+\begin_layout Itemize
+Set SQR2 to 100 Hz
+\end_layout
+
+\begin_layout Itemize
+Place the LED facing the phototransistor and adjust the waveform
+\end_layout
+
+\begin_layout Itemize
+Enable FIT option to calculate the frequency by fitting the data.
+\end_layout
+
+\begin_layout Itemize
+Get a more accurate frequency measurement by clicking on 'Measure Freq'
+\end_layout
+
+\begin_layout Itemize
+Repeat the experiment by changing the frequency.
+\end_layout
+
+\begin_layout Itemize
+Use the Fiber Optic cable to guide the light from LED to the transistor.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+The output of the phototransistor for 500 Hz signal to the LED is shown
+ in figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Phototransistor output"
+
+\end_inset
+
+.
+ The frequency calculated by curve fitting is very close to 500.
+ The frequency measurement done internally gives the correct value.
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+The electrical signal is converted into light signal by the LED.
+ Light is transmitted to the photo-transistor and it is converted back into
+ the electrical signal.
+ It can be seen that, the shape of the waveform is slightly rounded but
+ the frequency information is preserved.
+ This demonstrates the advantage of digital signal transmission over the
+ analog.
+
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Amplitude & Frequency Modulation
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Study amplitude and frequency modulation of a signal.
+ Analyse the AM output mathematically to see the sidebands.
+\end_layout
+
+\begin_layout Subsection*
+Equipment
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/AM-photo.jpg
+	lyxscale 30
+	width 6cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/AMcarr-and-sig400x20.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Amplitude modulation.(a)Experimental setup (b) Modulating signal along with
+ the modulated output.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Amplitude-modulation"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+Phoenix Analog Box.
+ It has a sine wave generator (around 100 Hz) whose amplitude can be controlled
+ using a DC control voltage.
+ It also has a 4kHz sine wave generator that has Amplitude and Frequency
+ controls.
+ We use the UPV output of expEYES to controll the amplitude of the 100 Hz
+ generator.
+ Its output is monitored on A0 and also given to the Amplitude Modulating
+ input of the second oscillator.
+ The amplitude of the second oscillator is given to A1.
+\end_layout
+
+\begin_layout Standard
+You can capture these waveforms, separately or togetther.
+ The number of samples and the time gap between samples can be specified
+ by the user.
+ The depth of modulation is decided by the amplitude of the modulating signal.
+\end_layout
+
+\begin_layout Standard
+Analog box also allows you to set the frequency of the modulating signal
+ between 100 to 300Hz but we are not using this feature here.
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Itemize
+Connect the Grounds of Analog Box and expEYES
+\end_layout
+
+\begin_layout Itemize
+UPV to AC of the 100 Hz oscillator
+\end_layout
+
+\begin_layout Itemize
+100 Hz output to A0 and AM input
+\end_layout
+
+\begin_layout Itemize
+Modulated output to A1
+\end_layout
+
+\begin_layout Itemize
+Select A0 and A1
+\end_layout
+
+\begin_layout Itemize
+Capture 400 samples with 20 microsecond interval
+\end_layout
+
+\begin_layout Itemize
+Select A1 only
+\end_layout
+
+\begin_layout Itemize
+Capture 1800 samples with 40 usec interval
+\end_layout
+
+\begin_layout Itemize
+Click on Power Spectrum to do a Fourier transform
+\end_layout
+
+\begin_layout Itemize
+To do FM connect 100 Hz output to the FM input of the other generator.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+A carrier signal having a frequency of around 4kHz is modulated by a sinewave
+ of around 100 Hz.
+ A small portion of the output (400 points with 20 usec gap) along with
+ the modulating signal is shown in figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Amplitude-modulation"
+
+\end_inset
+
+(b).
+ Power spectrum is calculated using Fourier transform.
+ To get better results a larger sample (1800 samples with 40 usec gap) is
+ taken for this purpose.
+
+\end_layout
+
+\begin_layout Standard
+Frequency modulation also done, just changing the signal connection from
+ AM to FM input.
+ The FM output is shown in figure
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/AMfft-1800x40.png
+	lyxscale 15
+	width 5.4cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/FMcarr-and-sig500x10-2V.png
+	lyxscale 50
+	width 5.5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+(1)Power spectrum of AM output.
+ Generated from 1800 data points with 40 microseconds time interval in between.(2
+) The FM output
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Amplitude-FT"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+The two sidebands are clearly obtained on both sides of the carrier peak,
+ separated by the modulating frequency.
+\end_layout
+
+\begin_layout Standard
+The AM output looks similar to the sound beats we obtained in section
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "sec:Interference-of-sound"
+
+\end_inset
+
+, but taking a power spectrum of beats gives two peaks corresponding to
+ the individual frequencies.
+ How do they differ inspite of the similar looks ?
+\end_layout
+
+\begin_layout Chapter
+Mechanics, Optics & Heat
+\end_layout
+
+\begin_layout Standard
+Resonance phenomena is studied using a driven pendulum.
+ Value of acceleration due to gravity is measured using time of flight method
+ and also by using a pendulum.
+\end_layout
+
+\begin_layout Section
+Resonance of a driven pendulum
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Demonstrate the resonance of a driven pendulum.
+\end_layout
+
+\begin_layout Subsection*
+Equipment
+\end_layout
+
+\begin_layout Itemize
+Solenoid and pendulum made of button magnets, same as in section
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "sec:Magnetic-Effect-of"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+22 k
+\begin_inset Formula $\Omega$
+\end_inset
+
+ potentiometer
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Itemize
+Connect solenoid from SQR2 to Ground.
+\end_layout
+
+\begin_layout Itemize
+Connect the 22K variable resistor of SQR2.
+\end_layout
+
+\begin_layout Itemize
+Hang the pendulum near the solenoid as shown in figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Solenoid Magnetic-field"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Set SQR2 to 10 Hz, and adjust the resistor to reduce frequency until the
+ pendulum amplitude goes up .
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+When SQR2 reaches the resonant frequency of the pendulum, the amplitude
+ goes up due to resonance.
+ A 5.2 cm (from the center of the magnet to the axis of oscillation) long
+ pendulum resonated at around 2.3 Hz, almost tallying with its calculated
+ natural frequency.
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+The resonant frequency of the pendulum can be calculated by assuming it
+ as a simple pendulum and using
+\end_layout
+
+\begin_layout Standard
+\begin_inset Formula \[
+f=\frac{1}{T}\,\,\,\,\, where\,\,\,\,\, T=2\pi\sqrt{\frac{\ell}{g}}\]
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+where
+\begin_inset Formula $\ell$
+\end_inset
+
+ is the distance from the center of the magnet to the point of suspension
+ and
+\begin_inset Formula $g$
+\end_inset
+
+ is the acceleration due to gravity.
+
+\end_layout
+
+\begin_layout Standard
+Repeat the experiment by changing the length of the pendulum.
+
+\begin_inset Foot
+status collapsed
+
+\begin_layout Plain Layout
+SQR2 cannot go below 0.7 Hz
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Value of 'g', Rod pendulum
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Measure the period of oscillations of a rod pendulum using a light barrier
+ and calculate the value of acceleration due to gravity.
+\end_layout
+
+\begin_layout Subsection*
+Theory
+\end_layout
+
+\begin_layout Standard
+Period of oscillation of a uniform rod about one end is given by
+\begin_inset Formula \[
+T=2\pi\sqrt{\frac{2\ell}{3g}}\]
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Equipment
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/rodpend-photo.jpg
+	lyxscale 30
+	width 3.2cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename schematics/rodpend.png
+	width 3cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/rodpend-ghist.png
+	width 4cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Measuring the period of a rod pendulum using Light Barrier, to calculate
+ the value of 'g'.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Rod Pendulum"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Light Barrier, made of LED and photo-transistor
+\end_layout
+
+\begin_layout Itemize
+Rod Pendulum
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Itemize
+Connect the LED from SQR2 to Ground
+\end_layout
+
+\begin_layout Itemize
+Photo-transistor collector to SEN and emitter to ground
+\end_layout
+
+\begin_layout Itemize
+Right click on the panel and start
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{Rod Pendulum}
+\end_layout
+
+\end_inset
+
+ from the popup menu
+\end_layout
+
+\begin_layout Itemize
+Measure and enter the length of the pendulum
+\end_layout
+
+\begin_layout Itemize
+Oscillate the pendulum and click on
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+buttonlabel{START}
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Can make a histogram using XmGrace.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+The time period (in milli seconds to match the vertical range with value
+ of 'g') and the calculated value of 'g' are plotted, as shown in figure
+
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Rod Pendulum"
+
+\end_inset
+
+.
+ It also shown a histogram of the 20 readings.
+
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+The calculated value of 'g' is around 972.5
+\begin_inset Formula $cm/sec^{2}$
+\end_inset
+
+, showing a systematic error of around 8.5 .
+ The random error is less than 0.1 % .
+ The reason for the systematic error could be due to the following reasons.
+ The length is measured from the knife edge to the bottom and used in the
+ formula.
+ But there is a small mass projecting above the knife edge that is not included
+ in the calculation.
+ Another reason is that the pendulum may not be exactly vertical in the
+ resting position.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Oscillations of a pendulum
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+To study the nature of oscillations of a pendulum.
+ An angle encoder is required for measuring the angular displacement as
+ a function of time.
+ We will try to measure the angular velocity as a function of time, since
+ it can be done using an inexpensive DC motor.
+\end_layout
+
+\begin_layout Subsection*
+Equipment
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/pendulum-photo.jpg
+	lyxscale 40
+	width 5.5cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/pendulum-osc.png
+	lyxscale 50
+	width 5.5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Nature of oscillations of a pendulum.
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+A small DC motor with a pendulum attached to its axis.
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Itemize
+Attach some sort of rigid pendulum to the axis of the motor.
+\end_layout
+
+\begin_layout Itemize
+Connect the termainal of the DC motor between T17 and Ground
+\end_layout
+
+\begin_layout Itemize
+Connect T18 to A0
+\end_layout
+
+\begin_layout Itemize
+Right click and start
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{Pendulum Waveform}
+\end_layout
+
+\end_inset
+
+ from the menu.
+\end_layout
+
+\begin_layout Itemize
+Oscillate the pendulum and START digitizing
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+The observed waveform is shown in figure.
+ Fitting it with equation
+\begin_inset Formula $A=A_{0}sin\left(\omega t+\theta\right)*\exp\left(-dt\right)+C$
+\end_inset
+
+, using Xmgrace gave an angular frequency of 10 Hz.
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+The pendulum should be made with a heavy bob and a light weight rod connecting
+ it to the axis of the motor.
+ I was in a hurry to finish this writeup and just used a screwdriver and
+ a magnet to attach it to the motor axis.
+ The DC motor acts like a generator in this case.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Value of 'g' by time of flight
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Measure the time of flight of a body falling under gravity from a known
+ height and calculate the value of acceleration due to gravity.
+\end_layout
+
+\begin_layout Subsection*
+Equipment
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/gravity-tof-photo.jpg
+	lyxscale 40
+	width 5cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename schematics/g-tof.png
+	width 3cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Gravity by measuring the time of flight.The iron ball is held by the lectromagnet.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Gravity-by-TOF"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Electromagnet (1000 Turns coil with iron core)
+\end_layout
+
+\begin_layout Itemize
+150
+\begin_inset Formula $\Omega$
+\end_inset
+
+ Loudspeaker
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Itemize
+Fix the Electromagnet on a stand
+\end_layout
+
+\begin_layout Itemize
+Connect it to OD0 and Ground
+\end_layout
+
+\begin_layout Itemize
+Connect speaker between T15 & T16
+\end_layout
+
+\begin_layout Itemize
+Right Click and start
+\shape italic
+
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{Gravity TOF}
+\end_layout
+
+\end_inset
+
+
+\shape default
+from the menu.
+\end_layout
+
+\begin_layout Itemize
+Click on
+\shape italic
+Attach the Ball
+\shape default
+to energize the magnet.
+
+\end_layout
+
+\begin_layout Itemize
+Enter the height from the bottom side of the ball to the floor
+\end_layout
+
+\begin_layout Itemize
+Click on Measure TOF
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+\begin_inset Tabular
+<lyxtabular version="3" rows="3" columns="3">
+<features>
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Height
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Time
+\begin_inset Formula $t$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $g=\frac{2h}{t^{2}}$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+35
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+.269
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+967.4
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+25
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+.228
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+961.8
+\end_layout
+
+\end_inset
+</cell>
+</row>
+</lyxtabular>
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+The calculated values is less than the actual value.
+ Why ?
+\end_layout
+
+\begin_layout Standard
+We measure the time from making a 5->0 volts transition at OD0 to the appearance
+ of few millivolts at the loudspeaker output.
+ The magnetic effect will not vanish instantly and the circuit delays are
+ also need to be accounted.
+\end_layout
+
+\begin_layout Standard
+If you apply a correction of 2 milliseconds to the first reading, the result
+ is
+\begin_inset Formula $70/.267^{2}=981.9$
+\end_inset
+
+.
+ This shows the accuracy required for mesuring the time of flight.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Temperature measurement, PT100
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Record the temperature of a liquid by using a Platinum Resistance Thermometer
+
+\end_layout
+
+\begin_layout Subsection*
+Theory
+\end_layout
+
+\begin_layout Standard
+Resistance of a PT100 element is related to the temperature by the equation
+\begin_inset Formula \[
+R_{T}=R_{0}\left[1+AT+BT^{2}\right]\]
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+where
+\begin_inset Formula $A=3.9083e-3$
+\end_inset
+
+ and
+\begin_inset Formula $B=-5.775e-7$
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Equipment
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/cooling-water-photo.jpg
+	lyxscale 50
+	width 3.5cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename schematics/pt100.png
+	width 3cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/cooling-water-pt100.png
+	lyxscale 50
+	width 3.5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Cooling curve of water measured using PT100 sensor.
+
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Cooling-curve-water"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+PT100 sensor element
+\end_layout
+
+\begin_layout Itemize
+330 Ohm resistor
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Itemize
+Connect PT100 from CS to Ground
+\end_layout
+
+\begin_layout Itemize
+Connect CS to T21 (Non-inverting amplifier input)
+\end_layout
+
+\begin_layout Itemize
+Connect T22 (amplifier OUTPUT) to A2
+\end_layout
+
+\begin_layout Itemize
+Connect the gain control resistor between T19 & T20
+\end_layout
+
+\begin_layout Itemize
+Right click and start
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{PT100 Sensor}
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Enter the resistance value
+\end_layout
+
+\begin_layout Itemize
+Select the total time and time interval between measurements
+\end_layout
+
+\begin_layout Itemize
+Press
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+buttonlabel{START}
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+Calibration is required for better accuracy.
+ Keep the sensor on ice and click on
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{Freezing Point}
+\end_layout
+
+\end_inset
+
+.
+ Immerse the sensor in boiling water and click on
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{Boiling Point}
+\end_layout
+
+\end_inset
+
+.
+ After that click on
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{Calibrate}
+\end_layout
+
+\end_inset
+
+.
+ Once the calibration is done the temperature is calculated using the calibratio
+n constants.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+Cooling curve of water is shown in figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Cooling-curve-water"
+
+\end_inset
+
+ .
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+PT100 is a platinum element having a resistance of 100
+\begin_inset Formula $\Omega$
+\end_inset
+
+ at zero degree celcius.
+ The resistance varies with temperature and tables are available to correlate
+ the resistance and temperature.
+ Our program sets a current of 1mA through PT100 and measures the voltage
+ drop across it.
+ The voltage is amplified for inceasing the resolution.
+
+\end_layout
+
+\begin_layout Standard
+The program reads the amplifier output voltage.
+ Voltage across PT100 is calculated by dividing this voltage by the gain
+ of the amplifier (
+\begin_inset Formula $G=1+\frac{10000}{Rg}$
+\end_inset
+
+).
+ Since the current is known the resistance and hence the temperature can
+ be calculated.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Temperature Controller
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Implement a temperature controller using the LM35 sensor.
+ A transistor is user as a controllable heater.
+ LM35 is kept pressed to the transistor body with some heat conducting paint
+ in between.
+\end_layout
+
+\begin_layout Subsection*
+Equipment
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename schematics/temp-control.png
+	width 5cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/temp-con.png
+	lyxscale 40
+	width 4cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Temperature measurement using LM35.
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+LM35 sensor
+\end_layout
+
+\begin_layout Itemize
+2N2222 Transistor
+\end_layout
+
+\begin_layout Itemize
+10 k
+\begin_inset Formula $\Omega$
+\end_inset
+
+ and 2.4k
+\begin_inset Formula $\Omega$
+\end_inset
+
+ resistors
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Itemize
+Connect LM35 and the transistor as shown in the figure
+\end_layout
+
+\begin_layout Itemize
+Join the plastic bodies of LM35 and 2N2222 using heat conducting compound.
+\end_layout
+
+\begin_layout Itemize
+Use an external 12 volts DC power supply
+\end_layout
+
+\begin_layout Itemize
+Right click and start
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{Temp Controller}
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Set the temperature setpoint and the base voltage (UPV)
+\end_layout
+
+\begin_layout Itemize
+Press
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+buttonlabel{START}
+\end_layout
+
+\end_inset
+
+ .
+ The vertical scale will be 0 to setpoint + 10 deg.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+Temperature as a function of time is plotted.
+
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+The temperature is kept within
+\begin_inset Formula $\pm$
+\end_inset
+
+0.5 degree of the setpoint.
+ LM35 has only that much resolution.
+ The time variation depends on the heat conductivity of the transistor and
+ LM35 body and the collector current.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Stroboscope
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+An object executing periodic motion will appear stationary when it is illuminate
+d with a light pulse of the same frequency.
+ The simple reason is that the object is illuminated every time when it
+ reaches the same point.
+\end_layout
+
+\begin_layout Subsection*
+Equipment
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/stroboscope-photo.jpg
+	lyxscale 30
+	width 5cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename schematics/strobo.png
+	width 4.5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Stroboscope using flashing LED.
+ The AC voltage picked up by the coil due to the rotating magnet is used
+ for cross checking the speed of rotation
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Stroboscope"
+
+\end_inset
+
+.
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+LED
+\end_layout
+
+\begin_layout Itemize
+DC motor
+\end_layout
+
+\begin_layout Itemize
+3000 turns coil
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Itemize
+Connect motor from PULSE to Ground
+\end_layout
+
+\begin_layout Itemize
+Connect LED from SQR2 to Ground
+\end_layout
+
+\begin_layout Itemize
+Connect the 22k resistor for SQR2
+\end_layout
+
+\begin_layout Itemize
+Set Pulse to 20 %
+\end_layout
+
+\begin_layout Itemize
+Set SQR2 to 20
+\end_layout
+
+\begin_layout Itemize
+Adjust the resistor until the motor axis appears nearly stationary
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+As you adjust SQR2, the movement of the disc on the axis of the motor appears
+ to slow down and then at some point reverses the direction of motion.
+ Note down the frequency at the direction reversal.
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+How the RPM of a car engine adjusted ?
+\end_layout
+
+\begin_layout Standard
+When viewed in a pulsed light source of frequency 11 Hz, a motor rotating
+ clockwise at 10 rotations per second will look like rotating anti-clockwise
+ once a second.
+ During stopping and starting, the ceiling fans some times looks like rotating
+ backwards, in the light of fluorescent tubes.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Speed of rotation of a motor
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Learn about making sensors to detect mechanical movements.
+ Use a photo-transistor to find the rotational speed of a motor.
+\end_layout
+
+\begin_layout Subsection*
+Equipment
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/motor-rpm-photo.jpg
+	lyxscale 40
+	width 4cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename schematics/motor-rps.png
+	width 4cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Measuring the speed of rotation of a motor using light barrier.
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Photo-transistor
+\end_layout
+
+\begin_layout Itemize
+LED
+\end_layout
+
+\begin_layout Itemize
+Small DC motor
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Itemize
+Attach a paper leaf to the Motor
+\end_layout
+
+\begin_layout Itemize
+Connect motor to PULSE
+\end_layout
+
+\begin_layout Itemize
+Connect LED from SQR2 to Ground
+\end_layout
+
+\begin_layout Itemize
+Set SQR2 to zero
+\end_layout
+
+\begin_layout Itemize
+Connect phototransistor collector to SEN
+\end_layout
+
+\begin_layout Itemize
+Emitter to ground
+\end_layout
+
+\begin_layout Itemize
+Position the LED and phototransistor such that the leaf moves between them
+\end_layout
+
+\begin_layout Itemize
+set PULSE to different duty cycle values to rotate the motor at different
+ speeds.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+The rotations per second observed for different duty cycle values are given
+ below.
+ Except for the first reading speed is proportional to the duty cycle, ie.
+ the power given to the motor.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Tabular
+<lyxtabular version="3" rows="5" columns="2">
+<features>
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+PULSE %
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+SEN Freq.
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+9.8
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+5.6
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+20
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+14.4
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+29.8
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+21.4
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+40
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+28.2
+\end_layout
+
+\end_inset
+</cell>
+</row>
+</lyxtabular>
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+The observed values can be cross checked by using a magnet and coil as explained
+ in section
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "sec:A-simple-AC"
+
+\end_inset
+
+ or by using the stroboscope explained in the previous section.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Transparency Measurement
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Compare the transmission of light through different semi transparent materials.
+\end_layout
+
+\begin_layout Subsection*
+Equipment
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/light-thru-paper-photo.JPG
+	lyxscale 50
+	width 4cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename schematics/light-bar.png
+	width 4cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Light transmission measurement using photo transistor
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Light-transmission-measurement"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Photo-transistor, LED and 10 k
+\begin_inset Formula $\Omega$
+\end_inset
+
+ resistor
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Itemize
+Connect the Photo-transistor collector to SEN and Emitter to Ground.
+\end_layout
+
+\begin_layout Itemize
+Connect the LED from SQR2 and Set SQR2 to 0
+\end_layout
+
+\begin_layout Itemize
+Right click and start the
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{CRO}
+\end_layout
+
+\end_inset
+
+ from the menu.
+ Select the SEN.
+\end_layout
+
+\begin_layout Itemize
+Place the LED facing the transistor and note down the voltage.
+\end_layout
+
+\begin_layout Itemize
+Place some semitransparent material in between and see the difference.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+The voltage decreases with the intensity of light falling on the photo-transisto
+r.
+ Try LEDs of different color and compare the results.
+ Try the transmission of red light through RED and GREEN foils with the
+ same thickness.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Standing waves on a String
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Study standing waves on a stretched thread excited by a relay coil.
+ The tension on the thread is varied by changing the suspended mass.
+\end_layout
+
+\begin_layout Subsection*
+Theory
+\end_layout
+
+\begin_layout Standard
+Velocity of wave propagation in a string is given by
+\begin_inset Formula $v=\sqrt{\frac{T}{\mu}}$
+\end_inset
+
+, where T is the tension and
+\begin_inset Formula $\mu$
+\end_inset
+
+ is the linear mass density.
+ The velocity
+\begin_inset Formula $v=f\lambda$
+\end_inset
+
+ .
+ On a vibrating string, the fundamental harmonic has only two nodes, at
+ both ends, and the length of the string
+\begin_inset Formula $L=\lambda/2$
+\end_inset
+
+.
+ Fundamental frequency
+\begin_inset Formula $f_{0}=\frac{v}{2L}=\frac{1}{2L}\sqrt{\frac{T}{\mu}}$
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Equipment
+\end_layout
+
+\begin_layout Itemize
+A relay coil with amplifier, powered by 12 AC adapter
+\end_layout
+
+\begin_layout Itemize
+22k potentiometer
+\end_layout
+
+\begin_layout Subsection*
+Procedure
+\end_layout
+
+\begin_layout Itemize
+Connect the Grounds of expEYES and the vibrating string accessory.
+\end_layout
+
+\begin_layout Itemize
+Connect SQR2 to the relay amplifier input of the amplifier.
+\end_layout
+
+\begin_layout Itemize
+Connect 22k pot and set SQR2 to 30 Hz
+\end_layout
+
+\begin_layout Itemize
+Set the suspended mass to 30 gm
+\end_layout
+
+\begin_layout Itemize
+Adjust 22k to get the standing wave fundamental (single loop)
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+The frequency is proportional to the square of tension applied, within experimen
+tal errors.
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+Make the string such that one half of it has double the linear mass density
+ than the other half.
+ Watch the higher order modes.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Chapter*
+Appendix A : Accessories
+\end_layout
+
+\begin_layout Standard
+Every experiment require something to be connected to expEYES interface.
+ It could be just a peice of wire or a set of sensors.
+ The standard accessory set is sufficient to perform most of the experiments
+ described in this manual.
+ There are some other accessories available presently and their number is
+ likely to grow.
+ The standard accessory set available along with expEYES contains the following
+ components.
+ The values of the parameters, like inductance, specified are nominal, measured
+ from random samples.
+
+\end_layout
+
+\begin_layout Paragraph*
+Crocodile Clips with wires
+\end_layout
+
+\begin_layout Standard
+If the connection to any terminal is changed many times during an experiment,
+ it is easier to make the connection using the crocodile clip provided.
+\end_layout
+
+\begin_layout Paragraph*
+Microphone assembly
+\end_layout
+
+\begin_layout Standard
+A condenser microphone with a biasing resistor and a DC blocking capacitor.
+ It should be fixed to T16 & T15, with the wire conneted to UPV.
+ The capacitor lead goes to T15.
+\end_layout
+
+\begin_layout Paragraph*
+3000 Turns Coil (2)
+\end_layout
+
+\begin_layout Standard
+Inductance
+\begin_inset Formula $\approx$
+\end_inset
+
+ 125 mH, Resistance
+\begin_inset Formula $\approx$
+\end_inset
+
+ 560
+\begin_inset Formula $\Omega$
+\end_inset
+
+ , made from insulated copper wire 44 SWG.
+ These coils are be used for studying inductance, electromagnetic induction
+ etc.
+
+\end_layout
+
+\begin_layout Paragraph*
+1000 Turns coil
+\end_layout
+
+\begin_layout Standard
+Inductance
+\begin_inset Formula $\approx$
+\end_inset
+
+ 4 mH, Resistance
+\begin_inset Formula $\approx$
+\end_inset
+
+ 45
+\begin_inset Formula $\Omega$
+\end_inset
+
+ , made from insulated copper wire 40 SWG.
+ With the ferrite rod inserted, the Inductance increases to around 25 mH.
+\end_layout
+
+\begin_layout Paragraph*
+Electromagnet (with iron core)
+\end_layout
+
+\begin_layout Standard
+Inductance
+\begin_inset Formula $\approx$
+\end_inset
+
+ 20 mH, Resistance
+\begin_inset Formula $\approx$
+\end_inset
+
+ 45
+\begin_inset Formula $\Omega$
+\end_inset
+
+ , made from insulated copper wire 40 SWG.
+ This coil has iron core fixed inside and used as an electromagnet in some
+ experiments.
+ 50 cm long leads are provided.
+\end_layout
+
+\begin_layout Paragraph*
+Piezo Electric Discs (2)
+\end_layout
+
+\begin_layout Standard
+Resonant frequency is around 4000 Hz.
+ Can be energized by SQR1, SQR2 or PULSE outputs.
+ Discs are enclosed in a plastic shell that forms a cavity, that enhances
+ the amplitude of sound produced.
+\end_layout
+
+\begin_layout Paragraph*
+Loud Speaker (big)
+\end_layout
+
+\begin_layout Standard
+The resistance of the speaker is 150
+\begin_inset Formula $\Omega$
+\end_inset
+
+ , different from the commonly available 8
+\begin_inset Formula $\Omega$
+\end_inset
+
+ speakers.
+ Can be powered by SQR1, SQR2 or PULSE.
+\end_layout
+
+\begin_layout Subsubsection*
+Loudspeake (small)
+\end_layout
+
+\begin_layout Standard
+This is a low impedance speaker, but more rugged.
+ We will use it as a microphone also in some experiments.
+\end_layout
+
+\begin_layout Paragraph*
+DC Motor
+\end_layout
+
+\begin_layout Standard
+Fixed on a metallic base.
+ Should be powered by a DC voltage less than 3 volts.
+ In some experiments, we power the motor with the PULSE output, with a duty
+ cycle less than 40%.
+ It is safer to connect a diode in series when the motor is powered from
+ the terminal PULSE.
+\end_layout
+
+\begin_layout Paragraph*
+Transistor Holder
+\end_layout
+
+\begin_layout Standard
+A three pin socket to insert transistors to plot the characteristic curve,
+ 200
+\begin_inset Formula $k\Omega$
+\end_inset
+
+ at base.
+\end_layout
+
+\begin_layout Paragraph*
+Permanent Magnets
+\end_layout
+
+\begin_layout Itemize
+10mm diameter & 10 mm length
+\end_layout
+
+\begin_layout Itemize
+12 mm diameter & 1.5 mm length
+\end_layout
+
+\begin_layout Itemize
+5 mm diameter & 10 mm length
+\end_layout
+
+\begin_layout Subsubsection*
+Other Items
+\end_layout
+
+\begin_layout Itemize
+22 k potentiometer, used for SQR2.
+\end_layout
+
+\begin_layout Itemize
+Holder for two dry cells.
+\end_layout
+
+\begin_layout Itemize
+Aluminium Disc with center hole.
+\end_layout
+
+\begin_layout Itemize
+Mild Steel ball, D= 10 mm
+\end_layout
+
+\begin_layout Itemize
+Ferrite rod, D = 6 mm, L = 20 mm
+\end_layout
+
+\begin_layout Itemize
+Ferrite Rod, D = 12 mm, L = 50 mm
+\end_layout
+
+\begin_layout Itemize
+5mm LEDS : RED, BLUE, GREEN
+\end_layout
+
+\begin_layout Itemize
+10 mm white LED, with leads
+\end_layout
+
+\begin_layout Itemize
+Capacitors : 100uF, 47uF, 10 uF, 1uF and 0.1 uF
+\end_layout
+
+\begin_layout Itemize
+Resistors : 100
+\begin_inset Formula $\Omega$
+\end_inset
+
+, 200
+\begin_inset Formula $\Omega$
+\end_inset
+
+, 330
+\begin_inset Formula $\Omega$
+\end_inset
+
+, 560
+\begin_inset Formula $\Omega$
+\end_inset
+
+, 1
+\begin_inset Formula $k\Omega$
+\end_inset
+
+, 10
+\begin_inset Formula $k\Omega$
+\end_inset
+
+ and 100
+\begin_inset Formula $k\Omega$
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Diode : 1N4148
+\end_layout
+
+\begin_layout Itemize
+Zener Diode, 3.3 volts
+\end_layout
+
+\begin_layout Itemize
+Transistor : 2N2222
+\end_layout
+
+\begin_layout Itemize
+15 cm wire - 5 pcs
+\end_layout
+
+\begin_layout Itemize
+8 cm wire - 5 pcs
+\end_layout
+
+\begin_layout Itemize
+Screwdriver
+\end_layout
+
+\begin_layout Itemize
+LDR
+\end_layout
+
+\begin_layout Itemize
+Thermistor
+\end_layout
+
+\begin_layout Subsection*
+Light Barrier and Rod Pendulum
+\end_layout
+
+\begin_layout Standard
+The light barrier can be used for timing mechanical movements.
+ A light beam falling on a photo-transistor is intercepted and the time
+ intervals are measured.
+ Can be used for finding the speed of rotation of a motor, period of oscillation
+s of a pendulum etc.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/light-bar-rodpend-photo.jpg
+	lyxscale 30
+	width 3.7cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/40kHz-piezo-photo.jpg
+	lyxscale 40
+	width 3.7cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/standing-wave-app-photo.jpg
+	lyxscale 30
+	width 3.6cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+(a) Light Barrier and Rod Pendulum (b)40 kHz Piezo Transmitter and Receiver.
+ (c) Vibrating string apparatus.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Light-Barrier-and"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Ultrasound Piezo Tranceiver
+\end_layout
+
+\begin_layout Standard
+The 40 kHz ultra-sound Piezo-electric transmitter and receiver can be used
+ for studying sound.
+ The velocity of sound can be found by measuring the time of flight of a
+ sound packet from the transmitter to receiver.
+ The 40 kHz signal cannot be viewed properly using the expEYES oscilloscope
+ feature, you need a higher frequency CRO to view the signals properly.
+\end_layout
+
+\begin_layout Subsection*
+Vibrating String Apparatus
+\end_layout
+
+\begin_layout Standard
+This is powered by 12 volts DC and driven by a signal from SQR2.
+ The amplified SQR2 drives a relay coil and a string attached to the relay
+ contact vibrates.
+ Standing waves can be formed by adjusting the tension and frequency of
+ vibration.
+\end_layout
+
+\end_body
+\end_document
diff --git a/doc/en/Docs/pics/40kHz-piezo-photo.jpg b/doc/en/Docs/pics/40kHz-piezo-photo.jpg
new file mode 100644
index 0000000..f64d75f
Binary files /dev/null and b/doc/en/Docs/pics/40kHz-piezo-photo.jpg differ
diff --git a/doc/en/Docs/pics/ACgen-output-30pct.png b/doc/en/Docs/pics/ACgen-output-30pct.png
new file mode 100644
index 0000000..6e09635
Binary files /dev/null and b/doc/en/Docs/pics/ACgen-output-30pct.png differ
diff --git a/doc/en/Docs/pics/ACgenerator-photo.jpg b/doc/en/Docs/pics/ACgenerator-photo.jpg
new file mode 100644
index 0000000..b5fb992
Binary files /dev/null and b/doc/en/Docs/pics/ACgenerator-photo.jpg differ
diff --git a/doc/en/Docs/pics/ACthrough_water-photo.jpg b/doc/en/Docs/pics/ACthrough_water-photo.jpg
new file mode 100644
index 0000000..7abb731
Binary files /dev/null and b/doc/en/Docs/pics/ACthrough_water-photo.jpg differ
diff --git a/doc/en/Docs/pics/ACthrough_water.png b/doc/en/Docs/pics/ACthrough_water.png
new file mode 100644
index 0000000..556233c
Binary files /dev/null and b/doc/en/Docs/pics/ACthrough_water.png differ
diff --git a/doc/en/Docs/pics/AM-photo.jpg b/doc/en/Docs/pics/AM-photo.jpg
new file mode 100644
index 0000000..24b02c6
Binary files /dev/null and b/doc/en/Docs/pics/AM-photo.jpg differ
diff --git a/doc/en/Docs/pics/AMcarr-and-sig400x20.png b/doc/en/Docs/pics/AMcarr-and-sig400x20.png
new file mode 100644
index 0000000..06da271
Binary files /dev/null and b/doc/en/Docs/pics/AMcarr-and-sig400x20.png differ
diff --git a/doc/en/Docs/pics/AMfft-1800x40.png b/doc/en/Docs/pics/AMfft-1800x40.png
new file mode 100644
index 0000000..f5fa798
Binary files /dev/null and b/doc/en/Docs/pics/AMfft-1800x40.png differ
diff --git a/doc/en/Docs/pics/AMoutput1800x40-2V.png b/doc/en/Docs/pics/AMoutput1800x40-2V.png
new file mode 100644
index 0000000..fe41f7c
Binary files /dev/null and b/doc/en/Docs/pics/AMoutput1800x40-2V.png differ
diff --git a/doc/en/Docs/pics/CR-phaseshift-photo.jpg b/doc/en/Docs/pics/CR-phaseshift-photo.jpg
new file mode 100644
index 0000000..1ea1579
Binary files /dev/null and b/doc/en/Docs/pics/CR-phaseshift-photo.jpg differ
diff --git a/doc/en/Docs/pics/CR-transient-photo.jpg b/doc/en/Docs/pics/CR-transient-photo.jpg
new file mode 100644
index 0000000..2dd6a1d
Binary files /dev/null and b/doc/en/Docs/pics/CR-transient-photo.jpg differ
diff --git a/doc/en/Docs/pics/CR-transient-screen.png b/doc/en/Docs/pics/CR-transient-screen.png
new file mode 100644
index 0000000..a8cc612
Binary files /dev/null and b/doc/en/Docs/pics/CR-transient-screen.png differ
diff --git a/doc/en/Docs/pics/CRphaseshift-1uf560.png b/doc/en/Docs/pics/CRphaseshift-1uf560.png
new file mode 100644
index 0000000..e7b4fbd
Binary files /dev/null and b/doc/en/Docs/pics/CRphaseshift-1uf560.png differ
diff --git a/doc/en/Docs/pics/DCthrough_water.png b/doc/en/Docs/pics/DCthrough_water.png
new file mode 100644
index 0000000..9f5461e
Binary files /dev/null and b/doc/en/Docs/pics/DCthrough_water.png differ
diff --git a/doc/en/Docs/pics/EMinduction-photo.jpg b/doc/en/Docs/pics/EMinduction-photo.jpg
new file mode 100644
index 0000000..30d5428
Binary files /dev/null and b/doc/en/Docs/pics/EMinduction-photo.jpg differ
diff --git a/doc/en/Docs/pics/FMcarr-and-sig500x10-2V.png b/doc/en/Docs/pics/FMcarr-and-sig500x10-2V.png
new file mode 100644
index 0000000..44cc206
Binary files /dev/null and b/doc/en/Docs/pics/FMcarr-and-sig500x10-2V.png differ
diff --git a/doc/en/Docs/pics/LCR-transient-photo.jpg b/doc/en/Docs/pics/LCR-transient-photo.jpg
new file mode 100644
index 0000000..a66f0cf
Binary files /dev/null and b/doc/en/Docs/pics/LCR-transient-photo.jpg differ
diff --git a/doc/en/Docs/pics/LCRdischarge.png b/doc/en/Docs/pics/LCRdischarge.png
new file mode 100644
index 0000000..3ee5f5d
Binary files /dev/null and b/doc/en/Docs/pics/LCRdischarge.png differ
diff --git a/doc/en/Docs/pics/LCRdischarge_1k.png b/doc/en/Docs/pics/LCRdischarge_1k.png
new file mode 100644
index 0000000..92325dc
Binary files /dev/null and b/doc/en/Docs/pics/LCRdischarge_1k.png differ
diff --git a/doc/en/Docs/pics/LCRdischarge_ferrite.png b/doc/en/Docs/pics/LCRdischarge_ferrite.png
new file mode 100644
index 0000000..e8884b0
Binary files /dev/null and b/doc/en/Docs/pics/LCRdischarge_ferrite.png differ
diff --git a/doc/en/Docs/pics/LM35-tempcon.jpg b/doc/en/Docs/pics/LM35-tempcon.jpg
new file mode 100644
index 0000000..c2d94cb
Binary files /dev/null and b/doc/en/Docs/pics/LM35-tempcon.jpg differ
diff --git a/doc/en/Docs/pics/LR-downstep.png b/doc/en/Docs/pics/LR-downstep.png
new file mode 100644
index 0000000..32dc067
Binary files /dev/null and b/doc/en/Docs/pics/LR-downstep.png differ
diff --git a/doc/en/Docs/pics/LR-phaseshift-photo.jpg b/doc/en/Docs/pics/LR-phaseshift-photo.jpg
new file mode 100644
index 0000000..1b89fec
Binary files /dev/null and b/doc/en/Docs/pics/LR-phaseshift-photo.jpg differ
diff --git a/doc/en/Docs/pics/LR-transient-photo.jpg b/doc/en/Docs/pics/LR-transient-photo.jpg
new file mode 100644
index 0000000..4e3398c
Binary files /dev/null and b/doc/en/Docs/pics/LR-transient-photo.jpg differ
diff --git a/doc/en/Docs/pics/LRphaseshift-125mH-125ohm.png b/doc/en/Docs/pics/LRphaseshift-125mH-125ohm.png
new file mode 100644
index 0000000..e23ced2
Binary files /dev/null and b/doc/en/Docs/pics/LRphaseshift-125mH-125ohm.png differ
diff --git a/doc/en/Docs/pics/LRphaseshift_ferrite.png b/doc/en/Docs/pics/LRphaseshift_ferrite.png
new file mode 100644
index 0000000..c1af0ed
Binary files /dev/null and b/doc/en/Docs/pics/LRphaseshift_ferrite.png differ
diff --git a/doc/en/Docs/pics/RCdiff.png b/doc/en/Docs/pics/RCdiff.png
new file mode 100644
index 0000000..ca422b7
Binary files /dev/null and b/doc/en/Docs/pics/RCdiff.png differ
diff --git a/doc/en/Docs/pics/RCinteg.png b/doc/en/Docs/pics/RCinteg.png
new file mode 100644
index 0000000..7089482
Binary files /dev/null and b/doc/en/Docs/pics/RCinteg.png differ
diff --git a/doc/en/Docs/pics/capacitor_linear.png b/doc/en/Docs/pics/capacitor_linear.png
new file mode 100644
index 0000000..9d05334
Binary files /dev/null and b/doc/en/Docs/pics/capacitor_linear.png differ
diff --git a/doc/en/Docs/pics/coil-magnetpendulum-photo.JPG b/doc/en/Docs/pics/coil-magnetpendulum-photo.JPG
new file mode 100644
index 0000000..53883bb
Binary files /dev/null and b/doc/en/Docs/pics/coil-magnetpendulum-photo.JPG differ
diff --git a/doc/en/Docs/pics/conduct-hand.jpg b/doc/en/Docs/pics/conduct-hand.jpg
new file mode 100644
index 0000000..67cca52
Binary files /dev/null and b/doc/en/Docs/pics/conduct-hand.jpg differ
diff --git a/doc/en/Docs/pics/cooling-water-photo.jpg b/doc/en/Docs/pics/cooling-water-photo.jpg
new file mode 100644
index 0000000..7d4b156
Binary files /dev/null and b/doc/en/Docs/pics/cooling-water-photo.jpg differ
diff --git a/doc/en/Docs/pics/cooling-water-pt100.png b/doc/en/Docs/pics/cooling-water-pt100.png
new file mode 100644
index 0000000..702ae7d
Binary files /dev/null and b/doc/en/Docs/pics/cooling-water-pt100.png differ
diff --git a/doc/en/Docs/pics/dcvoltage.png b/doc/en/Docs/pics/dcvoltage.png
new file mode 100644
index 0000000..3983fd5
Binary files /dev/null and b/doc/en/Docs/pics/dcvoltage.png differ
diff --git a/doc/en/Docs/pics/diode-LED-iv.png b/doc/en/Docs/pics/diode-LED-iv.png
new file mode 100644
index 0000000..634878f
Binary files /dev/null and b/doc/en/Docs/pics/diode-LED-iv.png differ
diff --git a/doc/en/Docs/pics/diode-fullwave-photo.jpg b/doc/en/Docs/pics/diode-fullwave-photo.jpg
new file mode 100644
index 0000000..4dc6f57
Binary files /dev/null and b/doc/en/Docs/pics/diode-fullwave-photo.jpg differ
diff --git a/doc/en/Docs/pics/diode-fullwave.png b/doc/en/Docs/pics/diode-fullwave.png
new file mode 100644
index 0000000..62ddcb4
Binary files /dev/null and b/doc/en/Docs/pics/diode-fullwave.png differ
diff --git a/doc/en/Docs/pics/diode-halfwave-100uF.png b/doc/en/Docs/pics/diode-halfwave-100uF.png
new file mode 100644
index 0000000..e46ff89
Binary files /dev/null and b/doc/en/Docs/pics/diode-halfwave-100uF.png differ
diff --git a/doc/en/Docs/pics/diode-halfwave-1uF.png b/doc/en/Docs/pics/diode-halfwave-1uF.png
new file mode 100644
index 0000000..ee4d14f
Binary files /dev/null and b/doc/en/Docs/pics/diode-halfwave-1uF.png differ
diff --git a/doc/en/Docs/pics/diode-halfwave.png b/doc/en/Docs/pics/diode-halfwave.png
new file mode 100644
index 0000000..5df7b81
Binary files /dev/null and b/doc/en/Docs/pics/diode-halfwave.png differ
diff --git a/doc/en/Docs/pics/diode-rectifier-photo.jpg b/doc/en/Docs/pics/diode-rectifier-photo.jpg
new file mode 100644
index 0000000..44190d5
Binary files /dev/null and b/doc/en/Docs/pics/diode-rectifier-photo.jpg differ
diff --git a/doc/en/Docs/pics/diodeIV-photo.jpg b/doc/en/Docs/pics/diodeIV-photo.jpg
new file mode 100644
index 0000000..28266db
Binary files /dev/null and b/doc/en/Docs/pics/diodeIV-photo.jpg differ
diff --git a/doc/en/Docs/pics/diode_4148.png b/doc/en/Docs/pics/diode_4148.png
new file mode 100644
index 0000000..8a08b7e
Binary files /dev/null and b/doc/en/Docs/pics/diode_4148.png differ
diff --git a/doc/en/Docs/pics/diode_zener_iv.png b/doc/en/Docs/pics/diode_zener_iv.png
new file mode 100644
index 0000000..99150ba
Binary files /dev/null and b/doc/en/Docs/pics/diode_zener_iv.png differ
diff --git a/doc/en/Docs/pics/drycell-photo.jpg b/doc/en/Docs/pics/drycell-photo.jpg
new file mode 100644
index 0000000..2db357d
Binary files /dev/null and b/doc/en/Docs/pics/drycell-photo.jpg differ
diff --git a/doc/en/Docs/pics/drycell-voltage.png b/doc/en/Docs/pics/drycell-voltage.png
new file mode 100644
index 0000000..26d063a
Binary files /dev/null and b/doc/en/Docs/pics/drycell-voltage.png differ
diff --git a/doc/en/Docs/pics/em_induction.png b/doc/en/Docs/pics/em_induction.png
new file mode 100644
index 0000000..1d6f753
Binary files /dev/null and b/doc/en/Docs/pics/em_induction.png differ
diff --git a/doc/en/Docs/pics/explorer.png b/doc/en/Docs/pics/explorer.png
new file mode 100644
index 0000000..9c48423
Binary files /dev/null and b/doc/en/Docs/pics/explorer.png differ
diff --git a/doc/en/Docs/pics/eyes.jpg b/doc/en/Docs/pics/eyes.jpg
new file mode 100644
index 0000000..6fdba8d
Binary files /dev/null and b/doc/en/Docs/pics/eyes.jpg differ
diff --git a/doc/en/Docs/pics/eyes_block.png b/doc/en/Docs/pics/eyes_block.png
new file mode 100644
index 0000000..389eadd
Binary files /dev/null and b/doc/en/Docs/pics/eyes_block.png differ
diff --git a/doc/en/Docs/pics/gravity-tof-photo.jpg b/doc/en/Docs/pics/gravity-tof-photo.jpg
new file mode 100644
index 0000000..23aa9d8
Binary files /dev/null and b/doc/en/Docs/pics/gravity-tof-photo.jpg differ
diff --git a/doc/en/Docs/pics/lemoncell-photo.jpg b/doc/en/Docs/pics/lemoncell-photo.jpg
new file mode 100644
index 0000000..610feed
Binary files /dev/null and b/doc/en/Docs/pics/lemoncell-photo.jpg differ
diff --git a/doc/en/Docs/pics/lemoncellDC.png b/doc/en/Docs/pics/lemoncellDC.png
new file mode 100644
index 0000000..ab3f6f5
Binary files /dev/null and b/doc/en/Docs/pics/lemoncellDC.png differ
diff --git a/doc/en/Docs/pics/light-bar-rodpend-photo.jpg b/doc/en/Docs/pics/light-bar-rodpend-photo.jpg
new file mode 100644
index 0000000..2b76417
Binary files /dev/null and b/doc/en/Docs/pics/light-bar-rodpend-photo.jpg differ
diff --git a/doc/en/Docs/pics/light-thru-paper-photo.JPG b/doc/en/Docs/pics/light-thru-paper-photo.JPG
new file mode 100644
index 0000000..fe40a61
Binary files /dev/null and b/doc/en/Docs/pics/light-thru-paper-photo.JPG differ
diff --git a/doc/en/Docs/pics/microHope.jpg b/doc/en/Docs/pics/microHope.jpg
new file mode 100644
index 0000000..737f2ef
Binary files /dev/null and b/doc/en/Docs/pics/microHope.jpg differ
diff --git a/doc/en/Docs/pics/microHopeIDE.png b/doc/en/Docs/pics/microHopeIDE.png
new file mode 100644
index 0000000..924f730
Binary files /dev/null and b/doc/en/Docs/pics/microHopeIDE.png differ
diff --git a/doc/en/Docs/pics/motor-rpm-photo.jpg b/doc/en/Docs/pics/motor-rpm-photo.jpg
new file mode 100644
index 0000000..ab73773
Binary files /dev/null and b/doc/en/Docs/pics/motor-rpm-photo.jpg differ
diff --git a/doc/en/Docs/pics/mutual-induction-photo.jpg b/doc/en/Docs/pics/mutual-induction-photo.jpg
new file mode 100644
index 0000000..78bc3d8
Binary files /dev/null and b/doc/en/Docs/pics/mutual-induction-photo.jpg differ
diff --git a/doc/en/Docs/pics/mutual_induction-sqrwave-1kload.png b/doc/en/Docs/pics/mutual_induction-sqrwave-1kload.png
new file mode 100644
index 0000000..0a268f7
Binary files /dev/null and b/doc/en/Docs/pics/mutual_induction-sqrwave-1kload.png differ
diff --git a/doc/en/Docs/pics/mutual_induction.png b/doc/en/Docs/pics/mutual_induction.png
new file mode 100644
index 0000000..dfe2757
Binary files /dev/null and b/doc/en/Docs/pics/mutual_induction.png differ
diff --git a/doc/en/Docs/pics/mutual_induction_Ecore_1kload.png b/doc/en/Docs/pics/mutual_induction_Ecore_1kload.png
new file mode 100644
index 0000000..d5478f0
Binary files /dev/null and b/doc/en/Docs/pics/mutual_induction_Ecore_1kload.png differ
diff --git a/doc/en/Docs/pics/optoelectric-tran-photo.jpg b/doc/en/Docs/pics/optoelectric-tran-photo.jpg
new file mode 100644
index 0000000..8a6865b
Binary files /dev/null and b/doc/en/Docs/pics/optoelectric-tran-photo.jpg differ
diff --git a/doc/en/Docs/pics/pendulum-osc.png b/doc/en/Docs/pics/pendulum-osc.png
new file mode 100644
index 0000000..5a21c4c
Binary files /dev/null and b/doc/en/Docs/pics/pendulum-osc.png differ
diff --git a/doc/en/Docs/pics/pendulum-photo.jpg b/doc/en/Docs/pics/pendulum-photo.jpg
new file mode 100644
index 0000000..0eb67ef
Binary files /dev/null and b/doc/en/Docs/pics/pendulum-photo.jpg differ
diff --git a/doc/en/Docs/pics/photo-tran_ce.png b/doc/en/Docs/pics/photo-tran_ce.png
new file mode 100644
index 0000000..99e1713
Binary files /dev/null and b/doc/en/Docs/pics/photo-tran_ce.png differ
diff --git a/doc/en/Docs/pics/phototranCE-photo.jpg b/doc/en/Docs/pics/phototranCE-photo.jpg
new file mode 100644
index 0000000..3bd48d6
Binary files /dev/null and b/doc/en/Docs/pics/phototranCE-photo.jpg differ
diff --git a/doc/en/Docs/pics/phototran_sqr_received.png b/doc/en/Docs/pics/phototran_sqr_received.png
new file mode 100644
index 0000000..a5414ae
Binary files /dev/null and b/doc/en/Docs/pics/phototran_sqr_received.png differ
diff --git a/doc/en/Docs/pics/phototran_sqr_thrupaper.png b/doc/en/Docs/pics/phototran_sqr_thrupaper.png
new file mode 100644
index 0000000..43f814c
Binary files /dev/null and b/doc/en/Docs/pics/phototran_sqr_thrupaper.png differ
diff --git a/doc/en/Docs/pics/piezo-100hz.png b/doc/en/Docs/pics/piezo-100hz.png
new file mode 100644
index 0000000..6b38c38
Binary files /dev/null and b/doc/en/Docs/pics/piezo-100hz.png differ
diff --git a/doc/en/Docs/pics/piezo-3600hz.png b/doc/en/Docs/pics/piezo-3600hz.png
new file mode 100644
index 0000000..0add90c
Binary files /dev/null and b/doc/en/Docs/pics/piezo-3600hz.png differ
diff --git a/doc/en/Docs/pics/piezo-fft-100hz.png b/doc/en/Docs/pics/piezo-fft-100hz.png
new file mode 100644
index 0000000..e0d8fed
Binary files /dev/null and b/doc/en/Docs/pics/piezo-fft-100hz.png differ
diff --git a/doc/en/Docs/pics/piezo-fft-500hz.png b/doc/en/Docs/pics/piezo-fft-500hz.png
new file mode 100644
index 0000000..a9574d8
Binary files /dev/null and b/doc/en/Docs/pics/piezo-fft-500hz.png differ
diff --git a/doc/en/Docs/pics/resistor-iv-photo.jpg b/doc/en/Docs/pics/resistor-iv-photo.jpg
new file mode 100644
index 0000000..792dd78
Binary files /dev/null and b/doc/en/Docs/pics/resistor-iv-photo.jpg differ
diff --git a/doc/en/Docs/pics/resistor-series-photo.jpg b/doc/en/Docs/pics/resistor-series-photo.jpg
new file mode 100644
index 0000000..51704a8
Binary files /dev/null and b/doc/en/Docs/pics/resistor-series-photo.jpg differ
diff --git a/doc/en/Docs/pics/resistor_iv.png b/doc/en/Docs/pics/resistor_iv.png
new file mode 100644
index 0000000..e786cdd
Binary files /dev/null and b/doc/en/Docs/pics/resistor_iv.png differ
diff --git a/doc/en/Docs/pics/rodpend-ghist.png b/doc/en/Docs/pics/rodpend-ghist.png
new file mode 100644
index 0000000..140dca6
Binary files /dev/null and b/doc/en/Docs/pics/rodpend-ghist.png differ
diff --git a/doc/en/Docs/pics/rodpend-photo.jpg b/doc/en/Docs/pics/rodpend-photo.jpg
new file mode 100644
index 0000000..3312737
Binary files /dev/null and b/doc/en/Docs/pics/rodpend-photo.jpg differ
diff --git a/doc/en/Docs/pics/rodpend-screen.png b/doc/en/Docs/pics/rodpend-screen.png
new file mode 100644
index 0000000..0249011
Binary files /dev/null and b/doc/en/Docs/pics/rodpend-screen.png differ
diff --git a/doc/en/Docs/pics/sariga.png b/doc/en/Docs/pics/sariga.png
new file mode 100644
index 0000000..59247be
Binary files /dev/null and b/doc/en/Docs/pics/sariga.png differ
diff --git a/doc/en/Docs/pics/sarigama-fourier.jpg b/doc/en/Docs/pics/sarigama-fourier.jpg
new file mode 100644
index 0000000..9be7ab1
Binary files /dev/null and b/doc/en/Docs/pics/sarigama-fourier.jpg differ
diff --git a/doc/en/Docs/pics/sine-two-180deg.png b/doc/en/Docs/pics/sine-two-180deg.png
new file mode 100644
index 0000000..f102ea4
Binary files /dev/null and b/doc/en/Docs/pics/sine-two-180deg.png differ
diff --git a/doc/en/Docs/pics/sine90hz-fft.png b/doc/en/Docs/pics/sine90hz-fft.png
new file mode 100644
index 0000000..2f07e68
Binary files /dev/null and b/doc/en/Docs/pics/sine90hz-fft.png differ
diff --git a/doc/en/Docs/pics/sinewave.png b/doc/en/Docs/pics/sinewave.png
new file mode 100644
index 0000000..a67d921
Binary files /dev/null and b/doc/en/Docs/pics/sinewave.png differ
diff --git a/doc/en/Docs/pics/sinewave50hz.png b/doc/en/Docs/pics/sinewave50hz.png
new file mode 100644
index 0000000..894fa3b
Binary files /dev/null and b/doc/en/Docs/pics/sinewave50hz.png differ
diff --git a/doc/en/Docs/pics/sinewave90hz.png b/doc/en/Docs/pics/sinewave90hz.png
new file mode 100644
index 0000000..40e2c79
Binary files /dev/null and b/doc/en/Docs/pics/sinewave90hz.png differ
diff --git a/doc/en/Docs/pics/sinewave_hand.png b/doc/en/Docs/pics/sinewave_hand.png
new file mode 100644
index 0000000..359793d
Binary files /dev/null and b/doc/en/Docs/pics/sinewave_hand.png differ
diff --git a/doc/en/Docs/pics/solenoid_field.jpg b/doc/en/Docs/pics/solenoid_field.jpg
new file mode 100644
index 0000000..2880e83
Binary files /dev/null and b/doc/en/Docs/pics/solenoid_field.jpg differ
diff --git a/doc/en/Docs/pics/sound-interference-photo.jpg b/doc/en/Docs/pics/sound-interference-photo.jpg
new file mode 100644
index 0000000..8c35feb
Binary files /dev/null and b/doc/en/Docs/pics/sound-interference-photo.jpg differ
diff --git a/doc/en/Docs/pics/sound-speaker-photo.jpg b/doc/en/Docs/pics/sound-speaker-photo.jpg
new file mode 100644
index 0000000..ae2901f
Binary files /dev/null and b/doc/en/Docs/pics/sound-speaker-photo.jpg differ
diff --git a/doc/en/Docs/pics/sound-velocity-photo.jpg b/doc/en/Docs/pics/sound-velocity-photo.jpg
new file mode 100644
index 0000000..0a77897
Binary files /dev/null and b/doc/en/Docs/pics/sound-velocity-photo.jpg differ
diff --git a/doc/en/Docs/pics/sound2000hz.png b/doc/en/Docs/pics/sound2000hz.png
new file mode 100644
index 0000000..c4efd9e
Binary files /dev/null and b/doc/en/Docs/pics/sound2000hz.png differ
diff --git a/doc/en/Docs/pics/sound3012hz.png b/doc/en/Docs/pics/sound3012hz.png
new file mode 100644
index 0000000..09c7c83
Binary files /dev/null and b/doc/en/Docs/pics/sound3012hz.png differ
diff --git a/doc/en/Docs/pics/sound_beats.png b/doc/en/Docs/pics/sound_beats.png
new file mode 100644
index 0000000..4e7b910
Binary files /dev/null and b/doc/en/Docs/pics/sound_beats.png differ
diff --git a/doc/en/Docs/pics/sound_inphase.png b/doc/en/Docs/pics/sound_inphase.png
new file mode 100644
index 0000000..613a6a2
Binary files /dev/null and b/doc/en/Docs/pics/sound_inphase.png differ
diff --git a/doc/en/Docs/pics/sound_outofphase.png b/doc/en/Docs/pics/sound_outofphase.png
new file mode 100644
index 0000000..38c4271
Binary files /dev/null and b/doc/en/Docs/pics/sound_outofphase.png differ
diff --git a/doc/en/Docs/pics/sound_waves.png b/doc/en/Docs/pics/sound_waves.png
new file mode 100644
index 0000000..64d142b
Binary files /dev/null and b/doc/en/Docs/pics/sound_waves.png differ
diff --git a/doc/en/Docs/pics/sqr1000Hz-fft.png b/doc/en/Docs/pics/sqr1000Hz-fft.png
new file mode 100644
index 0000000..9e6ba01
Binary files /dev/null and b/doc/en/Docs/pics/sqr1000Hz-fft.png differ
diff --git a/doc/en/Docs/pics/sqr1000Hz.png b/doc/en/Docs/pics/sqr1000Hz.png
new file mode 100644
index 0000000..68cb669
Binary files /dev/null and b/doc/en/Docs/pics/sqr1000Hz.png differ
diff --git a/doc/en/Docs/pics/sqrwave.png b/doc/en/Docs/pics/sqrwave.png
new file mode 100644
index 0000000..c740fb3
Binary files /dev/null and b/doc/en/Docs/pics/sqrwave.png differ
diff --git a/doc/en/Docs/pics/sqrwave2.png b/doc/en/Docs/pics/sqrwave2.png
new file mode 100644
index 0000000..f2bbe2b
Binary files /dev/null and b/doc/en/Docs/pics/sqrwave2.png differ
diff --git a/doc/en/Docs/pics/sqrwave_dcblocked.png b/doc/en/Docs/pics/sqrwave_dcblocked.png
new file mode 100644
index 0000000..2882260
Binary files /dev/null and b/doc/en/Docs/pics/sqrwave_dcblocked.png differ
diff --git a/doc/en/Docs/pics/sqrwave_hand.png b/doc/en/Docs/pics/sqrwave_hand.png
new file mode 100644
index 0000000..b0531f8
Binary files /dev/null and b/doc/en/Docs/pics/sqrwave_hand.png differ
diff --git a/doc/en/Docs/pics/squarewave_diff20hz.png b/doc/en/Docs/pics/squarewave_diff20hz.png
new file mode 100644
index 0000000..7ddb91f
Binary files /dev/null and b/doc/en/Docs/pics/squarewave_diff20hz.png differ
diff --git a/doc/en/Docs/pics/squarewave_interg1khz.png b/doc/en/Docs/pics/squarewave_interg1khz.png
new file mode 100644
index 0000000..a836c28
Binary files /dev/null and b/doc/en/Docs/pics/squarewave_interg1khz.png differ
diff --git a/doc/en/Docs/pics/squarewave_interg20hz.png b/doc/en/Docs/pics/squarewave_interg20hz.png
new file mode 100644
index 0000000..eaa349d
Binary files /dev/null and b/doc/en/Docs/pics/squarewave_interg20hz.png differ
diff --git a/doc/en/Docs/pics/standing-wave-app-photo.jpg b/doc/en/Docs/pics/standing-wave-app-photo.jpg
new file mode 100644
index 0000000..faf3c78
Binary files /dev/null and b/doc/en/Docs/pics/standing-wave-app-photo.jpg differ
diff --git a/doc/en/Docs/pics/std-accessory-photo.jpg b/doc/en/Docs/pics/std-accessory-photo.jpg
new file mode 100644
index 0000000..c0cc7f8
Binary files /dev/null and b/doc/en/Docs/pics/std-accessory-photo.jpg differ
diff --git a/doc/en/Docs/pics/stroboscope-photo.jpg b/doc/en/Docs/pics/stroboscope-photo.jpg
new file mode 100644
index 0000000..1d49678
Binary files /dev/null and b/doc/en/Docs/pics/stroboscope-photo.jpg differ
diff --git a/doc/en/Docs/pics/temp-con.png b/doc/en/Docs/pics/temp-con.png
new file mode 100644
index 0000000..d4f2643
Binary files /dev/null and b/doc/en/Docs/pics/temp-con.png differ
diff --git a/doc/en/Docs/pics/top-panel.png b/doc/en/Docs/pics/top-panel.png
new file mode 100644
index 0000000..7d6f113
Binary files /dev/null and b/doc/en/Docs/pics/top-panel.png differ
diff --git a/doc/en/Docs/pics/tran_amp-photo.jpg b/doc/en/Docs/pics/tran_amp-photo.jpg
new file mode 100644
index 0000000..f3f21a9
Binary files /dev/null and b/doc/en/Docs/pics/tran_amp-photo.jpg differ
diff --git a/doc/en/Docs/pics/tran_amp2V.png b/doc/en/Docs/pics/tran_amp2V.png
new file mode 100644
index 0000000..3efa20c
Binary files /dev/null and b/doc/en/Docs/pics/tran_amp2V.png differ
diff --git a/doc/en/Docs/pics/tran_amp4V.png b/doc/en/Docs/pics/tran_amp4V.png
new file mode 100644
index 0000000..516d31b
Binary files /dev/null and b/doc/en/Docs/pics/tran_amp4V.png differ
diff --git a/doc/en/Docs/pics/tran_ce.png b/doc/en/Docs/pics/tran_ce.png
new file mode 100644
index 0000000..83411cb
Binary files /dev/null and b/doc/en/Docs/pics/tran_ce.png differ
diff --git a/doc/en/Docs/pics/transistorIV-photo.jpg b/doc/en/Docs/pics/transistorIV-photo.jpg
new file mode 100644
index 0000000..4a30abc
Binary files /dev/null and b/doc/en/Docs/pics/transistorIV-photo.jpg differ
diff --git a/doc/en/Docs/pics/triwave_diff.png b/doc/en/Docs/pics/triwave_diff.png
new file mode 100644
index 0000000..355ec2e
Binary files /dev/null and b/doc/en/Docs/pics/triwave_diff.png differ
diff --git a/doc/en/Docs/schematics/LRcircuit.png b/doc/en/Docs/schematics/LRcircuit.png
new file mode 100644
index 0000000..7e6068a
Binary files /dev/null and b/doc/en/Docs/schematics/LRcircuit.png differ
diff --git a/doc/en/Docs/schematics/RCdiff.png b/doc/en/Docs/schematics/RCdiff.png
new file mode 100644
index 0000000..ca422b7
Binary files /dev/null and b/doc/en/Docs/schematics/RCdiff.png differ
diff --git a/doc/en/Docs/schematics/RCinteg.png b/doc/en/Docs/schematics/RCinteg.png
new file mode 100644
index 0000000..7089482
Binary files /dev/null and b/doc/en/Docs/schematics/RCinteg.png differ
diff --git a/doc/en/Docs/schematics/ac-dc.png b/doc/en/Docs/schematics/ac-dc.png
new file mode 100644
index 0000000..cfde7d8
Binary files /dev/null and b/doc/en/Docs/schematics/ac-dc.png differ
diff --git a/doc/en/Docs/schematics/ac-gen.png b/doc/en/Docs/schematics/ac-gen.png
new file mode 100644
index 0000000..37512a4
Binary files /dev/null and b/doc/en/Docs/schematics/ac-gen.png differ
diff --git a/doc/en/Docs/schematics/cell-volatge.png b/doc/en/Docs/schematics/cell-volatge.png
new file mode 100644
index 0000000..bfd9a2d
Binary files /dev/null and b/doc/en/Docs/schematics/cell-volatge.png differ
diff --git a/doc/en/Docs/schematics/diode-iv.png b/doc/en/Docs/schematics/diode-iv.png
new file mode 100644
index 0000000..ade3892
Binary files /dev/null and b/doc/en/Docs/schematics/diode-iv.png differ
diff --git a/doc/en/Docs/schematics/full-wave.png b/doc/en/Docs/schematics/full-wave.png
new file mode 100644
index 0000000..1a2940f
Binary files /dev/null and b/doc/en/Docs/schematics/full-wave.png differ
diff --git a/doc/en/Docs/schematics/g-tof.png b/doc/en/Docs/schematics/g-tof.png
new file mode 100644
index 0000000..9caf4d9
Binary files /dev/null and b/doc/en/Docs/schematics/g-tof.png differ
diff --git a/doc/en/Docs/schematics/half-wave.png b/doc/en/Docs/schematics/half-wave.png
new file mode 100644
index 0000000..4100ab1
Binary files /dev/null and b/doc/en/Docs/schematics/half-wave.png differ
diff --git a/doc/en/Docs/schematics/lc-tran.png b/doc/en/Docs/schematics/lc-tran.png
new file mode 100644
index 0000000..3ee4379
Binary files /dev/null and b/doc/en/Docs/schematics/lc-tran.png differ
diff --git a/doc/en/Docs/schematics/ldr.png b/doc/en/Docs/schematics/ldr.png
new file mode 100644
index 0000000..0354fd7
Binary files /dev/null and b/doc/en/Docs/schematics/ldr.png differ
diff --git a/doc/en/Docs/schematics/lemon-cell.png b/doc/en/Docs/schematics/lemon-cell.png
new file mode 100644
index 0000000..c893470
Binary files /dev/null and b/doc/en/Docs/schematics/lemon-cell.png differ
diff --git a/doc/en/Docs/schematics/light-bar.png b/doc/en/Docs/schematics/light-bar.png
new file mode 100644
index 0000000..2f4bdcf
Binary files /dev/null and b/doc/en/Docs/schematics/light-bar.png differ
diff --git a/doc/en/Docs/schematics/motor-rps.png b/doc/en/Docs/schematics/motor-rps.png
new file mode 100644
index 0000000..c6ec855
Binary files /dev/null and b/doc/en/Docs/schematics/motor-rps.png differ
diff --git a/doc/en/Docs/schematics/ntc.png b/doc/en/Docs/schematics/ntc.png
new file mode 100644
index 0000000..3b83f93
Binary files /dev/null and b/doc/en/Docs/schematics/ntc.png differ
diff --git a/doc/en/Docs/schematics/opto-tran.png b/doc/en/Docs/schematics/opto-tran.png
new file mode 100644
index 0000000..e202cb2
Binary files /dev/null and b/doc/en/Docs/schematics/opto-tran.png differ
diff --git a/doc/en/Docs/schematics/phtran-ce.png b/doc/en/Docs/schematics/phtran-ce.png
new file mode 100644
index 0000000..6dd0197
Binary files /dev/null and b/doc/en/Docs/schematics/phtran-ce.png differ
diff --git a/doc/en/Docs/schematics/pickup.png b/doc/en/Docs/schematics/pickup.png
new file mode 100644
index 0000000..2a19983
Binary files /dev/null and b/doc/en/Docs/schematics/pickup.png differ
diff --git a/doc/en/Docs/schematics/pt100.png b/doc/en/Docs/schematics/pt100.png
new file mode 100644
index 0000000..6a41a4f
Binary files /dev/null and b/doc/en/Docs/schematics/pt100.png differ
diff --git a/doc/en/Docs/schematics/rc-diff.png b/doc/en/Docs/schematics/rc-diff.png
new file mode 100644
index 0000000..13a624b
Binary files /dev/null and b/doc/en/Docs/schematics/rc-diff.png differ
diff --git a/doc/en/Docs/schematics/rc-integ.png b/doc/en/Docs/schematics/rc-integ.png
new file mode 100644
index 0000000..ffc1430
Binary files /dev/null and b/doc/en/Docs/schematics/rc-integ.png differ
diff --git a/doc/en/Docs/schematics/rc-steadystate.png b/doc/en/Docs/schematics/rc-steadystate.png
new file mode 100644
index 0000000..8a9e991
Binary files /dev/null and b/doc/en/Docs/schematics/rc-steadystate.png differ
diff --git a/doc/en/Docs/schematics/rc-tran.png b/doc/en/Docs/schematics/rc-tran.png
new file mode 100644
index 0000000..d22e874
Binary files /dev/null and b/doc/en/Docs/schematics/rc-tran.png differ
diff --git a/doc/en/Docs/schematics/res-comp.png b/doc/en/Docs/schematics/res-comp.png
new file mode 100644
index 0000000..da91cf1
Binary files /dev/null and b/doc/en/Docs/schematics/res-comp.png differ
diff --git a/doc/en/Docs/schematics/res-measure.png b/doc/en/Docs/schematics/res-measure.png
new file mode 100644
index 0000000..2fc3bbd
Binary files /dev/null and b/doc/en/Docs/schematics/res-measure.png differ
diff --git a/doc/en/Docs/schematics/res-par.png b/doc/en/Docs/schematics/res-par.png
new file mode 100644
index 0000000..e88cde5
Binary files /dev/null and b/doc/en/Docs/schematics/res-par.png differ
diff --git a/doc/en/Docs/schematics/res-series.png b/doc/en/Docs/schematics/res-series.png
new file mode 100644
index 0000000..2199996
Binary files /dev/null and b/doc/en/Docs/schematics/res-series.png differ
diff --git a/doc/en/Docs/schematics/rl-steadystate-ferrite.png b/doc/en/Docs/schematics/rl-steadystate-ferrite.png
new file mode 100644
index 0000000..5a51bde
Binary files /dev/null and b/doc/en/Docs/schematics/rl-steadystate-ferrite.png differ
diff --git a/doc/en/Docs/schematics/rl-steadystate.png b/doc/en/Docs/schematics/rl-steadystate.png
new file mode 100644
index 0000000..02ab453
Binary files /dev/null and b/doc/en/Docs/schematics/rl-steadystate.png differ
diff --git a/doc/en/Docs/schematics/rl-tran.png b/doc/en/Docs/schematics/rl-tran.png
new file mode 100644
index 0000000..7982121
Binary files /dev/null and b/doc/en/Docs/schematics/rl-tran.png differ
diff --git a/doc/en/Docs/schematics/rlc-tran.png b/doc/en/Docs/schematics/rlc-tran.png
new file mode 100644
index 0000000..ad8c0cb
Binary files /dev/null and b/doc/en/Docs/schematics/rlc-tran.png differ
diff --git a/doc/en/Docs/schematics/rodpend.png b/doc/en/Docs/schematics/rodpend.png
new file mode 100644
index 0000000..fec0bf1
Binary files /dev/null and b/doc/en/Docs/schematics/rodpend.png differ
diff --git a/doc/en/Docs/schematics/sine-180deg.png b/doc/en/Docs/schematics/sine-180deg.png
new file mode 100644
index 0000000..e89f176
Binary files /dev/null and b/doc/en/Docs/schematics/sine-180deg.png differ
diff --git a/doc/en/Docs/schematics/sine-a0.png b/doc/en/Docs/schematics/sine-a0.png
new file mode 100644
index 0000000..a06f678
Binary files /dev/null and b/doc/en/Docs/schematics/sine-a0.png differ
diff --git a/doc/en/Docs/schematics/sound-beats.png b/doc/en/Docs/schematics/sound-beats.png
new file mode 100644
index 0000000..820810c
Binary files /dev/null and b/doc/en/Docs/schematics/sound-beats.png differ
diff --git a/doc/en/Docs/schematics/sound-freq.png b/doc/en/Docs/schematics/sound-freq.png
new file mode 100644
index 0000000..f7732b0
Binary files /dev/null and b/doc/en/Docs/schematics/sound-freq.png differ
diff --git a/doc/en/Docs/schematics/sound-vel.png b/doc/en/Docs/schematics/sound-vel.png
new file mode 100644
index 0000000..5752137
Binary files /dev/null and b/doc/en/Docs/schematics/sound-vel.png differ
diff --git a/doc/en/Docs/schematics/sqr-a0.png b/doc/en/Docs/schematics/sqr-a0.png
new file mode 100644
index 0000000..bab7208
Binary files /dev/null and b/doc/en/Docs/schematics/sqr-a0.png differ
diff --git a/doc/en/Docs/schematics/strobo.png b/doc/en/Docs/schematics/strobo.png
new file mode 100644
index 0000000..b7ba8c1
Binary files /dev/null and b/doc/en/Docs/schematics/strobo.png differ
diff --git a/doc/en/Docs/schematics/temp-control.png b/doc/en/Docs/schematics/temp-control.png
new file mode 100644
index 0000000..f6aab79
Binary files /dev/null and b/doc/en/Docs/schematics/temp-control.png differ
diff --git a/doc/en/Docs/schematics/tran-amp.png b/doc/en/Docs/schematics/tran-amp.png
new file mode 100644
index 0000000..de5897e
Binary files /dev/null and b/doc/en/Docs/schematics/tran-amp.png differ
diff --git a/doc/en/Docs/schematics/tran-ce.png b/doc/en/Docs/schematics/tran-ce.png
new file mode 100644
index 0000000..6b21731
Binary files /dev/null and b/doc/en/Docs/schematics/tran-ce.png differ
diff --git a/doc/en/Docs/schematics/transformer.png b/doc/en/Docs/schematics/transformer.png
new file mode 100644
index 0000000..226abe2
Binary files /dev/null and b/doc/en/Docs/schematics/transformer.png differ
diff --git a/doc/en/Docs/schematics/triwave_diff.png b/doc/en/Docs/schematics/triwave_diff.png
new file mode 100644
index 0000000..355ec2e
Binary files /dev/null and b/doc/en/Docs/schematics/triwave_diff.png differ
diff --git a/doc/en/Docs/schematics/ultra-sound.png b/doc/en/Docs/schematics/ultra-sound.png
new file mode 100644
index 0000000..6d2e0b2
Binary files /dev/null and b/doc/en/Docs/schematics/ultra-sound.png differ
diff --git a/doc/en/Docs/schematics/water.png b/doc/en/Docs/schematics/water.png
new file mode 100644
index 0000000..e09f2f5
Binary files /dev/null and b/doc/en/Docs/schematics/water.png differ
diff --git a/doc/en/Progman-jr/Makefile b/doc/en/Progman-jr/Makefile
new file mode 100644
index 0000000..1ccedd4
--- /dev/null
+++ b/doc/en/Progman-jr/Makefile
@@ -0,0 +1,32 @@
+DESTDIR =
+LANG    = en
+SOURCES = $(shell ls *.lyx)
+PDFS    = $(patsubst %.lyx, %.pdf, $(SOURCES))
+TEXS    = $(patsubst %.lyx, %.tex, $(SOURCES))
+WARNING=LaTeX Warning: Label(s) may have changed. Rerun
+
+all: $(PDFS)
+
+install: all
+	install -m 644 $(PDFS) $(DESTDIR)
+
+%.pdf: %.lyx
+	@echo -n "Language=$(LANG), exporting $< to a LaTeX file ... "
+	@lyx -e latex $< >/dev/null 2>&1
+	@echo Done.
+	@echo -n "Running PDFLaTeX"
+	@ok=0; lyxfile=$<; \
+	logfile=$$(echo $${lyxfile} | sed 's/\.lyx/.log/'); \
+	texfile=$$(echo $${lyxfile} | sed 's/\.lyx/.tex/'); \
+	while [ $$ok = 0 ]; do \
+	  echo -n " ... "; \
+	  pdflatex -interaction=nonstopmode $${texfile} >/dev/null 2>&1 || true; \
+	  if ! grep -q "$(WARNING)" $${logfile}; then \
+	    ok=1; \
+	  fi; \
+	done
+	@echo Done.
+
+clean:
+	rm -f *~ *.aux *.log *.toc *.lof
+	[ -f /tmp/keep-expeyes-docs ] || rm -f $(PDFS) $(TEXS)
diff --git a/doc/en/Progman-jr/eyesj-progman.lyx b/doc/en/Progman-jr/eyesj-progman.lyx
new file mode 100644
index 0000000..18d3b7b
--- /dev/null
+++ b/doc/en/Progman-jr/eyesj-progman.lyx
@@ -0,0 +1,3661 @@
+#LyX 2.0 created this file. For more info see http://www.lyx.org/
+\lyxformat 413
+\begin_document
+\begin_header
+\textclass report
+\use_default_options false
+\maintain_unincluded_children false
+\language american
+\language_package default
+\inputencoding auto
+\fontencoding global
+\font_roman default
+\font_sans default
+\font_typewriter default
+\font_default_family default
+\use_non_tex_fonts false
+\font_sc false
+\font_osf false
+\font_sf_scale 100
+\font_tt_scale 100
+
+\graphics default
+\default_output_format default
+\output_sync 0
+\bibtex_command default
+\index_command default
+\paperfontsize 12
+\spacing onehalf
+\use_hyperref false
+\papersize a4paper
+\use_geometry true
+\use_amsmath 1
+\use_esint 0
+\use_mhchem 1
+\use_mathdots 1
+\cite_engine basic
+\use_bibtopic false
+\use_indices false
+\paperorientation portrait
+\suppress_date false
+\use_refstyle 0
+\index Index
+\shortcut idx
+\color #008000
+\end_index
+\leftmargin 2cm
+\topmargin 2cm
+\rightmargin 2cm
+\bottommargin 2cm
+\secnumdepth 4
+\tocdepth 4
+\paragraph_separation indent
+\paragraph_indentation default
+\quotes_language english
+\papercolumns 1
+\papersides 2
+\paperpagestyle default
+\tracking_changes false
+\output_changes false
+\html_math_output 0
+\html_css_as_file 0
+\html_be_strict false
+\end_header
+
+\begin_body
+
+\begin_layout Standard
+\align center
+
+\size tiny
+.
+\size giant
+
+\begin_inset Newline newline
+\end_inset
+
+
+\begin_inset VSpace 1in
+\end_inset
+
+ExpEYES-Junior
+\end_layout
+
+\begin_layout Standard
+\align center
+
+\size giant
+Programmer's Manual
+\end_layout
+
+\begin_layout Standard
+\begin_inset VSpace 1in
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+\align center
+
+\size larger
+Ajith Kumar B.P
+\end_layout
+
+\begin_layout Standard
+\align center
+
+\size larger
+Inter-University Accelerator Centre
+\end_layout
+
+\begin_layout Standard
+\align center
+
+\size larger
+New Delhi 110 067
+\end_layout
+
+\begin_layout Standard
+\begin_inset VSpace 1in
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+\begin_inset VSpace 1in
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+\align center
+Version 1.1 (26-Oct-2013)
+\end_layout
+
+\begin_layout Standard
+\align center
+http://expeyes.in
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+\begin_inset CommandInset toc
+LatexCommand tableofcontents
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Chapter
+Introduction
+\end_layout
+
+\begin_layout Standard
+The design of expEYES is shown schematically in figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:expEYES-Junior-Top"
+
+\end_inset
+
+, along with the top panel marking the Input/Output connectors explained
+ in table 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "tab:Description-of-Input/Output"
+
+\end_inset
+
+.
+ Functions for accessing the feature of the expEYES hardware, like measuring
+ a voltage or frequency, setting a voltage or frequency, measuring time
+ intervals etc.
+ are available in Python and C languages.
+ Data analysis and graphics functions are given in two separate Python modules.
+ Application programs are developed using these modules.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/top-panel.png
+	lyxscale 30
+	width 5cm
+
+\end_inset
+
+ 
+\begin_inset Graphics
+	filename pics/eyesjun-block.png
+	lyxscale 50
+	width 6cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+expEYES Junior Top panel and Block diagram
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:expEYES-Junior-Top"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float table
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\begin_inset Tabular
+<lyxtabular version="3" rows="19" columns="3">
+<features tabularvalignment="middle">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Pin #
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Name
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Description
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+GND
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Ground
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+2
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+IN1
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+0 to 5V range Analog /Digital Input, Current Source
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+3
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+IN2
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+0 to 5V range Analog / Digital Input, Current Source
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+4
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+SEN
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+0 to 5V range Analog/Digital Input, with 5K pullup, for resistive sensors
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+5
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+SQR1
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+.7Hz to 200kHz Square Wave Output, 
+\begin_inset Formula $100\Omega$
+\end_inset
+
+ series resistor
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+6
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+SQR2
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+.7Hz to 200kHz Square Wave Output, no series resistor
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+7
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+OD1
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Digital Output, no series resistor
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+8
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+CCS
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1 mA Constant Current Source with ON/OFF Control
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+9
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+GND
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Ground
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+10
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+GND
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Ground
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+11
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+SINE
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Sinewave output, around 150 Hz, 4 volts
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+12
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+MIC
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Output of the microphone, amplified 51 times
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+13
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+IN
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Inverting Amplifier Input, maximum gain = 51
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+14
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+OUT
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Amplifier output, of Pin13
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+15
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+PVS
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Programmable Voltage Output, from 0 to 5 volts.
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+16
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+A2
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $\pm5V$
+\end_inset
+
+ range Analog Input
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+17
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+A1
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $\pm5V$
+\end_inset
+
+ range Analog Input
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+18
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+GND
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Ground
+\end_layout
+
+\end_inset
+</cell>
+</row>
+</lyxtabular>
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Description of Input/Output Terminals
+\begin_inset CommandInset label
+LatexCommand label
+name "tab:Description-of-Input/Output"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Software
+\end_layout
+
+\begin_layout Standard
+There are mainly three modules under the expeyes package:
+\end_layout
+
+\begin_layout Itemize
+eyesj.py : hardware communication
+\end_layout
+
+\begin_layout Itemize
+eyeplot.py : Graphics using using Tkinter module
+\end_layout
+
+\begin_layout Itemize
+eyemath.py : data analysis using modules numpy and scipy
+\end_layout
+
+\begin_layout Itemize
+ejlib.c & ejlib.h : C library and the header file
+\end_layout
+
+\begin_layout Standard
+They can be installed by using the .tgz files or the .deb packages provided
+ on http://expeyes.in.
+\end_layout
+
+\begin_layout Chapter
+Hardware Communication
+\end_layout
+
+\begin_layout Standard
+The module expeyes.py contains all the functions required for communicating
+ to the hardware in addition to some utility functions.
+ The functions are inside a class and the open() function returns an object
+ of this class if expEYES hardware is detected.
+ After that the function calls to access expEYES are done using this object,
+ as shown in the example below.
+\end_layout
+
+\begin_layout LyX-Code
+\align block
+import expeyes.eyesj      # import the eyes library
+\end_layout
+
+\begin_layout LyX-Code
+\align block
+p = expeyes.eyesj.open()  # returns an object if hardware is found
+\end_layout
+
+\begin_layout LyX-Code
+print p.get_voltage(1)    # print the voltage at input A1
+\end_layout
+
+\begin_layout Standard
+A sample program in C language is given below.
+ This should be compiled and executed.
+\end_layout
+
+\begin_layout LyX-Code
+#include "ejlib.c"
+\end_layout
+
+\begin_layout LyX-Code
+int fd;
+\end_layout
+
+\begin_layout LyX-Code
+int main() 
+\end_layout
+
+\begin_layout LyX-Code
+   {
+\end_layout
+
+\begin_layout LyX-Code
+   byte ss[10]; 
+\end_layout
+
+\begin_layout LyX-Code
+   fd = open_eyesj(); 
+\end_layout
+
+\begin_layout LyX-Code
+   if(fd < 0) 
+\end_layout
+
+\begin_layout LyX-Code
+     {
+\end_layout
+
+\begin_layout LyX-Code
+     fprintf(stderr,"EYES Open Failed
+\backslash
+n");
+\end_layout
+
+\begin_layout LyX-Code
+     exit(0);
+\end_layout
+
+\begin_layout LyX-Code
+     }
+\end_layout
+
+\begin_layout LyX-Code
+   if(get_version(ss) != 0) exit(1);
+\end_layout
+
+\begin_layout LyX-Code
+   printf(
+\begin_inset Quotes eld
+\end_inset
+
+%s
+\backslash
+n
+\begin_inset Quotes erd
+\end_inset
+
+,ss);
+\end_layout
+
+\begin_layout LyX-Code
+}
+\end_layout
+
+\begin_layout Standard
+On error, the Python functions returns None (-1 in the case of time interval
+ measurements).
+ On success the data is returned.
+ The C functions returns zero on success, en errorcode otherwise.
+ The data is always returned using the addresses passed to the function
+ by the calling program.
+ In both Python and C, the functions are given the same names.
+ The main difference is in returning the results.
+ In C, you need to pass an address for that.
+ The function returns only the status of the operation.
+ Some of the C functions are mentioned below.
+ It is easier to have a look at the header file 
+\shape italic
+ejlib.h
+\shape default
+.
+\end_layout
+
+\begin_layout Standard
+For every function, the Python and C versions are described, but no example
+ code given in C.
+ Every function communicates to the program running on the micro-controller
+ on the expEYES Junior board.
+ The hardware communication functions can be broadly grouped into analog
+ inputs, analog outputs, digital inputs, digital outputs, time interval
+ measurements, waveform generation etc.
+ For plotting data from expEYES, the python-matplotlib package is used.
+\end_layout
+
+\begin_layout Standard
+The following sections will introduce features of expEYES with examples.
+ The voltages applied MUST be within the specified limits.
+ 
+\emph on
+A channel number is assigned to identify every Analog/Digital signal.
+ The function calls uses this number for accessing it.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float table
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Tabular
+<lyxtabular version="3" rows="14" columns="2">
+<features tabularvalignment="middle">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Channel #
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Name
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+0
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Analog Comparator output
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+A1
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+2
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+A2
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+3
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+IN1
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+4
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+IN2
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+5
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+SEN
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+6
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+SQR1 readback
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+7
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+SQR2 readback
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+8
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+SQR1 output
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+9
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+SQR1 output
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+10
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+OD1 output
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+11
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+CCS output control
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+12
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+PVS Readback
+\end_layout
+
+\end_inset
+</cell>
+</row>
+</lyxtabular>
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Signals and Channel Numbers
+\begin_inset CommandInset label
+LatexCommand label
+name "tab:Signals-and-Channel"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Analog Output
+\end_layout
+
+\begin_layout Standard
+The Programmable Voltage Sources (PVS) can be set anywhere between 0 and
+ 5 volts.
+ The resolution is 12 bits, means the minimum step is 5000/4095, around
+ 1.25 millivolts.
+\end_layout
+
+\begin_layout Subsection
+set_voltage()
+\end_layout
+
+\begin_layout Standard
+Set the output voltage of the PVS.
+ The value of 
+\shape italic
+V
+\shape default
+ should be in 0 to 5 volts range.
+ The function returns the actual value set, by reading it back using an
+ ADC input (channel number 12).
+ 
+\end_layout
+
+\begin_layout LyX-Code
+print p.set_voltage(2.5)      # Sets 2.5 volts on PVS
+\end_layout
+
+\begin_layout Paragraph*
+C function:
+\end_layout
+
+\begin_layout Standard
+byte set_voltage(float v, float* vset); // vset returns the readback of
+ PVS
+\end_layout
+
+\begin_layout Section
+Digital Inputs (IN1, IN2 and SEN)
+\end_layout
+
+\begin_layout Standard
+You can connect them externally to GND or 5 volts , to make the voltage
+ level HIGH or LOW.
+ Any voltage less than 1 volt is taken as a LOW or 0.
+ Anything greater than 2.5 volts is treated as a HIGH or 1.
+ These terminals can also be configured as Analog Inputs.
+ 
+\end_layout
+
+\begin_layout Subsection
+get_state(channel#)
+\end_layout
+
+\begin_layout Standard
+Returns 0 or 1, depending on the voltage level at the input pin
+\end_layout
+
+\begin_layout LyX-Code
+print p.get_state(3)    # prints logic level of IN1.
+  IN2 = 4, SEN = 5
+\end_layout
+
+\begin_layout LyX-Code
+print p.get_state(0)    # Returns 
+\begin_inset Quotes eld
+\end_inset
+
+1
+\begin_inset Quotes erd
+\end_inset
+
+ if SEN > 1.25 volts
+\end_layout
+
+\begin_layout Standard
+Channel 0 represents the analog comparator output.
+ The positive input of analog comparator should be connected to SEN.
+ Negative input is internally connected to 1.25 volts.
+\end_layout
+
+\begin_layout Standard
+One of the powerful feature of digital inputs is the ability to measure
+ the time between level transitions with microsecond resolution.
+ This will be discussed later.
+\end_layout
+
+\begin_layout Paragraph*
+C function:
+\end_layout
+
+\begin_layout Standard
+byte get_state(byte pin, byte *st); // variable st returns 0 or 1.
+\end_layout
+
+\begin_layout Section
+Digital Output (OD1)
+\end_layout
+
+\begin_layout Standard
+You can set the voltage level on them to LOW or HIGH volts using software.
+ If you connect LEDs to them, use a 1K
+\begin_inset Formula $\Omega$
+\end_inset
+
+ series resistor for current limiting.
+\end_layout
+
+\begin_layout Subsection
+set_state(channel#, state)
+\end_layout
+
+\begin_layout Standard
+This function sets the specified channel to state 
+\begin_inset Quotes eld
+\end_inset
+
+0
+\begin_inset Quotes erd
+\end_inset
+
+ or 
+\begin_inset Quotes eld
+\end_inset
+
+1
+\begin_inset Quotes erd
+\end_inset
+
+.
+\end_layout
+
+\begin_layout LyX-Code
+\align block
+p.set_state(10,1)    # Sets OD1 HIGH.
+ Channel number of OD1 is 10
+\end_layout
+
+\begin_layout Standard
+The outputs SQR1 (8) and SQR2 (9) also can behave as digital outputs, provided
+ they are not configured to generate Square or PWM outputs.
+\end_layout
+
+\begin_layout Paragraph*
+C function:
+\end_layout
+
+\begin_layout Standard
+byte set_state(byte pin, byte state); // pin is set to 0 or 1, according
+ to the value of state.
+\end_layout
+
+\begin_layout Section
+Analog Inputs (A1,A2,IN1,IN2 & SEN)
+\end_layout
+
+\begin_layout Standard
+The analog inputs A1 and A2 accept voltages between -5 volts and +5 volts.
+ The Inputs IN1, IN2 and SEN can accept voltages in the 0 to 5 volts range.
+ We can read the voltage level at any of this inputs, either as single reads
+ or multiple reads in a single function call, normally to capture a waveform.
+ The time interval between consecutive reads within a capture can be set
+ with microsecond resolution.
+\end_layout
+
+\begin_layout Subsection
+get_voltage(channel#)
+\end_layout
+
+\begin_layout LyX-Code
+print p.get_voltage(1)  # voltage at A1
+\end_layout
+
+\begin_layout LyX-Code
+print p.get_voltage(2)  # voltage at A2
+\end_layout
+
+\begin_layout LyX-Code
+print p.get_voltage(3)  # voltage at IN1
+\end_layout
+
+\begin_layout LyX-Code
+print p.get_voltage(4)  # voltage at IN2
+\end_layout
+
+\begin_layout LyX-Code
+print p.get_voltage(5)  # voltage at SEN
+\end_layout
+
+\begin_layout LyX-Code
+print p.get_voltage(6)  # voltage at SQR1 output
+\end_layout
+
+\begin_layout LyX-Code
+print p.get_voltage(7)  # voltage at SQR2 output
+\end_layout
+
+\begin_layout LyX-Code
+print p.get_voltage(12) # voltage at PVS output
+\end_layout
+
+\begin_layout Standard
+Connect PVS to A1 using a piece of wire and run the following program several
+ times.
+\end_layout
+
+\begin_layout LyX-Code
+\align block
+import expeyes.eyesj    
+\end_layout
+
+\begin_layout LyX-Code
+\align block
+p = expeyes.eyesj.open()
+\end_layout
+
+\begin_layout LyX-Code
+v = input('Enter V (0 to 5)')
+\end_layout
+
+\begin_layout LyX-Code
+print p.set_voltage(v)   # prints the voltage set on PVS
+\end_layout
+
+\begin_layout LyX-Code
+\align block
+print p.get_voltage(1)   # voltage at A1
+\end_layout
+
+\begin_layout Standard
+If the voltages are in the 0 to 5 volts range, use IN1 or IN2 for better
+ results.
+ The 
+\begin_inset Formula $\pm5V$
+\end_inset
+
+ range inputs A1 & A2 are converted in to 0 to 5V range using summing junctions.
+ The amplifiers used for this will have some gain and offset errors.
+ The resolution also is halved because of the doubles total range.
+ The input SEN has a 5k pullup resistor to 5 volts, for connecting photo-transis
+tors and other resistive sensors .
+ 
+\end_layout
+
+\begin_layout Paragraph*
+C function:
+\end_layout
+
+\begin_layout Standard
+byte get_voltage(byte ch, float* v)
+\end_layout
+
+\begin_layout Subsection
+get_voltage_time(channel#)
+\end_layout
+
+\begin_layout Standard
+This function returns the time stamp, from the PC clock, and the voltage
+ in a tuple.
+ This is useful for data logging applications.
+ 
+\end_layout
+
+\begin_layout Paragraph*
+C function:
+\end_layout
+
+\begin_layout Standard
+byte get_voltage(byte ch, int* t, float* v)
+\end_layout
+
+\begin_layout Subsection
+get_voltageNS(channel#)
+\end_layout
+
+\begin_layout Standard
+The 
+\emph on
+get_voltage()
+\emph default
+ function mentioned in the previous section measures the voltage after putting
+ the micro-controller is SLEEP mode, for better accuracy.
+ This will stop waveforms set on SQR1 & SQR2.
+ If that is not accepatble for a particular experiment, one can use this
+ function.
+\end_layout
+
+\begin_layout LyX-Code
+print p.get_voltageNS(1)  # voltage at A1
+\end_layout
+
+\begin_layout Paragraph*
+C function:
+\end_layout
+
+\begin_layout Standard
+byte get_voltageNS(byte ch, float* v)
+\end_layout
+
+\begin_layout Subsection
+capture(ch, NP, tg)
+\end_layout
+
+\begin_layout Standard
+The argument 
+\shape italic
+ch
+\shape default
+ is the input channel number, 
+\shape italic
+NP
+\shape default
+ is the number of measurements and 
+\shape italic
+tg
+\shape default
+ is the time between two measurements in microseconds.
+ Two lists containing the time (milliseconds) and voltage (volts) coordinates
+ are returned by this function.
+ Capture calls return analog data with 8 bit resolution.
+ Maximum value of NP is 1800, limited by the micro-controller RAM available.
+ 
+\end_layout
+
+\begin_layout Standard
+The minimum value of 'tg' is 4 microseconds.
+ The value of 'tg' is decided by the frequency of the signal to be captured.
+ For example, one cycle of a 1kHz sine wave is 1000 microseconds.
+ A tg of 20 will give 50 data points per cycle.
+\end_layout
+
+\begin_layout Standard
+Connect SINE to A1 and run the following program.
+\end_layout
+
+\begin_layout LyX-Code
+from pylab import *
+\end_layout
+
+\begin_layout LyX-Code
+\align block
+import expeyes.eyesj
+\end_layout
+
+\begin_layout LyX-Code
+\align block
+p = expeyes.eyesj.open()
+\end_layout
+
+\begin_layout LyX-Code
+t,v = p.capture(1,300,100)
+\end_layout
+
+\begin_layout LyX-Code
+plot(t,v)     # from pylab
+\end_layout
+
+\begin_layout LyX-Code
+show()        # from pylab
+\end_layout
+
+\begin_layout LyX-Code
+
+\end_layout
+
+\begin_layout LyX-Code
+\begin_inset Tabular
+<lyxtabular version="3" rows="8" columns="3">
+<features tabularvalignment="middle">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Terminal
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Channel #
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Range(V)
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+A1
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+-5 to +5
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+A2
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+2
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+-5 to +5
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+IN1
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+3
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+0 to 5
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+IN2
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+4
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+0 to 5
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+SEN
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+5
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+0 to 5
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+SQR1(read)
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+6
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+0 to 5
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+SQR2(read)
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+7
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+0 to 5
+\end_layout
+
+\end_inset
+</cell>
+</row>
+</lyxtabular>
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+If the voltage to be measured is in the 0 to 5V range, use IN1 or IN2, for
+ a better resolution.
+ The SEN input has a 5
+\begin_inset Formula $k\Omega$
+\end_inset
+
+ pullup resistor to 5V supply.
+ We can calculate the value of a resistance connected from SEN to GND, from
+ the measured voltage, using Ohm's law.
+\end_layout
+
+\begin_layout Paragraph*
+C function:
+\end_layout
+
+\begin_layout Standard
+byte capture(int ch, int ns, int tg, float* data); 
+\end_layout
+
+\begin_layout Standard
+The variable data returns an array of 2*ns float type elements, first ns
+ time coordinates and after that ns voltage coordinates.
+ It is the responsibility of the calling program to pass the address of
+ an array having sufficient size.
+ capture_hr() also returns data in the same format.
+\end_layout
+
+\begin_layout Subsection
+capture2, capture3 & capture4
+\end_layout
+
+\begin_layout Standard
+These functions captures multiple channels together, with timing correlation.
+ The maximum value of NP for capture4 = 1800/4 = 450.
+ The minimum value of 'tg' is 4 microseconds per channel, capture4 should
+ have a minimum tg of 16.
+\end_layout
+
+\begin_layout LyX-Code
+t1,v1,t2,v2 = capture2(ch1, ch2, NP, tg)
+\end_layout
+
+\begin_layout LyX-Code
+t1,v1,t2,v2 = capture2_hr(ch1, ch2, NP, tg)
+\end_layout
+
+\begin_layout LyX-Code
+t1,v1,t2,v2,t3,v3 = capture3(ch1, ch2, ch3, NP, tg)
+\end_layout
+
+\begin_layout LyX-Code
+t1,v1,t2,v2,t3,v3,t4,v4 = capture4(ch1, ch2, ch3, ch4, NP, tg)
+\end_layout
+
+\begin_layout Paragraph*
+C function:
+\end_layout
+
+\begin_layout Standard
+byte capture2(int ch1, int ch2, int ns, int tg, float* data); 
+\end_layout
+
+\begin_layout Standard
+The variable data returns an arrays of 2(2*ns) float type elements.
+ First (2*ns) are the time and voltage values for channel 1 and the next
+ (2*ns) for channel 2.
+ Function capture3 and capture4 also returns data in a similar manner.
+\end_layout
+
+\begin_layout Subsection
+capture_hr(ch, NP, tg), capture2_hr(ch1, ch2, NP, tg)
+\end_layout
+
+\begin_layout Standard
+These two functions captures data with higher resolution (12 bits).
+ In this case each value takes 2 bytes and the maximum value of NP is 900
+ for capture_hr, and 450 for capture2_hr.
+ High resolution version is NOT available for capture3 and capture4.
+\end_layout
+
+\begin_layout LyX-Code
+t1,v1 = capture_hr(ch1, 900, 10)
+\end_layout
+
+\begin_layout LyX-Code
+t1,v1,t2,v2 = capture2_hr(ch1, ch2, 450, 20)
+\end_layout
+
+\begin_layout LyX-Code
+plot(t1,v1, t2,v2)
+\end_layout
+
+\begin_layout LyX-Code
+show()
+\end_layout
+
+\begin_layout Standard
+We can find out the amplitude and frequency of the input waveform by mathematica
+lly fitting the captured data to the equation of a sine wave
+\begin_inset Formula $V=V_{0}\sin\left(2\pi ft+\theta\right)+C$
+\end_inset
+
+ .
+ By capturing 4 to 5 cycles, the frequency can be obtained within 0.1% error.
+\end_layout
+
+\begin_layout Section
+Capture modifiers
+\end_layout
+
+\begin_layout Standard
+When a periodic wave form is captured, the starting point could be at any
+ voltage, within the minimum and maximum voltage.
+ To implement an oscilloscope, we need to make sure that the starting point
+ is always same, else the trace will be jumping around.
+ This is a simple example of a capture modifier.
+ expEYES implements several other types of capture modifiers to enhance
+ the functionality of the capture functions.
+ The basic idea is to perform some action just before starting the waveform
+ capture.
+ The important types of modifiers (or actions) are
+\end_layout
+
+\begin_layout Itemize
+Analog Trigger on any input channel, trigger level can be set by the user.
+\end_layout
+
+\begin_layout Itemize
+Wait for HIGH, LOW, Falling Edge or Rising Edge on Inputs IN1, IN2, SEN,
+ SQR1 or SQR2
+\end_layout
+
+\begin_layout Itemize
+Set, Clear or send Pulse one of the Digital Outputs, mainly OD1.
+ SQR1 & SQR2 also will act as digital outputs if frequency is set to zero.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float table
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Tabular
+<lyxtabular version="3" rows="10" columns="3">
+<features tabularvalignment="middle">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Action
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Code
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Description
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+AANATRIG
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+0
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Trigger on analog input level
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+ASET
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Makes the specified Output HIGH
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+ACLR
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+2
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Makes the specified Output LOW
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+APULSEHT
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+3
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Send High True Pulse on Output 
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+APULSELT
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+4
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Send Low True Pulse on Output
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+AWAITHI
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+5
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Wait for HIGH level on specified Input
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell multicolumn="1" alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+AWAITLO
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+6
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Wait for LOW level on specified Input
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+AWAITRISE
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+7
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Wait for Rising Edge on specified Input
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+AWAITFALL
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+8
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Wait for Falling Edge on specified Input
+\end_layout
+
+\end_inset
+</cell>
+</row>
+</lyxtabular>
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Capture Modifiers
+\begin_inset CommandInset label
+LatexCommand label
+name "tab:Capture-Modifiers"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+enable_action(action, Selected I/O) is the function call for registering
+ actions.
+ They will be valid on subsequent capture calls.
+ Calling disable_actions() removes all registered actions and capture goes
+ back to its default state of analog triggering on the captured channel.
+ For convenience, we have defined more functions that internally call the
+ function enable_action()
+\end_layout
+
+\begin_layout Subsection
+set_trigger(trigval)
+\end_layout
+
+\begin_layout Standard
+Sets the analog voltage trigger level, for the capture function.
+ If the specified voltage value is not found at the input, within the timeout
+ period, the capture is done ignoring the trigger condition.
+\end_layout
+
+\begin_layout LyX-Code
+p.set_trigger(2048)   # 0 to 4095 is the analog range
+\end_layout
+
+\begin_layout Subsection
+set_trigsource(channel#)
+\end_layout
+
+\begin_layout Standard
+The Input source to be used for analog level triggering.
+ It need not be the one that is captured.
+ The example code below demonstrates the effect of this function.
+ Connect SINE to A1 before running.
+\end_layout
+
+\begin_layout LyX-Code
+from pylab import *
+\end_layout
+
+\begin_layout LyX-Code
+\align block
+import expeyes.eyesj
+\end_layout
+
+\begin_layout LyX-Code
+\align block
+p = expeyes.eyesj.open()
+\end_layout
+
+\begin_layout LyX-Code
+ts = 1      # run the program by changing this to 2
+\end_layout
+
+\begin_layout LyX-Code
+p.set_trig_source(ts)
+\end_layout
+
+\begin_layout LyX-Code
+t,v = p.capture(1,300,50)
+\end_layout
+
+\begin_layout LyX-Code
+plot(t,v)
+\end_layout
+
+\begin_layout LyX-Code
+t,v = p.capture(1,300,50)
+\end_layout
+
+\begin_layout LyX-Code
+plot(t,v)
+\end_layout
+
+\begin_layout LyX-Code
+show()
+\end_layout
+
+\begin_layout Standard
+The traces will not overlap if the trigger source is set to some other channel,
+ provided there is no time correlation between the two inputs.
+\end_layout
+
+\begin_layout Paragraph*
+C function:
+\end_layout
+
+\begin_layout Standard
+byte set_trig_source(byte ch);
+\end_layout
+
+\begin_layout Subsection
+enable_wait_high(channel#), ..._low(...), ..._falling(...), ..._rising(...)
+\end_layout
+
+\begin_layout Standard
+Calling this function makes all the subsequent 
+\series bold
+capture
+\series default
+ calls to wait for a HIGH / LOW / rising edge/ falling edge, on the specified
+ input before starting the digitization.
+\end_layout
+
+\begin_layout LyX-Code
+p.enable_action(1, 11)    # Start CCS before capturing
+\end_layout
+
+\begin_layout LyX-Code
+p.enable_wait_rising(3)   # wait for a rising edge on IN1
+\end_layout
+
+\begin_layout LyX-Code
+p.disable_actions()       # removes all modifiers
+\end_layout
+
+\begin_layout Paragraph*
+C function:
+\end_layout
+
+\begin_layout Standard
+byte enable_wait_high(byte ch);
+\end_layout
+
+\begin_layout Subsection
+enable_set_high(channel#), ..._low(...), ..._pulse_high(...), ..._low(...)
+\end_layout
+
+\begin_layout Standard
+In some applications, it would be necessary to make a digital output high/low
+ or send a pulse, width set by another function, with before digitization
+ starts.
+ Capturing the voltage across a capacitor while charging / discharging is
+ a typical application of this feature.
+ Connect a 1uF capacitor between A1 and GND.
+ Connect a 1K
+\begin_inset Formula $\Omega$
+\end_inset
+
+ resistor from OD1 to A1 and run the following code.
+\end_layout
+
+\begin_layout LyX-Code
+from pylab import *
+\end_layout
+
+\begin_layout LyX-Code
+\align block
+import expeyes.eyesj
+\end_layout
+
+\begin_layout LyX-Code
+\align block
+p = expeyes.eyesj.open()
+\end_layout
+
+\begin_layout LyX-Code
+p.set_state(10,1)        # Take OD1 HIGH
+\end_layout
+
+\begin_layout LyX-Code
+p.enable_set_low(10)     # OD1 go LOW before capture
+\end_layout
+
+\begin_layout LyX-Code
+t,v = p.capture(1,200,20)
+\end_layout
+
+\begin_layout LyX-Code
+plot(t,v)
+\end_layout
+
+\begin_layout LyX-Code
+show()
+\end_layout
+
+\begin_layout Paragraph*
+C function:
+\end_layout
+
+\begin_layout Standard
+byte enable_set_high(byte ch);
+\end_layout
+
+\begin_layout Subsection
+set_pulsewidth(width)
+\end_layout
+
+\begin_layout Standard
+Sets the width of the pulse that is send on the digital outputs before capturing
+, in microseconds, up to 250.
+\end_layout
+
+\begin_layout LyX-Code
+p.set_pulsewidth(100)  # sets the pulsewidth
+\end_layout
+
+\begin_layout Paragraph*
+C function:
+\end_layout
+
+\begin_layout Standard
+byte set_pulsewidth(u16 width);
+\end_layout
+
+\begin_layout Section
+Waveform Generation
+\end_layout
+
+\begin_layout Standard
+ExpEYES can generate square waves on SQR1 and SQR2.
+ The frequency can vary from 0.7 Hz to 100 kHz.
+ All intermediate values are NOT possible since the output is generated
+ by timers and comparators.
+ The function returns the actual values set, closest possible to the requested.
+ Output SQR1 has a 
+\begin_inset Formula $100\Omega$
+\end_inset
+
+ series resistor for current limiting, but SQR2 is directly connected.
+\end_layout
+
+\begin_layout Subsection
+set_sqr1(freq), set_sqr2(freq)
+\end_layout
+
+\begin_layout Standard
+Generates a square waveform, having 50% duty cycle, on SQR1/SQR2.
+ SQR1 has a 100
+\begin_inset Formula $\Omega$
+\end_inset
+
+ series resistor on it.
+ Setting freq = 0 will make the output HIGH and setting freq = -1 will make
+ it LOW.
+ Both these cases disables the Timer/Counter and configures it as a normal
+ digital output.
+\end_layout
+
+\begin_layout LyX-Code
+\align block
+import expeyes.eyesj    
+\end_layout
+
+\begin_layout LyX-Code
+\align block
+p = expeyes.eyesj.open()
+\end_layout
+
+\begin_layout LyX-Code
+\align block
+print p.set_sqr1(1000) 
+\end_layout
+
+\begin_layout Paragraph*
+C function:
+\end_layout
+
+\begin_layout Standard
+byte set_sqr1(float freq, float *fset);
+\end_layout
+
+\begin_layout Standard
+The desired value is specified in 'freq', after the call 'fset' will contain
+ the actual frequency set.
+\end_layout
+
+\begin_layout Subsection
+set_sqrs(freq, phase shift in percent)
+\end_layout
+
+\begin_layout Standard
+Generates a square waveform of same frequency on both SQR1 and SQR2.
+ The phase shift between the two can be set in percentage of the Time Period.
+\end_layout
+
+\begin_layout LyX-Code
+\align block
+p.set_sqrs(1000,50)    # Two out of phase waveforms 
+\end_layout
+
+\begin_layout Paragraph*
+C function:
+\end_layout
+
+\begin_layout Standard
+byte set_sqrs(float freq, float diff, float *fset);
+\end_layout
+
+\begin_layout Subsection
+set_sqr1_pwm(dutycycle), set_sqr2_pwm(dutycycle)
+\end_layout
+
+\begin_layout Standard
+SQR1 and SQR2 can be configured for making Pulse Width Modulated waveform.
+ The duty cycle is specified in percentage.
+ The frequency is 488Hz by default, because the second argument is set to
+ 14 by default.
+ This is the index of counter's bit which triggers the PWM.
+ Specifying the second argument can be used for changing the frequency.
+ Reducing it by 1 will double the frequency and increasing by 1 will halve
+ it.
+\end_layout
+
+\begin_layout LyX-Code
+\align block
+print p.set_sqr1_pwm(20)      # 488Hz, 20% duty cycle 
+\end_layout
+
+\begin_layout LyX-Code
+\align block
+print p.set_sqr1_pwm(50, 15)  # 244Hz, 50% duty cycle
+\end_layout
+
+\begin_layout LyX-Code
+\align block
+print p.set_sqr1_pwm(50, 13)  # 976Hz, 50% duty cycle 
+\end_layout
+
+\begin_layout Paragraph*
+C function:
+\end_layout
+
+\begin_layout Standard
+byte set_sqr1_pwm(byte dc);
+\end_layout
+
+\begin_layout Subsection
+set_sqr1_dc(voltage), set_sqr2_dc(voltage)
+\end_layout
+
+\begin_layout Standard
+SQR1 and SQR2 can be configured to generate a DC voltage, by external filtering,
+ from a PWM waveform.
+ The voltage, 0 to 5V range, is specified as the argument.
+\end_layout
+
+\begin_layout LyX-Code
+\align block
+print p.set_sqr1_dc(2)   # 7.8 kHz, 40% duty cycle 
+\end_layout
+
+\begin_layout Standard
+\align block
+Filtering the waveform generates a DC voltage.
+ Connect 10k from SQR1 to IN1 and 100uF from IN1 to GND.
+\end_layout
+
+\begin_layout LyX-Code
+print p.get_voltage(3)   # voltage at IN1
+\end_layout
+
+\begin_layout Standard
+The output voltage depends on the supply voltage provided by USB.
+ Setting 3 volts means only setting 60% of the supply voltage.
+ The readout from IN1 will give the correct value.
+\end_layout
+
+\begin_layout Paragraph*
+C function:
+\end_layout
+
+\begin_layout Standard
+byte set_sqr1_dc(float volt)
+\end_layout
+
+\begin_layout Subsection
+get_frequency(pin)
+\end_layout
+
+\begin_layout Standard
+Measure the frequency of a 0 to 5V square wave connected to IN1, IN2 or
+ SEN.
+ You can also measure the frequency of SQR1 & SQR2 outputs from channels
+ 6 and 7 respectively.
+ Connect SQR1 to IN1 and run the following code
+\end_layout
+
+\begin_layout LyX-Code
+import expeyes.eyesj    
+\end_layout
+
+\begin_layout LyX-Code
+\align block
+p = expeyes.eyesj.open()
+\end_layout
+
+\begin_layout LyX-Code
+p.set_sqr1(1000)
+\end_layout
+
+\begin_layout LyX-Code
+print p.get_frequency(3)      # frequency of squarewave at IN1
+\end_layout
+
+\begin_layout LyX-Code
+print p.get_frequency(6)      # frequency of SQR1, same as above
+\end_layout
+
+\begin_layout Paragraph*
+C function:
+\end_layout
+
+\begin_layout Standard
+byte get_frequency(byte pin, float *fr)
+\end_layout
+
+\begin_layout Section
+Infrared Transmission
+\end_layout
+
+\begin_layout Standard
+The SQR1 output supports two types of 38kHz infrared transmission protocol.
+ One is a non-standard 1 byte transmission, that can be received by another
+ program running on an ATmega32 micro-controller.
+ This can be used for controlling some device from expEYES junior.
+\end_layout
+
+\begin_layout Subsection
+irsend1(byte)
+\end_layout
+
+\begin_layout Standard
+Sends the byte over SQR1.
+ Just connect an IR LED from SQR1 to GND and issue the command.
+\end_layout
+
+\begin_layout Standard
+To signify a start 38kHz is kept on for 9000 microseconds followed by a
+ silence of 4400 microseconds.
+ After that 38kHz is kept ON for 680 usec followed by (a) silence of 1560
+ usecs to transmit a 1 and 440 usecs to transmit a 0.
+ This process is repeated 8 times, starting with the MSB of the byte to
+ be transmitted.
+ The sequence ends by transmitting a 340 usecs long burst again.
+ This is received by a program
+\begin_inset Foot
+status collapsed
+
+\begin_layout Plain Layout
+http://expeyes.in/sites/default/files/debs/recv.c
+\end_layout
+
+\end_inset
+
+ given on the website.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/ir-code.png
+	height 1.5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection
+irsend4(byte, byte, byte, byte)
+\end_layout
+
+\begin_layout Standard
+The Start and End are identical to irsend1() but instead of 1 byte, 4 bytes
+ are sent in a single transmission.
+ If the numbers are chosen properly, you can control TVs or other instruments
+ using this.
+\end_layout
+
+\begin_layout Section
+Passive Time Interval Measurements
+\end_layout
+
+\begin_layout Standard
+Digital Inputs can be used for measuring time intervals between level transition
+s on the digital inputs with microsecond resolution.
+ The transitions defining the start and finish could be on the same terminal
+ or on different ones.
+\end_layout
+
+\begin_layout Subsection
+r2ftime(pin1, pin2) , f2rtime(pin1, pin2)
+\end_layout
+
+\begin_layout Standard
+r2ftime returns delay in microseconds from a rising edge on pin1 to a falling
+ edge on pin2, the channel numbers corresponding to the inputs should be
+ given as the arguments.
+ The pins could be same or distinct.
+ Similarly f2rtime() measures time from a falling edge to a rising edge.
+\end_layout
+
+\begin_layout Standard
+Connect SQR1 to IN1 and run the following code, should print around 500
+ usecs.
+\end_layout
+
+\begin_layout LyX-Code
+import expeyes.eyesj    
+\end_layout
+
+\begin_layout LyX-Code
+\align block
+p = expeyes.eyesj.open()
+\end_layout
+
+\begin_layout LyX-Code
+p.set_sqr1(1000)        # 1kHz, T=1msec.
+ half period = 500 usecs
+\end_layout
+
+\begin_layout LyX-Code
+print p.r2ftime(3,3)
+\end_layout
+
+\begin_layout Paragraph*
+C function:
+\end_layout
+
+\begin_layout Standard
+byte r2ftime(byte pin1, byte pin2, float *ti)
+\end_layout
+
+\begin_layout Subsection
+r2rtime(pin1, pin2), f2ftime(pin1, pin2)
+\end_layout
+
+\begin_layout Standard
+r2rtime returns delay in microseconds from a rising edge to rising edge.
+ The pins should NOT be the same.
+ The following code shows how to use this for measuring delay between two
+ transitions.
+\end_layout
+
+\begin_layout LyX-Code
+import expeyes.eyesj    
+\end_layout
+
+\begin_layout LyX-Code
+\align block
+p = expeyes.eyesj.open()
+\end_layout
+
+\begin_layout LyX-Code
+p.set_sqrs(1000, 25)      # 1000Hz on both SQR1 & 2.
+ Delay by 25%, 250us
+\end_layout
+
+\begin_layout LyX-Code
+print p.r2rtime(6,7)      # Channels 6 & 7 are readback of SQR1 and SQR2
+\end_layout
+
+\begin_layout Subsection
+multi_r2rtime(channel#,skip_edges)
+\end_layout
+
+\begin_layout Standard
+Measures time interval between two rising edges of a waveform applied to
+ a digital input.
+ The second argument is the number of rising edges to be skipped between
+ the two measured rising edges.
+ This way we can decide the number of cycles to be measured.
+\end_layout
+
+\begin_layout Standard
+Connect SQ1to IN1 and run the following code.
+\end_layout
+
+\begin_layout LyX-Code
+import expeyes.eyesj    
+\end_layout
+
+\begin_layout LyX-Code
+\align block
+p = expeyes.eyesj.open()
+\end_layout
+
+\begin_layout LyX-Code
+p.set_sqr1(1000)
+\end_layout
+
+\begin_layout LyX-Code
+a = p.multi_r2rtime(3)      # time for 1 cycle in usecs
+\end_layout
+
+\begin_layout LyX-Code
+b = p.multi_r2rtime(3,9) # time for 10 cycles in usecs
+\end_layout
+
+\begin_layout LyX-Code
+print 10.0e6/a  # frequency in Hz
+\end_layout
+
+\begin_layout LyX-Code
+
+\end_layout
+
+\begin_layout Standard
+For a periodic waveform input, the fourth line of the program returns the
+ time for one cycle and the fifth one returns the time for 10 cycles ( 9
+ rising edges in between skipped).
+ This call can be used for frequency measurement.
+ The accuracy can be improved by measuring larges number of cycles.
+\end_layout
+
+\begin_layout Paragraph*
+C function:
+\end_layout
+
+\begin_layout Standard
+byte multi_r2rtime(byte pin, byte skip, float *ti)
+\end_layout
+
+\begin_layout Section
+Active Time Interval Measurements
+\end_layout
+
+\begin_layout Standard
+During some experiments, we need to initiate some action and measure the
+ time interval to the result of of that action.
+ These functions are used in experiments like gravity by time of flight
+ and velocity of sound using ultrasound piezo discs.
+\end_layout
+
+\begin_layout Subsubsection
+set2rtime (Digital Output, Digital Input)
+\end_layout
+
+\begin_layout Standard
+This makes the specified Digital Output HIGH and waits for a HIGH on the
+ Digital Input.
+ Connect a 1k resistor from OD1 to IN1 and a 1uF capacitor from IN1 to GND.
+ 
+\end_layout
+
+\begin_layout Quotation
+\align left
+p.set2rtime(10, 3)
+\end_layout
+
+\begin_layout Subsubsection
+htpulse2rtime(Digital output, Digital Input)
+\end_layout
+
+\begin_layout LyX-Code
+int htpulse2rtime(out, in)
+\end_layout
+
+\begin_layout Standard
+Sends out a single High True pulse on 
+\series bold
+out
+\series default
+ (SQR1, SQR2 or OD1) and waits for a rising/falling edge on 
+\series bold
+in
+\series default
+ (IN1, IN2 or SEN).
+ The duration of the pulse is set by set_pulsewidth().
+ On powerup the width is 13 microseconds.
+ The initial level of 
+\series bold
+out
+\series default
+ should be set according to the kind of pulse.
+\end_layout
+
+\begin_layout Standard
+Similarly we have htpulse2ftime(), ltpulse2rtime() and ltpulse2ftime().
+\end_layout
+
+\begin_layout LyX-Code
+p.set_pulse_width(1)
+\end_layout
+
+\begin_layout LyX-Code
+print p.htpulse2rtime(10, 3) 
+\end_layout
+
+\begin_layout Standard
+measures the time from a 1usec wide High True pulse on OD1 to a rising edge
+ on IN1.
+\end_layout
+
+\begin_layout Subsubsection
+set_pulse_width(width)
+\end_layout
+
+\begin_layout Standard
+Sets the pulse width, in microseconds, to be used by the htpulse2rtime(),
+ htpulse2ftime(), ltpulse2rtime(), ltpulse2ftime() functions.
+\end_layout
+
+\begin_layout LyX-Code
+p.set_pulse_width(10)
+\end_layout
+
+\begin_layout Section
+1mA Current Source
+\end_layout
+
+\begin_layout Standard
+The 1mA constant current can be switched ON or OFF by channel number 11,
+ as shown below
+\end_layout
+
+\begin_layout LyX-Code
+p.set_state(11, 1)    # switch on CCS 
+\end_layout
+
+\begin_layout Standard
+We can plot the linear charging of a 1uF capacitor by conecting it between
+ CCS and GND, and running the following code.
+ Connect CCS to IN1 for voltage measurement.
+\end_layout
+
+\begin_layout LyX-Code
+from pylab import * 
+\end_layout
+
+\begin_layout LyX-Code
+import expeyes.eyesj, time 
+\end_layout
+
+\begin_layout LyX-Code
+p = expeyes.eyesj.open()
+\end_layout
+
+\begin_layout LyX-Code
+p.set_state(11,0)      # switch of CCS
+\end_layout
+
+\begin_layout LyX-Code
+time.sleep(1)          # wait for discharge
+\end_layout
+
+\begin_layout LyX-Code
+p.enable_set_high(11)  # enable CCS just before capture   
+\end_layout
+
+\begin_layout LyX-Code
+t1,v1= p.capture_hr(3,500,10) 
+\end_layout
+
+\begin_layout LyX-Code
+plot(t1,v1) 
+\end_layout
+
+\begin_layout LyX-Code
+show()
+\end_layout
+
+\begin_layout Section
+Capacitance measurements
+\end_layout
+
+\begin_layout Standard
+The IN1 pin can be used for measuring capacitance, ranging from hundred
+ to several thousand pico Farads.
+ This is done using an internal programmable constant current source.
+ 
+\end_layout
+
+\begin_layout Subsection
+measure_cap()
+\end_layout
+
+\begin_layout Standard
+Connect the capacitor between IN1 and ground and run the function measure_cap().
+\end_layout
+
+\begin_layout LyX-Code
+import expeyes.eyesj
+\end_layout
+
+\begin_layout LyX-Code
+p = expeyes.eyesj.open()
+\end_layout
+
+\begin_layout LyX-Code
+print p.measure_cap()
+\end_layout
+
+\begin_layout Standard
+The capacitance is measured by charging the capacitor with a 5.5 uA constant
+ current source for a fixed duration.
+ The total charge is given by Q = It = CV.
+ If V,I and t are known, C can be calculated.
+ The value of the current source may vary from 5.5 uA and the empty socket,
+ along with tracks, also has some capacitance.
+ These error are taken can by calibrating it using a known capacitor.
+ The error factors are stored in EEPROM of the micro-controller.
+\end_layout
+
+\begin_layout Subsection
+measure_cv(channel, duration, current)
+\end_layout
+
+\begin_layout Standard
+This is a more flexible version of measure_cap, allowing to set the current
+ source on IN1 or IN2.
+ The current source is activated for 'duration' microseconds.
+ The last argument could be .55, 5.5, 55 or 550 microamps.
+ The function returns the voltage at the selected input after applying the
+ current for the specified duration.
+\end_layout
+
+\begin_layout Standard
+Depending on the value of the capacitor connected, we need to select duration
+ and current such that the voltage developed is between 2 to 4 volts for
+ good results.
+ Connect a 330 pF capacitor from IN1 to GND and run the following code.
+\end_layout
+
+\begin_layout LyX-Code
+import expeyes.eyesj
+\end_layout
+
+\begin_layout LyX-Code
+p = expeyes.eyesj.open()
+\end_layout
+
+\begin_layout LyX-Code
+print p.measure_cv(3, 200, 5.5)  # result was 3.017 volts
+\end_layout
+
+\begin_layout Standard
+The capacitance can be calculated using the expressions Q =CV and Q = I*t.
+ C =I*t/v = 5.5*200/3.017 = 364 pF.
+ Subtracting the Stray capacitance 32pF gives a result of 332pF.
+\end_layout
+
+\begin_layout Subsection
+set_current(channel, current)
+\end_layout
+
+\begin_layout Standard
+This function enables the internal current source on IN1 or IN2.
+ This Constant Current Source may be used for measuring the current with
+ some other device.
+ The voltage readback is not working as expected.
+ Using an ammeter connected from IN1 to ground, it is found that the current
+ is 5.5 uA , 47 uA and 450 uA, somewhat less than the specification, in higher
+ ranges.
+\end_layout
+
+\begin_layout Section
+Resistance Measurements
+\end_layout
+
+\begin_layout Standard
+The SEN input is internally connected to 5 volts through a 5100
+\begin_inset Formula $\Omega$
+\end_inset
+
+ resistor.
+ It is possible to calculate the value of a resistor connected from SEN
+ to GND using Ohm's law.
+ However, the internal resistor may not be exactly 5100 due to component
+ tolerance.
+\end_layout
+
+\begin_layout Subsection
+measure_res()
+\end_layout
+
+\begin_layout Standard
+The input SEN is connected to 5 volts internally through a 5100 Ohm resistor.
+ Connecting an external resistor from SEN to GND makes a potential divider.
+ It is possible to calculate the value of the resistor connected using Ohm's
+ law.
+\end_layout
+
+\begin_layout Standard
+This function returns the value of a resistance connected from SEN to GND,
+ calculated using the equation
+\end_layout
+
+\begin_layout Standard
+\begin_inset Formula $R_{ext}=R_{int}*V{}_{SEN}/(5.0-V_{SEN})$
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Disk Writing
+\end_layout
+
+\begin_layout Subsection
+save_data
+\end_layout
+
+\begin_layout Standard
+Input data is of the form, [ [x1,y1], [x2,y2],....] where x and y are vectors,
+ are save to a text file.
+\end_layout
+
+\begin_layout Standard
+Save the data returned by the capture functions into a text file.
+ Default filename is `plot.dat', that can be overriden by the second argument.
+ Connect SINE to A1 and run the following code.
+\end_layout
+
+\begin_layout LyX-Code
+import expeyes.eyesj    
+\end_layout
+
+\begin_layout LyX-Code
+\align block
+p = expeyes.eyesj.open()
+\end_layout
+
+\begin_layout LyX-Code
+t,v = p.capture(1, 200, 100)
+\end_layout
+
+\begin_layout LyX-Code
+p.save([[t,v]], 'sine.dat')
+\end_layout
+
+\begin_layout Standard
+open the file using the command 
+\end_layout
+
+\begin_layout Standard
+$xmgrace sine.dat
+\end_layout
+
+\begin_layout Chapter
+Data processing
+\end_layout
+
+\begin_layout Standard
+The data acquired from expEYES hardware is analyzed using various mathematical
+ techniques like least-square fitting, Fourier transform etc.
+ The module named eyemath.py does this with the help of functions from the
+ 'scipy' package.
+ Most of the functions accepts the data format returned by capture functions.
+ 
+\end_layout
+
+\begin_layout Subsection
+fit_sine
+\end_layout
+
+\begin_layout Standard
+Accepts two vectors [x] and [y] and tries to do a least-square fitting of
+ the data with the equation 
+\begin_inset Formula $A\sin\left(2\pi ft+\theta\right)+C$
+\end_inset
+
+.
+ Returns the fitted data and the parameter list
+\begin_inset Formula $[A,f,\theta,C]$
+\end_inset
+
+.
+ Connect SINE to A1 and run the following code.
+\end_layout
+
+\begin_layout LyX-Code
+from pylab import *
+\end_layout
+
+\begin_layout LyX-Code
+import expeyes.eyesj, expeyes.eyemath as em
+\end_layout
+
+\begin_layout LyX-Code
+\align block
+p = expeyes.eyesj.open()
+\end_layout
+
+\begin_layout LyX-Code
+t,v= p.capture(1,400,100)
+\end_layout
+
+\begin_layout LyX-Code
+vfit, par = em.fit_sine(t,v)
+\end_layout
+
+\begin_layout LyX-Code
+print par        # 
+\begin_inset Formula $A,f,\theta,C$
+\end_inset
+
+
+\end_layout
+
+\begin_layout LyX-Code
+plot(t,v)        # The raw data
+\end_layout
+
+\begin_layout LyX-Code
+plot(t,vfit)     # data calculated from par
+\end_layout
+
+\begin_layout LyX-Code
+show()
+\end_layout
+
+\begin_layout Standard
+par[1] is frequency in kHz, since the time is given in milliseconds.
+\end_layout
+
+\begin_layout Subsection
+fit_dsine
+\end_layout
+
+\begin_layout Standard
+Accepts two vectors [x] and [y] and tries to do a least-square fitting of
+ the data with the equation 
+\begin_inset Formula $A=A_{0}\sin\left(2\pi ft+\theta\right)\times exp(-dt)+C$
+\end_inset
+
+.
+ Returns the fitted data and the parameter list
+\begin_inset Formula $[A,f,\theta,C,d]$
+\end_inset
+
+.
+ par[1] is frequency in kHz, since the time is given in milliseconds and
+ 'd' is the damping factor.
+\end_layout
+
+\begin_layout Subsection
+fit_exp
+\end_layout
+
+\begin_layout Standard
+Accepts two vectors [x] and [y] and tries to do a least-square fitting of
+ the data with the equation 
+\begin_inset Formula $A=A_{0}\exp\left(kt\right)+C$
+\end_inset
+
+.
+ Returns the fitted data and the parameter list
+\begin_inset Formula $[A,k,C]$
+\end_inset
+
+.
+ Connect a 1uF capacitor from A1 to GND, 1k
+\begin_inset Formula $\Omega$
+\end_inset
+
+ resistor from OD1 to A1 and run the following code.
+\end_layout
+
+\begin_layout LyX-Code
+from pylab import *
+\end_layout
+
+\begin_layout LyX-Code
+\align block
+import expeyes.eyesj, expeyes.eyemath as em
+\end_layout
+
+\begin_layout LyX-Code
+\align block
+p = expeyes.eyesj.open()
+\end_layout
+
+\begin_layout LyX-Code
+p.set_state(10,1)     # Take OD1 HIGH
+\end_layout
+
+\begin_layout LyX-Code
+p.enable_set_low(10)    # OD1 go LOW before capture
+\end_layout
+
+\begin_layout LyX-Code
+t,v = p.capture(1,200,20)
+\end_layout
+
+\begin_layout LyX-Code
+plot(t,v)
+\end_layout
+
+\begin_layout LyX-Code
+vfit, par = em.fit_exp(t,v)
+\end_layout
+
+\begin_layout LyX-Code
+print par
+\end_layout
+
+\begin_layout LyX-Code
+plot(t,v)        # The raw data
+\end_layout
+
+\begin_layout LyX-Code
+plot(t,vfit)     # data calculated from par
+\end_layout
+
+\begin_layout LyX-Code
+show()
+\end_layout
+
+\begin_layout Standard
+-(1/par[1]) is the time constant RC in seconds.
+\end_layout
+
+\begin_layout Subsubsection
+fft
+\end_layout
+
+\begin_layout Standard
+Does a Fourier transform of a given data set.
+ The sampling interval in milliseconds is the second argument.
+ Returns the frequency spectrum, ie.
+ the relative strength of each frequency component.
+ Connect SINE to A1 and run the following code.
+\end_layout
+
+\begin_layout LyX-Code
+from pylab import *
+\end_layout
+
+\begin_layout LyX-Code
+import expeyes.eyesj, expeyes.eyemath as em
+\end_layout
+
+\begin_layout LyX-Code
+ns = 1000   # number of points to be captured
+\end_layout
+
+\begin_layout LyX-Code
+tg = 100    # time between reads in usecs
+\end_layout
+
+\begin_layout LyX-Code
+\align block
+p = expeyes.eyesj.open()
+\end_layout
+
+\begin_layout LyX-Code
+t,v= p.capture(1, ns, tg)
+\end_layout
+
+\begin_layout LyX-Code
+x,y = em.fft(v, tg * 0.001)  # tg in millisecs
+\end_layout
+
+\begin_layout LyX-Code
+plot(t,v)     # The raw data
+\end_layout
+
+\begin_layout LyX-Code
+plot(x,y)     # data calculated from par
+\end_layout
+
+\begin_layout LyX-Code
+show()
+\end_layout
+
+\begin_layout Standard
+The frequency spectrum should feature a peak at frequency f=150Hz.
+ A small peak at frequency 2f may be visible.
+\end_layout
+
+\begin_layout Standard
+Modify this program to show the frequency spectrum of a square wave.
+\end_layout
+
+\begin_layout Chapter
+Experiments 
+\end_layout
+
+\begin_layout Standard
+Most of the experiments described in the user manual can be done by writing
+ few lines of Python code.
+\end_layout
+
+\begin_layout Section
+Transient response of LC circuit
+\end_layout
+
+\begin_layout Standard
+Connect inductor from OD1 to A1, capacitor from A1 to GND.
+ 
+\end_layout
+
+\begin_layout LyX-Code
+NP = 200   # number of readings
+\end_layout
+
+\begin_layout LyX-Code
+tg = 10    # time gap between them, keep NP*tg around 3*RC
+\end_layout
+
+\begin_layout LyX-Code
+from pylab import *
+\end_layout
+
+\begin_layout LyX-Code
+import expeyes.eyesj, expeyes.eyemath as em
+\end_layout
+
+\begin_layout LyX-Code
+p = expeyes.eyesj.open()
+\end_layout
+
+\begin_layout LyX-Code
+p.set_state(10,1)
+\end_layout
+
+\begin_layout LyX-Code
+p.enable_set_low(10)           # OD1 go LOW before capture
+\end_layout
+
+\begin_layout LyX-Code
+t,v = p.capture_hr(1,NP,tg)    # choose NP*tg according to time constant
+\end_layout
+
+\begin_layout LyX-Code
+plot(t,v)
+\end_layout
+
+\begin_layout LyX-Code
+vf, par = em.fit_exp(t,v)      # exponential fit
+\end_layout
+
+\begin_layout LyX-Code
+plot(t, vf,'r')
+\end_layout
+
+\begin_layout LyX-Code
+print abs(1./par[1])           # print RC value
+\end_layout
+
+\begin_layout LyX-Code
+show()
+\end_layout
+
+\begin_layout LyX-Code
+
+\end_layout
+
+\end_body
+\end_document
diff --git a/doc/en/Progman-jr/pics/eyesjun-block.png b/doc/en/Progman-jr/pics/eyesjun-block.png
new file mode 100644
index 0000000..0390475
Binary files /dev/null and b/doc/en/Progman-jr/pics/eyesjun-block.png differ
diff --git a/doc/en/Progman-jr/pics/top-panel.png b/doc/en/Progman-jr/pics/top-panel.png
new file mode 100644
index 0000000..4b1883d
Binary files /dev/null and b/doc/en/Progman-jr/pics/top-panel.png differ
diff --git a/doc/en/Progman-jr/schematics/ir-code.png b/doc/en/Progman-jr/schematics/ir-code.png
new file mode 100644
index 0000000..710ceec
Binary files /dev/null and b/doc/en/Progman-jr/schematics/ir-code.png differ
diff --git a/doc/en/Progman-jr/schematics/ir-code.ps b/doc/en/Progman-jr/schematics/ir-code.ps
new file mode 100644
index 0000000..a818afa
--- /dev/null
+++ b/doc/en/Progman-jr/schematics/ir-code.ps
@@ -0,0 +1,213 @@
+%!PS-Adobe-3.0 EPSF-3.0
+%%Title: ir-code.ps
+%%Creator: XCircuit v3.7 rev26
+%%CreationDate: Fri Aug 10 11:41:21 2012
+%%Pages: 1
+%%BoundingBox: 68 68 460 179
+%%DocumentNeededResources: font Helvetica 
+%%EndComments
+%%BeginProlog
+%
+%  PostScript prolog for output from xcircuit
+%  Version: 3.7
+%
+%  Electrical circuit (and otherwise general) drawing program
+%
+%  Written by Tim Edwards 8/5/93--11/1/10  (tim.edwards at multigig.com)
+%  The Johns Hopkins University (1993-2004)
+%  MultiGiG, Inc. (2004-present)
+%
+%%BeginResource: procset XCIRCproc 3.7 2
+%
+% supporting definitions --- these are the primary xcircuit types.
+
+/XCIRCsave save def
+/topmat matrix currentmatrix def
+
+/fontslant { /slant exch def [1 0 slant 1 0 0] 
+    exch findfont exch makefont dup length dict /ndict exch def
+    { 1 index /FID ne { ndict 3 1 roll put } { pop pop } ifelse } forall
+    ndict definefont pop} def
+/ul { dup type /stringtype eq showflag 1 eq and { gsave 
+   currentpoint topmat setmatrix 0 0 moveto 2 index stringwidth pop (_)
+   false charpath flattenpath pathbbox grestore exch pop 1 index
+   sub setlinewidth exch pop currentpoint 3 -1 roll add moveto 0
+   rlineto stroke moveto } if } def
+/ol { dup type /stringtype eq showflag 1 eq and { gsave gsave
+   currentpoint topmat setmatrix 2 index stringwidth pop 3 index
+   true charpath flattenpath pathbbox grestore exch pop
+   exch pop topmat setmatrix (_) true charpath pathbbox grestore
+   exch pop 1 index sub setlinewidth exch pop currentpoint
+   exch 4 1 roll exch sub add moveto pop 0 rlineto stroke
+   moveto } if } def
+/stW { gsave currentpoint newpath moveto true charpath flattenpath
+	pathbbox pop exch pop sub grestore } def
+/Ts {mark Tabs aload pop counttomark 1 add array astore /Tabs exch def Tabs
+	0 currentpoint pop put} def
+/Tbn {mark Tabs aload pop counttomark dup 2 add 1 roll cleartomark 1 sub} def
+/Tb { 0 1 Tbn {Tabs exch get dup currentpoint pop lt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/Tf { Tbn -1 0 {Tabs exch get dup currentpoint pop gt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/qS { (aa) stW (a a) stW sub 4 div 0 Kn } def
+/hS { qS qS } def
+/pspc 0 def
+/cf0 { scalefont setfont } bind def
+/Kn { dup kY add /kY exch def rmoveto } bind def
+/ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.33 mul neg Kn} def
+/Ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.67 mul Kn } def
+/ns { 0 kY neg Kn /kY 0 def /fscale 1.0 def xfont0 1.0 cf0 } def
+/CR { ns 0 /Bline Bline fscale0 neg add def Bline moveto } def
+/cf { dup type /realtype ne {1.0} if exch findfont exch kY 0 eq
+	{ 40 mul dup /fscale0 exch def cf0 /xfont0 currentfont def}
+	{fscale0 mul fscale mul cf0} ifelse } def
+/ctmk { counttomark dup 2 add -1 roll pop } bind def
+/label { gsave translate 0 0 moveto dup scale neg /rotval exch def
+	/just exch def just 384 and 0 gt {/mshow {pop} def} {/mshow {show}
+	def} ifelse just 16 and 0 gt {gsave rotval rotate 0 1 dtransform
+	gsave pagemat setmatrix idtransform exch grestore 1 0 dtransform
+	gsave pagemat setmatrix idtransform exch grestore dup abs 1e-9 lt
+	{pop mul 0 gt} {3 1 roll pop pop 0 lt} ifelse grestore {-1 /rotval
+	rotval neg def /just just dup 3 and 1 ne {3 xor} if def} {1} ifelse
+	exch -1e-9 lt {-1 /rotval rotval neg def /just just dup 12 and
+	4 ne {12 xor} if def} {1} ifelse scale } if /showflag 0 def
+	/fspc pspc def /Bline 0 def /Tabs 0 array def /fscale 1.0 def
+	/kY 0 def gsave dup 1 add copy 0 exch 1 0 dtransform exch atan rotate
+	{exch dup type /stringtype eq {true charpath flattenpath} {dup type
+	/arraytype eq {exec} {12 string cvs true charpath flattenpath} ifelse}
+	ifelse} repeat pop pathbbox grestore 3 -1 roll pop 3 1 roll just
+	1 and 0 gt {just 2 and 0 gt {exch pop neg fspc sub} {exch sub 0.5
+	mul neg} ifelse} {pop neg fspc add} ifelse exch Bline exch just 4
+	and 0 gt {just 8 and 0 gt {exch pop neg fspc sub} {add 0.5 mul neg}
+	ifelse} {pop neg fspc add} ifelse rotval rotate Kn currentpoint
+	translate /showflag 1 def /Bline 0 def /Tabs 0 array def /fscale
+	1.0 def /kY 0 def {dup type /stringtype eq {mshow} {dup type
+	/arraytype eq {exec} {12 string cvs mshow} ifelse} ifelse} repeat
+	grestore endclip} def
+/pinlabel { 4 index 32 and 0 ne hlevel 0 eq or { /pspc 10 def label
+	/pspc 0 def } { pop pop pop pop pop {pop} repeat } ifelse } def
+/pinglobal { pinlabel } def
+/infolabel { pinlabel } def
+/graphic { gsave 4 index cvx exec /DataSource get resetfile translate 0 0
+	moveto neg rotate dup scale cvx exec image grestore endclip} def
+
+/scb { setrgbcolor } bind def  /sce { defColor aload pop scb } bind def
+/cRedef {/defColor currentcolor 3 array astore def} def
+/begingate {dup type /dicttype ne {1 dict} if begin	% default params
+	dup type /dicttype ne {1 dict} if begin		% instanced params
+	/hlevel hlevel 1 add def /defColor currentcolor sce 3 array astore
+	def gsave sce translate 0 0 moveto neg rotate dup type /nametype
+	eq not { dup abs currentlinewidth exch div setlinewidth } { pop }
+	ifelse dup abs scale clipped 1 and 1 eq {/clipped clipped 1 add def}
+	if} bind def
+/endgate { /hlevel hlevel 1 sub def grestore defColor aload pop cRedef
+	scb end end endclip} bind def
+
+/hlevel 0 def
+/endclip {clipped 1 and 1 eq {grestore /clipped clipped 1 sub def} if} def
+/tmpa [1 0 0 1 0 0] def
+/gar {8 8 true tmpa {<c0 c0 00 00 0c 0c 00 00>} imagemask} bind
+{8 8 true tmpa {<30 70 60 02 03 07 06 20>} imagemask} bind
+{8 8 true tmpa {<0c 1e 1e 0c c0 e1 e1 c0>} imagemask} bind
+{8 8 true tmpa {<0f 0f 0f 0f f0 f0 f0 f0>} imagemask} bind
+{8 8 true tmpa {<3f f3 e1 e1 f3 3f 1e 1e>} imagemask} bind
+{8 8 true tmpa {<df cf 8f 9f fd fc f8 f9>} imagemask} bind
+{8 8 true tmpa {<ff 3f 3f ff ff f3 f3 ff>} imagemask} bind 7 array astore def
+/ppaint { gsave clip tmpa dup setmatrix pathbbox neg exch neg 4 2 roll
+  neg 4 -1 roll 2 copy gt {exch} if 8 div ceiling 8 mul 4 2 roll neg 2 copy
+  gt {exch} if 8 div ceiling 8 mul 3 -1 roll -8 5 -1 roll
+  { 3 index exch 5 exch put dup -8 3 index { 3 index
+  exch 4 exch put 3 index exec } for } for pop pop pop pop grestore } bind def
+/setstyles {
+  currentlinewidth mul setlinewidth /style exch def style 2048 and 0 gt
+  clipped 1 and 0 eq and {clip newpath /clipped clipped 1 add def} {
+  style 1 and 0 gt not {closepath} if
+  style 1024 and 0 gt {2 setlinecap} if
+  style 2 and 0 gt {currentlinewidth 4 mul dup 2 array astore 0 setdash} if
+  style 4 and 0 gt {0.5 currentlinewidth 4 mul 2 array astore 0 setdash} if
+  gsave style 16 and 0 gt { style 224 and -5 bitshift style 256 and 0 gt {
+  7 exch sub 8 div dup 1 exch sub currentrgbcolor 3 array astore
+  {3 copy mul add 4 1 roll pop} forall pop pop setrgbcolor eofill}
+  {dup 7 lt {gar exch get ppaint} {pop eofill} ifelse} ifelse}
+  {style 256 and 0 gt {1 setgray eofill} if} ifelse grestore style 8 and 0 gt 
+  style 512 eq or {newpath} {stroke} ifelse grestore endclip} ifelse} def     
+
+/addtoy {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll add
+	4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtoy1 {1 exch addtoy pop} def
+/addtoy3 {3 exch addtoy pop} def
+/addtoy4 {4 exch addtoy pop} def
+/addtox {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll 3 -1 roll
+	add exch 4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtox1 {1 exch addtox pop} def
+/addtox3 {3 exch addtox pop} def
+/addtox4 {4 exch addtox pop} def
+/polygon { gsave /num exch def moveto num 1 sub {lineto} repeat setstyles } def
+/xcarc   { gsave newpath arc setstyles } def
+/elb { matrix currentmatrix 7 -1 roll 7 -1 roll translate 5 1 roll 4 -1 roll
+	3 index div 1 scale } def
+/ele { 0 4 1 roll 0 4 1 roll } bind def
+/ellipse { gsave elb newpath ele arc setmatrix setstyles } def
+/pellip  { elb ele arc setmatrix } def
+/nellip  { elb ele arcn setmatrix } def
+/spline  { gsave moveto curveto setstyles } def
+/polyc   { {lineto} repeat } bind def
+/beginpath { gsave moveto } bind def
+/endpath { setstyles } bind def
+/bop	 { 1 setlinecap 0 setlinejoin 6 setmiterlimit 0 0 0 scb cRedef
+	/clipped 0 def} def
+/psinsertion {/PSobj save def /showpage {} def /setpagedevice {pop} def bop
+	rotate translate dup scale} def
+/end_insert {PSobj restore} def
+/setpagemat {/pagemat matrix currentmatrix def} def
+/inchscale  {setpagemat 0.375 mul dup scale} def
+/cmscale    {setpagemat 0.35433071 mul dup scale} def
+
+%%EndResource
+%%EndProlog
+
+% XCircuit output starts here.
+
+%%BeginSetup
+
+
+%%EndSetup
+
+%%Page: ir-code 1
+%%PageOrientation: Portrait
+/pgsave save def bop
+1.0000 inchscale
+2.6000 setlinewidth 1168 224 translate
+
+1 1.000 -976 0 -960 0 -960 160 -672 160 -672 0 5 polygon
+1 1.000 -672 0 -528 0 2 polygon
+1 1.000 -528 0 -528 160 2 polygon
+1 1.000 -528 160 -496 160 2 polygon
+(Start Detect) {/Helvetica cf} 2 16 0 1.000 -944 64 label
+(9000 usec) {/Helvetica cf} 2 16 0 1.000 -912 16 label
+{/Helvetica cf} 1 16 0 1.000 -640 32 label
+(4400) {/Helvetica cf} 2 16 0 1.000 -640 48 label
+(0) {/Helvetica cf} 2 16 0 1.000 -416 -32 label
+(1) {/Helvetica cf} 2 16 0 1.000 -336 -32 label
+(1) {/Helvetica cf} 2 16 0 1.000 -464 -32 label
+(680) {/Helvetica cf} 2 16 270 1.000 -496 176 label
+(1560) {/Helvetica cf} 2 16 270 1.000 -464 16 label
+(440) {/Helvetica cf} 2 16 270 1.000 -400 160 label
+(0) {/Helvetica cf} 2 16 0 1.000 -208 -32 label
+(0) {/Helvetica cf} 2 16 0 1.000 -160 -32 label
+(1) {/Helvetica cf} 2 16 0 1.000 -256 -32 label
+(1) {/Helvetica cf} 2 16 0 1.000 -80 -32 label
+(1) {/Helvetica cf} 2 16 0 1.000 0 -32 label
+1 1.000 -496 160 -496 0 -448 0 -448 160 -416 160 -416 0 -400 0 -400 160 -368 
+160 -368 0 -320 0 -320 160 -288 160 -288 0 -240 0 -240 160 -208 160 -208 0 -192 
+0 -192 160 -160 160 -160 0 -144 0 -144 160 -112 160 -112 0 -64 0 -64 160 28 
+polygon
+1 1.000 -64 160 -32 160 -32 0 16 0 16 160 5 polygon
+1 1.000 16 160 32 160 32 0 48 0 4 polygon
+pgsave restore showpage
+
+%%Trailer
+XCIRCsave restore
+%%EOF
diff --git a/doc/en/Progman-jr/schematics/res-measure.png b/doc/en/Progman-jr/schematics/res-measure.png
new file mode 100644
index 0000000..2fc3bbd
Binary files /dev/null and b/doc/en/Progman-jr/schematics/res-measure.png differ
diff --git a/doc/en/Progman-jr/schematics/rlc-tran.png b/doc/en/Progman-jr/schematics/rlc-tran.png
new file mode 100644
index 0000000..ad8c0cb
Binary files /dev/null and b/doc/en/Progman-jr/schematics/rlc-tran.png differ
diff --git a/doc/fr/Docs-jr/Makefile b/doc/fr/Docs-jr/Makefile
new file mode 100644
index 0000000..668a842
--- /dev/null
+++ b/doc/fr/Docs-jr/Makefile
@@ -0,0 +1,36 @@
+DESTDIR =
+PODIR   = ../../../po
+LANG    = fr
+SOURCES = $(shell ls *.lyx)
+PDFS    = $(patsubst %.lyx, %.pdf, $(SOURCES))
+TEXS    = $(patsubst %.lyx, %.tex, $(SOURCES))
+WARNING=LaTeX Warning: Label(s) may have changed. Rerun
+
+all: $(PDFS)
+
+install: all
+	install -m 644 $(PDFS) $(DESTDIR)
+
+%.tex: %.lyx
+	@echo -n "Language=$(LANG), exporting $< to a LaTeX file ... "
+	@lyx -e latex $< >/dev/null 2>&1
+	@echo Done.
+	@echo "Localizing some words in the LaTeX file"
+	@./localize-texfile $@ $(PODIR)/$(LANG).po > $@.tmp && mv $@.tmp $@
+
+%.pdf: %.tex
+	@echo -n "Running PDFLaTeX"
+	@ok=0; \
+	logfile=$$(echo $< | sed 's/\.tex/.log/'); \
+	while [ $$ok = 0 ]; do \
+	  echo -n " ... "; \
+	  pdflatex -interaction=nonstopmode $< >/dev/null 2>&1 || true; \
+	  if ! grep -q "$(WARNING)" $${logfile}; then \
+	    ok=1; \
+	  fi; \
+	done
+	@echo Done.
+
+clean:
+	rm -f *~ *.aux *.log *.toc *.lof $(TEXS)
+	[ -f /tmp/keep-expeyes-docs ] || rm -f $(PDFS) $(TEXS)
diff --git a/doc/fr/Docs-jr/eyesj.lyx b/doc/fr/Docs-jr/eyesj.lyx
new file mode 100644
index 0000000..f778ea5
--- /dev/null
+++ b/doc/fr/Docs-jr/eyesj.lyx
@@ -0,0 +1,10688 @@
+#LyX 2.0 created this file. For more info see http://www.lyx.org/
+\lyxformat 413
+\begin_document
+\begin_header
+\textclass book
+\begin_preamble
+\usepackage{lmodern}
+\usepackage{wrapfig}
+ \setlength{\intextsep}{0cm plus1cm minus1cm}
+\newcommand{\menuitem}[1]{\textbf{\emph{#1}}}
+\end_preamble
+\use_default_options false
+\maintain_unincluded_children false
+\language french
+\language_package default
+\inputencoding auto
+\fontencoding global
+\font_roman default
+\font_sans default
+\font_typewriter default
+\font_default_family default
+\use_non_tex_fonts false
+\font_sc false
+\font_osf false
+\font_sf_scale 100
+\font_tt_scale 100
+
+\graphics default
+\default_output_format default
+\output_sync 1
+\bibtex_command default
+\index_command default
+\paperfontsize default
+\spacing single
+\use_hyperref false
+\papersize custom
+\use_geometry true
+\use_amsmath 1
+\use_esint 0
+\use_mhchem 1
+\use_mathdots 1
+\cite_engine basic
+\use_bibtopic false
+\use_indices false
+\paperorientation portrait
+\suppress_date false
+\use_refstyle 0
+\index Index
+\shortcut idx
+\color #008000
+\end_index
+\paperwidth 21cm
+\paperheight 29.5cm
+\leftmargin 4.5cm
+\topmargin 9cm
+\rightmargin 4cm
+\bottommargin 8cm
+\secnumdepth 2
+\tocdepth 3
+\paragraph_separation indent
+\paragraph_indentation default
+\quotes_language french
+\papercolumns 1
+\papersides 2
+\paperpagestyle plain
+\tracking_changes false
+\output_changes false
+\html_math_output 0
+\html_css_as_file 0
+\html_be_strict false
+\end_header
+
+\begin_body
+
+\begin_layout Standard
+\align left
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+thispagestyle{empty}
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+\begin_inset VSpace 0.2in
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+\align center
+
+\size giant
+expEYES 
+\size larger
+Junior
+\end_layout
+
+\begin_layout Standard
+\align center
+\begin_inset Graphics
+	filename pics/ej-with-netbook-hr.jpg
+	lyxscale 10
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+\align center
+
+\size large
+Manuel de l'utilisateur 
+\end_layout
+
+\begin_layout Standard
+\align center
+
+\size largest
+EYES-JUNIOR
+\end_layout
+
+\begin_layout Standard
+\align center
+http://expeyes.in
+\end_layout
+
+\begin_layout Standard
+\align center
+d'après le
+\end_layout
+
+\begin_layout Standard
+\align center
+Projet PHOENIX
+\begin_inset Newline newline
+\end_inset
+
+Inter-University Accelerator Centre 
+\begin_inset Newline newline
+\end_inset
+
+(un centre de recherche de l'UGC)
+\begin_inset Newline newline
+\end_inset
+
+New Delhi 110 067
+\begin_inset Newline newline
+\end_inset
+
+www.iuac.res.in
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+Préface
+\end_layout
+
+\begin_layout Standard
+Le projet PHOENIX (Physics with Home-made Equipment & Innovative Experiments)
+ a démarré en 2004 par le Centre Inter-Universitaire d'Accélérateurs (IUAC)
+ avec pour objectif l'amélioration de la formation scientifique dans les
+ universités indiennes.
+ Les deux activités principales de ce projet sont le développement de matériel
+ de laboratoire à bas coût et la formation de professeurs.
+\end_layout
+
+\begin_layout Standard
+expEYES Junior est une version modifiée de l'expEYES créé précédemment.
+ Il est conçu comme un outil pour apprendre par l'expérience, adapté à l'enseign
+ement secondaire et plus.
+ Nous avons essayé d'en optimiser la conception pour en faire un outil simple,
+ flexible, robuste et peu coûteux.
+ Le prix très bas le rend accessible aux particuliers et nous espérons voir
+ des étudiants réaliser des expériences en dehors des quatre murs d'un laboratoi
+re, qui ferme quand sonne la cloche.
+\end_layout
+
+\begin_layout Standard
+La conception du matériel est ouverte et libre de droits.
+ Le logiciel est distribué sous la licence GNU General Public License.
+ Le projet a bénéficié de la participation active et des contributions de
+ la communauté d'utilisateurs et de nombreuses autres personnes en dehors
+ de l'IUAC.
+ Ce document a été relu par S Venkaramanan en refaisant les expériences
+ décrites.
+\end_layout
+
+\begin_layout Standard
+Le manuel utilisateur d'expEYES Junior est distribué sous la licence GNU
+ Free Documentation License.
+ Pour plus de détails au sujet du projet visitez le site web 
+\shape italic
+expeyes.in
+\end_layout
+
+\begin_layout Standard
+\begin_inset space ~
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+Ajith Kumar B.P.
+ 
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset space ~
+\end_inset
+
+(ajith at iuac.res.in)
+\end_layout
+
+\begin_layout Standard
+V V V Satyanarayana
+\end_layout
+
+\begin_layout Standard
+Jimson Sacharias
+\end_layout
+
+\begin_layout Standard
+\begin_inset space ~
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+traduction française
+\begin_inset space ~
+\end_inset
+
+: Georges Khaznadar
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+\begin_inset CommandInset toc
+LatexCommand tableofcontents
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Chapter
+Pour commencer
+\end_layout
+
+\begin_layout Section
+Introduction 
+\end_layout
+
+\begin_layout Standard
+La science est l'étude du monde physique par des observations systématiques
+ et des expériences.
+ Une bonne éducation scientifique est essentielle pour cultiver une société
+ où le raisonnement et la pensée logique prévalent au lieu de la superstition
+ et des croyances irrationnelles.
+ L'éducation scientifique est aussi essentielle pour former suffisamment
+ de techniciens, d'ingénieurs et de scientifiques pour l'économie du monde
+ moderne.
+ On admet largement que l'expérience personnelle issue d'expérimentations
+ et d'observations réalisées soit par les étudiants, soit par des enseignants
+ à titre de démonstration, soit essentielle à la pédagogie de la science.
+ Cependant, presque partout la science est enseignée en grande partie à
+ partir de livres de cours sans donner d'importance à l'expérimentation,
+ en partie à cause du manque d'équipements.
+ Sans surprise, la plupart des étudiants échouent à corréler leurs connaissance
+ acquise en classe aux problèmes rencontrés dans la vie quotidienne.
+ On peut jusqu'à un certain point corriger cela en enseignant la science
+ à l'aide de questionnements et d'expériences.
+\end_layout
+
+\begin_layout Standard
+L'avènement des ordinateurs personnels et leur banalisation a ouvert une
+ nouvelle voie pour faire des expériences de laboratoire.
+ L'ajout d'un peu de matériel à un ordinateur ordinaire peut le convertir
+ en un laboratoire de sciences.
+ Réaliser des mesures rapides avec une bonne précision autorise l'étude
+ une large palette de phénomènes.
+ Les expériences scientifiques impliquent en général la mesure et le contrôle
+ de certains paramètres physiques comme la température, la pression, la
+ vitesse, l'accélération, la force, la tension, le courant, etc.
+ Si la grandeur physique étudiée évolue rapidement, il faut automatiser
+ la mesure et un ordinateur devient utile.
+ Par exemple, comprendre la variation de la tension alternative du secteur
+ nécessite de la mesurer à chaque milliseconde.
+\end_layout
+
+\begin_layout Standard
+La possibilité de réaliser des expériences avec une précision raisonnable
+ ouvre aussi la possibilité d'une éducation scientifique orientée sur la
+ recherche.
+ Les étudiants peuvent comparer les données expérimentales avec des modèles
+ mathématiques et examiner les lois fondamentales qui régissent de nombreux
+ phénomènes.
+ Le kit expEYES ( expEriments for Young Engineers & Scientists) est conçu
+ pour permettre une grande variété d'expériences, de l'école à l'université.
+ Il est aussi utilisable comme un équipement de test pour des ingénieurs
+ en électronique ou des bricoleurs.
+ L'architecture simple et ouverte d'expEYES permet aux utilisateurs de développe
+r de nouvelles expériences, sans rentrer dans les détails de l'électronique
+ et de la programmation d'ordinateurs.
+ Ce manuel utilisateur décrit 
+\shape italic
+expEYES
+\shape default
+ Junior avec plusieurs expériences, et il y a aussi un manuel du programmeur.
+\end_layout
+
+\begin_layout Section
+Le matériel
+\end_layout
+
+\begin_layout Standard
+ExpEYES Junior est interfacé et alimenté grâce au port USB de l'ordinateur.
+ Pour y connecter des signaux externes, il a plusieurs entrées/sorties,
+ situées de chaque côté, comme montré sur la figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:The-ExpEYES-toppanel"
+
+\end_inset
+
+.
+ Il peut surveiller et contrôler des tensions à ses connexions.
+ Pour mesurer d'autres paramètres (tels que la température, la pression,
+ etc.), on a besoin de les convertir en signaux électriques à l'aide de capteurs
+ adéquats.
+\end_layout
+
+\begin_layout Standard
+Bien que notre premier objectif soit de faire des expériences, nous vous
+ conseillons de lire la brève description du matériel ci-dessous.
+ L'appareil peut être aussi utilisé comme matériel de test pour des expériences
+ d'électricité et d'électronique.
+\end_layout
+
+\begin_layout Paragraph*
+
+\shape italic
+IMPORTANT : 
+\end_layout
+
+\begin_layout Standard
+
+\emph on
+Les tensions extérieures connectées à 
+\shape italic
+\emph default
+expEYES doivent être comprises dans les limites autorisées.
+ Les entrées A1 et A2 doivent être dans l'intervalle 
+\begin_inset Formula $\pm5$
+\end_inset
+
+ V et les entrées IN1 et IN2 doivent être dans l'intervalle de 0 à 5
+\begin_inset space ~
+\end_inset
+
+V.
+ Si on dépasse ces limites, un message d'erreur clignotant apparaît.
+ Si le programme cesse de fonctionner, terminez-le et reconnectez le câble
+ USB pour relancer l'appareil.
+ Des tension excessives peuvent provoquer des dommages permanents.
+ Pour mesurer des tensions plus hautes, diminuez-les en utilisant des diviseurs
+ de tensions.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/top-panel.png
+	lyxscale 50
+	height 9cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+La face avant d'ExpEYES avec les connexions externes des deux côtés.
+ Le numéros de canal apparaissant à certaines bornes sont destinées à ceux
+ qui écrivent des logiciels pour y accéder.
+ Les flèches indiquent la direction des signaux, par exemple la flèche de
+ 
+\begin_inset Formula $A1\Rightarrow1$
+\end_inset
+
+ signifie que le signal de la borne A1 va vers le canal numéro 1.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:The-ExpEYES-toppanel"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection
+Connexions externes
+\end_layout
+
+\begin_layout Standard
+Les fonctions des bornes des entrées/sorties externes sont expliquées brièvement
+ ci-dessous.
+\end_layout
+
+\begin_layout Paragraph*
+Source de tension programmable, 
+\emph on
+Programmable Voltage Source
+\emph default
+ (PVS) :
+\end_layout
+
+\begin_layout Standard
+On peut la régler, par logiciel, à toute valeur de l’intervalle de 0 à +5
+\begin_inset space ~
+\end_inset
+
+V.
+ La résolution est de 12 bit, ce qui implique un échelon de tension minimal
+ de quelques 1.25
+\begin_inset space ~
+\end_inset
+
+mV.
+ Il y a un affichage de contrôle pour vérifier la tension de PVS.
+\end_layout
+
+\begin_layout Paragraph*
+Entrées analogiques
+\begin_inset Formula $\pm5\, V$
+\end_inset
+
+, 
+\emph on
+Analog Inputs
+\emph default
+ (A1 & A2) : 
+\end_layout
+
+\begin_layout Standard
+Celles-ci peuvent mesurer des tensions dans l'intervalle 
+\begin_inset Formula $\pm5\, V$
+\end_inset
+
+ .
+ La résolution du convertisseur analogique-numérique (ADC) utilisé est de
+ 12 bits.
+ On peut afficher la tension à ces bornes en fonction du temps, ce qui remplit
+ une fonction d'oscilloscope à basse fréquence.
+ La plus grande vitesse d'échantillonnage est de 250
+\begin_inset space ~
+\end_inset
+
+000 mesures par seconde.
+\end_layout
+
+\begin_layout Paragraph*
+Entrées analogiques
+\begin_inset Formula $0-5\, V$
+\end_inset
+
+, 
+\emph on
+Analog Inputs
+\emph default
+ (IN1 & IN2):
+\end_layout
+
+\begin_layout Standard
+Ces bornes peuvent mesurer des tensions dans l'intervalle de 0 à 5
+\begin_inset space ~
+\end_inset
+
+V.
+\end_layout
+
+\begin_layout Paragraph*
+Entrée pour capteur résistif, 
+\emph on
+Resistive Sensor Input
+\emph default
+ (SEN):
+\end_layout
+
+\begin_layout Standard
+Elle est conçue principalement pour des capteurs comme des photo-résistances,
+ des photo-transistors, etc.
+ SEN est reliée à +5
+\begin_inset space ~
+\end_inset
+
+V à l'aide d'une résistance de 
+\begin_inset Formula $5.1k\Omega$
+\end_inset
+
+.
+ Elle est aussi reliée à un comparateur analogique interne.
+\end_layout
+
+\begin_layout Paragraph*
+Entrées numériques, 
+\emph on
+Digital Inputs
+\emph default
+ (IN1 & IN2):
+\end_layout
+
+\begin_layout Standard
+Les entrées IN1, IN2 peuvent être utilisées comme entrées analogiques ou
+ comme entrées numériques.
+ Dans le mode numérique, toute tension inférieure à 1
+\begin_inset space ~
+\end_inset
+
+V est considérée comme un 0 logique (BAS) et toute tension supérieure à
+ 2,5
+\begin_inset space ~
+\end_inset
+
+V est considérée comme un 1 logique (HAUT).
+ Si la tension d'entrée change périodiquement entre BAS et HAUT, ces bornes
+ permettent de mesurer la fréquence et le rapport cyclique du signal connecté.
+ L'intervalle de temps entre les changement de tension à ces bornes peut
+ être mesuré avec une résolution de l'ordre de la micro-seconde.
+\end_layout
+
+\begin_layout Paragraph*
+Sortie numérique, 
+\emph on
+Digital Output
+\emph default
+ (OD1) :
+\end_layout
+
+\begin_layout Standard
+La tension à OD1 peut être réglées à 0 ou 5
+\begin_inset space ~
+\end_inset
+
+V, par logiciel.
+\end_layout
+
+\begin_layout Paragraph*
+Signaux carrés, 
+\emph on
+Square Waves
+\emph default
+ SQR1 & SQR2 : 
+\end_layout
+
+\begin_layout Standard
+La tension de ces sorties oscille entre 0 et 5
+\begin_inset space ~
+\end_inset
+
+V et la fréquence peut varier de 0,7
+\begin_inset space ~
+\end_inset
+
+Hz à 100
+\begin_inset space ~
+\end_inset
+
+kHz.
+ Toutes les valeurs intermédiaires de fréquences ne sont pas possibles.
+ Il est possible de régler SQR1 et SQR2 à des fréquences différentes.
+ Il est aussi possible de les régler à la même fréquence, avec une différence
+ de phase spécifique entre les deux.
+ Ces sorties peuvent aussi être programmées pour générer des signaux par
+ modulation d'impulsions, 
+\emph on
+Pulse Width Modulation
+\emph default
+ (PWM).
+ SQR1 est connectée au canal 6 pour la lecture de contrôle et SQR2 est connectée
+ au canal 7.
+\end_layout
+
+\begin_layout Standard
+Si on règle la fréquences à 0
+\begin_inset space ~
+\end_inset
+
+Hz, ça force la sortie à HAUT et si on la règle à 
+\begin_inset Formula $-1$
+\end_inset
+
+ ça la force à BAS
+\begin_inset space ~
+\end_inset
+
+; dans les deux cas la génération de signal carré est désactivée.
+ Quand la génération de signal carré est désactivée, SQR1 et SQR2 peuvent
+ servir de sorties numériques sur les canaux 8 et 9 respectivement.
+\end_layout
+
+\begin_layout Standard
+La sortie SQR1 a une 
+\series bold
+résistance en série
+\series default
+ de 
+\series bold
+\shape slanted
+
+\begin_inset Formula $100\,\Omega$
+\end_inset
+
+
+\series default
+\emph on
+ qui lui permet de piloter des DELs directement.
+ Un protocole de transmission infrarouge est implémenté sur SQR1.
+\end_layout
+
+\begin_layout Paragraph*
+Transmission infrarouge
+\end_layout
+
+\begin_layout Standard
+Une diode infrarouge connectée à SQR1 peut transmettre des données en utilisant
+ un protocole de transmission par infrarouges.
+ La transmission à 4 octets peut être utilisée pour émuler les télécommandes
+ TV ordinaires.
+ Elle supporte aussi une transmission à un octet qui peut être reçue par
+ un programme fonctionnant sur un micro-contrôleur
+\begin_inset Foot
+status open
+
+\begin_layout Plain Layout
+http://expeyes.in/micro-controllers-for-hobby-projects-and-education
+\end_layout
+
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Paragraph*
+Sortie sinusoïdale SINE
+\begin_inset space ~
+\end_inset
+
+:
+\end_layout
+
+\begin_layout Standard
+C'est un générateur de signal sinusoïdal à fréquence fixe, la fréquence
+ est de quelques 150
+\begin_inset space ~
+\end_inset
+
+Hz.
+ Il s'agit d'un signal bipolaire avec une amplitude proche de 4
+\begin_inset space ~
+\end_inset
+
+V.
+\end_layout
+
+\begin_layout Paragraph*
+Source de courant constant, 
+\emph on
+Constant Current Source
+\emph default
+ (CCS)
+\begin_inset space ~
+\end_inset
+
+: 
+\end_layout
+
+\begin_layout Standard
+La source de courant constant peut être 
+\begin_inset Quotes fld
+\end_inset
+
+allumée
+\begin_inset Quotes frd
+\end_inset
+
+ et 
+\begin_inset Quotes fld
+\end_inset
+
+éteinte
+\begin_inset Quotes frd
+\end_inset
+
+ par logiciel.
+ Sa valeur nominale est 1
+\begin_inset space ~
+\end_inset
+
+mA mais peut varier d'un appareil à l'autre, suivant les tolérances des
+ composants.
+ Pour mesurer sa valeur exacte, connectez un ampèremètre entre CCS et la
+ masse GND.
+ Un autre méthode consiste à connecter une résistance connue (de l'ordre
+ de 
+\begin_inset Formula $3,3\, k\Omega$
+\end_inset
+
+) et à mesurer la chute de tension entre ses bornes.
+ La résistance de charge doit être inférieure à 
+\begin_inset Formula $4\, k\Omega$
+\end_inset
+
+ pour cette source de courant.
+\end_layout
+
+\begin_layout Paragraph*
+Microphone (MIC)
+\begin_inset space ~
+\end_inset
+
+:
+\end_layout
+
+\begin_layout Standard
+Il y a un microphone à condensateur intégré, près de CCS.
+ Sa sortie, amplifiée 51 fois, est disponible sur la sortie MIC.
+ Il suffit de la connecter à A1 ou A2 pour la visualiser.
+\end_layout
+
+\begin_layout Paragraph*
+Amplificateur inverseur (IN->OUT)
+\begin_inset space ~
+\end_inset
+
+: 
+\end_layout
+
+\begin_layout Standard
+L'amplificateur inverseur est implémenté à l'aide d'un amplificateur opérationne
+l TL084.
+ 
+\begin_inset Formula $R_{f}=51\, k\Omega$
+\end_inset
+
+ et 
+\begin_inset Formula $R_{i}=1000\,\Omega$
+\end_inset
+
+, ce qui donner le gain maximal de 
+\begin_inset Formula $\frac{51000}{1000}=51$
+\end_inset
+
+.
+ On peut réduire le gain en connectant l'entrée à travers une résistance
+ en série.
+ Par exemple si on utilise une résistance en série de valeur 
+\begin_inset Formula $50\, k\Omega$
+\end_inset
+
+, on en fait un inverseur à gain unitaire.
+\end_layout
+
+\begin_layout Paragraph*
+Masse, 
+\emph on
+Ground
+\emph default
+ (GND)
+\emph on
+
+\begin_inset space ~
+\end_inset
+
+
+\emph default
+: 
+\end_layout
+
+\begin_layout Standard
+Les quatre bornes marquées GND sont les masses de référence.
+ Toutes les tensions mesurées/générées sont définies par rapport à ces bornes.
+\end_layout
+
+\begin_layout Subsection
+Ensemble d'accessoires
+\end_layout
+
+\begin_layout Standard
+Quelques accessoires sont livrés avec expEYES Junior, une photographie est
+ présentée sur le dos de couverture du manuel.
+\end_layout
+
+\begin_layout Itemize
+Pinces crocodile équipées de fils (4)
+\begin_inset space ~
+\end_inset
+
+: s'il est question de changer la connexion à n'importe quelle borne plusieurs
+ fois pendant une expérience, il est plus facile de faire la connexion à
+ l'aide de la pince crocodile fournie.
+\end_layout
+
+\begin_layout Itemize
+Bobines 3000 tours (2)
+\begin_inset space ~
+\end_inset
+
+: fil de cuivre émaillé 44SWG, inductance
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset Formula $\approx125\, mH$
+\end_inset
+
+, résistance
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset Formula $\approx560\,\Omega$
+\end_inset
+
+.
+ On utilise ces bobines pour l'étude de l'inductance, l'induction électromagnéti
+que, etc.
+\end_layout
+
+\begin_layout Itemize
+Disques piézo-électriques (2)
+\begin_inset space ~
+\end_inset
+
+: leur fréquence de résonance est d'environ 
+\begin_inset Formula $3,5\, kHz$
+\end_inset
+
+.
+ On peut les alimenter avec SQR1 ou SQR2.
+ Les disques sont montés dans une coquille plastique formant une cavité
+ de résonance, qui augmente l'amplitude du son produit.
+\end_layout
+
+\begin_layout Itemize
+Moteur à courant continu
+\begin_inset space ~
+\end_inset
+
+: à alimenter par une tension continue de moins de 3
+\begin_inset space ~
+\end_inset
+
+V.
+\end_layout
+
+\begin_layout Itemize
+Aimants permanents
+\begin_inset space ~
+\end_inset
+
+: (a) diamètre et longueur 10
+\begin_inset space ~
+\end_inset
+
+mm, (b) diamètre 5
+\begin_inset space ~
+\end_inset
+
+mm et longueur 10
+\begin_inset space ~
+\end_inset
+
+mm, (c) aimants 
+\begin_inset Quotes fld
+\end_inset
+
+boutons
+\begin_inset Quotes frd
+\end_inset
+
+ (2).
+\end_layout
+
+\begin_layout Itemize
+DELs 5
+\begin_inset space ~
+\end_inset
+
+mm
+\begin_inset space ~
+\end_inset
+
+: rouge, bleue, verte, blanche.
+\end_layout
+
+\begin_layout Itemize
+Condensateurs
+\begin_inset space ~
+\end_inset
+
+: 
+\begin_inset Formula $47\,\mu F$
+\end_inset
+
+, 
+\begin_inset Formula $1\,\mu F$
+\end_inset
+
+, 
+\begin_inset Formula $0,1\,\mu F$
+\end_inset
+
+, et 
+\begin_inset Formula $0,01\,\mu F$
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Itemize
+Résistances
+\begin_inset space ~
+\end_inset
+
+: 
+\begin_inset Formula $560\,\Omega$
+\end_inset
+
+, 
+\begin_inset Formula $1\, k\Omega$
+\end_inset
+
+, 
+\begin_inset Formula $2,2\, k\Omega$
+\end_inset
+
+ , 
+\begin_inset Formula $10\, k\Omega$
+\end_inset
+
+ , 
+\begin_inset Formula $51\, k\Omega$
+\end_inset
+
+ et 
+\begin_inset Formula $200\, k\Omega$
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Itemize
+Photorésistance et thermistance.
+\end_layout
+
+\begin_layout Itemize
+Deux diodes au silicium (1N4148) et un transistor ( 2N2222)
+\end_layout
+
+\begin_layout Itemize
+5 bouts de fil électrique (8
+\begin_inset space ~
+\end_inset
+
+cm) et un tournevis.
+\end_layout
+
+\begin_layout Section
+Installation du logiciel
+\end_layout
+
+\begin_layout Standard
+ExpEYES peut fonctionner sur tout ordinateur disposant d'un interpréteur
+ Python et d'un module Python pour accéder au port série.
+ L'interface USB est prise en charge par le programme pilote qui présente
+ le port USB comme un port RS232 aux programmes d'applications.
+ La communication avec le boîtier expEYES est réalisée à l'aide d'une bibliothèq
+ue écrite en langage Python (aussi disponible avec une source en langage
+ C).
+ Des programmes avec une interface utilisateur graphique ont été écrits
+ pour de nombreuses expériences.
+ Il y a plusieurs façons de mettre en route le logiciel
+\begin_inset space ~
+\end_inset
+
+:
+\end_layout
+
+\begin_layout Subsubsection*
+Le CDROM vif (Live CD) expEYES
+\end_layout
+
+\begin_layout Standard
+La façon la plus simple de commencer est de démarrer votre PC avec le CDROM
+ vif expEYES.
+ Assurez-vous dans le BIOS du PC que le lecteur de CDROM soit le premier
+ disque de démarrage, insérerez le CDROM vif et démarrez votre PC.
+ Un bureau va apparaître et vous pouvez lancer expEYES Junior depuis le
+ menu 
+\series bold
+Applications->Science
+\series default
+->EYES-Junior.
+ On peut aussi le lancer depuis un Terminal à l'aide de la commande
+\begin_inset space ~
+\end_inset
+
+:
+\end_layout
+
+\begin_layout LyX-Code
+$ expeyes-junior
+\end_layout
+
+\begin_layout Subsubsection*
+Installation dans une distribution GNU/Linux Debian ou Ubuntu
+\end_layout
+
+\begin_layout Standard
+Installez le paquet officiel 
+\family typewriter
+\series bold
+expeyes
+\family default
+\series default
+
+\begin_inset space ~
+\end_inset
+
+; par le jeu des dépendances, vous aurez en plus tous les autres paquets
+ nécessaires au bon fonctionnement.
+\end_layout
+
+\begin_layout Subsubsection*
+Pour les autres distributions GNU/Linux
+\end_layout
+
+\begin_layout Standard
+Téléchargez 
+\series bold
+expeyes-junior.tgz
+\series default
+ depuis 
+\series bold
+http://expeyes.in
+\series default
+ et suivez les instructions du fichier README.
+ Il est important de donner des droits de lecture/écriture à tous les utilisateu
+rs pour le port USB où expEYES est connecté.
+\end_layout
+
+\begin_layout Subsubsection*
+Sur MSWindows
+\end_layout
+
+\begin_layout Standard
+Même si expEYES est un logiciel libre développé à l'aide de logiciels libres
+ et ouverts, il fonctionne aussi sur des plate-formes non-libres.
+ Pour l'installer sur MSWindows, il vous faut (1) des pilotes MCP2200, (2)
+ Python-2.x , python-serial, python-tk, python-numpy et python-scipy, et
+ (3) expeyes-junior.zip
+\end_layout
+
+\begin_layout Standard
+Dézipper le fichier 
+\series bold
+expeyes-junior.zip
+\series default
+, et double-cliquer sur 
+\series bold
+croplus.py
+\series default
+ dans le répertoire nouvellement créé, de nom EYES.
+ Si vous avez le CDROM vif expEYES, naviguez dans le répertoire nommé EYESJUN.
+ Tous les fichiers mentionnés ci-dessus sont dans ce répertoire.
+ Double-cliquer ces fichiers dans l'ordre cité ci-dessus pour les installer.
+ Voir la section logicielle dans le site web expeyes pour plus de détails.
+\end_layout
+
+\begin_layout Section
+Le programme graphique principal
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/benchmark.png
+	lyxscale 50
+	width 11cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+L'écran de croplus affichant un signal sinusoïdal connecté à A1.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:The-croplus-screen."
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+Démarrez Applications->Science->EYES-Junior depuis le menu.
+ Un écran d'oscilloscope à quatre voies avec de nombreuses possibilités
+ supplémentaires va s'ouvrir comme montré à la figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:The-croplus-screen."
+
+\end_inset
+
+.
+ Le bouton 
+\shape italic
+
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{EXPERIMENTS}
+\end_layout
+
+\end_inset
+
+ 
+\shape default
+ouvre un menu surgissant pour plusieurs expériences.
+\end_layout
+
+\begin_layout Subsubsection*
+La fenêtre des graphiques
+\end_layout
+
+\begin_layout Standard
+La fenêtre des graphiques fonctionne comme un oscilloscope à quatre voies
+ à basse fréquence.
+ La vitesse d'échantillonnage maximale est de 250
+\begin_inset space ~
+\end_inset
+
+Hz seulement, ce qui suffit quand même pour explorer le domaine des fréquences
+ audio.
+ Une brève description de ce programme graphique est donnée ci-dessous.
+\end_layout
+
+\begin_layout Itemize
+À gauche, les entrées (A1,A2,IN1,IN2,SEN et les retours en lecture de SQR1
+ & SQR2) sont affichés.
+ 
+\emph on
+Un clic sur n'importe lequel d'entre eux affichera la tension/le niveau
+ logique présent
+\emph default
+.
+ Pour tracer la courbe de l'un d'entre eux, tirer-glisser celui-ci sur la
+ voie désirée (CH1 à CH4).
+ Les noms des entrées sélectionnées pour l'affichage sont montrés à la droite
+ de la fenêtre des graphiques, avec une couleur particulière pour chaque
+ voie.
+\end_layout
+
+\begin_layout Itemize
+Pour l'aide en ligne, placer le curseur sur n'importe quel item, et maintenir
+ pressé le bouton gauche de la souris.
+\end_layout
+
+\begin_layout Itemize
+Les graphiques sont générés en capturant la tension aux entrées sélectionnées.
+ Des actions supplémentaires peut être faites pour chaque capture.
+ Par exemple, si on tire-glisse ATR sur A1, on sélectionne A1 comme source
+ du trigger (détecteur de seuil) analogique, ce qui est similaire à ce qu'on
+ fait avec un oscilloscope ordinaire.
+ D'autres sortes de modificateurs sont aussi implémentés.
+ Par exemple, quand on tire-glisse SHI sur OD1, cela force OD1 à HAUT au
+ début de chaque capture et le force à BAS à la fin.
+\end_layout
+
+\begin_layout Itemize
+Quand on tire-glisse n'importe laquelle des voies, CH1 à CH4, vers FIT,
+ on déclenche le calcul de l'amplitude et de la fréquence en modélisant
+ les données à l'aide de l'équation 
+\begin_inset Formula $V=V_{0}\sin\left(2\pi ft+\theta\right)+C$
+\end_inset
+
+ , 
+\begin_inset Formula $V_{0}$
+\end_inset
+
+ et 
+\begin_inset Formula $f$
+\end_inset
+
+ seront affichés.
+ Quand on tire-glisse la voie vers NML on désactive l'option FIT.
+\end_layout
+
+\begin_layout Itemize
+Un clic droit sur IN1, IN2, SEN, SQR1 ou SQR2 permet de mesurer la fréquence
+ et le rapport cyclique du signal de tension présent à cette borne.
+\end_layout
+
+\begin_layout Itemize
+Si deux canaux adjacents sont affectés, en cliquant-droit sur le premier
+ on calcule la fréquence et la différence de phase entre les deux entrées.
+\end_layout
+
+\begin_layout Itemize
+Quand on tire-glisse une voie sur FTR on affiche le spectre de Fourier du
+ signal dans une fenêtre distincte.
+\end_layout
+
+\begin_layout Itemize
+Pour retirer une voie de l'affichage, il faut la tirer-glisser vers DEL.
+\end_layout
+
+\begin_layout Itemize
+Il y a un réglage d'échelle horizontale (ms/division).
+ On le règle au minimum et on l'augmente pour visualiser plus de cycles
+ sur l'écran.
+\end_layout
+
+\begin_layout Itemize
+Il y a un réglage d'échelle verticale (V/division).
+ La valeur maximale est de 5
+\begin_inset space ~
+\end_inset
+
+V par division.
+\end_layout
+
+\begin_layout Itemize
+Des ascenseurs de décalage vertical sont fournis pour chaque voie pour décaler
+ sa trace vers le haut ou vers le bas.
+\end_layout
+
+\begin_layout Itemize
+Le bouton à cocher BOUCLE permet de choisir le mode monocoup/permanent pour
+ le mode de scan.
+\end_layout
+
+\begin_layout Itemize
+Les traces peuvent être transférées à une fenêtre graphique Grace, à l'aide
+ du bouton XMG.
+\end_layout
+
+\begin_layout Itemize
+Il y a un bouton Enregistrer pour enregistrer les données dans un fichier
+ en format texte à deux colonnes.
+\end_layout
+
+\begin_layout Standard
+En plus de ces propriétés d'oscilloscope, on peut aussi contrôler SQR1,
+ SQR2, PVS etc.
+ depuis l'interface graphique.
+ On peut exécuter des fonction Python pour accéder au matériel depuis une
+ fenêtre de commande.
+\end_layout
+
+\begin_layout Itemize
+Pour les signaux carrés, la fréquence et la différence de phase en pourcentage
+ sont entrées dans deux champs testes.
+ On peut assigner à SQR1 & SQR2 deux fréquences différentes ou la même fréquence
+ avec une différence de phase donnée.
+ Ré-activez les boutons à cocher après avoir modifié la fréquence ou la
+ différence de phase.
+\end_layout
+
+\begin_layout Itemize
+On peut aussi régler SQR1 à l'aide d'un curseur graphique.
+\end_layout
+
+\begin_layout Itemize
+Pour régler PVS, entrez la tension (entre 0 et 5) et appuyez sur la touche
+ Entrée.
+ La sortie PVS dispose d'un afficheur de contrôle et sa valeur s'affiche
+ dans le champ de message.
+\end_layout
+
+\begin_layout Itemize
+Des boutons à cocher sont fournis pour contrôler OD1 et CCS.
+\end_layout
+
+\begin_layout Itemize
+On peut mesurer des condensateurs branchés entre IN1 et la masse GND.
+\end_layout
+
+\begin_layout Itemize
+On peut entrer des fonctions Python pour communiquer avec le matériel dans
+ une fenêtre de commande.
+\end_layout
+
+\begin_layout Section
+Mesures de base à l'aide d'expEYES
+\end_layout
+
+\begin_layout Standard
+Avant de commencer les expériences, faisons quelques exercices simples pour
+ nous familiariser avec expEYES Junior.
+ Démarrez votre ordinateur avec le CDROM vif, connectez l'appareil à un
+ port USB et démarrez le programme EYES Junior depuis le menu 
+\begin_inset Quotes fld
+\end_inset
+
+Applications->Science
+\begin_inset Quotes frd
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Subsection
+Générer & mesurer des tension
+\end_layout
+
+\begin_layout Itemize
+Connecter PVS à IN1 et assigner IN1 à CH1
+\end_layout
+
+\begin_layout Itemize
+Régler PVS à une tension quelconque et observer la trace
+\end_layout
+
+\begin_layout Itemize
+Cliquer sur IN1 pour afficher la tension.
+\end_layout
+
+\begin_layout Subsection
+Observer des signaux de tension
+\end_layout
+
+\begin_layout Itemize
+Connecter SINE à A1 et assigner A1 à CH1
+\end_layout
+
+\begin_layout Itemize
+Ajuster l'échelle horizontale (ms/Div) pour voir 4 ou 5 cycles du signal
+ carré
+\end_layout
+
+\begin_layout Itemize
+Régler la fréquence à 100 et cocher SQR1.
+\end_layout
+
+\begin_layout Itemize
+Assigner SQR1 à CH2
+\end_layout
+
+\begin_layout Itemize
+Changer la fréquence.
+ Décocher et cocher à nouveau SQR1.
+\end_layout
+
+\begin_layout Itemize
+Essayer les options FIT et FTR.
+\end_layout
+
+\begin_layout Subsection
+Mesurer une fréquence & un rapport cyclique
+\end_layout
+
+\begin_layout Itemize
+Régler SQR1 à 1000
+\end_layout
+
+\begin_layout Itemize
+Cliquer droit sur SQR1 pour afficher la fréquence et le rapport cyclique.
+\end_layout
+
+\begin_layout Itemize
+Pour régler la fréquence à 488
+\begin_inset space ~
+\end_inset
+
+Hz et le rapport cyclique à 30%, entrer 
+\shape italic
+set_sqr1_pwm(30)
+\begin_inset Foot
+status collapsed
+
+\begin_layout Plain Layout
+
+\shape italic
+Pour des informations au sujet des commandes, se référer au manuel du programmeu
+r.
+\end_layout
+
+\end_inset
+
+ 
+\shape default
+dans la fenêtre de commande.
+\end_layout
+
+\begin_layout Itemize
+Recommencer la mesure en faisant un clic droit sur SQR1.
+\end_layout
+
+\begin_layout Subsection
+Précision et résolution
+\end_layout
+
+\begin_layout Standard
+La figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:The-croplus-screen."
+
+\end_inset
+
+ montre un signal sinusoïdal de 3
+\begin_inset space ~
+\end_inset
+
+V, 3000,5
+\begin_inset space ~
+\end_inset
+
+Hz issu d'un générateur de fonction Agilent 33220A, connecté à A1.
+ La tension présente en IN1 est mesurée à l'aide d'un multimètre Keithley
+ 2100, comme 3,000
+\begin_inset space ~
+\end_inset
+
+V avec une précision de 2
+\begin_inset space ~
+\end_inset
+
+mV.
+ La fréquence du signal sinusoïdal audio est mesurée avec une erreur inférieure
+ à 0,1
+\begin_inset space ~
+\end_inset
+
+%.
+ La mesure de tension a une résolution de 12 bits mais la précision absolue
+ peut légèrement varier avec la température ambiante.
+\end_layout
+
+\begin_layout Section
+Expériences
+\end_layout
+
+\begin_layout Standard
+Le matériel d'expEYES peut générer/mesurer différentes sortes de signaux
+ de tension.
+ Pour mesurer n'importe quel autre paramètre, il faut le convertir en une
+ tension, à l'aide de capteurs appropriés.
+ Par exemple un capteur de température donnera une tension indiquant la
+ température.
+\end_layout
+
+\begin_layout Standard
+Un programme avec interface graphique est fourni pour chaque expérience
+ décrite dans ce manuel.
+ Cependant, il est possible d'en faire autant en écrivant quelques lignes
+ de code en langage Python.
+ Toute la communication avec expEYES est réalisée à l'aide d'une bibliothèque
+ Python nommée 
+\shape italic
+eyesj.py
+\shape default
+.
+ L'analyse des données et leur affichage est aussi réalisé en Python.
+ Si ça vous intéresse de développer de nouvelles expériences basées sur
+ ExpEYES, ce serait une bonne idée d'étudier le langage de programmation
+ Python.
+ Pratiquement chaque expérience peut être étendue d'une façon ou d'une autre
+ et quelques suggestions sont faites dans ce sens.
+\end_layout
+
+\begin_layout Standard
+Les chapitres suivants décrivent des expériences sur divers sujets comme
+ l'électricité, le magnétisme, l'électronique, le son, la chaleur, etc.
+ Comme le kit expEYES est destiné à l'auto-apprentissage, nous avons inclus
+ quelques expériences extrêmement triviales au début.
+\end_layout
+
+\begin_layout Chapter
+Électricité
+\end_layout
+
+\begin_layout Standard
+Nous commencerons avec la tâche très simple de mesurer la tension d'une
+ pile.
+ Ensuite nous introduirons le courant et la résistance, et ensuite des résistanc
+es qui changent en fonction de la température et de la lumière.
+ Le concept de Courant Alternatif est introduit en traçant la tension en
+ fonction du temps.
+ Le comportement de circuits comme des condensateurs et des bobinages en
+ courant alternatif et continu est examiné, en mesurant des éléments tels
+ que l'amplitude, la fréquence et la phase.
+ La réponse transitoire d'une résistance et d'un condensateur en série est
+ utilisée pour mesurer la capacité.
+ L'inductance est aussi mesurée de la même façon.
+ L'analyse de Fourier de signaux est réalisée pour étudier des harmoniques.
+ L'intégration et la différenciation d'un signal carré à l'aide de circuits
+ RC est aussi abordée.
+\end_layout
+
+\begin_layout Standard
+Pour chaque expérience, réalisez les connexions selon le diagramme donné.
+\end_layout
+
+\begin_layout Section
+Mesurer la tension
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Apprendre à mesurer une tension à l'aide d'expEYES et se faire une idée
+ du concept de masse électrique (GND).
+ Une pile et deux fils sont nécessaires.
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/measure-dc.png
+	height 1cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Cliquer sur A1 pour afficher la tension
+\end_layout
+
+\begin_layout Itemize
+Recommencer en inversant les connexions de la pile.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+Les tensions mesurées sont +1,5 et -1,5
+\begin_inset space ~
+\end_inset
+
+V.
+\end_layout
+
+\begin_layout Standard
+On mesure la différence de potentiel entre deux points.
+ L'un d'eux peut être traité comme étant à zéro volt, ou le potentiel de
+ la masse (Ground, GND).
+ Les points de mesure de la tension d'expEYES mesurent la tension relative
+ aux bornes marquées GND.
+ Nous avons connecté la borne négative de la pile à la masse (GND).
+ La borne positive est à +1,5
+\begin_inset space ~
+\end_inset
+
+V par rapport à la borne négative.
+ 
+\emph on
+Est-ce que la tension correcte sera affichée si GND n'est pas connecté
+\begin_inset space ~
+\end_inset
+
+?
+\end_layout
+
+\begin_layout Standard
+Si la tension d'entrée est dans l’intervalle de 0 à 5
+\begin_inset space ~
+\end_inset
+
+V, utilisez iN1, qui est directement connectée à l'entrée du convertisseur
+ analogique-numérique.
+ La résolution des entrées bipolaires A1 et A2 est moitié moins fine que
+ celle de IN1.
+ Des erreurs de décalage et de gain des amplificateurs-décaleurs peuvent
+ aussi affecter la précision de A1 & A2.
+\end_layout
+
+\begin_layout Section
+Tension, courant & résistance
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Étudier le courant, la résistance et la loi d'Ohm, à l'aide d'une paire
+ de résistances.
+ La tension aux bornes d'un conducteur 
+\begin_inset Quotes fld
+\end_inset
+
+ohmique
+\begin_inset Quotes frd
+\end_inset
+
+ est directement proportionnelle au courant qui le traverse.
+ La constante de proportionnalité s'appelle la résistance.
+ Ceci est connu sous le nom de Loi d'Ohm, selon l'expression mathématique
+\begin_inset Formula 
+\[
+U\varpropto I\,\,\,;\,\,\,\, U=RI\,\,\,\,\mbox{{ou}}\,\,\, R=\frac{U}{I}
+\]
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/resistors.png
+	height 0.8cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Régler PVS.
+ Notez la valeur réelle de la tension depuis le champ de message.
+\end_layout
+
+\begin_layout Itemize
+Cliquer sur IN1 pour mesurer sa tension.
+\end_layout
+
+\begin_layout Itemize
+Répéter pour diverses valeurs de PVS.
+\end_layout
+
+\begin_layout Itemize
+Répéter pour d'autres valeurs de résistance.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+On mesure la tension totale et la tension aux bornes de 
+\begin_inset Formula $R_{1}$
+\end_inset
+
+.
+ La tension aux bornes de 
+\begin_inset Formula $R_{2}$
+\end_inset
+
+est 
+\begin_inset Formula $U_{PVS}-U_{R1}$
+\end_inset
+
+.
+ Le courant qui traverse 
+\begin_inset Formula $R_{1}$
+\end_inset
+
+, 
+\begin_inset Formula $I=U_{R1}/R1$
+\end_inset
+
+.
+ La même quantité de courant traverse 
+\begin_inset Formula $R_{2}$
+\end_inset
+
+ et la tension aux bornes de 
+\begin_inset Formula $R_{2}$
+\end_inset
+
+ peut se calculer à l'aide de 
+\begin_inset Formula $U_{R1}=R_{1}I$
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Tabular
+<lyxtabular version="3" rows="4" columns="5">
+<features tabularvalignment="middle">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+
+\size footnotesize
+\begin_inset Formula $V_{PVS}$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+
+\size footnotesize
+\begin_inset Formula $U_{IN1}=U_{R1}$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+
+\size footnotesize
+\begin_inset Formula $I=\frac{U_{IN1}}{1000}$
+\end_inset
+
+A
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+
+\size footnotesize
+\begin_inset Formula $U_{R2}=U_{PVS}-U_{IN1}$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+
+\size footnotesize
+\begin_inset Formula $U_{R2}=I\times2.2k$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+0,313
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+0,313
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+0,687
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+0,688
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+2
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+0,626
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+0,626
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1,374
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1,377
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+3
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+0,94
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+0,94
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+2,06
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+2,07
+\end_layout
+
+\end_inset
+</cell>
+</row>
+</lyxtabular>
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+On peut généraliser ça en connectant trois résistances en série et en connectant
+ les jonctions à IN1 et IN2.
+ On peut aussi connecter une résistance de 
+\begin_inset Formula $5,1\, k\Omega$
+\end_inset
+
+ de SEN à GND et mesurer la tension au niveau de SEN.
+ Notez bien que SEN est connectée intérieurement à 5
+\begin_inset space ~
+\end_inset
+
+V à l'aide d'une résistance de 
+\begin_inset Formula $5,1\, k\Omega$
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Section
+Calibration de la source de courant
+\begin_inset CommandInset label
+LatexCommand label
+name "sec:Calibrating-Current-Source"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+La valeur réelle du courant issu de la source de courant constant peut être
+ différente de la valeur nominale 
+\begin_inset Formula $1\, mA$
+\end_inset
+
+, à cause de la tolérance des composants utilisés.
+ On peut mesurer sa valeur en connectant un ampèremètre entre CCS et GND,
+ ou en connectant une résistance connue à CCS et en mesurant la tension
+ à ses bornes.
+ La résistance doit avoir une valeur comprise entre 
+\begin_inset Formula $2\, k\Omega$
+\end_inset
+
+ et 
+\begin_inset Formula $4\, k\Omega$
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/ccs-calib.png
+	height 0.8cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Activer CCS 
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+La valeur mesurée de la résistance est 
+\begin_inset Formula $3,876\, k\Omega$
+\end_inset
+
+ et la tension est 
+\begin_inset Formula $3,725\, V$
+\end_inset
+
+.
+ La vraie valeur du courant constant est dans ce cas 
+\begin_inset Formula $3,725/3,876=0,961\, mA$
+\end_inset
+
+.
+ 
+\end_layout
+
+\begin_layout Standard
+Pour une meilleure précision, la valeur corrigée devrait être utilisée dans
+ les expériences qui mettent en jeu CCS.
+\end_layout
+
+\begin_layout Section
+Résistances en série
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Trouver la résistance qui résulte de la mise en série de conducteurs ohmiques,
+ 
+\begin_inset Formula $R=R_{1}+R_{2}+\cdots$
+\end_inset
+
+, à l'aide d'une source de courant constant.
+ On utilise des résistances de 
+\begin_inset Formula $560\,\Omega$
+\end_inset
+
+ et de 
+\begin_inset Formula $1\, k\Omega$
+\end_inset
+
+ .
+ 
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/res-series.png
+	height 0.8cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Connecter 
+\begin_inset Formula $R_{1}$
+\end_inset
+
+, 
+\begin_inset Formula $R_{2}$
+\end_inset
+
+ séparément puis ensemble.
+\end_layout
+
+\begin_layout Itemize
+Mesurer IN1 dans chaque cas.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+\begin_inset Tabular
+<lyxtabular version="3" rows="4" columns="2">
+<features tabularvalignment="middle">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $R\,(\Omega)$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $U_{IN1}\,(V)$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+560
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+0,558
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1000
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+0,998
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1000+560
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1,556
+\end_layout
+
+\end_inset
+</cell>
+</row>
+</lyxtabular>
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+Comme le courant est le même, la chute de potentiel totale donne la résistance
+ effective.
+ On peut voir qu'elle est la somme des valeurs individuelles, à l'erreur
+ de mesure près.
+ Pour des valeurs plus précises, utilisez la valeur du courant mesurée comme
+ expliqué à la partie 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "sec:Calibrating-Current-Source"
+
+\end_inset
+
+, au lieu de 
+\begin_inset Formula $1\, mA$
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Section
+Résistances en parallèle
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Trouver la résistance effective de la mise en parallèle de conducteurs ohmiques
+ donnés, théoriquement donnée par 
+\begin_inset Formula $\frac{1}{R}=\frac{1}{R_{1}}+\frac{1}{R_{2}}+\cdots$
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Procédure 
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/res-parallel.png
+	height 1cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Connecter une résistance de 
+\begin_inset Formula $1\, k\Omega$
+\end_inset
+
+ entre CCS et la masse GND.
+\end_layout
+
+\begin_layout Itemize
+En faire autant avec deux résistances connectées en parallèle.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+\begin_inset Tabular
+<lyxtabular version="3" rows="3" columns="2">
+<features tabularvalignment="middle">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $R_{\mbox{{connectée}}}(\Omega)$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $U_{\mbox{{mesurée}}}(V)$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1000
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1.008
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1000
+\begin_inset Formula $\parallel$
+\end_inset
+
+1000
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+0.503
+\end_layout
+
+\end_inset
+</cell>
+</row>
+</lyxtabular>
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+Comme nous connaissons le courant, nous pouvons calculer la résistance à
+ partir de la tension mesurée.
+ Selon la tension mesurée, la résistance de la combinaison en parallèle
+ est 
+\begin_inset Formula $\frac{0.503\, V}{0.001\, A}=503\,\Omega$
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Section
+Mesurer une résistance par comparaison
+\begin_inset CommandInset label
+LatexCommand label
+name "sec:Measure-resistance-by"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Apprendre à utiliser la loi d'Ohm pour trouver la valeur d'une résistance
+ inconnue en la comparant avec une résistance connue.
+ La différence de potentiel aux bornes d'une résistance est donnée par 
+\begin_inset Formula $U=RI$
+\end_inset
+
+ .
+ Si la même valeur de courant traverse les deux résistances différentes,
+ le quotient des tensions sera le même que le quotient des résistances,
+ 
+\begin_inset Formula $I=\frac{U_{1}}{R_{1}}=\frac{U_{2}}{R_{2}}$
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Subsection*
+Procédure 
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/res-compare.png
+	height 0.8cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Connecter la résistance inconnue 
+\begin_inset Formula $R$
+\end_inset
+
+ entre PVS et IN1.
+\begin_inset Foot
+status open
+
+\begin_layout Plain Layout
+On utilise IN1 ou IN2 quand le potentiel est entre 0 et 5
+\begin_inset space ~
+\end_inset
+
+V, pour une meilleure résolution.
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Connecter 
+\begin_inset Formula $1\, k\Omega\;(R_{1})$
+\end_inset
+
+ entre IN1 et la masse GND.
+\end_layout
+
+\begin_layout Itemize
+Régler PVS à 
+\begin_inset Formula $4\, V$
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Itemize
+Mesurer la tension en IN1
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+La tension en IN1 vaut 
+\begin_inset Formula $1,254\, V$
+\end_inset
+
+, ce qui implique que la chute de potentiel à travers la résistance inconnue
+ est 
+\begin_inset Formula $4-1,254=2,746\, V$
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Standard
+Le courant, 
+\begin_inset Formula $I=\frac{1,254}{1000}=1,254\, mA$
+\end_inset
+
+ .
+ La résistance inconnue vaut
+\begin_inset space ~
+\end_inset
+
+: 
+\begin_inset Formula $\frac{2,746}{1,254}=2,19\, k\Omega$
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+Quelle est la limitation de cette méthode
+\begin_inset space ~
+\end_inset
+
+? Comment choisir la résistance de référence
+\begin_inset space ~
+\end_inset
+
+? Supposons que la valeur inconnue soit en méga-ohm, quelle serait la chute
+ de potentiel à travers une résistance de référence de 
+\begin_inset Formula $1\, k\Omega$
+\end_inset
+
+
+\begin_inset space ~
+\end_inset
+
+? Notre mesure de tension possède une résolution de 
+\begin_inset Formula $\frac{1}{4095}$
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Standard
+Nous utiliserons plus tard cette méthode pour mesurer la résistance de solutions
+, en courant alternatif.
+\end_layout
+
+\begin_layout Section
+Tension d'une pile à citron
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Réaliser une source de tension en insérant des plaques de Zinc et de Cuivre
+ dans un citron.
+ Examiner la possibilité de fournir du courant et la résistance interne.
+\end_layout
+
+\begin_layout Subsection*
+Procédure 
+\end_layout
+
+\begin_layout Subsection*
+\begin_inset Graphics
+	filename schematics/lemon-cell.png
+	height 1.3cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Cliquer sur A1 pour mesurer la tension
+\end_layout
+
+\begin_layout Itemize
+Mesurer la tension avec et sans la résistance de 
+\begin_inset Formula $1\, k\Omega$
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+La différence de potentiel entre les bornes de Cuivre et de Zinc est proche
+ de 
+\begin_inset Formula $0,9\, V$
+\end_inset
+
+.
+ Quand on connecte la résistance ça réduit cette différence de potentiel
+ à 
+\begin_inset Formula $0,33\, V$
+\end_inset
+
+.
+ Quand elle est connectée, le courant commence à s'écouler dans la résistance.
+ Mais pourquoi la tension diminue-t-elle
+\begin_inset space ~
+\end_inset
+
+?
+\end_layout
+
+\begin_layout Standard
+Quelle est la résistance interne de la pile
+\begin_inset space ~
+\end_inset
+
+?
+\end_layout
+
+\begin_layout Standard
+Le courant est un déplacement de charges électriques et il doit parcourir
+ un circuit complet.
+ Cela signifie que le courant doit aussi traverser la pile.
+ Selon la résistance interne de la pile, une part de la tension est perdue
+ dans la pile elle-même.
+ Est-ce qu'il en est de même avec une pile ordinaire neuve
+\begin_inset space ~
+\end_inset
+
+?
+\end_layout
+
+\begin_layout Section
+Courant continu, courant alternatif, et effet d'antenne
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Introduire la notion de tension dépendante du temps, en utilisant un graphique
+ 
+\begin_inset Formula $U(t)$
+\end_inset
+
+.
+ Comparer le graphique en courant alternatif et continu.
+ Étudier la tension alternative du secteur.
+ Examiner le phénomène de propagation de tension alternative à travers l'espace
+ vide.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/ad-dc.png
+	lyxscale 50
+	width 4cm
+
+\end_inset
+
+ 
+\begin_inset Graphics
+	filename pics/pickup.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Graphiques de tension en fonction du temps.
+ (a) graphiques de tensions continue et alternative (b) effet d'antenne
+ d^u à la tension du secteur 
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Graph-of-DC"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Procédure 
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/ac-dc.png
+	height 0.7cm
+
+\end_inset
+
+ 
+\end_layout
+
+\begin_layout Itemize
+Assigner A1 à CH1 et A2 à CH2
+\end_layout
+
+\begin_layout Itemize
+Régler PVS à 
+\begin_inset Formula $1\, V$
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Assigner CH1 à FIT, pour déterminer les paramètres de la tension alternative.
+\end_layout
+
+\begin_layout Itemize
+Désactiver SINE et connecter un long fil à A2
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/line-pickup.png
+	height 1cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+La figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Graph-of-DC"
+
+\end_inset
+
+(a) montre que le graphique d'une tension continue est une ligne horizontale
+ et que pour le courant alternatif celui-ci change de direction et de valeur
+ avec le temps.
+ Il devient négatif et positif environ 150 fois par seconde.
+ Ce signal sinusoïdal est généré grâce à des circuits électroniques.
+\end_layout
+
+\begin_layout Standard
+Quand l'option FIT est activée, l'amplitude et la fréquence sont calculées
+ en modélisant les données par l'équation 
+\begin_inset Formula $U=U_{0}\sin(2\pi ft+\theta)$
+\end_inset
+
+, où 
+\begin_inset Formula $U_{0}$
+\end_inset
+
+ est l'amplitude et 
+\begin_inset Formula $f$
+\end_inset
+
+ 
+\begin_inset space ~
+\end_inset
+
+est la fréquence.
+ Quelle st la signification de 
+\begin_inset Formula $\theta$
+\end_inset
+
+ dans cette équation
+\begin_inset space ~
+\end_inset
+
+?
+\end_layout
+
+\begin_layout Standard
+L'effet d'antenne d^u à la tension du secteur est montré dans la figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Graph-of-DC"
+
+\end_inset
+
+(b).
+ La fréquence est obtenue par modélisation des données.
+ Sans réaliser aucune connexion, comment recevons-nous cette tension alternative
+ depuis le du secteur
+\begin_inset space ~
+\end_inset
+
+?
+\end_layout
+
+\begin_layout Section
+Composantes continue et alternative d'un signal de tension
+\begin_inset CommandInset label
+LatexCommand label
+name "sec:DC-&-AC"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Séparer les composantes alternative et continue d'un signal de tension à
+ l'aide d'un condensateur.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/acdc-sep-screen.png
+	lyxscale 40
+	width 6cm
+
+\end_inset
+
+ 
+\begin_inset Graphics
+	filename pics/body-resistance.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+(a) Un signal entre 0 et 5
+\begin_inset space ~
+\end_inset
+
+V, avec la composante continue bloquée (b) Une mesure de la résistance électriqu
+e d'un corps humain
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Square-wave"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Procédure 
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/acdc-separating.png
+	height 0.8cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Régler SQR1 à 
+\begin_inset Formula $500\, Hz$
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Assigner SQR1 à CH1 et A2 à CH2
+\end_layout
+
+\begin_layout Itemize
+Ajuster l'échelle horizontale pour observer plusieurs cycles.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+Les signaux observés avec et sans le condensateur en série sont montrés
+ dans la figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Square-wave"
+
+\end_inset
+
+(a).
+ La tension varie entre 0 et 5
+\begin_inset space ~
+\end_inset
+
+V.
+ Après passage à travers le condensateur, le signal de tension varie de
+ -2,5
+\begin_inset space ~
+\end_inset
+
+V à +2,5
+\begin_inset space ~
+\end_inset
+
+V.
+\end_layout
+
+\begin_layout Standard
+Qu'obtient-on si on soustrait 2,5 de l'ordonnée (y) de chaque point du premier
+ graphique
+\begin_inset space ~
+\end_inset
+
+? C'est ça que le condensateur a fait.
+ Il n'a pas laissé passer la composante continue.
+ Le signal carré d'origine peut être considéré comme une tension continue
+ de 2,5
+\begin_inset space ~
+\end_inset
+
+V superposée avec un signal alternatif de 2,5
+\begin_inset space ~
+\end_inset
+
+V.
+\end_layout
+
+\begin_layout Standard
+Il se peut que vous deviez connecter une résistance entre A2 et GND pour
+ observer un signal variant entre -2,5
+\begin_inset space ~
+\end_inset
+
+V et + 2,5
+\begin_inset space ~
+\end_inset
+
+V.
+ Retirer la résistance et observer le résultat.
+\end_layout
+
+\begin_layout Section
+Résistance du corps humain
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Se faire une idée de la résistance de la peau et comment celle-ci varie.
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/res-body.png
+	height 0.8cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Assigner A1 à CH1 et A2 à CH2, activer FIT
+\end_layout
+
+\begin_layout Itemize
+Relier PVS et A2, à travers votre corps et mesurer la tension en CH2
+\end_layout
+
+\begin_layout Itemize
+Calculer la résistance du corps, comme indiqué à la partie 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "sec:Measure-resistance-by"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+Le signal observé est montré à la figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Square-wave"
+
+\end_inset
+
+(b).
+ La tension en A2 vaut 3
+\begin_inset space ~
+\end_inset
+
+V, la variation est due à l'effet d'antenne à 50
+\begin_inset space ~
+\end_inset
+
+Hz.
+ Recommencez cette expérience à l'aide d'une tension alternative, utilisez
+ SINE au lieu de PVS.
+\end_layout
+
+\begin_layout Section
+Résistances variant avec la température
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Montrer la dépendance entre résistance et température, à l'aide d'une thermistan
+ce,
+\begin_inset Formula $1\, k\Omega\quad@\,25\,^{\circ}C$
+\end_inset
+
+, avec un coefficient de température négatif.
+ Introduire le capteur de température.
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/thermistor.png
+	height 0.8cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Cliquer sur IN1 pour mesurer la tension
+\end_layout
+
+\begin_layout Itemize
+Recommencer à plusieurs températures différentes
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+\begin_inset Tabular
+<lyxtabular version="3" rows="3" columns="3">
+<features tabularvalignment="middle">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Circonstances
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $U=RI$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $R=\frac{U}{I}$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Dans de l'eau glacée
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $1,2\, V$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $1200\,\Omega$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+À température ambiante
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $0,935\, V$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $935\,\Omega$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+</lyxtabular>
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Résistance dépendant de la lumière
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Étudier une photorésistance, 
+\emph on
+Light Depending Resistor
+\emph default
+,
+\emph on
+ 
+\emph default
+LDR.
+ Mesurer l'intensité de la lumière et sa variation avec l'éloignement de
+ la source.
+ Utiliser la méthode de comparaison pour trouver la valeur de la résistance.
+\end_layout
+
+\begin_layout Subsection*
+Procédure 
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/ldr.png
+	height 0.8cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Régler PVS à 
+\begin_inset Formula $4\, V$
+\end_inset
+
+ et prendre note du réglage
+\end_layout
+
+\begin_layout Itemize
+Cliquer sur IN1 pour en mesurer la tension, assigner IN1 à CH1.
+\end_layout
+
+\begin_layout Itemize
+Calculer la résistance de la photorésistance (LDR), comme expliqué à
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "sec:Measure-resistance-by"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Recommencer en modifiant l'intensité de la lumière arrivant sur la photorésistan
+ce
+\end_layout
+
+\begin_layout Itemize
+Régler SQR1 à 10 Hz et
+\end_layout
+
+\begin_layout Itemize
+Placer la Diode électroluminescente au-dessus de la photorésistance, puis
+ observer le signal en IN1
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+La résistance varie de 
+\begin_inset Formula $1\, k\Omega$
+\end_inset
+
+ à environ 
+\begin_inset Formula $100\, k\Omega$
+\end_inset
+
+ selon l'intensité de la lumière qui l'éclaire.
+ La résistance diminue avec l'intensité de la lumière.
+ Si on utilise une source de lumière ponctuelle, la résistance devrait augmenter
+ comme le carré de la distance.
+\end_layout
+
+\begin_layout Standard
+Illuminez la photorésistance à l'aide d'une lampe fluorescente et observez
+ le signal en CH1.
+ La fréquence de l'ondulation est en rapport avec la fréquence du secteur.
+\end_layout
+
+\begin_layout Section
+Conductivité de l'eau, étudiée à l'aide de courant continu et alternatif
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Mesure la résistance de solutions ioniques, en utilisant des tensions continues
+ et alternatives.
+ Nous avons utilisé de l'eau normale du robinet.
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Itemize
+\begin_inset Formula $R_{1}$
+\end_inset
+
+ devant être comparable à 
+\begin_inset Formula $R$
+\end_inset
+
+, commencer avec 
+\begin_inset Formula $10\, k\Omega$
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Itemize
+Assigner A1 à CH1 et A2 à CH2, activer FIT sur les deux voies
+\end_layout
+
+\begin_layout Itemize
+Calculer la résistance comme expliqué à la partie 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "sec:Measure-resistance-by"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Recommencer en utilisant une tension continue,PVS au lieu de SINE
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename schematics/res-water.png
+	width 5cm
+
+\end_inset
+
+ 
+\begin_inset Graphics
+	filename pics/water-conduct.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+(a) Conductivité de l'eau.
+ (b) La tension totale appliquée et la tension aux bornes de la résistance
+ de 
+\begin_inset Formula $10\, k\Omega$
+\end_inset
+
+.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Conductivity-of-water."
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+\begin_inset Tabular
+<lyxtabular version="3" rows="3" columns="6">
+<features tabularvalignment="middle">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $U_{total}$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $U_{10\, k\Omega}$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $U_{liq}$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $I=\frac{U_{10\, k\Omega}}{1000}$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $R_{liq}=\frac{U_{liq}}{I}$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+SINE
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $3,25\, V$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $2,6\, V$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $0,65\, V$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $0,26\, mA$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $2,5\, k\Omega$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+PVS
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $4\, V$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $2,3\, V$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $1,7\, V$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $0,23\, mA$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $7,4\, k\Omega$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+</lyxtabular>
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+Les valeurs observées sont reportées dans le tableau.
+ Les résistances en courant continu et alternatif semblent très différentes.
+ Avec le courant continu, la résistance change avec le temps, à cause du
+ phénomène d'électrolyse et de la formation de bulles gazeuses.
+ La résistance dépend de la distance entre électrodes, et l'aire des électrodes
+ a de l'effet.
+ La résistance dépend de la concentration des ions et de la présence d'impuretés
+ dans l'eau utilisée.
+\end_layout
+
+\begin_layout Standard
+Essayez de modifier la distance entre les électrodes.
+ Essayez d'ajouter un sel ordinaire et recommencez les mesures.
+ Pourquoi le comportement est-il différent en alternatif et en continu
+\begin_inset space ~
+\end_inset
+
+? Quels sont les porteurs de charges responsables du passage du courant
+ à travers des solutions
+\begin_inset space ~
+\end_inset
+
+? Est-ce qu'une réaction chimique se produit
+\begin_inset space ~
+\end_inset
+
+?
+\end_layout
+
+\begin_layout Section
+Mesurer une capacité électrique
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+ExpEYES Junior dispose d'une source de courant interne programmable, qui
+ peut être activée sur IN1.
+ Connectez un condensateur 
+\begin_inset Formula $C$
+\end_inset
+
+ et établissez un courant 
+\begin_inset Formula $I$
+\end_inset
+
+ (
+\begin_inset Formula $5,5\,\mu A$
+\end_inset
+
+) pour une certaine durée 
+\begin_inset Formula $t$
+\end_inset
+
+.
+ La charge accumulée sera 
+\begin_inset Formula $Q=It=CU$
+\end_inset
+
+.
+ En mesurant 
+\begin_inset Formula $U$
+\end_inset
+
+, la valeur de 
+\begin_inset Formula $C$
+\end_inset
+
+peut être calculée.
+ Pour de meilleurs résultats, il faut soustraire la capacité interne du
+ dispositif.
+ Mesurez 
+\begin_inset Formula $C$
+\end_inset
+
+ sans rien connecter à IN1, et soustrayez la valeur de celle mesurée avec
+ un condensateur.
+ Cette méthode peut être utilisée pour des capacité allant jusqu'à 
+\begin_inset Formula $10000\, pF$
+\end_inset
+
+
+\begin_inset Foot
+status collapsed
+
+\begin_layout Plain Layout
+Au delà de cette valeur il faut utiliser la fonction Python qui spécifiera
+ un autre courant de charge, la durée de la charge, etc.
+\end_layout
+
+\end_inset
+
+.
+ Si on touche le condensateur pendant la mesure on corrompt le résultat.
+\end_layout
+
+\begin_layout Subsection*
+Procédure 
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/measure-cap.png
+	height 0.8cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Mesurer la capacité 
+\begin_inset Formula $C$
+\end_inset
+
+ sans rien d'autre connecté, pour avoir la valeur de la capacité interne
+ du dispositif.
+\end_layout
+
+\begin_layout Itemize
+connecter le condensateur entre IN1 et la masse GND.
+\end_layout
+
+\begin_layout Itemize
+Cliquer sur le bouton
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{Measure C on IN1}
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Recommencer avec des condensateurs différents
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+La borne nue mène à une mesure de 
+\begin_inset Formula $C=34\, pF$
+\end_inset
+
+.
+ Plusieurs condensateurs ont été mesurés.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Tabular
+<lyxtabular version="3" rows="6" columns="2">
+<features tabularvalignment="middle">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+ Valeur nominale
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Valeur mesurée (pF) - 34pF
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+10
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+11
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+20
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+19
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+680
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+664
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+180
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+176
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+3000
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+2900
+\end_layout
+
+\end_inset
+</cell>
+</row>
+</lyxtabular>
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Mesure d'une constante diélectrique
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Mesurer la constante diélectrique de matériaux comme le verre, le papier,
+ le polyester, etc.
+ en réalisant un condensateur.
+ La capacité vaut
+\begin_inset Formula $C=\epsilon_{0}k\frac{S}{e}$
+\end_inset
+
+, où 
+\begin_inset Formula $\epsilon_{0}$
+\end_inset
+
+ est la permittivité du vide, 
+\begin_inset Formula $k$
+\end_inset
+
+ la constante diélectrique , 
+\begin_inset Formula $S$
+\end_inset
+
+ la surface de recouvrement des plaques conductrices en vis-à-vis et 
+\begin_inset Formula $e$
+\end_inset
+
+ l'épaisseur du matériau isolant qui les sépare.
+ On a pu utiliser une plaque de verre de 
+\begin_inset Formula $13\,\mbox{cm}\,\times\,10,6\,\mbox{cm}$
+\end_inset
+
+d'épaisseur 
+\begin_inset Formula $e=4\,\mbox{mm}$
+\end_inset
+
+pour réaliser un condensateur en collant des feuilles de métal de chaque
+ côté.
+\end_layout
+
+\begin_layout Subsection*
+Procédure 
+\end_layout
+
+\begin_layout Itemize
+connecter le condensateur entre IN1 et la masse GND.
+\end_layout
+
+\begin_layout Itemize
+Cliquer sur le bouton 
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{Measure C on IN1}
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Recommencer sans rien connecter à IN1
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+La capacité mesurée est 
+\begin_inset Formula $225\, pF$
+\end_inset
+
+.
+ La capacité interne du dispositif est mesurée après avoir retiré le fil
+ branché en IN1, et elle vaut 
+\begin_inset Formula $30\, pF$
+\end_inset
+
+, ce qui fait que 
+\begin_inset Formula $C=195\, pF$
+\end_inset
+
+.
+ 
+\begin_inset Formula $k=\frac{Ce}{\epsilon_{0}S}=\frac{195\cdot10^{-12}\times0,004}{8.854\cdot10^{-12}\times0,13\times0,106}=6,37$
+\end_inset
+
+.
+ Si on touche le condensateur durant la mesure on obtient des résultats
+ erronés.
+\end_layout
+
+\begin_layout Standard
+En utilisant deux plaques conductrices parallèles, on peut aussi mesurer
+ la constante diélectrique de liquides.
+\end_layout
+
+\begin_layout Section
+Déphasage en alternatif dans des circuits RC
+\begin_inset CommandInset label
+LatexCommand label
+name "sec:Capacitor-in-AC"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Étudier l'effet d'un condensateur en série dans des circuits en alternatif,
+ dans des conditions d'état permanent.
+ L'impédance d'un condensateur vaut 
+\begin_inset Formula $Z_{c}=\frac{1}{2\pi fC}$
+\end_inset
+
+ , où 
+\begin_inset Formula $f$
+\end_inset
+
+ est la fréquence en hertz et 
+\begin_inset Formula $C$
+\end_inset
+
+ la capacité en farad.
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/rc-acphase.png
+	height 0.8cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Assigner A1 à CH1 et A2 à CH2
+\end_layout
+
+\begin_layout Itemize
+Ajuster l'échelle horizontale pour voir plus de 4 cycles.
+\end_layout
+
+\begin_layout Itemize
+Faire un clic droit sur CH1 pour calculer le déphasage.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+Le signale de tension avant et après le condensateur sont montrés sur la
+ figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:AC-Phase-in-RC"
+
+\end_inset
+
+(a), et les calculs sont montrés dans le tableau.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Tabular
+<lyxtabular version="3" rows="2" columns="5">
+<features tabularvalignment="middle">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $C\,(\mu F)$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $R\,(\Omega)$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $f\,(Hz)$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $\bigtriangleup\Phi$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $\arctan\left(\frac{Z_{c}}{Z_{R}}\right)$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $1$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $1000$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $147,3$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $47,7$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $47,2$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+</lyxtabular>
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+où 
+\begin_inset Formula $Z_{c}=\frac{1}{2\pi fC}$
+\end_inset
+
+ est l'impédance du condensateur, la fréquence 
+\begin_inset Formula $f=147,3\, Hz$
+\end_inset
+
+.
+ 
+\begin_inset Formula $Z_{R}$
+\end_inset
+
+est la valeur de la résistance.
+\end_layout
+
+\begin_layout Standard
+Le passage d'un courant à travers un condensateur provoque une tension à
+ ses bornes déphasée de 
+\begin_inset Formula $90^{\circ}$
+\end_inset
+
+.
+ Pourquoi
+\begin_inset space ~
+\end_inset
+
+?
+\end_layout
+
+\begin_layout Standard
+Pourquoi la tension est-elle en avance de phase
+\begin_inset space ~
+\end_inset
+
+? Supposons que nous ayons connecté le courant alternatif à la plaque A
+ et qu'à un instant 
+\begin_inset Formula $t=t_{0}$
+\end_inset
+
+ la tension d'entrée soit zéro volt.
+ Nous pouvons voir qu'à ce moment la pente de la courbe est maximale, c'est
+ à dire que la vitesse de changement de la tension est maximale.
+ Le condensateur se charge au plus vite à ce moment-là.
+ La plaque B récolte aussi la même charge que la plaque A, c'est comme ça
+ que fonctionne un condensateur.
+ Le courant vers la plaque B vient de la masse à travers la résistance et
+ nous mesurons la chute de potentiel 
+\begin_inset Formula $RI$
+\end_inset
+
+ à travers la résistance, qui sera positive déjà au moment où la plaque
+ A est à tension nulle.
+ Le résultat est l'avance de phase.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/rc-phaseshift.png
+	lyxscale 40
+	width 5cm
+
+\end_inset
+
+ 
+\begin_inset Graphics
+	filename pics/rl-phaseshift.png
+	lyxscale 40
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Déphasage de courant alternatif dans (a) un circuit RC (b) un circuit RL
+ 
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:AC-Phase-in-RC"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Déphasage en alternatif dans des circuits RL
+\begin_inset CommandInset label
+LatexCommand label
+name "sec:Inductor-in-AC"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Mesurer le déphasage en tension alternative dans un circuit RL.
+ L'impédance d'un bobinage est 
+\begin_inset Formula $Z_{L}=2\pi fL$
+\end_inset
+
+ , où 
+\begin_inset Formula $f$
+\end_inset
+
+ est la fréquence en hertz et 
+\begin_inset Formula $L$
+\end_inset
+
+ est l'inductance en henry.
+ Dans un circuits RL, le déphasage aux bornes du bobinage est donné par
+ l'équation 
+\begin_inset Formula $\triangle\Phi=\arctan\left(\frac{Z_{L}}{Z_{R}}\right)$
+\end_inset
+
+, où 
+\begin_inset Formula $Z_{R}$
+\end_inset
+
+est la valeur de la résistance.
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/rl-acphase.png
+	height 0.8cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Assigner A1 à CH1 et A2 à CH2
+\end_layout
+
+\begin_layout Itemize
+Ajuster l'échelle horizontale pour voir plus de 4 cycles.
+\end_layout
+
+\begin_layout Itemize
+Faire un clic droit sur A1 pour afficher la tension, la fréquence et le
+ déphasage.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+Les déphasages mesurés sont montrés ci-dessous.
+ Le signal pour un bobinage d'inductance 
+\begin_inset Formula $125\, mH$
+\end_inset
+
+ est montré à la figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:AC-Phase-in-RC"
+
+\end_inset
+
+(b).
+ Il a aussi fallu prendre en compte la résistance du bobinage pour calculer
+ le déphasage.
+\begin_inset Foot
+status open
+
+\begin_layout Plain Layout
+http://www.play-hookey.com/ac_theory/ac_inductors.html
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+\begin_inset Tabular
+<lyxtabular version="3" rows="3" columns="4">
+<features tabularvalignment="middle">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $L\,(mH)$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $R=R_{\mbox{bobine}}+R_{\mbox{ext}}\,(\Omega)$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $\bigtriangleup\Phi=\arctan\left(\frac{Z_{L}}{Z_{R}}\right)$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $\bigtriangleup\Phi_{\mbox{mesurée}}$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $125$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $565+560$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $3,71$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $-3,8$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $25$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $42+560$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $1,39$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $-1,4$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+</lyxtabular>
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+Quand on insère un noyau en ferrite dans le bobinage on peut observer l'effet
+ de matériaux ferromagnétiques.
+ La self-inductance d'un solénoïde est donnée par 
+\begin_inset Formula $L=\frac{\mu N^{2}S}{l}$
+\end_inset
+
+ , où 
+\begin_inset Formula $N$
+\end_inset
+
+ est le nombre de tours, 
+\begin_inset Formula $S$
+\end_inset
+
+ est la surface de la section du solénoïde, 
+\begin_inset Formula $\mu$
+\end_inset
+
+ est la perméabilité du matériau dans le solénoïde et 
+\begin_inset Formula $l$
+\end_inset
+
+ est la longueur de celui-ci.
+\end_layout
+
+\begin_layout Section
+Réponse transitoire de circuits RC
+\begin_inset CommandInset label
+LatexCommand label
+name "sec:Capacitor-charging-&"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Tracer la courbe de la tension aux bornes d'un condensateur, quand celui-ci
+ est chargé en appliquant une échelon de tension à travers une résistance.
+ Calculer la valeur de la capacité à partir du graphique.
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/RCcircuit.png
+	height 0.8cm
+
+\end_inset
+
+ 
+\end_layout
+
+\begin_layout Itemize
+Depuis 
+\shape italic
+
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{EXPERIMENTS}
+\end_layout
+
+\end_inset
+
+
+\shape default
+ , sélectionner 
+\shape italic
+
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{RC Circuit}
+\end_layout
+
+\end_inset
+
+
+\shape default
+ 
+\end_layout
+
+\begin_layout Itemize
+Cliquer sur les boutons 
+\shape italic
+Échelon de 0 à 5V
+\shape default
+ et 
+\shape italic
+Échelon de 5 à 0V 
+\emph on
+pour tracer les graphiques
+\end_layout
+
+\begin_layout Itemize
+Ajuster l'échelle horizontale, si nécessaire, et recommencer.
+\end_layout
+
+\begin_layout Itemize
+Calculer la constante de temps 
+\begin_inset Formula $RC$
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Itemize
+Utiliser CCS au lieu de OD1 pour charger le condensateur avec un courant
+ constant.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/RC-curves.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+ 
+\begin_inset Graphics
+	filename pics/cap-linear.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+(a) Réponses transitoires d'un circuit RC.
+ (b) Charge d'un condensateur par un courant constant.
+ 
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Transient-RC"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+Quand on applique un échelon de 0 à 5
+\begin_inset space ~
+\end_inset
+
+V cela fait monter la tension aux bornes du condensateur de façon exponentielle
+ comme le montre la figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Transient-RC"
+
+\end_inset
+
+(a).
+ En modélisant la courbe de décharge par 
+\begin_inset Formula $U(t)=U_{0}e^{-\frac{t}{RC}}$
+\end_inset
+
+ , on peut extraire la constante de temps 
+\begin_inset Formula $RC$
+\end_inset
+
+ et en déduire la valeur de la capacité.
+\end_layout
+
+\begin_layout Standard
+La croissance de la tension aux bornes du condensateur suit une loi exponentiell
+e seulement quand on le charge à travers un conducteur ohmique, comme une
+ résistance.
+ Quand on le charge à l'aide d'une source de courant constant, la tension
+ augmente de façon linéaire, comme le montre la figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Transient-RC"
+
+\end_inset
+
+(b), parce que 
+\begin_inset Formula $Q=It=CU$
+\end_inset
+
+, et la tension augmente linéairement avec le temps comme 
+\begin_inset Formula $U=\left(\frac{I}{C}\right)t$
+\end_inset
+
+ .
+\end_layout
+
+\begin_layout Section
+Réponse transitoire de circuits RL
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Étudier la nature du courant et de la tension quand un échelon de tension
+ est appliqué à une résistance et un bobinage en série.
+ En mesurant la tension aux bornes du bobinage en fonction du temps, on
+ peut en calculer l'inductance.
+\end_layout
+
+\begin_layout Standard
+Dans un circuit RL 
+\begin_inset Formula $U=RI+L\frac{dI}{dt}$
+\end_inset
+
+ et la solution de cette équation donne 
+\begin_inset Formula $I=I_{0}e^{-\frac{R}{L}t}$
+\end_inset
+
+.
+ Le coefficient du terme exponentiel 
+\begin_inset Formula $R/L$
+\end_inset
+
+ peut être extrait du graphique de la tension aux bornes du bobinage.
+ La résistance du bobinage doit être incluse dans les calculs, 
+\begin_inset Formula $R=R_{ext}+R_{L}$
+\end_inset
+
+.
+ 
+\begin_inset Foot
+status open
+
+\begin_layout Plain Layout
+http://nptel.iitm.ac.in/courses/Webcourse-contents/IIT-KANPUR/esc102/node14.html
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/RLcircuit.png
+	height 0.8cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Prendre un bobinage de 3000 tours
+\end_layout
+
+\begin_layout Itemize
+Depuis 
+\shape italic
+
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{EXPERIMENTS}
+\end_layout
+
+\end_inset
+
+
+\shape default
+ sélectionner 
+\shape italic
+
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{RL Circuit}
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Cliquer sur les boutons 
+\emph on
+Échelon 0 à 5V 
+\emph default
+et 
+\emph on
+Échelon 5 à 0V 
+\emph default
+pour tracer les graphiques.
+\end_layout
+
+\begin_layout Itemize
+Ajuster l'échelle horizontale, si nécessaire, et recommencer.
+\end_layout
+
+\begin_layout Itemize
+Calculer la valeur de l'inductance
+\end_layout
+
+\begin_layout Itemize
+Insérer un noyau de fer ou de ferrite et recommencer
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/RL-curves.png
+	lyxscale 40
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Réponse transitoire d'un circuit RL
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Transient-RL"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+La réponse transitoire du bobinage est montrée à la figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Transient-RC"
+
+\end_inset
+
+.
+ La courbe exponentielle est modélisée pour extraire la valeur de 
+\begin_inset Formula $L/R$
+\end_inset
+
+.
+ La résistance de la bobine est déterminée en la comparant avec la résistance
+ externe connue en conditions de courant continu.
+ Les inductances mesurées sont regroupées dans le tableau ci-dessous.
+ IN1 est connecté à OD1 pour une mesure plus précise de la résistance du
+ bobinage.
+\end_layout
+
+\begin_layout Standard
+Les tension appliquées sont positives, mais la courbe est passée par des
+ valeurs négatives.
+ Pourquoi
+\begin_inset space ~
+\end_inset
+
+?
+\end_layout
+
+\begin_layout Standard
+Quel était le courant avant le début de l'échelon de 5 à 0
+\begin_inset space ~
+\end_inset
+
+V
+\begin_inset space ~
+\end_inset
+
+? Qu'est ce qu'une force contre-électromotrice
+\begin_inset space ~
+\end_inset
+
+?
+\end_layout
+
+\begin_layout Standard
+Recommencez avec deux bobinages en série, en les plaçant (a) loin l'un de
+ l'autre, (b) l'un sur l'autre et (c) l'un sur l'autre en en changeant le
+ sens.
+ On peut observer l'effet de l'induction mutuelle.
+\end_layout
+
+\begin_layout Section
+Réponse transitoire de circuits RLC
+\begin_inset CommandInset label
+LatexCommand label
+name "sec:Step-Response-ofRLC"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Étudier la nature oscillante de 
+\begin_inset Formula $L$
+\end_inset
+
+ et 
+\begin_inset Formula $C$
+\end_inset
+
+ en série.
+ La fréquence de résonance d'un circuit LC vaut 
+\begin_inset Formula $\omega_{0}=\frac{1}{2\pi\sqrt{LC}}$
+\end_inset
+
+, le facteur d'amortissement vaut 
+\begin_inset Formula $\frac{R}{2}\sqrt{\frac{C}{L}}$
+\end_inset
+
+, il est égal à 1 pour l'amortissement critique.
+\begin_inset Foot
+status collapsed
+
+\begin_layout Plain Layout
+http://en.wikiversity.org/wiki/RLC_circuit
+\end_layout
+
+\end_inset
+
+ Selon la valeur de 
+\begin_inset Formula $C/L$
+\end_inset
+
+ et 
+\begin_inset Formula $R$
+\end_inset
+
+, la réponse peut être une oscillation amortie, un amortissement critique,
+ un amortissement sur-critique.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/RLC-curves.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+ 
+\begin_inset Graphics
+	filename pics/RLC-curve-damped.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Réponse transitoire d'un circuit RLC, (a) Oscillations amorties (b) Amortissemen
+t sur-critique.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:LCR Transient-response"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Procédure 
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/LCRcircuit.png
+	height 0.8cm
+
+\end_inset
+
+ 
+\begin_inset Graphics
+	filename schematics/LCRRcircuit.png
+	height 0.7cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Depuis 
+\shape italic
+
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{EXPERIMENTS}
+\end_layout
+
+\end_inset
+
+
+\shape default
+ sélectionner
+\shape italic
+ 
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{RLC Discharge}
+\end_layout
+
+\end_inset
+
+
+\shape default
+ 
+\end_layout
+
+\begin_layout Itemize
+Cliquer sur 
+\emph on
+Échelon 5 à 0V
+\emph default
+.
+ Ajuster l'axe des abscisses et recommencer si nécessaire.
+\end_layout
+
+\begin_layout Itemize
+Modéliser le graphique (FIT) pour trouver la fréquence de résonance et l'amortis
+sement.
+\end_layout
+
+\begin_layout Itemize
+Recommencer l'expérience pour diverses valeurs de L, C et R
+\end_layout
+
+\begin_layout Itemize
+Recommencer avec une résistance en série.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+On a utilisé le bobinage de 3000 tours et un condensateur de 
+\begin_inset Formula $0,1\,\mu F$
+\end_inset
+
+, et une résistance en série de 
+\begin_inset Formula $2,4\, k\Omega$
+\end_inset
+
+ dans le second cas.
+ La tension aux bornes du condensateur après l’échelon de 5 à 0
+\begin_inset space ~
+\end_inset
+
+V est montré à la figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:LCR Transient-response"
+
+\end_inset
+
+ .
+ La fréquence de résonance est en accord avec 
+\begin_inset Formula $f=\frac{1}{2\pi}\sqrt{\frac{1}{LC}}$
+\end_inset
+
+ , dans la limite de précision due à la tolérance sur les valeurs des composants.
+\end_layout
+
+\begin_layout Section
+Intégration et différentiation RC
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Des circuits RC peuvent intégrer et différentier un signal de tension par
+ rapport au temps.
+ Un signal carré est intégré en un signal triangulaire, et par différenciation
+ on obtient des pics aux transitions.
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/rc-integ.png
+	height 0.8cm
+
+\end_inset
+
+ 
+\end_layout
+
+\begin_layout Itemize
+Régler SQR2 à 
+\begin_inset Formula $1000\, Hz$
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Assigner SQR2 à CH1 et A1 à CH2
+\end_layout
+
+\begin_layout Itemize
+Ajuster l'échelle horizontale pour voir plus de quatre cycles.
+\end_layout
+
+\begin_layout Itemize
+Régler SQR2 à 
+\begin_inset Formula $1\, kHz$
+\end_inset
+
+ (
+\begin_inset Formula $\tau=RC$
+\end_inset
+
+) et à d'autres valeurs pour observer les signaux.
+\end_layout
+
+\begin_layout Itemize
+Recommencer de même pour le différentiateur RC, à 
+\begin_inset Formula $1000\, Hz$
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/rc-diff.png
+	height 0.8cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/rc-integ1khz.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/rc-diff100Hz.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+(a) Signal carré à 
+\begin_inset Formula $1\, kHz$
+\end_inset
+
+ après intégration RC (b) 
+\begin_inset Formula $100\, Hz$
+\end_inset
+
+ après différentiation RC
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:RC-int-diff"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+L'intégration observée à 
+\begin_inset Formula $1\, kHz$
+\end_inset
+
+ et la différentiation à 
+\begin_inset Formula $100\, Hz$
+\end_inset
+
+ sont montrées à la figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:RC-int-diff"
+
+\end_inset
+
+, en utilisant une constante de temps 
+\begin_inset Formula $RC$
+\end_inset
+
+ de 1 milliseconde.
+ Quand la période devient comparable à la valeur de 
+\begin_inset Formula $RC$
+\end_inset
+
+, le signal de sortie est triangulaire.
+ La différentiation ne peut être montrée qu'à des fréquences plus faibles
+ parce que capturer des pics étroits requiert un oscilloscope rapide.
+\end_layout
+
+\begin_layout Section
+Analyse de Fourier
+\begin_inset CommandInset label
+LatexCommand label
+name "sec:Fourier-Transform-**"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Étudier la transformée de Fourier d'un signal.
+ Représentations temporelle et fréquentielle d'un signal.
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/ftran.png
+	height 0.8cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Régler SQR1 à 
+\begin_inset Formula $150\, Hz$
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Assigner A1 à CH1 et SQR1 à CH2
+\end_layout
+
+\begin_layout Itemize
+Assigner CH1 & CH2 à FTR pour voir la transformée de Fourier
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/fft-sine147Hz.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+ 
+\begin_inset Graphics
+	filename pics/fft-sqr150Hz.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Spectre de fréquence d'un (a) signal sinusoïdal.
+ (b) Signal carré
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Frequency-spectrum-of"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+Dans la courbe de la transformée de Fourier, la fréquence apparaît sur l'axe
+ des abscisses (x) et l'axe des ordonnées (y) montre la force relative de
+ chaque fréquence composant le signal.
+ Ceci s’appelle la représentation fréquentielle.
+\begin_inset Foot
+status open
+
+\begin_layout Plain Layout
+http://en.wikipedia.org/wiki/Fourier_transform
+\end_layout
+
+\end_inset
+
+ Pour le signal sinusoïdal il y a un seul pic dominant, les pics plus petits
+ sont une mesure de la distorsion du signal sinusoïdal.
+\end_layout
+
+\begin_layout Standard
+La fonction d'un signal carré peut être représentée comme 
+\begin_inset Formula $f(\theta)=sin(\theta)+\frac{sin(3\theta)}{3}+\frac{sin(5\theta)}{5}+\cdots$
+\end_inset
+
+.
+ Dans la transformée de Fourier d'un signal carré de fréquence 
+\begin_inset Formula $f$
+\end_inset
+
+, il y aura une composante à 
+\begin_inset Formula $3f$
+\end_inset
+
+ (avec une amplitude égale au tiers de la composante 
+\begin_inset Formula $f$
+\end_inset
+
+), une composante 
+\begin_inset Formula $5f$
+\end_inset
+
+ (amplitude un cinquième), etc.
+ comme le montre la figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Frequency-spectrum-of"
+
+\end_inset
+
+(b).
+\end_layout
+
+\begin_layout Chapter
+Électricité et magnétisme
+\end_layout
+
+\begin_layout Standard
+L'induction magnétique est démontrée à l'aide d'un aimant mobile et d'un
+ bobinage alimenté en courant alternatif.
+ Le fonctionnement d'un transformateur est démontré à l'aide de deux bobines.
+ Un générateur alternatif simple, capable de générer un courant multiphasé,
+ est réalisé à l'aide d'un aimant tournant.
+\end_layout
+
+\begin_layout Section
+Induction électromagnétique
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Étudier la tension induite aux bornes d'une bobine quand on change le champ
+ magnétique, en laissant tomber un un petit aimant cylindrique à travers
+ la bobine.
+ On utilise un tube pour guider l'aimant dans la bobine.
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/induction.png
+	height 1cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Depuis 
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{EXPERIMENTS}
+\end_layout
+
+\end_inset
+
+ ouvrir 
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{EM Induction}
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Cliquer sur 
+\emph on
+Démarrer l'enregistrement
+\emph default
+.Une trace horizontale devrait apparaître
+\end_layout
+
+\begin_layout Itemize
+Laisser tomber l'aimant à travers la bobine, jusqu'à ce qu'un événement
+ soit capturé.
+\end_layout
+
+\begin_layout Itemize
+Recommencer la procédure en changeant des paramètres tels que la force de
+ l'aimant, sa vitesse, etc.
+\end_layout
+
+\begin_layout Subsection*
+Observation 
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/induction-screen.png
+	lyxscale 40
+	width 5cm
+
+\end_inset
+
+ 
+\begin_inset Graphics
+	filename pics/transformer-screen.png
+	lyxscale 40
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+(a) Tension induite dans un bobinage par un aimant mobile.
+ (b) Induction mutuelle entre deux bobines, les tensions appliquée et induite
+ sont affichées
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Voltage-induced-on"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+Le résultat est montré dans la figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Voltage-induced-on"
+
+\end_inset
+
+(a).
+ L’amplitude augmente avec la vitesse de l'aimant.
+ D'après le graphique, on peut trouver le temps que l'aimant a mis pour
+ traverser la bobine.
+\end_layout
+
+\begin_layout Standard
+Le deuxième pic semble plus grand que le premier.
+ Pourquoi
+\begin_inset space ~
+\end_inset
+
+? À quel endroit se situe l'aimant quand la tension induite passe par zéro
+\begin_inset space ~
+\end_inset
+
+? Laisser tomber l'aimant de différentes hauteurs et représentez la tension
+ en fonction de la racine carrée de la hauteur de chute.
+\end_layout
+
+\begin_layout Section
+Induction mutuelle, transformateur
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Démontrer l'induction mutuelle en utilisant deux bobines.
+ Une bobine est alimentée par la sortie SINE.
+ On aligne les axes des bobines et on insère un noyau de ferrite.
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Standard
+\align left
+\begin_inset Graphics
+	filename schematics/tran.png
+	height 1cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Assigner A1 à CH1 et A2 à CH2
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+Le signal appliqué et le signal induit sont montrés sur la figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Voltage-induced-on"
+
+\end_inset
+
+(2).
+ Un champ magnétique variable est la cause de la tension induite.
+ Dans les deux expériences précédentes, le champ magnétique variable était
+ dû à des aimants permanents en mouvement.
+ Dans le cas présent le champ magnétique variable est dû à un courant variable
+ dans le temps.
+\end_layout
+
+\begin_layout Standard
+La sortie aurait dû être en phase avec l'entrée d'après la théorie
+\begin_inset Foot
+status open
+
+\begin_layout Plain Layout
+http://sound.westhost.com/xfmr.htm
+\end_layout
+
+\end_inset
+
+.
+ Cependant, cela ne se produit pas parce que le couplage est insuffisant.
+ Avec plus de ferrite, le déphasage devient ce que la théorie annonce.
+ Essayez de faire cette expérience à l'aide d'un signal carré à 
+\begin_inset Formula $100\, Kz$
+\end_inset
+
+, 
+\begin_inset Formula $1000\, Hz$
+\end_inset
+
+, etc.
+ Connectez une résistance de 
+\begin_inset Formula $1\, k\Omega$
+\end_inset
+
+ aux bornes de la bobine secondaire pour diminuer les résonances indésirables.
+\end_layout
+
+\begin_layout Section
+Un générateur alternatif simple
+\begin_inset CommandInset label
+LatexCommand label
+name "sec:A-simple-AC"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Mesurer la fréquence et l'amplitude de la tension induite à travers un solénoïde
+ à l'aide d'un aimant tournant.
+ Comprendre un peu les problèmes des générateurs alternatifs en examinant
+ le signal de sortie et les inconvénients du montage.
+ Utiliser l'aimant de 
+\begin_inset Formula $10\,\mbox{mm}\,\times\,10\,\mbox{mm}$
+\end_inset
+
+et le bobinage de 3000 tours qui sont dans le kit.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename schematics/ac-generator.png
+	width 4cm
+
+\end_inset
+
+ 
+\begin_inset Graphics
+	filename pics/ac-gen-screen.png
+	lyxscale 40
+	width 4cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Schéma de câblage et tension de sortie du générateur alternatif, avec des
+ bobinages placés à des extrémités opposées de l'aimant tournant.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:AC generator output"
+
+\end_inset
+
+.
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Itemize
+Monter l'aimant à l'horizontale et alimenter le moteur à courant continu
+ à l'aide d'une pile 
+\begin_inset Formula $1,5\, V$
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Placer les bobines perpendiculairement à l'axe de rotation du moteur, près
+ de l'aimant.
+ Attention de ne pas le toucher.
+\end_layout
+
+\begin_layout Itemize
+Assigner A1 à CH1 & A2 à CH2
+\end_layout
+
+\begin_layout Itemize
+Assigner CH1 et CH2 à FIT
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+Les tensions de sortie sont présentées à la figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:AC generator output"
+
+\end_inset
+
+.
+ La différence de phase entre les deux tensions dépend de l'angle entre
+ les axes des deux bobines.
+\end_layout
+
+\begin_layout Standard
+Placez une bobine court-circuitée près de l'aimant et observez le changement
+ de fréquence.
+ La bobine court-circuitée puise de l'énergie dans le générateur et la vitesse
+ de celui-ci diminue.
+ Le champ magnétique dans ce générateur est très faible.
+ La résistance de la bobine est élevée et quand on essaie de lui faire produire
+ du courant il y a une chute de tension notable due à la bobine elle-même.
+\end_layout
+
+\begin_layout Chapter
+Électronique
+\end_layout
+
+\begin_layout Standard
+On étudie des éléments non-linéaires comme des diodes et des transistors
+ en traçant leurs caractéristiques et en réalisant des circuits simples
+ pour en démonter le fonctionnement.
+ Un photo-transistor est utilisé pour des mesures de transparence, la transmissi
+on d'un signal optique et pour mesurer la vitesse de mouvements mécaniques.
+ On étudiera la modulation d'amplitude et de fréquence.
+ Une platine de montage est nécessaire pour réaliser certaines des expériences
+ décrites dans cette section.
+\end_layout
+
+\begin_layout Section
+Redresseur demi-onde, jonction PN
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Étudier le fonctionnement de la jonction PN d'une diode.
+ Faire du courant continu à partie de courant alternatif sinusoïdal.
+ Filtrer pour réduire la composante alternative.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/half-wave-screen.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+ 
+\begin_inset Graphics
+	filename pics/half-wave-filter-screen.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+(a) Entrée et sortie d'un redresseur demi-onde.
+ (b) Après filtrage par une condensateur.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Diode-rectifier"
+
+\end_inset
+
+ 
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Procédure 
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/half-wave.png
+	height 0.8cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Assigner A1 à CH1 et A2 à CH2
+\end_layout
+
+\begin_layout Itemize
+Ajouter des condensateurs de filtrage de différentes valeurs entre A2 et
+ la masse (GND).
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+La partie négative du signal sinusoïdal est supprimée comme le montre la
+ figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Diode-rectifier"
+
+\end_inset
+
+(a).
+ Notez aussi que la moitié positive est diminuée d'environ 
+\begin_inset Formula $0,7\, V$
+\end_inset
+
+, la chute de tension due à la diode en silicium.
+ Une résistance de charge est nécessaire au bon fonctionnement du circuit,
+ on peut prendre une valeur supérieure à 
+\begin_inset Formula $1\, k\Omega$
+\end_inset
+
+ mais il ne fait pas utiliser des valeurs trop basses comme notre source
+ de courant alternatif ne peut pas fournir plus de 
+\begin_inset Formula $5\, mA$
+\end_inset
+
+ de courant.
+\end_layout
+
+\begin_layout Standard
+L'effet d'un condensateur est montré à la figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Diode-rectifier"
+
+\end_inset
+
+(b).
+ On peut voir que le condensateur se charge, et qu'il maintient la tension
+ durant le cycle manquant.
+ La composante alternative est appelée 
+\begin_inset Quotes fld
+\end_inset
+
+ondulation
+\begin_inset Quotes frd
+\end_inset
+
+ dans le courant continu.
+\end_layout
+
+\begin_layout Standard
+Peut-on utiliser une capacité très grande pour diminuer l'ondulation
+\begin_inset space ~
+\end_inset
+
+?
+\end_layout
+
+\begin_layout Standard
+Pendant quelle partie du cycle passe-t-il un courant dans la diode
+\begin_inset space ~
+\end_inset
+
+?
+\end_layout
+
+\begin_layout Standard
+Qu'est-ce qui conditionne le courant de pic
+\begin_inset space ~
+\end_inset
+
+?
+\end_layout
+
+\begin_layout Section
+Signaux sinusoïdaux déphasés de 
+\begin_inset Formula $180^{\circ}$
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Pour montrer le fonctionnement d'un redresseur double alternance à l'aide
+ de deux diodes, on a besoin de deux signaux déphasés de 
+\begin_inset Formula $180^{\circ}$
+\end_inset
+
+.
+ On y arrive en inversant la tension de la sortie SINE à l'aide d'un amplificate
+ur inverseur.
+ Le gain est rendu proche de l'unité en disposant une résistance en série
+ de 
+\begin_inset Formula $51\, k\Omega$
+\end_inset
+
+ en série avec l'entrée de l'amplificateur.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/ac-invert.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+ 
+\begin_inset Graphics
+	filename pics/full-wave.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+(a) Amplificateur inverseur réalisant un signal sinusoïdal déphasé de 
+\begin_inset Formula $180^{\circ}$
+\end_inset
+
+.
+ (b) Redresseur double alternance, les deux signaux d'entrée et la sortie.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Inverting-Amplifier-making"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Procédure 
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/ac-invert.png
+	height 0.8cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Assigner A1 à CH1 et A2 à CH2
+\end_layout
+
+\begin_layout Itemize
+Faire un clic droit sur CH1 pour mesurer le déphasage
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+Le résultat est montré à la figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Inverting-Amplifier-making"
+
+\end_inset
+
+.
+ Les amplitudes ne sont pas rigoureusement égales.
+ Le gain est donné par 
+\begin_inset Formula $G=-\frac{51000}{51000+1000}$
+\end_inset
+
+.
+ 
+\end_layout
+
+\begin_layout Section
+Redressement double alternance
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Réaliser une redresseur double alternance, à l'aide de deux diodes.
+ Le deux signaux alternatifs nécessaires, déphasés de 
+\begin_inset Formula $180^{\circ}$
+\end_inset
+
+, sont réalisés comme décrit à la partie précédente.
+ La sortie redressée est connectée à la troisième voie.
+\end_layout
+
+\begin_layout Subsection*
+Procédure 
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/full-wave.png
+	height 1cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Assigner A1 à CH1, A2 à CH2 et IN1 à CH3
+\end_layout
+
+\begin_layout Itemize
+Ajouter un condensateur entre IN1 et la masse (GND), pour un filtrage.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+Le résultat est montré dans la figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Inverting-Amplifier-making"
+
+\end_inset
+
+.
+ On peut ajouter des condensateurs pour réduire l'ondulation résiduelle
+ à titre d'exercice.
+ Ce montage sert seulement à démontrer le fonctionnement d'un redresseur
+ double alternance, il est incapable de donner plus de quelques milliampère.
+\end_layout
+
+\begin_layout Standard
+En quoi le redresseur double alternance est-il supérieur au redresseur simple
+ alternance
+\begin_inset space ~
+\end_inset
+
+?
+\end_layout
+
+\begin_layout Section
+Caractéristique tension-courant d'une diode
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Tracer la caractéristique U-I d'une diode.
+ Étudier l'équation d'une diode.
+ La caractéristique U-I d'une jonction PN idéale est donnée par l'équation
+\begin_inset Formula $I=I_{0}\left(e^{\frac{qU}{kT}}-1\right)$
+\end_inset
+
+, où 
+\begin_inset Formula $I_{0}$
+\end_inset
+
+ est le courant de saturation inverse, 
+\begin_inset Formula $q$
+\end_inset
+
+ la charge de l'électron, 
+\begin_inset Formula $k$
+\end_inset
+
+ la constante de Boltzmann, 
+\begin_inset Formula $T$
+\end_inset
+
+ la température en kelvin.
+ Pour une diode non-idéale, en pratique, l'équation est 
+\begin_inset Formula $I=I_{0}\left(e^{\frac{qV}{nkT}}-1\right)$
+\end_inset
+
+, où 
+\begin_inset Formula $n$
+\end_inset
+
+ est le facteur d'idéalité, égal à 1 pour une diode idéale.
+ Pour les diodes en pratique il varie entre 1 et 2.
+ On a utilisé une diode au silicium 1N4148.
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Standard
+\align left
+\begin_inset Graphics
+	filename schematics/diode-iv.png
+	width 3cm
+
+\end_inset
+
+ 
+\end_layout
+
+\begin_layout Itemize
+Depuis 
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{EXPERIMENTS}
+\end_layout
+
+\end_inset
+
+ sélectionner 
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{Diode IV}
+\end_layout
+
+\end_inset
+
+ .
+\end_layout
+
+\begin_layout Itemize
+Cliquer sur LANCER pour tracer la courbe caractéristique.
+\end_layout
+
+\begin_layout Itemize
+Cliquer sur FIT pour calculer le facteur d’idéalité de la diode.
+\end_layout
+
+\begin_layout Itemize
+Tracer la courbe U-I de diodes luminescentes
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/diode-iv-screen.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+ 
+\begin_inset Graphics
+	filename pics/led-iv-screen.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Caractéristique U-I de (a) diode silicium (b) plusieurs DELs
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:I-V-characteristic-of"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+Les courbes obtenues sont montrées dans la figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:I-V-characteristic-of"
+
+\end_inset
+
+(a).
+ La valeur de 
+\begin_inset Formula $n$
+\end_inset
+
+ pour une diode 1N4148 est proche de 2.
+ On a calculé la valeur de 
+\begin_inset Formula $n$
+\end_inset
+
+ en modélisant les données expérimentales à l'aide de l'équation
+\begin_inset Foot
+status collapsed
+
+\begin_layout Plain Layout
+Si l'action de FIT échoue, transférer les données dans 
+\shape italic
+xmGrace
+\shape default
+ et utiliser l'option Data->Transformations->Nonlinear curve fitting avec
+ l'équation y=a0*exp(a1*x).
+ 
+\end_layout
+
+\end_inset
+
+.
+ La figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:I-V-characteristic-of"
+
+\end_inset
+
+(b) monter les caractéristiques U-I de quelques DELs, de diverses longueurs
+ d'onde.
+\end_layout
+
+\begin_layout Standard
+La tension à partir de la laquelle une DEL commence à émettre de la lumière
+ dépend de sa longueur d'onde de de la constante de Planck.
+ L'énergie d'un photon est donnée par 
+\begin_inset Formula $E=h\nu=hc/\lambda$
+\end_inset
+
+.
+ Cette énergie est égale à l'énergie d'un électron qui traverse une barrière
+ de potentiel, elle est donnée par 
+\begin_inset Formula $E=eU_{0}$
+\end_inset
+
+.
+ Ainsi la constante de Planck vaut 
+\begin_inset Formula $h=eU_{0}\lambda/c$
+\end_inset
+
+ , où 
+\begin_inset Formula $\lambda$
+\end_inset
+
+ est la longueur d'onde de la LED, 
+\begin_inset Formula $e$
+\end_inset
+
+ est la charge de l'électron et 
+\begin_inset Formula $c$
+\end_inset
+
+ la vitesse de la lumière.
+\end_layout
+
+\begin_layout Standard
+Recommencer cette expérience en chauffant la diode à diverses températures.
+\end_layout
+
+\begin_layout Section
+Caractéristique collecteur/émetteur d'un transistor 
+\begin_inset CommandInset label
+LatexCommand label
+name "sec:Transistor-CE-Characteristic"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Tracer la caractéristique collecteur/émetteur d'un transistor.
+ On connecte le collecteur à PVS à travers une résistance de 
+\begin_inset Formula $1\, k\Omega$
+\end_inset
+
+.
+ La tension de base est obtenue en filtrant des impulsions de rapport cyclique
+ variable venant de SQR1.
+ Le courant de base est commandé par cette tension et une résistance en
+ série de 
+\begin_inset Formula $200\, k\Omega$
+\end_inset
+
+.
+ Pour de meilleurs résultats, on peut utiliser une alimentation continue
+ externe (par exemple un pile 1,5
+\begin_inset space ~
+\end_inset
+
+V) pour la tension de base.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename schematics/transistor-ce.png
+	width 4cm
+
+\end_inset
+
+ 
+\begin_inset Graphics
+	filename pics/transistor-ce.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Caractéristique d'un transistor en émetteur commun
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Transistor-common-emitter"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Itemize
+Depuis 
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{EXPERIMENTS}
+\end_layout
+
+\end_inset
+
+ ouvrir 
+\shape italic
+
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{Transistor CE}
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Entrez la tension d'alimentation pour la base puis LANCER.
+ Recommencer pour d'autres valeurs de 
+\begin_inset Formula $V_{b}$
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+Les courbes caractéristiques pour diverses valeurs du courant de base sont
+ montrées sur la figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Transistor-common-emitter"
+
+\end_inset
+
+.
+ Le courant de collecteur est calculé d'après la différence de potentiel
+ aux bornes de la résistance de 
+\begin_inset Formula $1\, k\Omega$
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Standard
+Le courant de base est réglé en définissant la tension à une extrémité de
+ la résistance de 
+\begin_inset Formula $200\, k\Omega$
+\end_inset
+
+, l'autre extrémité est connectée à la base du transistor.
+ La valeur du courant de base se calcule par 
+\begin_inset Formula $I_{b}=\frac{V_{b}-0,6}{200\times10^{3}}\times10^{6}\mu A$
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Transmission de lumière, photo-transistor
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Mesurer la transmission de lumière à travers un matériau semi-transparent
+ à l'aide d'un photo-transistor.
+ Le matériau est placé entre une DEL et le photo-transistor.
+ Le courant de collecteur dépend de la quantité de lumière arrivant sur
+ le photo-transistor.
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/light-tranmission.png
+	height 0.8cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Régler SQR1 à 0 Hz, pour allumer la DEL
+\end_layout
+
+\begin_layout Itemize
+Assigner SEN à CH1
+\end_layout
+
+\begin_layout Itemize
+Mesurer la tension à SEN, en cliquant dessus.
+\end_layout
+
+\begin_layout Itemize
+Recommencer en changeant le matériau entre la DEL et le photo-transistor.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+La tension au collecteur du photo-transistor diminue avec l'intensité de
+ la lumière qui arrive sur lui.
+ La tension mesurée quand on place un morceau de papier entre la DEL et
+ le photo-transistor est montrée sur la figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Phototransistor output"
+
+\end_inset
+
+(a).
+\end_layout
+
+\begin_layout Section
+Transmission d'un signal opto-électrique
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Démontrer la transmission de signaux en utilisant de la lumière.
+ Une DEL est alimentée par un signal à 
+\begin_inset Formula $1\, kHz$
+\end_inset
+
+ et on fait arriver la lumière sur un photo-transistor.
+ L'entrée SEN est connectée en interne à 
+\begin_inset Formula $5\, V$
+\end_inset
+
+ à travers une résistance de 
+\begin_inset Formula $5,1\, k\Omega$
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/opto-electric.png
+	height 0.8cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Placer la DEL en face du photo-transistor et régler SQR1 à 
+\begin_inset Formula $1000\, Hz$
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Assigner SQR1 à CH1 et SEN à CH2
+\end_layout
+
+\begin_layout Itemize
+Recommencer l'expérience en changeant la fréquence.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/light-transmission.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+ 
+\begin_inset Graphics
+	filename pics/opto-electric-transmission.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+(a) Tension aux bornes du photo-transistor avec de la lumière qui traverse
+ une feuille de papier.
+ (b) Transmission d'impulsions, la tension d'alimentation de la DEL et la
+ tension aux bornes du photo-transistor.
+ 
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Phototransistor output"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+La sortie du photo-transistor à 
+\begin_inset Formula $1\, kHz$
+\end_inset
+
+ est montrée sur la figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Phototransistor output"
+
+\end_inset
+
+(b).
+ Le signal carré est la tension aux bornes de la DEL.
+ Quand la DEL est allumée, le photo-transistor devient conducteur et la
+ tension à ses bornes tombe à quelques 
+\begin_inset Formula $0,2\, V$
+\end_inset
+
+.
+ Quand la DEL est éteinte le photo-transistor passe en mode isolant et le
+ collecteur monte quasiment au potentiel de l'alimentation.
+ Les temps de montée et de descente du photo-transistor semblent être différents.
+\end_layout
+
+\begin_layout Standard
+Recommencer cette expérience avec une fibre optique pour guider la lumière
+ de la DEL au photo-transistor.
+\end_layout
+
+\begin_layout Section
+Oscillateur IC555
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Réaliser un oscillateur astable à l'aide d'un IC555 et mesurer sa fréquence
+ et son rapport cyclique.
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Itemize
+Régler OD1 à HAUT, pour alimenter le IC555
+\end_layout
+
+\begin_layout Itemize
+Assigner IN1 à CH1 et activer FIT sur CH1
+\end_layout
+
+\begin_layout Itemize
+Cliquer droit sur IN1 pour mesurer la fréquence et le rapport cyclique.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename schematics/osc555.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/ic555-screen.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Multivibrateur astable IC555.
+ (a) schéma (b) Signal de sortie
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:IC555-astable-multi-vibrator."
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Multivibrateur monostable IC555
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Réaliser un multivibrateur monostable à l'aide d'un IC555 et mesurer l'intervall
+e de temps, pour diverses valeurs de 
+\begin_inset Formula $RC$
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Itemize
+Régler SQR2 à 0 Hz (Pour lui faire donner une tension continue de 
+\begin_inset Formula $5\, V$
+\end_inset
+
+)
+\end_layout
+
+\begin_layout Itemize
+Entrer 
+\shape italic
+set_pulsewidth(1)
+\shape default
+ dans la fenêtre de commande
+\end_layout
+
+\begin_layout Itemize
+Assigner LTP (Impulsion Vraie BASSE, 
+\emph on
+Low True Pulse
+\emph default
+) à OD1, l'entrée du trigger (gâchette) du 555
+\end_layout
+
+\begin_layout Itemize
+Assigner IN1 à CH1 , l'observer en variant la valeur de 
+\begin_inset Formula $RC$
+\end_inset
+
+ du 555.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename schematics/mono555.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/mono555-screen.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Multivibrateur monostable IC555.
+ (a) schéma (b) Signal de sortie 
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:IC555-astable-multi-vibrator.-1"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Portes logiques
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+L'étude de portes logiques à l'aide de deux signaux carrés présentant un
+ déphasage.
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/and-gate.png
+	height 1cm
+
+\end_inset
+
+ 
+\begin_inset Graphics
+	filename schematics/or-gate.png
+	height 1cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Assigner SQR1 à CH1, SQR2 à CH2 et IN1 à CH3
+\end_layout
+
+\begin_layout Itemize
+Régler à 
+\begin_inset Formula $100\, Hz$
+\end_inset
+
+, 
+\begin_inset Formula $25\,\%$
+\end_inset
+
+ et activer 1&2.
+ (SQR1 & SQR2)
+\end_layout
+
+\begin_layout Itemize
+Cocher OD1, pour alimenter la porte TTL 7408 (fonction ET -- AND)
+\end_layout
+
+\begin_layout Itemize
+Recommencer à l'aide d'un port de fonction OU -- OR, de référence 7432
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/and-gate.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+ 
+\begin_inset Graphics
+	filename pics/or-gate.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Fonctionnement de portes logiques avec des signaux carrés en entrée.
+ (a) porte ET, (b) porte OU
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Operation-of-logic"
+
+\end_inset
+
+ 
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Diviseur de signal d'horloge
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Étudier un diviseur de signal d'horloge, à l'aide d'une bascule D (7474
+ dans la série TTL).
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/clock-divider.png
+	height 1.5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Régler SQR1 à 
+\begin_inset Formula $500\, Hz$
+\end_inset
+
+.
+ Assigner SQR1 à CH1 et IN1 à CH2
+\end_layout
+
+\begin_layout Itemize
+Cocher OD1, pour alimenter la bascule
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+La sortie change d'état à chaque front montant de l'entrée, ce qui provoque
+ la division de la fréquence par deux.
+ La sortie est un signal carré symétrique, quel que soit le rapport cyclique
+ de l'impulsion d'entrée.
+ La tension de sortie HAUTE du circuit intégré TTL est proche de 
+\begin_inset Formula $4\, V$
+\end_inset
+
+ seulement.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+V
+\begin_inset Graphics
+	filename pics/clock-divider.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+ 
+\begin_inset Graphics
+	filename pics/clock-divider2.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Un circuit de division de signal d'horloge, réalisé à l'aide d'une bascule
+ D.
+ La tension de sortie pour deux types de signaux d'entrée sont montrés.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:A-clock-divider-1"
+
+\end_inset
+
+ 
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Amplificateur non-inverseur
+\begin_inset CommandInset label
+LatexCommand label
+name "sec:Non-inverting-Amplifier"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Réaliser une amplificateur non-inverseur, à l'aide d'un amplificateur opérationn
+el OP27, et mesurer le gain.
+ le gain et la tension d'entrée doivent être choisis de façon à ce que la
+ tension de sortie soit dans l'intervalle de 
+\begin_inset Formula $0$
+\end_inset
+
+ à 
+\begin_inset Formula $5\, V$
+\end_inset
+
+, sinon le système fonctionnera mal.
+ L'amplificateur opérationnel est alimenté par une alimentation externe
+ 
+\begin_inset Formula $\pm\,9\, V$
+\end_inset
+
+.
+ Une résistance en série est ajouté pour protéger expEYES de toute tension
+ dangereuse en provenance du système à l'essai.
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/amp-test.png
+	height 1.5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Pour trouver la tension de décalage, connecter l'entrée de l'amplificateur
+ à la masse (GND) et mesurer sa tension de sortie.
+\end_layout
+
+\begin_layout Itemize
+Régler PVS à 
+\begin_inset Formula $0,1\, V$
+\end_inset
+
+ et cliquer sur IN1 pour la tension de sortie
+\end_layout
+
+\begin_layout Itemize
+Recommencer pour différentes valeurs
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+\begin_inset Tabular
+<lyxtabular version="3" rows="2" columns="6">
+<features tabularvalignment="middle">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $R_{i}$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $R_{f}$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $1+\frac{R_{f}}{R_{i}}$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $V_{in}$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $V_{out}$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $\frac{V_{out}}{V_{in}}$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $1\, k\Omega$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $10\, k\Omega$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $11$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $0,1$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $1,105$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $11,05$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+</lyxtabular>
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Modulation d'amplitude et de fréquence
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Étudier la modulation d'amplitude et de fréquence d'un signal.
+ Analyser la sortie de modulation d'amplitude (AM) mathématiquement pour
+ observer les bandes latérales.
+ Cette expérience requiert une source de signal modulé, nous avons utilisé
+ le boîtier analogique PHOENIX.
+\end_layout
+
+\begin_layout Standard
+Le boîtier analogique PHOENIX dispose d'un générateur de signal sinusoïdal
+ (près de 
+\begin_inset Formula $100\, Hz$
+\end_inset
+
+) dont l'amplitude peut être contrôlée à l'aide d'une tension de commande
+ continue.
+ Il dispose aussi d'un générateur de signal sinusoïdal avec des entrées
+ de contrôle AM et FM.
+ On utilise PVS pour changer la profondeur de modulation en contrôlant l'amplitu
+de du signal sinusoïdal à 
+\begin_inset Formula $100\, Hz$
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/am.png
+	height 1cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Connecter les masses (GND) du Boîtier Analogique et d'expEYES.
+\end_layout
+
+\begin_layout Itemize
+Sélectionner A1 & A2
+\end_layout
+
+\begin_layout Itemize
+Capturer 900 échantillons avec un intervalle de 
+\begin_inset Formula $20\,\mu s$
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Dé-sélectionner A2 et capturer 1800 échantillons
+\end_layout
+
+\begin_layout Itemize
+Cliquer sur 
+\emph on
+Spectre de puissance
+\emph default
+ pour faire une transformée de Fourier
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/am-screen.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+ 
+\begin_inset Graphics
+	filename pics/am-ftran.png
+	lyxscale 50
+	width 4.6cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Un signal modulé et son spectre de Fourier.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Amplitude-modulation"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+Un signal porteur avec une fréquence proche de 
+\begin_inset Formula $4\, kHz$
+\end_inset
+
+ est modulé par un signal sinusoïdal d'environ 
+\begin_inset Formula $100\, Hz$
+\end_inset
+
+.
+ Une petite portion du signal de sortie (400 points avec un intervalle de
+ 
+\begin_inset Formula $20\,\mu s$
+\end_inset
+
+) avec le signal de modulation sont montrés dans la figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Amplitude-modulation"
+
+\end_inset
+
+(b).
+ 
+\end_layout
+
+\begin_layout Standard
+Le spectre de puissance est calculé à l'aide de la transformée de Fourier.
+ Pour obtenir de meilleurs résultats un échantillon plus grand (1800 échantillon
+s avec un intervalle de 
+\begin_inset Formula $50\,\mu s$
+\end_inset
+
+) est utilisé.
+ Les deux bandes latérales sont obtenues clairement de part et d'autre du
+ pic de la porteuse, séparés par la fréquence de modulation.
+\end_layout
+
+\begin_layout Standard
+La sortie modulée en amplitude (AM) ressemble aux battements sonores que
+ nous obtenons à la partie 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "sec:Interference-of-sound"
+
+\end_inset
+
+, mais si on prend un spectre de puissance de battements on trouve deux
+ pics correspondant aux fréquences individuelles.
+ Quelle est la différence malgré les ressemblances
+\begin_inset space ~
+\end_inset
+
+?
+\end_layout
+
+\begin_layout Standard
+À titre d'exercice, l'utilisateur changera juste la connexion de AM en FM,
+ pour faire de la modulation de fréquence.
+\end_layout
+
+\begin_layout Chapter
+Le son
+\end_layout
+
+\begin_layout Standard
+La transmission de variations de pression, autour de la pression d'équilibre,
+ à travers un milieu, est appelée 
+\emph on
+son
+\emph default
+.
+ Il s'agit d'ondes longitudinales.
+ Si on déplace une feuille de papier d'avant en arrière dans l'air on peut
+ générer de telles ondes de pression, c'est ce que fait un haut-parleur.
+ Quand la fréquence est dans l'intervalle de 
+\begin_inset Formula $20$
+\end_inset
+
+ à 
+\begin_inset Formula $20\,000\, Hz$
+\end_inset
+
+, nous pouvons entendre le son.
+ Dans ce chapitre, nous générerons du son depuis des signaux électriques,
+ le détecter à l'aide du microphone intégré (c'est un capteur de pression)
+ et étudier des propriétés telles que l'amplitude et la fréquence.
+ La vitesse du son est mesurée en observant le déphasage d'un son numérique
+ qui varie avec la distance.
+\end_layout
+
+\begin_layout Section
+Fréquence du son
+\begin_inset CommandInset label
+LatexCommand label
+name "sec:Sound Frequency"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Numériser un son et mesurer sa fréquence.
+ Utiliser le buzzer piézo ou n'importe quelle autre source sonore comme
+ un diapason.
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/sound.png
+	height 0.8cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Régler SQR1 près de 
+\begin_inset Formula $3500\, Hz$
+\end_inset
+
+, placer le buzzer en face du microphone
+\end_layout
+
+\begin_layout Itemize
+Activer FIT pour mesurer la fréquence
+\end_layout
+
+\begin_layout Itemize
+Recommencer avec d'autres sources sonores
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+La sortie amplifiée du microphone est montrée à la figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Digitized-sound"
+
+\end_inset
+
+(a).
+ L'amplitude est maximal près de 
+\begin_inset Formula $3500\, Hz$
+\end_inset
+
+, à cause de la résonance.
+ Quand on alimente avec une fréquence de 
+\begin_inset Formula $1200\, Hz$
+\end_inset
+
+ on obtient plus d'amplitude qu'à 
+\begin_inset Formula $2000\, Hz$
+\end_inset
+
+ par exemple, à cause de la troisième harmonique du signal carré qui tombe
+ sur la fréquence de résonance.
+\end_layout
+
+\begin_layout Standard
+Les ondes sonores créent des variations de pression dans le milieu lorsqu'elles
+ le traversent.
+ Le microphone génère une tension proportionnelle à cette variation de pression.
+ Comme le signal est très faible, nous l'amplifions 50 fois avant de le
+ numériser.
+ Les variations de tension sont accordées aux variations de pression.
+ On peut considérer le microphone comme un capteur de pression, mais qui
+ fonctionne seulement pour des pressions variables.
+\end_layout
+
+\begin_layout Section
+Réponse en fréquence d'un disque piézo
+\begin_inset CommandInset label
+LatexCommand label
+name "sec:Resonance-frequency-of"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Tracer la courbe de réponse en fréquence du disque piézo en variant la fréquence
+ et en mesurant l'amplitude de la sortie du microphone.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/sound-frequency.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+ 
+\begin_inset Graphics
+	filename pics/piezo-freq-resp.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+(a) Signal sonore numérisé (b) Courbe de réponse en fréquence du disque
+ piézo 
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Digitized-sound"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/sound.png
+	height 0.8cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Depuis 
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{EXPERIMENTS}
+\end_layout
+
+\end_inset
+
+ sélectionner 
+\shape italic
+
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{Frequency Response}
+\end_layout
+
+\end_inset
+
+ 
+\end_layout
+
+\begin_layout Itemize
+Cliquer sur le bouton LANCER
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+La courbe de l'amplitude en fonction de la fréquence est montrée à la figure
+ 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Digitized-sound"
+
+\end_inset
+
+(b).
+ L'amplitude est maximale près de 
+\begin_inset Formula $3700\, Hz$
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Section
+Vitesse du son
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Calculer la vitesse du son en mesurant les variation de pression avec la
+ distance.
+ Le son voyage comme une série de surpressions et de dépressions.
+ La figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Sound-waves"
+
+\end_inset
+
+(a) montre des régions de haute et basse pression le long de la direction
+ de propagation, à côté de la sortie d'un capteur de pression aux positions
+ correspondantes.
+\end_layout
+
+\begin_layout Standard
+On peut représenter la variation de pression en chaque point par rapport
+ à la variation de pression au point d'émission.
+ La phase de la sortie MIC change quand on change sa distance par rapport
+ au disque piézo.
+ Quand on le déplace d'une longueur d'onde, la phase change de 360 degrés.
+ Si la phase change de 
+\begin_inset Formula $X$
+\end_inset
+
+ degrés pour un changement de distance de 
+\begin_inset Formula $\triangle D\,\mbox{cm}$
+\end_inset
+
+, la longueur d'onde est donnée par 
+\begin_inset Formula $\lambda=\frac{360\times\triangle D}{X}$
+\end_inset
+
+ .
+ La vitesse du son peut être calculée en multipliant cela par la fréquence.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/sound_waves.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+ 
+\begin_inset Graphics
+	filename pics/velocity-sound.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+(a)Propagation d'ondes sonores, variation de la sortie du microphone avec
+ la pression.
+ (b) Signal de sortie du microphone
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Sound-waves"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/sound.png
+	height 0.8cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Depuis 
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{EXPERIMENTS}
+\end_layout
+
+\end_inset
+
+ démarrer 
+\shape italic
+
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{Velocity of Sound}
+\end_layout
+
+\end_inset
+
+ 
+\end_layout
+
+\begin_layout Itemize
+Régler la fréquence pour obtenir une amplitude maximale en mesurant la réponse
+ en fréquence 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "sec:Resonance-frequency-of"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Placer le disque piézo en face du microphone, sur le même axe
+\end_layout
+
+\begin_layout Itemize
+Mesurer le déphasage à différentes distances
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+À 
+\begin_inset Formula $3500\, Hz$
+\end_inset
+
+, pour 
+\begin_inset Formula $2\, cm$
+\end_inset
+
+ de changement dans la distance, la phase passe de 
+\begin_inset Formula $176^{\circ}$
+\end_inset
+
+ à 
+\begin_inset Formula $102^{\circ}$
+\end_inset
+
+.
+ À l'aide de l'équation 
+\begin_inset Formula $v=f\times\frac{360\times\triangle D}{X}=3500\times\frac{360\times2}{(176-102)}=34\,054\,\mbox{cm/s}$
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Section
+Interférences sonores
+\begin_inset CommandInset label
+LatexCommand label
+name "sec:Interference-of-sound"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Étudier des interférences sonores provenant de deux sources individuelles.
+ Deux buzzers piézo sont alimentés par deux sources différentes et le son
+ est envoyé vers un microphone.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/inter-sound.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+ 
+\begin_inset Graphics
+	filename pics/beats-ftran.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+(a) Somme de deux sons possédant des fréquences voisines (b) transformée
+ de Fourier montrant les composantes fréquentielles.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:SoundBeats"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/sound-inter.png
+	height 0.8cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Depuis 
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{EXPERIMENTS}
+\end_layout
+
+\end_inset
+
+ démarrer 
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{Interference of Sound}
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Régler SQR1 à 3500 Hz et SQR2 à 3600 Hz
+\end_layout
+
+\begin_layout Itemize
+Ajuster les distances pour obtenir des battement sonores distincts.
+\end_layout
+
+\begin_layout Itemize
+Recommencer avec d'autres valeurs de fréquences.
+\end_layout
+
+\begin_layout Itemize
+Capturer avec NC=1800 et faire une transformée de Fourier
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+Dans la figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:SoundBeats"
+
+\end_inset
+
+(a) on peu voir comment l'enveloppe à basse fréquence est créée.
+ L'intervalle de temps entre deux points d'amplitude minimale, dans l'enveloppe,
+ correspond à la période des battements.
+ La transformée de Fourier est montrée dans la figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:SoundBeats"
+
+\end_inset
+
+(b).
+\end_layout
+
+\begin_layout Section
+Oscillations forcées d'un cristal piézo-électrique
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Étudier le comportement d'un disque piézo-électrique à des fréquences d'excitati
+on faibles, à l'aide d'un signal carré.
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/sound.png
+	height 0.8cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Depuis 
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{EXPERIMENTS}
+\end_layout
+
+\end_inset
+
+ ouvrir 
+\shape italic
+
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{Interference of Sound}
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Cocher seulement SQR1, le régler à 
+\begin_inset Formula $100\, Hz$
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Cliquer sur LANCER pour capturer la sortie du microphone
+\end_layout
+
+\begin_layout Itemize
+Essayer à différentes fréquences
+\end_layout
+
+\begin_layout Itemize
+Capturer avec un NS plus grand (<=1800) pour faire une transformée de Fourier.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+La fréquence de résonance d'un cristal piézoélectrique est proche de 
+\begin_inset Formula $3600\, Hz$
+\end_inset
+
+.
+ Quand on l'alimente par un signal carré, le piézo reçoit une pichenette
+ à chaque montée ou descente du signal, et il subit une série d'oscillations
+ à sa fréquence naturelle de résonance.
+ La transformée de Fourier montre un pic à la fréquence de résonance et
+ des bandes latérales séparées du pic de 
+\begin_inset Formula $200\, Hz$
+\end_inset
+
+.
+ Ça peut être intéressant de répéter cette expérience avec un signal sinusoïdal
+ de fréquence variable au lieu du signal carré
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/piezo-drive100Hz.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+ 
+\begin_inset Graphics
+	filename pics/piezo-drive100hz-fft.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+La sortie sonore du piézo, piloté par un signal carré de 
+\begin_inset Formula $100\, Hz$
+\end_inset
+
+ et la transformée de Fourier de cette sortie.
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Capturer une impulsion sonore
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Numériser le son d'une source transitoire.
+ Un cloche ou deux plaques métalliques peuvent être utilisées comme sources
+ sonores.
+ La capture du son est synchronisée avec l'impulsion sonore en attendant
+ que la sortie du microphone dépasse un certain seuil.
+ Une meilleure façon est de faire en sorte que IN1 passe à l'état HAUT au
+ moment de l'émission du son et de synchroniser la routine de capture avec
+ cet événement.
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/sound-burst.png
+	height 1.2cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Depuis 
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{EXPERIMENTS}
+\end_layout
+
+\end_inset
+
+ sélectionner 
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{Capture Burst of Sound}
+\end_layout
+
+\end_inset
+
+ 
+\end_layout
+
+\begin_layout Itemize
+Cocher 
+\emph on
+Attente de HAUT sur IN1
+\emph default
+, quand la diode et la résistance sont branchés.
+\end_layout
+
+\begin_layout Itemize
+Cliquer sur 
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{Start Scanning}
+\end_layout
+
+\end_inset
+
+ et produire le son.
+ 
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+Une impulsions sonore capturée est montrée ci-dessous.
+\end_layout
+
+\begin_layout Standard
+\align center
+\begin_inset Graphics
+	filename pics/sound-burst.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Chapter
+Mécanique, Optique et chaleur
+\end_layout
+
+\begin_layout Standard
+Le phénomène de résonance est étudié à l'aide d'un pendule excité.
+ La valeur de l'accélération due à la gravité est mesurée à l'aide d'une
+ méthode de temps de vol et aussi à l'aide d'un pendule.
+\end_layout
+
+\begin_layout Section
+Résonance d'un pendule excité
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Démontrer la résonance d'un pendule excité.
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Standard
+Réaliser un pendule à l'aide de deux aimants-boutons et d'un morceau de
+ papier.
+ Le suspendre et placer la bobine 3000 tours à côté.
+ Connecter la bobine entre SQR1 et la masse (GND).
+\end_layout
+
+\begin_layout Itemize
+Depuis 
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{EXPERIMENTS}
+\end_layout
+
+\end_inset
+
+ sélectionner 
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{Driven Pendulum}
+\end_layout
+
+\end_inset
+
+ 
+\end_layout
+
+\begin_layout Itemize
+Balayer la fréquence vers le haut en commençant à 
+\begin_inset Formula $1\, Hz$
+\end_inset
+
+, très lentement.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+Quand SQR1 arrive à la fréquence de résonance du pendule, l'amplitude augmente
+ à cause de la résonance.
+ Un pendule long de 
+\begin_inset Formula $4\, cm$
+\end_inset
+
+ (du centre des aimants à l'axe de l'oscillation résonnait à environ 
+\begin_inset Formula $2,5\, Hz$
+\end_inset
+
+, pratiquement en accord avec sa fréquence propre issue du calcul.
+ La fréquence de résonance du pendule est donnée par 
+\begin_inset Formula $f=\frac{1}{2\pi}\sqrt{\frac{g}{\ell}}$
+\end_inset
+
+, où 
+\begin_inset Formula $\ell$
+\end_inset
+
+ est la distance du centre de l'aimant au point de suspension et 
+\begin_inset Formula $g$
+\end_inset
+
+ est l'accélération de la pesanteur.
+\end_layout
+
+\begin_layout Standard
+Recommencer l'expérience en changeant la longueur du pendule
+\begin_inset Foot
+status open
+
+\begin_layout Plain Layout
+SQR1 ne peut pas aller plus bas que 
+\begin_inset Formula $0,7\, Hz$
+\end_inset
+
+.
+\end_layout
+
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Section
+Valeur de 
+\begin_inset Quotes fld
+\end_inset
+
+g
+\begin_inset Quotes frd
+\end_inset
+
+, pendule-barreau
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Mesurer les périodes d'oscillation d'un pendule-barreau à l'aide d'une barrière
+ photo-électrique et calculer l'accélération de la pesanteur.
+\end_layout
+
+\begin_layout Standard
+La période d'un barreau uniforme suspendu par une extrémité est donnée par
+ 
+\begin_inset Formula $T=2\pi\sqrt{\frac{2\ell}{3g}}$
+\end_inset
+
+, où 
+\begin_inset Formula $\ell$
+\end_inset
+
+ est la longueur et 
+\begin_inset Formula $g$
+\end_inset
+
+ est l'accélération de la gravité.
+ Le pendule (en forme de T, avec un couteau fixé à un barreau de 
+\begin_inset Formula $6\, mm$
+\end_inset
+
+ de diamètre) est mis à osciller entre une DEL et un photo-transistor, connectés
+ à expEYES.
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/light-barrier.png
+	height 1.5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Depuis 
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{EXPERIMENTS}
+\end_layout
+
+\end_inset
+
+ démarrer 
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{Rod Pendulum}
+\end_layout
+
+\end_inset
+
+ 
+\end_layout
+
+\begin_layout Itemize
+Mettre le pendule en oscillation et cliquer sur LANCER
+\end_layout
+
+\begin_layout Itemize
+Recommencer avec différentes longueurs de pendules.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+La période est mesurée 
+\begin_inset Formula $50$
+\end_inset
+
+ fois, à l'aide d'un pendule-barreau de 
+\begin_inset Formula $14,6\, cm$
+\end_inset
+
+, et la valeur moyenne est 
+\begin_inset Formula $0,627\, s$
+\end_inset
+
+.
+ La valeur calculée de 
+\begin_inset Formula $g$
+\end_inset
+
+ est 
+\begin_inset Formula $9,774\, m\cdot s^{-2}$
+\end_inset
+
+, légèrement différente de la valeur réelle à cause des raisons suivantes
+\begin_inset space ~
+\end_inset
+
+: la longueur est mesurée depuis le bord du couteau jusqu'en bas et utilisée
+ dans la formule
+\begin_inset space ~
+\end_inset
+
+; mais il y a une petite masse au-dessus du fil du couteau qui n'est pas
+ prise en compte dans le calcul.
+ Une autre raison est que le pendule n'est peut-être pas exactement vertical
+ dans la position de repos.
+\end_layout
+
+\begin_layout Section
+Oscillations d'un pendule
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Étudier la nature des oscillations d'un pendule.
+ Un encodeur d'angle est requis pour mesurer le déplacement angulaire en
+ fonction du temps.
+ Mais en utilisant un moteur à courant continu comme capteur, il est possible
+ de mesurer la vitesse angulaire en fonction du temps.
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Itemize
+Attacher un quelconque pendule rigide à l'axe du moteur.
+\end_layout
+
+\begin_layout Itemize
+Connecter le moteur entre IN, l'entrée de l'amplificateur, et GND
+\end_layout
+
+\begin_layout Itemize
+Connecter OUT à A1
+\end_layout
+
+\begin_layout Itemize
+Depuis 
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{EXPERIMENTS}
+\end_layout
+
+\end_inset
+
+ démarrer 
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{Pendulum Waveform}
+\end_layout
+
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Itemize
+Mettre le pendule à osciller et LANCER la numérisation
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+Le signal observé est montré à la figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Cooling-curve-of"
+
+\end_inset
+
+(a).
+ Quand on le modélise avec l'équation 
+\begin_inset Formula $A=A_{0}sin\left(\omega t+\theta\right)*\exp\left(-dt\right)+C$
+\end_inset
+
+, à l'aide de Grace, on a obtenu une fréquence angulaire de 
+\begin_inset Formula $10\, Hz$
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Standard
+Il convient de réaliser le pendule avec un objet massif relié à l'axe par
+ un bâton léger.
+ Dans ce cas le moteur à courant continu fonctionne comme un générateur.
+\end_layout
+
+\begin_layout Section
+Mesure de température, sonde Pt100
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Enregistrer la température d'un liquide à l'aide d'un thermomètre à résistance
+ de platine.
+ La résistance d'un élément Pt100 est reliée à la température par l'équation
+ 
+\begin_inset Formula $R_{T}=R_{0}\left[1+AT+BT^{2}\right]$
+\end_inset
+
+, où 
+\begin_inset Formula $A=3,9083\cdot10^{-3}$
+\end_inset
+
+ et 
+\begin_inset Formula $B=-5.775\cdot10^{-7}$
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Standard
+Cela nécessite un amplificateur non-inverseur à faible tension de décalage,
+ de telle façon qu'on puisse utiliser l'entrée IN1 entre 0 et 5
+\begin_inset space ~
+\end_inset
+
+V.
+ Comme celui-ci n'est pas disponible dans expEYES Junior, nous utilisons
+ l'amplificateur inverseur, après avoir diminué son gain par une résistance
+ d'entrée en série, et connectons la sortie à A1.
+ La précision n'est pas très bonne dans ce cas mais les variations de températur
+e peuvent être étudiées.
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Standard
+Pour mesurer la résistance de l'élément Pt100, nous le connectons entre
+ CCS et la masse (GND) et mesurons la tension à ses bornes.
+ Le courant réel de CCS devrait être mesuré comme expliqué à la partie 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "sec:Calibrating-Current-Source"
+
+\end_inset
+
+.
+ 
+\end_layout
+
+\begin_layout Standard
+\align left
+\begin_inset Graphics
+	filename schematics/pt100.png
+	height 0.8cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Depuis 
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{EXPERIMENTS}
+\end_layout
+
+\end_inset
+
+ démarrer 
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{PT100 Sensor}
+\end_layout
+
+\end_inset
+
+.
+ 
+\end_layout
+
+\begin_layout Itemize
+Entrez la valeur couramment mesurée.
+\end_layout
+
+\begin_layout Itemize
+Sélectionnez les paramètres requis et cliquez LANCER 
+\begin_inset Foot
+status open
+
+\begin_layout Plain Layout
+La résistance du Pt100 vaut
+\begin_inset Formula $100\,\Omega$
+\end_inset
+
+ à 
+\begin_inset Formula $0\,^{\circ}C$
+\end_inset
+
+.
+ Elle change d'environ 
+\begin_inset Formula $0,4\,\Omega\cdot K^{-1}$
+\end_inset
+
+, ce qui change la tension de 
+\begin_inset Formula $0,4\, mV$
+\end_inset
+
+.
+ Le convertisseur analogique numérique 12 bit change d'un bit de poids faible
+ pour une modification de tension de 
+\begin_inset Formula $1,22\, mV$
+\end_inset
+
+ à son entrée, ce qui signifie que toute variation de température de moins
+ de 3 degrés ne sera pas détectée.
+ On peut utiliser un amplificateur non-inverseur externe pour augmenter
+ la résolution.
+ Le gain de cet amplificateur devrait être tel que la température la plus
+ haute à mesurer ne provoque pas de tension de sortie supérieure à 
+\begin_inset Formula $5\, V$
+\end_inset
+
+.
+ Modifiez le champ de gain en rapport avec votre réglage.
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/pendulum-screen.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+ 
+\begin_inset Graphics
+	filename pics/cooling-curve.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+(a) Oscillations d'un pendule.
+ (b) Courbe de refroidissement de l'eau
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Cooling-curve-of"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+Une courbe de refroidissement de l'eau est montrée à la figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Cooling-curve-of"
+
+\end_inset
+
+.
+ La température change par échelons importants, on peut améliorer ça en
+ utilisant un amplificateur entre CCS et IN1, comme expliqué à la partie
+ 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "sec:Non-inverting-Amplifier"
+
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Standard
+Au lieu de mesurer le courant et calculer le gain réel de l'amplificateur,
+ on peut suivre un procédure de calibration pour obtenir les bons résultats.
+ Cette procédure suppose une variation linéaire de la résistance avec la
+ température.
+ Pour réaliser une calibration, placez le capteur dans de la glace fondante
+ et cliquer sur 
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{Freezing Point}
+\end_layout
+
+\end_inset
+
+.
+ Plongez le capteur dans de l'eau bouillante et cliquer sur 
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{Boiling Point}
+\end_layout
+
+\end_inset
+
+.
+ Ensuite cliquez sur 
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{Calibrate}
+\end_layout
+
+\end_inset
+
+.
+ Une fois que la calibration est faite, la température est calculée en utilisant
+ les constantes de calibration.
+\end_layout
+
+\begin_layout Section
+Stroboscope
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Un objet en mouvement périodique apparaîtra stationnaire quand on l'illumine
+ avec un éclair lumineux de la même fréquence, comme l'objet est éclairé
+ à chaque fois qu'il arrive au même point.
+ Si les fréquences sont légèrement différentes, il apparaîtra mobile selon
+ la différence de fréquence.
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Itemize
+Depuis 
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{EXPERIMENTS}
+\end_layout
+
+\end_inset
+
+ sélectionner 
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{Stroboscope}
+\end_layout
+
+\end_inset
+
+ 
+\end_layout
+
+\begin_layout Itemize
+Connecter la DEL blanche entre SQR1 et GND
+\end_layout
+
+\begin_layout Itemize
+Alimenter le moteur à l'aide d'une pile et l'éclairer avec la DEL
+\end_layout
+
+\begin_layout Itemize
+Ajuster SQR1 pour que le moteur apparaisse stationnaire.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+Comme vous ajustez SQR1, le mouvement du disque sur l'axe du moteur semble
+ ralentir et alors à moment donné il change de sens de rotation.
+ Notez la fréquence au moment du changement de sens.
+\end_layout
+
+\begin_layout Standard
+Quand on l'observe avec une source de lumière pulsée de fréquence 
+\begin_inset Formula $11\, Hz$
+\end_inset
+
+, un moteur qui tourne dans le sens des aiguilles d'une montre à 10 tours
+ par seconde semblera tourner dans le sens inverse des aiguilles d'une montre
+ une fois par seconde.
+ Pendant le démarrage et quand on les arrête, les ventilateurs de plafond
+ semblent quelquefois tourner à l'envers, dans la lumière des tubes fluorescents.
+\end_layout
+
+\begin_layout Standard
+Comment ajuste-t-on le nombre de tours par minutes d'un moteur d'automobile
+\begin_inset space ~
+\end_inset
+
+?
+\end_layout
+
+\begin_layout Section
+Vitesse de rotation d'un moteur
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Étudier comment faire des capteurs pour détecter des mouvements mécaniques.
+ Utiliser un photo-transistor pour trouver la vitesse de rotation d'un moteur.
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Standard
+Un cache unique est fixé au moteur et on le place entre le photo-transistor
+ et la DEL, pour qu'il intercepte la lumière une fois à chaque tour.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/motor-rpm.png
+	height 1.5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Régler SQR1 à 0
+\begin_inset space ~
+\end_inset
+
+Hz pour alimenter la DEL
+\end_layout
+
+\begin_layout Itemize
+Régler SQR2 à 100Hz, pour alimenter le moteur
+\end_layout
+
+\begin_layout Itemize
+Assigner SEN à CH1
+\end_layout
+
+\begin_layout Itemize
+Faire un clic droit sur SEN pour mesurer la fréquence (l'option FIT peut
+ ne pas fonctionner pour ces impulsions)
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+La tension de sortie du photo-transistor montre des pics quand la lumière
+ est coupée.
+ Les valeurs observées peuvent être vérifiées à l'aide d'un aimant et d'une
+ bobine comme expliqué à la partie 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "sec:A-simple-AC"
+
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Chapter
+Programmer expEYES en Python
+\end_layout
+
+\begin_layout Standard
+Les programmes avec une interface utilisateur graphique décrits dans les
+ parties précédentes sont prévus pour un ensemble fixe d'expériences.
+ Pour développer de nouvelles expériences, il faut savoir comment on accède
+ aux possibilités d'expEYES Junior par logiciel.
+ Les appels de fonctions importants pour communiquer avec l'appareil sont
+ donnés ci-dessous.
+ pour plus de détails, référez-vous au 
+\emph on
+Manuel du programmeur
+\emph default
+.
+\end_layout
+
+\begin_layout Paragraph*
+Numéros des canaux
+\end_layout
+
+\begin_layout Standard
+
+\emph on
+Un numéro de canal est assigné pour identifier chaque signal analogique
+ ou numérique, comme expliqué dans le tableau 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "tab:Channel-numbers-of"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float table
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Tabular
+<lyxtabular version="3" rows="14" columns="2">
+<features tabularvalignment="middle">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+N° de canal
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Nom
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+0
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Sortie du comparateur analogique, 
+\emph on
+Analog Comparator output
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+A1
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+2
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+A2
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+3
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+IN1
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+4
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+IN2
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+5
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+SEN
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+6
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+rétrocontrôle de SQR1 
+\emph on
+(readback)
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+7
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+rétrocontrôle de SQR2 
+\emph on
+(readback)
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+8
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+sortie de SQR1
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+9
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+sortie de SQR2
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+10
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+sortie de OD1
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+11
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+sortie du contrôle de CCS
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+12
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+rétrocontrôle de PVS 
+\emph on
+(readback)
+\end_layout
+
+\end_inset
+</cell>
+</row>
+</lyxtabular>
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Numéros de canaux des bornes d'entrée/sortie
+\begin_inset CommandInset label
+LatexCommand label
+name "tab:Channel-numbers-of"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Paragraph*
+Installation des bibliothèques Python
+\end_layout
+
+\begin_layout Standard
+Le paquet d'expEYES Junior consiste en trois fichiers (
+\family typewriter
+eyesj.py
+\family default
+, 
+\family typewriter
+eyeplot.py
+\family default
+ et 
+\family typewriter
+eyemath.py
+\family default
+) dans un sous-répertoire nommé 
+\family typewriter
+expeyes
+\family default
+.
+ Ce sous-répertoire devrait être accessible dans votre répertoire de travail
+ ou dans le chemin de Python (Python PATH).
+ Si les programmes graphiques ont déjà été installés sur votre ordinateur,
+ lancez la commande
+\end_layout
+
+\begin_layout Standard
+
+\family typewriter
+$ python
+\end_layout
+
+\begin_layout Standard
+puis à l'invite de Python, entrez
+\begin_inset space ~
+\end_inset
+
+: 
+\family typewriter
+from expeyes import eyesj
+\family default
+ puis tapez Entrée.
+ Si aucun message d'erreur n'apparaît, c'est que les bibliothèques nécessaires
+ sont déjà en bonne place.
+ Autrement, ces bibliothèques sont situées ailleurs, et le mieux est de
+ les recopier dans votre répertoire de travail.
+ Essayez, depuis votre répertoire de travail, de lancer la commande suivante
+\begin_inset space ~
+\end_inset
+
+:
+\end_layout
+
+\begin_layout LyX-Code
+$cp -r /usr/share/eyesjunior/expeyes 
+\end_layout
+
+\begin_layout Standard
+Ça devrait rendre le paquet disponible dans votre répertoire.
+\end_layout
+
+\begin_layout Paragraph*
+Commencer à communiquer
+\end_layout
+
+\begin_layout Standard
+Démarrez l'interpréteur Python (depuis le répertoire où vous avez le sous-répert
+oire expeyes, si les bibliothèques ne sont pas disponibles globalement),
+ par la commande
+\begin_inset space ~
+\end_inset
+
+:
+\end_layout
+
+\begin_layout LyX-Code
+$python
+\end_layout
+
+\begin_layout LyX-Code
+Python 2.7.3 (default, Apr 20 2012, 22:44:07)  
+\end_layout
+
+\begin_layout LyX-Code
+>>>
+\end_layout
+
+\begin_layout Standard
+Le signe >>> signifie que vous êtes en train d'utiliser Python en mode interacti
+f.
+ Tapez les deux lignes suivantes pour charger la bibliothèque et établir
+ la connexion à l'appareil.
+\end_layout
+
+\begin_layout LyX-Code
+>>>import expeyes.eyesj
+\end_layout
+
+\begin_layout LyX-Code
+>>>p=expeyes.eyesj.open()
+\end_layout
+
+\begin_layout Standard
+Si vous avez un message d'erreur, vérifiez les connexions, et si un autre
+ programme utilise déjà expEYES.
+ Un seul programme peut utiliser expEYES à la fois.
+ Nous allons commencer par mesurer la capacité interne à la borne IN1
+\begin_inset space ~
+\end_inset
+
+:
+\end_layout
+
+\begin_layout LyX-Code
+>>>p.measure_cap()   # mesure de C sur IN1
+\end_layout
+
+\begin_layout Standard
+Une valeur entre 
+\begin_inset Formula $30$
+\end_inset
+
+ et 
+\begin_inset Formula $35\, pF$
+\end_inset
+
+ sera affichée.
+ Connectez un condensateur (de moins de 
+\begin_inset Formula $0,01\,\mu F$
+\end_inset
+
+) entre IN1 et GND et relancez la commande.
+ Soustrayez la capacité interne de la valeur obtenue.
+\end_layout
+
+\begin_layout Standard
+Des possibilités d'entrées/sorties sont présentes.
+ pour les tester, connectez OD1 à IN1 à l'aide d'un fil électrique et essayez
+ ce qui suit
+\begin_inset space ~
+\end_inset
+
+:
+\end_layout
+
+\begin_layout LyX-Code
+>>>print p.get_state(3)    # statut de IN1
+\end_layout
+
+\begin_layout LyX-Code
+>>>p.set_state(10,1)       # passer OD1 à l'état logique HAUT
+\end_layout
+
+\begin_layout LyX-Code
+>>>print p.get_state(3)    # nouveau statut de IN1
+\end_layout
+
+\begin_layout Standard
+Lors du deuxième appel, 
+\family typewriter
+get_state(3)
+\family default
+ devrait afficher 1.
+\end_layout
+
+\begin_layout Standard
+Commençons maintenant à générer/mesurer des signaux de tension.
+ Connectez PVS à IN1 et essayez
+\begin_inset space ~
+\end_inset
+
+:
+\end_layout
+
+\begin_layout LyX-Code
+>>>print p.set_voltage(2.5) # renvoie la valeur du réglage
+\end_layout
+
+\begin_layout LyX-Code
+>>>print p.get_voltage(3)   # le canal 3 est IN1
+\end_layout
+
+\begin_layout Standard
+Cela devrait afficher 
+\begin_inset Formula $2,5\, V$
+\end_inset
+
+ à 2~3 millivolt près.
+\end_layout
+
+\begin_layout Standard
+Maintenant connectez SINE à A1 et essayez
+\begin_inset space ~
+\end_inset
+
+:
+\end_layout
+
+\begin_layout LyX-Code
+>>>print p.get_voltage(1)
+\end_layout
+
+\begin_layout Standard
+Vous obtiendrez différents résultats à chaque fois que vous relancerez cette
+ commande
+\begin_inset Foot
+status collapsed
+
+\begin_layout Plain Layout
+on peut utiliser la flèche-haute pour rappeler les commandes précédentes
+\end_layout
+
+\end_inset
+
+.
+ Il est plus raisonnable de mesurer cette tension pendant un moment et d'en
+ faire un graphique.
+ Nous allons importer la bibliothèque 
+\family typewriter
+matplotlib
+\family default
+ pour faire des graphiques, capturer le signal sinusoïdal et en faire un
+ graphique.
+\end_layout
+
+\begin_layout LyX-Code
+>>>from pylab import *
+\end_layout
+
+\begin_layout LyX-Code
+>>>ion()   # met pylab en mode interactif
+\end_layout
+
+\begin_layout LyX-Code
+>>>t,v = p.capture(1,300,100) 
+\end_layout
+
+\begin_layout LyX-Code
+>>>plot(t,v)
+\end_layout
+
+\begin_layout LyX-Code
+>>>show()
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/pylab-sine.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+ 
+\begin_inset Graphics
+	filename pics/pylab-sine-sqr.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Entrées capturées et mises en graphique à l'aide de pylab (a) signal sinusoïdal
+ (b) sinusoïde et carré 
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Sine"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+Nous avons échantillonné la tension de A1 300 fois avec un intervalle de
+ temps de 
+\begin_inset Formula $100\,\mu s$
+\end_inset
+
+ entre deux échantillons consécutifs, c'est à dire que la tension est capturée
+ pendant 
+\begin_inset Formula $30\, ms$
+\end_inset
+
+.
+ Le nombre maximum d'échantillons autorisé est 1800, limité par la mémoire
+ vive d'expEYES.
+\end_layout
+
+\begin_layout Standard
+Le graphique apparaîtra dans une autre fenêtre, comme montré à la figure
+ 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Sine"
+
+\end_inset
+
+(a).
+ pour mesurer avec une résolution plus fine, on peut utiliser 
+\family typewriter
+capture_hr()
+\family default
+, mais le nombre total d'échantillons sera limité à 900 dans ce cas.
+\end_layout
+
+\begin_layout LyX-Code
+>>>t,v = p.capture_hr(1,300,100) 
+\end_layout
+
+\begin_layout LyX-Code
+>>>plot(t,v)
+\end_layout
+
+\begin_layout Standard
+Ajoutons maintenant un signal carré au graphique, par
+\begin_inset space ~
+\end_inset
+
+:
+\end_layout
+
+\begin_layout LyX-Code
+>>>print p.set_sqr1(100)    # règle SQR1 à 100Hz
+\end_layout
+
+\begin_layout LyX-Code
+>>>t,v = capture(6,300,100) # le canal 6 est le rétrocontrôle de SQR1
+\end_layout
+
+\begin_layout LyX-Code
+>>>plot(t,v)
+\end_layout
+
+\begin_layout Standard
+La sortie est montrée dans la figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Sine"
+
+\end_inset
+
+(b).
+\end_layout
+
+\begin_layout Standard
+Quelques expériences nécessiteront de capturer plus d'un signal corrélé
+ dans le temps, il faut utiliser 
+\family typewriter
+capture2
+\family default
+, 
+\family typewriter
+capture3
+\family default
+ ou 
+\family typewriter
+capture4
+\family default
+ pour cela.
+ Par exemple pour voir le déphasage d'un signal sinusoïdal, ajoutez 
+\begin_inset Formula $1\,\mu F$
+\end_inset
+
+ entre A1 et A2, et une résistance de 
+\begin_inset Formula $1\, k\Omega$
+\end_inset
+
+ entre A2 et GND.
+ Capturez la tension avant et après le condensateur par
+\begin_inset space ~
+\end_inset
+
+:
+\end_layout
+
+\begin_layout LyX-Code
+>>>t1,v1,t2,v2 = capture2(1, 2,300,100)  # canaux 1 & 6 en même temps
+\end_layout
+
+\begin_layout LyX-Code
+>>>plot(t1,v1, t2,v2)
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/pylab-sine-phase.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+ 
+\begin_inset Graphics
+	filename pics/pylab-cap-discharge.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+(a)Déphasage d'un signal sinusoïdal à travers un condensateur.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:(a)Phase-shift-of"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+La sortie est montrée à la figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:(a)Phase-shift-of"
+
+\end_inset
+
+(a).
+\end_layout
+
+\begin_layout Standard
+La plupart du temps la tension à capturer est générée suite à d'autres actions,
+ comme la mise en place d'une tension.
+ On fait cela en implémentant des modificateurs de capture.
+ On peut expliquer ça facilement en capturant la tension aux bornes d'un
+ condensateur juste après y avoir appliqué un échelon de tension à travers
+ une résistance.
+\end_layout
+
+\begin_layout LyX-Code
+>>>p.set_state(1)        # OD1 à 5 V
+\end_layout
+
+\begin_layout LyX-Code
+>>>p.enable_set_low(10)  # Effet seulement pendant la capture
+\end_layout
+
+\begin_layout LyX-Code
+>>>t,v=p.capture_hr(1, 2,300,20) # OD1->0 avant la capture 
+\end_layout
+
+\begin_layout LyX-Code
+>>>p.disable_actions()   # Pas d'autre action sur OD1
+\end_layout
+
+\begin_layout LyX-Code
+>>>plot(t,v)
+\end_layout
+
+\begin_layout Standard
+Le résultat est montré à la figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:(a)Phase-shift-of"
+
+\end_inset
+
+(b)
+\end_layout
+
+\begin_layout Standard
+Les sorties SQR1 et SQR2 peuvent générer des signaux carrés entre 
+\begin_inset Formula $0,7\, Hz$
+\end_inset
+
+ et 
+\begin_inset Formula $200\, kHz$
+\end_inset
+
+, l'appel de fonction renvoie la fréquence effective après réglage.
+ On peut aussi programmer ces sorties pour générer des signaux d'impulsions
+ modulées en largeur, 
+\emph on
+Pulse Width Modulated
+\emph default
+ (PWM), à certaines fréquences fixes.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/pylab-pwm.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+ 
+\begin_inset Graphics
+	filename pics/pylab-fitsine.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+(a) signal PWM (b) signal sinusoïdal et sa modélisation
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:(a)-PWM-waveform"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout LyX-Code
+>>>print p.set_sqr1_pwm(30)      # rapport cyclique 30%, 488 Hz
+\end_layout
+
+\begin_layout LyX-Code
+>>>t,v=p.capture_hr(6, 300,50)   # lecture du signal
+\end_layout
+
+\begin_layout LyX-Code
+>>>plot(t,v)
+\end_layout
+
+\begin_layout Standard
+Le résultat est montré à la figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:(a)-PWM-waveform"
+
+\end_inset
+
+(a).
+ 
+\end_layout
+
+\begin_layout Standard
+ExpEYES peut mesurer l'intervalle de temps entre des transitions logiques
+ aux entrées numériques.
+ Connecter SQR1 à IN1 et essayer
+\begin_inset space ~
+\end_inset
+
+:
+\end_layout
+
+\begin_layout LyX-Code
+>>>print p.r2ftime(3,3)      
+\end_layout
+
+\begin_layout LyX-Code
+>>>print p.set_sqr1(1000)    # signal carré 1kHz
+\end_layout
+
+\begin_layout LyX-Code
+>>>print p.r2ftime(3,3)      # de la montée à la descente
+\end_layout
+
+\begin_layout LyX-Code
+>>>print p.multi_r2rtime(3)  # entre deux fronts montants
+\end_layout
+
+\begin_layout LyX-Code
+>>>print p.measure_frequency(3)
+\end_layout
+
+\begin_layout Standard
+Essayez de créer des signaux carrés à différentes fréquences et mesurez-les.
+\end_layout
+
+\begin_layout Standard
+À partir d'un signal capturé, on peut mesurer son amplitude et sa fréquence
+ par modélisation de la courbe.
+ Les résultats sont précis avec une entrée sinusoïdale, mais la mesure de
+ fréquence fonctionne avec d'autres formes d'onde aussi.
+ Connecter SINE à A1 et essayer
+\begin_inset space ~
+\end_inset
+
+:
+\end_layout
+
+\begin_layout LyX-Code
+>>>import expeyes.eyemath as em
+\end_layout
+
+\begin_layout LyX-Code
+>>>t,v= p.capture_hr(1, 400,50)
+\end_layout
+
+\begin_layout LyX-Code
+>>>vfit, par = em.fit_sine(t,v)
+\end_layout
+
+\begin_layout LyX-Code
+>>>print par[0], par[1]*1000   # Amplitude & Fréquence
+\end_layout
+
+\begin_layout LyX-Code
+>>>plot(t,v,t,vfit)
+\end_layout
+
+\begin_layout Standard
+La tension crête et la fréquence seront affichées.
+\end_layout
+
+\begin_layout Standard
+Pour plus d'information lisez le 
+\emph on
+manuel du programmeur
+\emph default
+.
+ Vous pouvez récupérer une description brève de chacune des fonctions à
+ l'aide de la commande
+\end_layout
+
+\begin_layout LyX-Code
+>>>help(expeyes.eyesj)
+\end_layout
+
+\begin_layout Standard
+Une fois que vous connaîtrez le langage Python, il vous sera plus facile
+ de lire le code source 
+\family typewriter
+eyesj.py
+\family default
+ pour comprendre le fonctionnement du programme.
+ En fait toutes les mesures en temps-réel sont faites par le programme en
+ C 
+\family typewriter
+eyesj.c
+\family default
+ qui tourne sur le micro-contrôleur.
+ La bibliothèque Python envoie des commandes pour récupérer les données
+ requises, et utilise la puissance de Python pour l'analyse des données
+ et leur affichage.
+\end_layout
+
+\begin_layout Standard
+Les versions les plus récentes de ce manuel (au format A4) peuvent être
+ téléchargées depuis 
+\family typewriter
+http://expeyes.in
+\end_layout
+
+\begin_layout LyX-Code
+
+\family roman
+Si vous trouvez des fautes, envoyez un courriel à
+\family default
+ ajith at iuac.res
+\end_layout
+
+\end_body
+\end_document
diff --git a/doc/fr/Docs-jr/localize-texfile b/doc/fr/Docs-jr/localize-texfile
new file mode 100755
index 0000000..b6f1e23
--- /dev/null
+++ b/doc/fr/Docs-jr/localize-texfile
@@ -0,0 +1,94 @@
+#!/usr/bin/python
+# -*- coding: utf-8 -*-
+
+"""
+use a PO file to localize some expressions in a LaTeX file
+"""
+
+import sys, re
+
+gatherPattern = re.compile('^"(.*)"$')
+msgidPattern  = re.compile('^msgid "(.*)"$')
+msgstrPattern = re.compile('^msgstr "(.*)"$')
+macroPattern  = re.compile(r'(\\menuitem{)([^}]*)(})')
+
+class Localizer:
+    def __init__(self, pofile):
+        """
+        the constructor
+        @param pofile a path to a PO file
+        """
+        lines=open(pofile,'r').readlines()
+        self.dic={}
+        idGathering=False
+        msgGathering=True
+        ident=""
+        msg=""
+        for l in lines:
+            m=msgidPattern.match(l)
+            if m:
+                idGathering=True
+                if msgGathering:
+                    self.dic[ident]=msg
+                msgGathering=False
+                ident=m.group(1)
+                continue
+            m=msgstrPattern.match(l)
+            if m:
+                idGathering=False
+                msgGathering=True
+                msg=m.group(1)
+            m=gatherPattern.match(l)
+            if m:
+                if idGathering:
+                    ident+=m.group(1)
+                    continue
+                elif msgGathering:
+                    msg += m.group(1)
+                    continue
+        #end of file; recording the last l10n
+        self.dic[ident]=msg
+        return
+
+    def localize(self, lines):
+        """
+        replaces strings to be localized
+        @param lines a list of lines to be localized
+        @return a list of localized lines
+        """
+        return [re.sub(macroPattern, self.localizeFunc, l) for l in lines]
+
+    def localizeFunc(self, matchObj):
+        """
+        the true localizing function.
+        @param matchObj a regular expression match object
+        @return the replacement string
+        """
+        ident=matchObj.group(2)
+        if ident in self.dic:
+            msg=self.dic[ident].decode("UTF-8").encode("ISO8859-1")
+            return matchObj.group(1)+msg+matchObj.group(3)
+        else:
+            return matchObj.group(0)
+
+
+def usage():
+    """
+    prints a short message about the usage of the program
+    """
+    print ("Usage: %s <LaTeXfile> <POfile>" %sys.argv[0])
+    sys.exit(1)
+    
+if __name__ == '__main__':
+    try:
+        texfile=sys.argv[1]
+        pofile=sys.argv[2]
+    except:
+        usage()
+
+    l = Localizer(pofile)
+    #print l.dic
+    lines=open(texfile,'r').readlines()
+    for line in l.localize(lines):
+        sys.stdout.write(line)
+        
diff --git a/doc/fr/Docs-jr/pics/RC-curves.png b/doc/fr/Docs-jr/pics/RC-curves.png
new file mode 120000
index 0000000..6158a0e
--- /dev/null
+++ b/doc/fr/Docs-jr/pics/RC-curves.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/pics/RC-curves.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/pics/RL-curves.png b/doc/fr/Docs-jr/pics/RL-curves.png
new file mode 120000
index 0000000..b8d0ae7
--- /dev/null
+++ b/doc/fr/Docs-jr/pics/RL-curves.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/pics/RL-curves.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/pics/RLC-curve-damped.png b/doc/fr/Docs-jr/pics/RLC-curve-damped.png
new file mode 120000
index 0000000..e7eb24b
--- /dev/null
+++ b/doc/fr/Docs-jr/pics/RLC-curve-damped.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/pics/RLC-curve-damped.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/pics/RLC-curves.png b/doc/fr/Docs-jr/pics/RLC-curves.png
new file mode 120000
index 0000000..e5a9e8a
--- /dev/null
+++ b/doc/fr/Docs-jr/pics/RLC-curves.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/pics/RLC-curves.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/pics/ac-gen-screen.png b/doc/fr/Docs-jr/pics/ac-gen-screen.png
new file mode 120000
index 0000000..29d09d6
--- /dev/null
+++ b/doc/fr/Docs-jr/pics/ac-gen-screen.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/pics/ac-gen-screen.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/pics/ac-invert.png b/doc/fr/Docs-jr/pics/ac-invert.png
new file mode 120000
index 0000000..d4985ba
--- /dev/null
+++ b/doc/fr/Docs-jr/pics/ac-invert.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/pics/ac-invert.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/pics/acdc-sep-screen.png b/doc/fr/Docs-jr/pics/acdc-sep-screen.png
new file mode 120000
index 0000000..239ff71
--- /dev/null
+++ b/doc/fr/Docs-jr/pics/acdc-sep-screen.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/pics/acdc-sep-screen.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/pics/ad-dc.png b/doc/fr/Docs-jr/pics/ad-dc.png
new file mode 120000
index 0000000..168883c
--- /dev/null
+++ b/doc/fr/Docs-jr/pics/ad-dc.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/pics/ad-dc.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/pics/am-ftran.png b/doc/fr/Docs-jr/pics/am-ftran.png
new file mode 120000
index 0000000..dfc8504
--- /dev/null
+++ b/doc/fr/Docs-jr/pics/am-ftran.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/pics/am-ftran.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/pics/am-screen.png b/doc/fr/Docs-jr/pics/am-screen.png
new file mode 120000
index 0000000..84c980e
--- /dev/null
+++ b/doc/fr/Docs-jr/pics/am-screen.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/pics/am-screen.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/pics/and-gate.png b/doc/fr/Docs-jr/pics/and-gate.png
new file mode 120000
index 0000000..d065535
--- /dev/null
+++ b/doc/fr/Docs-jr/pics/and-gate.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/pics/and-gate.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/pics/beats-ftran.png b/doc/fr/Docs-jr/pics/beats-ftran.png
new file mode 120000
index 0000000..46468c7
--- /dev/null
+++ b/doc/fr/Docs-jr/pics/beats-ftran.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/pics/beats-ftran.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/pics/benchmark.png b/doc/fr/Docs-jr/pics/benchmark.png
new file mode 120000
index 0000000..95fa5fe
--- /dev/null
+++ b/doc/fr/Docs-jr/pics/benchmark.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/pics/benchmark.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/pics/body-resistance.png b/doc/fr/Docs-jr/pics/body-resistance.png
new file mode 120000
index 0000000..b7abbe9
--- /dev/null
+++ b/doc/fr/Docs-jr/pics/body-resistance.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/pics/body-resistance.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/pics/cap-linear.png b/doc/fr/Docs-jr/pics/cap-linear.png
new file mode 120000
index 0000000..b04e781
--- /dev/null
+++ b/doc/fr/Docs-jr/pics/cap-linear.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/pics/cap-linear.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/pics/clock-divider.png b/doc/fr/Docs-jr/pics/clock-divider.png
new file mode 120000
index 0000000..b5b2e8f
--- /dev/null
+++ b/doc/fr/Docs-jr/pics/clock-divider.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/pics/clock-divider.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/pics/clock-divider2.png b/doc/fr/Docs-jr/pics/clock-divider2.png
new file mode 120000
index 0000000..8b0081a
--- /dev/null
+++ b/doc/fr/Docs-jr/pics/clock-divider2.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/pics/clock-divider2.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/pics/cooling-curve.png b/doc/fr/Docs-jr/pics/cooling-curve.png
new file mode 120000
index 0000000..2e87ec5
--- /dev/null
+++ b/doc/fr/Docs-jr/pics/cooling-curve.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/pics/cooling-curve.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/pics/croplus.png b/doc/fr/Docs-jr/pics/croplus.png
new file mode 120000
index 0000000..7e1ddd3
--- /dev/null
+++ b/doc/fr/Docs-jr/pics/croplus.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/pics/croplus.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/pics/diode-iv-screen.png b/doc/fr/Docs-jr/pics/diode-iv-screen.png
new file mode 120000
index 0000000..4fbfe98
--- /dev/null
+++ b/doc/fr/Docs-jr/pics/diode-iv-screen.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/pics/diode-iv-screen.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/pics/ej-photo.jpg b/doc/fr/Docs-jr/pics/ej-photo.jpg
new file mode 120000
index 0000000..fa3902d
--- /dev/null
+++ b/doc/fr/Docs-jr/pics/ej-photo.jpg
@@ -0,0 +1 @@
+../../../en/Docs-jr/pics/ej-photo.jpg
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/pics/ej-with-netbook-hr.jpg b/doc/fr/Docs-jr/pics/ej-with-netbook-hr.jpg
new file mode 120000
index 0000000..d8ff897
--- /dev/null
+++ b/doc/fr/Docs-jr/pics/ej-with-netbook-hr.jpg
@@ -0,0 +1 @@
+../../../en/Docs-jr/pics/ej-with-netbook-hr.jpg
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/pics/fft-sine147Hz.png b/doc/fr/Docs-jr/pics/fft-sine147Hz.png
new file mode 120000
index 0000000..3a1e443
--- /dev/null
+++ b/doc/fr/Docs-jr/pics/fft-sine147Hz.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/pics/fft-sine147Hz.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/pics/fft-sqr150Hz.png b/doc/fr/Docs-jr/pics/fft-sqr150Hz.png
new file mode 120000
index 0000000..d80ea1b
--- /dev/null
+++ b/doc/fr/Docs-jr/pics/fft-sqr150Hz.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/pics/fft-sqr150Hz.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/pics/fm-screen.png b/doc/fr/Docs-jr/pics/fm-screen.png
new file mode 120000
index 0000000..9d116c6
--- /dev/null
+++ b/doc/fr/Docs-jr/pics/fm-screen.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/pics/fm-screen.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/pics/full-wave.png b/doc/fr/Docs-jr/pics/full-wave.png
new file mode 120000
index 0000000..73b7bd7
--- /dev/null
+++ b/doc/fr/Docs-jr/pics/full-wave.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/pics/full-wave.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/pics/half-wave-filter-screen.png b/doc/fr/Docs-jr/pics/half-wave-filter-screen.png
new file mode 120000
index 0000000..61543e1
--- /dev/null
+++ b/doc/fr/Docs-jr/pics/half-wave-filter-screen.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/pics/half-wave-filter-screen.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/pics/half-wave-screen.png b/doc/fr/Docs-jr/pics/half-wave-screen.png
new file mode 120000
index 0000000..e3e7a6a
--- /dev/null
+++ b/doc/fr/Docs-jr/pics/half-wave-screen.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/pics/half-wave-screen.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/pics/ic555-screen.png b/doc/fr/Docs-jr/pics/ic555-screen.png
new file mode 120000
index 0000000..ab4c638
--- /dev/null
+++ b/doc/fr/Docs-jr/pics/ic555-screen.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/pics/ic555-screen.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/pics/induction-screen.png b/doc/fr/Docs-jr/pics/induction-screen.png
new file mode 120000
index 0000000..039f26d
--- /dev/null
+++ b/doc/fr/Docs-jr/pics/induction-screen.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/pics/induction-screen.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/pics/inter-sound.png b/doc/fr/Docs-jr/pics/inter-sound.png
new file mode 120000
index 0000000..195429b
--- /dev/null
+++ b/doc/fr/Docs-jr/pics/inter-sound.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/pics/inter-sound.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/pics/led-iv-screen.png b/doc/fr/Docs-jr/pics/led-iv-screen.png
new file mode 120000
index 0000000..9c1e52f
--- /dev/null
+++ b/doc/fr/Docs-jr/pics/led-iv-screen.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/pics/led-iv-screen.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/pics/light-transmission.png b/doc/fr/Docs-jr/pics/light-transmission.png
new file mode 120000
index 0000000..f659df3
--- /dev/null
+++ b/doc/fr/Docs-jr/pics/light-transmission.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/pics/light-transmission.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/pics/mono555-screen.png b/doc/fr/Docs-jr/pics/mono555-screen.png
new file mode 120000
index 0000000..87ad791
--- /dev/null
+++ b/doc/fr/Docs-jr/pics/mono555-screen.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/pics/mono555-screen.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/pics/opto-electric-transmission.png b/doc/fr/Docs-jr/pics/opto-electric-transmission.png
new file mode 120000
index 0000000..0bbeaaf
--- /dev/null
+++ b/doc/fr/Docs-jr/pics/opto-electric-transmission.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/pics/opto-electric-transmission.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/pics/or-gate.png b/doc/fr/Docs-jr/pics/or-gate.png
new file mode 120000
index 0000000..8ce1fe9
--- /dev/null
+++ b/doc/fr/Docs-jr/pics/or-gate.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/pics/or-gate.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/pics/pendulum-screen.png b/doc/fr/Docs-jr/pics/pendulum-screen.png
new file mode 120000
index 0000000..3677c75
--- /dev/null
+++ b/doc/fr/Docs-jr/pics/pendulum-screen.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/pics/pendulum-screen.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/pics/pickup.png b/doc/fr/Docs-jr/pics/pickup.png
new file mode 120000
index 0000000..816f00b
--- /dev/null
+++ b/doc/fr/Docs-jr/pics/pickup.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/pics/pickup.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/pics/piezo-drive100Hz.png b/doc/fr/Docs-jr/pics/piezo-drive100Hz.png
new file mode 120000
index 0000000..773f55a
--- /dev/null
+++ b/doc/fr/Docs-jr/pics/piezo-drive100Hz.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/pics/piezo-drive100Hz.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/pics/piezo-drive100hz-fft.png b/doc/fr/Docs-jr/pics/piezo-drive100hz-fft.png
new file mode 120000
index 0000000..f395cb5
--- /dev/null
+++ b/doc/fr/Docs-jr/pics/piezo-drive100hz-fft.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/pics/piezo-drive100hz-fft.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/pics/piezo-freq-resp.png b/doc/fr/Docs-jr/pics/piezo-freq-resp.png
new file mode 120000
index 0000000..00ea61f
--- /dev/null
+++ b/doc/fr/Docs-jr/pics/piezo-freq-resp.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/pics/piezo-freq-resp.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/pics/pylab-cap-discharge.png b/doc/fr/Docs-jr/pics/pylab-cap-discharge.png
new file mode 120000
index 0000000..2f2cd21
--- /dev/null
+++ b/doc/fr/Docs-jr/pics/pylab-cap-discharge.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/pics/pylab-cap-discharge.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/pics/pylab-fitsine.png b/doc/fr/Docs-jr/pics/pylab-fitsine.png
new file mode 120000
index 0000000..35e718f
--- /dev/null
+++ b/doc/fr/Docs-jr/pics/pylab-fitsine.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/pics/pylab-fitsine.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/pics/pylab-pwm.png b/doc/fr/Docs-jr/pics/pylab-pwm.png
new file mode 120000
index 0000000..bb66129
--- /dev/null
+++ b/doc/fr/Docs-jr/pics/pylab-pwm.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/pics/pylab-pwm.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/pics/pylab-sine-phase.png b/doc/fr/Docs-jr/pics/pylab-sine-phase.png
new file mode 120000
index 0000000..69d5e17
--- /dev/null
+++ b/doc/fr/Docs-jr/pics/pylab-sine-phase.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/pics/pylab-sine-phase.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/pics/pylab-sine-sqr.png b/doc/fr/Docs-jr/pics/pylab-sine-sqr.png
new file mode 120000
index 0000000..e0f96ae
--- /dev/null
+++ b/doc/fr/Docs-jr/pics/pylab-sine-sqr.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/pics/pylab-sine-sqr.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/pics/pylab-sine.png b/doc/fr/Docs-jr/pics/pylab-sine.png
new file mode 120000
index 0000000..aa3e490
--- /dev/null
+++ b/doc/fr/Docs-jr/pics/pylab-sine.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/pics/pylab-sine.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/pics/rc-diff100Hz.png b/doc/fr/Docs-jr/pics/rc-diff100Hz.png
new file mode 120000
index 0000000..ab7913b
--- /dev/null
+++ b/doc/fr/Docs-jr/pics/rc-diff100Hz.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/pics/rc-diff100Hz.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/pics/rc-integ1khz.png b/doc/fr/Docs-jr/pics/rc-integ1khz.png
new file mode 120000
index 0000000..f6d5800
--- /dev/null
+++ b/doc/fr/Docs-jr/pics/rc-integ1khz.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/pics/rc-integ1khz.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/pics/rc-integ20hz.png b/doc/fr/Docs-jr/pics/rc-integ20hz.png
new file mode 120000
index 0000000..45aa4ae
--- /dev/null
+++ b/doc/fr/Docs-jr/pics/rc-integ20hz.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/pics/rc-integ20hz.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/pics/rc-phaseshift.png b/doc/fr/Docs-jr/pics/rc-phaseshift.png
new file mode 120000
index 0000000..4c5488a
--- /dev/null
+++ b/doc/fr/Docs-jr/pics/rc-phaseshift.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/pics/rc-phaseshift.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/pics/rl-phaseshift.png b/doc/fr/Docs-jr/pics/rl-phaseshift.png
new file mode 120000
index 0000000..86b9270
--- /dev/null
+++ b/doc/fr/Docs-jr/pics/rl-phaseshift.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/pics/rl-phaseshift.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/pics/sound-burst.png b/doc/fr/Docs-jr/pics/sound-burst.png
new file mode 120000
index 0000000..00ed175
--- /dev/null
+++ b/doc/fr/Docs-jr/pics/sound-burst.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/pics/sound-burst.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/pics/sound-frequency.png b/doc/fr/Docs-jr/pics/sound-frequency.png
new file mode 120000
index 0000000..f0c113b
--- /dev/null
+++ b/doc/fr/Docs-jr/pics/sound-frequency.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/pics/sound-frequency.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/pics/sound_waves.png b/doc/fr/Docs-jr/pics/sound_waves.png
new file mode 120000
index 0000000..9315bc5
--- /dev/null
+++ b/doc/fr/Docs-jr/pics/sound_waves.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/pics/sound_waves.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/pics/top-panel.png b/doc/fr/Docs-jr/pics/top-panel.png
new file mode 120000
index 0000000..f341dd8
--- /dev/null
+++ b/doc/fr/Docs-jr/pics/top-panel.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/pics/top-panel.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/pics/transformer-screen.png b/doc/fr/Docs-jr/pics/transformer-screen.png
new file mode 120000
index 0000000..fdcfbcf
--- /dev/null
+++ b/doc/fr/Docs-jr/pics/transformer-screen.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/pics/transformer-screen.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/pics/transistor-ce.png b/doc/fr/Docs-jr/pics/transistor-ce.png
new file mode 120000
index 0000000..79bce32
--- /dev/null
+++ b/doc/fr/Docs-jr/pics/transistor-ce.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/pics/transistor-ce.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/pics/velocity-sound.png b/doc/fr/Docs-jr/pics/velocity-sound.png
new file mode 120000
index 0000000..1038a32
--- /dev/null
+++ b/doc/fr/Docs-jr/pics/velocity-sound.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/pics/velocity-sound.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/pics/water-conduct.png b/doc/fr/Docs-jr/pics/water-conduct.png
new file mode 120000
index 0000000..1520bf7
--- /dev/null
+++ b/doc/fr/Docs-jr/pics/water-conduct.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/pics/water-conduct.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/LCRRcircuit.png b/doc/fr/Docs-jr/schematics/LCRRcircuit.png
new file mode 120000
index 0000000..547a9ea
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/LCRRcircuit.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/LCRRcircuit.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/LCRRcircuit.ps b/doc/fr/Docs-jr/schematics/LCRRcircuit.ps
new file mode 120000
index 0000000..f793f9d
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/LCRRcircuit.ps
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/LCRRcircuit.ps
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/LCRcircuit.png b/doc/fr/Docs-jr/schematics/LCRcircuit.png
new file mode 120000
index 0000000..0149864
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/LCRcircuit.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/LCRcircuit.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/LCRcircuit.ps b/doc/fr/Docs-jr/schematics/LCRcircuit.ps
new file mode 120000
index 0000000..1917e07
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/LCRcircuit.ps
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/LCRcircuit.ps
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/RCcircuit.png b/doc/fr/Docs-jr/schematics/RCcircuit.png
new file mode 120000
index 0000000..e412bdb
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/RCcircuit.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/RCcircuit.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/RCcircuit.ps b/doc/fr/Docs-jr/schematics/RCcircuit.ps
new file mode 120000
index 0000000..ef0e958
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/RCcircuit.ps
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/RCcircuit.ps
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/RLcircuit.png b/doc/fr/Docs-jr/schematics/RLcircuit.png
new file mode 120000
index 0000000..1ad8029
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/RLcircuit.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/RLcircuit.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/RLcircuit.ps b/doc/fr/Docs-jr/schematics/RLcircuit.ps
new file mode 120000
index 0000000..8008d31
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/RLcircuit.ps
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/RLcircuit.ps
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/ac-dc.png b/doc/fr/Docs-jr/schematics/ac-dc.png
new file mode 120000
index 0000000..49ccc37
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/ac-dc.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/ac-dc.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/ac-dc.ps b/doc/fr/Docs-jr/schematics/ac-dc.ps
new file mode 120000
index 0000000..a81418c
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/ac-dc.ps
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/ac-dc.ps
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/ac-generator.png b/doc/fr/Docs-jr/schematics/ac-generator.png
new file mode 120000
index 0000000..6ec0977
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/ac-generator.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/ac-generator.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/ac-generator.ps b/doc/fr/Docs-jr/schematics/ac-generator.ps
new file mode 120000
index 0000000..07f3500
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/ac-generator.ps
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/ac-generator.ps
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/ac-invert.png b/doc/fr/Docs-jr/schematics/ac-invert.png
new file mode 120000
index 0000000..d36d80b
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/ac-invert.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/ac-invert.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/ac-invert.ps b/doc/fr/Docs-jr/schematics/ac-invert.ps
new file mode 120000
index 0000000..b9068f0
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/ac-invert.ps
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/ac-invert.ps
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/acdc-separating.png b/doc/fr/Docs-jr/schematics/acdc-separating.png
new file mode 120000
index 0000000..092ceba
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/acdc-separating.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/acdc-separating.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/acdc-separating.ps b/doc/fr/Docs-jr/schematics/acdc-separating.ps
new file mode 120000
index 0000000..daca264
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/acdc-separating.ps
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/acdc-separating.ps
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/am.png b/doc/fr/Docs-jr/schematics/am.png
new file mode 120000
index 0000000..d03178b
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/am.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/am.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/am.ps b/doc/fr/Docs-jr/schematics/am.ps
new file mode 120000
index 0000000..1677bd8
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/am.ps
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/am.ps
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/amp-gain.png b/doc/fr/Docs-jr/schematics/amp-gain.png
new file mode 120000
index 0000000..5351e04
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/amp-gain.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/amp-gain.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/amp-gain.ps b/doc/fr/Docs-jr/schematics/amp-gain.ps
new file mode 120000
index 0000000..a93eae8
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/amp-gain.ps
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/amp-gain.ps
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/amp-test.png b/doc/fr/Docs-jr/schematics/amp-test.png
new file mode 120000
index 0000000..39a41da
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/amp-test.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/amp-test.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/amp-test.ps b/doc/fr/Docs-jr/schematics/amp-test.ps
new file mode 120000
index 0000000..5202e90
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/amp-test.ps
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/amp-test.ps
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/and-gate.png b/doc/fr/Docs-jr/schematics/and-gate.png
new file mode 120000
index 0000000..bfb7438
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/and-gate.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/and-gate.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/and-gate.ps b/doc/fr/Docs-jr/schematics/and-gate.ps
new file mode 120000
index 0000000..bda4f9a
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/and-gate.ps
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/and-gate.ps
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/calibrate.png b/doc/fr/Docs-jr/schematics/calibrate.png
new file mode 120000
index 0000000..c822cc0
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/calibrate.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/calibrate.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/calibrate.ps b/doc/fr/Docs-jr/schematics/calibrate.ps
new file mode 120000
index 0000000..a73afc4
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/calibrate.ps
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/calibrate.ps
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/cap-lin.png b/doc/fr/Docs-jr/schematics/cap-lin.png
new file mode 120000
index 0000000..a467a76
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/cap-lin.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/cap-lin.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/cap-lin.ps b/doc/fr/Docs-jr/schematics/cap-lin.ps
new file mode 120000
index 0000000..7499896
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/cap-lin.ps
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/cap-lin.ps
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/ccs-calib.png b/doc/fr/Docs-jr/schematics/ccs-calib.png
new file mode 120000
index 0000000..6f53ab3
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/ccs-calib.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/ccs-calib.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/ccs-calib.ps b/doc/fr/Docs-jr/schematics/ccs-calib.ps
new file mode 120000
index 0000000..e7369b0
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/ccs-calib.ps
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/ccs-calib.ps
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/clock-divider.png b/doc/fr/Docs-jr/schematics/clock-divider.png
new file mode 120000
index 0000000..56ab788
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/clock-divider.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/clock-divider.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/clock-divider.ps b/doc/fr/Docs-jr/schematics/clock-divider.ps
new file mode 120000
index 0000000..68ed1da
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/clock-divider.ps
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/clock-divider.ps
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/diode-iv.png b/doc/fr/Docs-jr/schematics/diode-iv.png
new file mode 120000
index 0000000..00e55d5
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/diode-iv.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/diode-iv.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/diode-iv.ps b/doc/fr/Docs-jr/schematics/diode-iv.ps
new file mode 120000
index 0000000..2635da2
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/diode-iv.ps
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/diode-iv.ps
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/driven-pend.png b/doc/fr/Docs-jr/schematics/driven-pend.png
new file mode 120000
index 0000000..a0f0e1a
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/driven-pend.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/driven-pend.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/driven-pend.ps b/doc/fr/Docs-jr/schematics/driven-pend.ps
new file mode 120000
index 0000000..9d873b3
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/driven-pend.ps
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/driven-pend.ps
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/dummy.png b/doc/fr/Docs-jr/schematics/dummy.png
new file mode 120000
index 0000000..ceb02ba
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/dummy.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/dummy.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/dummy.ps b/doc/fr/Docs-jr/schematics/dummy.ps
new file mode 120000
index 0000000..399585d
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/dummy.ps
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/dummy.ps
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/ftran.png b/doc/fr/Docs-jr/schematics/ftran.png
new file mode 120000
index 0000000..c98e91e
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/ftran.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/ftran.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/ftran.ps b/doc/fr/Docs-jr/schematics/ftran.ps
new file mode 120000
index 0000000..47f7b20
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/ftran.ps
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/ftran.ps
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/full-wave.png b/doc/fr/Docs-jr/schematics/full-wave.png
new file mode 120000
index 0000000..f60b6de
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/full-wave.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/full-wave.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/full-wave.ps b/doc/fr/Docs-jr/schematics/full-wave.ps
new file mode 120000
index 0000000..208c9a7
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/full-wave.ps
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/full-wave.ps
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/half-wave.png b/doc/fr/Docs-jr/schematics/half-wave.png
new file mode 120000
index 0000000..e6cd5a6
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/half-wave.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/half-wave.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/half-wave.ps b/doc/fr/Docs-jr/schematics/half-wave.ps
new file mode 120000
index 0000000..17ee641
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/half-wave.ps
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/half-wave.ps
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/induction.png b/doc/fr/Docs-jr/schematics/induction.png
new file mode 120000
index 0000000..ca91fe0
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/induction.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/induction.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/induction.ps b/doc/fr/Docs-jr/schematics/induction.ps
new file mode 120000
index 0000000..2423f16
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/induction.ps
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/induction.ps
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/ldr.png b/doc/fr/Docs-jr/schematics/ldr.png
new file mode 120000
index 0000000..6a34c2a
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/ldr.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/ldr.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/ldr.ps b/doc/fr/Docs-jr/schematics/ldr.ps
new file mode 120000
index 0000000..16e096d
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/ldr.ps
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/ldr.ps
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/lemon-cell.png b/doc/fr/Docs-jr/schematics/lemon-cell.png
new file mode 120000
index 0000000..e049d1b
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/lemon-cell.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/lemon-cell.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/lemon-cell.ps b/doc/fr/Docs-jr/schematics/lemon-cell.ps
new file mode 120000
index 0000000..d5f3231
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/lemon-cell.ps
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/lemon-cell.ps
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/light-barrier.png b/doc/fr/Docs-jr/schematics/light-barrier.png
new file mode 120000
index 0000000..a401cb8
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/light-barrier.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/light-barrier.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/light-barrier.ps b/doc/fr/Docs-jr/schematics/light-barrier.ps
new file mode 120000
index 0000000..947c422
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/light-barrier.ps
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/light-barrier.ps
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/light-tranmission.png b/doc/fr/Docs-jr/schematics/light-tranmission.png
new file mode 120000
index 0000000..d9b8dc6
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/light-tranmission.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/light-tranmission.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/light-tranmission.ps b/doc/fr/Docs-jr/schematics/light-tranmission.ps
new file mode 120000
index 0000000..1a3accc
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/light-tranmission.ps
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/light-tranmission.ps
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/line-pickup.png b/doc/fr/Docs-jr/schematics/line-pickup.png
new file mode 120000
index 0000000..7ea8aea
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/line-pickup.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/line-pickup.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/line-pickup.ps b/doc/fr/Docs-jr/schematics/line-pickup.ps
new file mode 120000
index 0000000..bea1cca
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/line-pickup.ps
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/line-pickup.ps
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/measure-cap.png b/doc/fr/Docs-jr/schematics/measure-cap.png
new file mode 120000
index 0000000..dbe0a9b
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/measure-cap.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/measure-cap.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/measure-cap.ps b/doc/fr/Docs-jr/schematics/measure-cap.ps
new file mode 120000
index 0000000..7c287b4
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/measure-cap.ps
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/measure-cap.ps
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/measure-dc.png b/doc/fr/Docs-jr/schematics/measure-dc.png
new file mode 120000
index 0000000..aef15fd
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/measure-dc.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/measure-dc.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/measure-dc.ps b/doc/fr/Docs-jr/schematics/measure-dc.ps
new file mode 120000
index 0000000..eed0e8c
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/measure-dc.ps
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/measure-dc.ps
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/measurecap.png b/doc/fr/Docs-jr/schematics/measurecap.png
new file mode 120000
index 0000000..c30dd71
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/measurecap.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/measurecap.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/measurecap.ps b/doc/fr/Docs-jr/schematics/measurecap.ps
new file mode 120000
index 0000000..4f7790f
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/measurecap.ps
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/measurecap.ps
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/mono555.png b/doc/fr/Docs-jr/schematics/mono555.png
new file mode 120000
index 0000000..e2d53db
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/mono555.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/mono555.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/mono555.ps b/doc/fr/Docs-jr/schematics/mono555.ps
new file mode 120000
index 0000000..2dab67a
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/mono555.ps
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/mono555.ps
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/motor-rpm.png b/doc/fr/Docs-jr/schematics/motor-rpm.png
new file mode 120000
index 0000000..611a4d0
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/motor-rpm.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/motor-rpm.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/motor-rpm.ps b/doc/fr/Docs-jr/schematics/motor-rpm.ps
new file mode 120000
index 0000000..bada04b
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/motor-rpm.ps
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/motor-rpm.ps
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/opto-electric.png b/doc/fr/Docs-jr/schematics/opto-electric.png
new file mode 120000
index 0000000..a5a2191
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/opto-electric.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/opto-electric.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/opto-electric.ps b/doc/fr/Docs-jr/schematics/opto-electric.ps
new file mode 120000
index 0000000..cd13a27
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/opto-electric.ps
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/opto-electric.ps
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/or-gate.png b/doc/fr/Docs-jr/schematics/or-gate.png
new file mode 120000
index 0000000..b42131b
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/or-gate.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/or-gate.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/or-gate.ps b/doc/fr/Docs-jr/schematics/or-gate.ps
new file mode 120000
index 0000000..df128b4
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/or-gate.ps
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/or-gate.ps
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/osc555.png b/doc/fr/Docs-jr/schematics/osc555.png
new file mode 120000
index 0000000..cb58fec
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/osc555.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/osc555.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/osc555.ps b/doc/fr/Docs-jr/schematics/osc555.ps
new file mode 120000
index 0000000..dd68a0d
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/osc555.ps
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/osc555.ps
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/pend-wave.png b/doc/fr/Docs-jr/schematics/pend-wave.png
new file mode 120000
index 0000000..dd590e2
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/pend-wave.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/pend-wave.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/pend-wave.ps b/doc/fr/Docs-jr/schematics/pend-wave.ps
new file mode 120000
index 0000000..cd4953c
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/pend-wave.ps
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/pend-wave.ps
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/pt100.png b/doc/fr/Docs-jr/schematics/pt100.png
new file mode 120000
index 0000000..dd5e0da
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/pt100.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/pt100.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/pt100.ps b/doc/fr/Docs-jr/schematics/pt100.ps
new file mode 120000
index 0000000..15e56bf
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/pt100.ps
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/pt100.ps
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/rc-acphase.png b/doc/fr/Docs-jr/schematics/rc-acphase.png
new file mode 120000
index 0000000..0959f93
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/rc-acphase.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/rc-acphase.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/rc-acphase.ps b/doc/fr/Docs-jr/schematics/rc-acphase.ps
new file mode 120000
index 0000000..9dc00ed
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/rc-acphase.ps
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/rc-acphase.ps
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/rc-diff.png b/doc/fr/Docs-jr/schematics/rc-diff.png
new file mode 120000
index 0000000..f82d803
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/rc-diff.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/rc-diff.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/rc-diff.ps b/doc/fr/Docs-jr/schematics/rc-diff.ps
new file mode 120000
index 0000000..5ccebce
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/rc-diff.ps
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/rc-diff.ps
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/rc-integ.png b/doc/fr/Docs-jr/schematics/rc-integ.png
new file mode 120000
index 0000000..af289ab
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/rc-integ.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/rc-integ.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/rc-integ.ps b/doc/fr/Docs-jr/schematics/rc-integ.ps
new file mode 120000
index 0000000..415afc9
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/rc-integ.ps
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/rc-integ.ps
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/res-body.png b/doc/fr/Docs-jr/schematics/res-body.png
new file mode 120000
index 0000000..11deabe
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/res-body.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/res-body.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/res-body.ps b/doc/fr/Docs-jr/schematics/res-body.ps
new file mode 120000
index 0000000..6838def
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/res-body.ps
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/res-body.ps
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/res-compare.png b/doc/fr/Docs-jr/schematics/res-compare.png
new file mode 120000
index 0000000..242fdca
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/res-compare.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/res-compare.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/res-compare.ps b/doc/fr/Docs-jr/schematics/res-compare.ps
new file mode 120000
index 0000000..a47c441
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/res-compare.ps
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/res-compare.ps
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/res-parallel.png b/doc/fr/Docs-jr/schematics/res-parallel.png
new file mode 120000
index 0000000..c7c593f
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/res-parallel.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/res-parallel.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/res-parallel.ps b/doc/fr/Docs-jr/schematics/res-parallel.ps
new file mode 120000
index 0000000..18a0f73
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/res-parallel.ps
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/res-parallel.ps
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/res-series.png b/doc/fr/Docs-jr/schematics/res-series.png
new file mode 120000
index 0000000..fead092
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/res-series.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/res-series.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/res-series.ps b/doc/fr/Docs-jr/schematics/res-series.ps
new file mode 120000
index 0000000..470cb44
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/res-series.ps
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/res-series.ps
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/res-water.png b/doc/fr/Docs-jr/schematics/res-water.png
new file mode 120000
index 0000000..69b609e
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/res-water.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/res-water.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/res-water.ps b/doc/fr/Docs-jr/schematics/res-water.ps
new file mode 120000
index 0000000..8611e51
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/res-water.ps
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/res-water.ps
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/resistors.png b/doc/fr/Docs-jr/schematics/resistors.png
new file mode 120000
index 0000000..d4e265d
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/resistors.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/resistors.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/resistors.ps b/doc/fr/Docs-jr/schematics/resistors.ps
new file mode 120000
index 0000000..070bd17
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/resistors.ps
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/resistors.ps
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/rl-acphase.png b/doc/fr/Docs-jr/schematics/rl-acphase.png
new file mode 120000
index 0000000..ed52be9
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/rl-acphase.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/rl-acphase.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/rl-acphase.ps b/doc/fr/Docs-jr/schematics/rl-acphase.ps
new file mode 120000
index 0000000..f831cf9
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/rl-acphase.ps
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/rl-acphase.ps
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/sound-burst.png b/doc/fr/Docs-jr/schematics/sound-burst.png
new file mode 120000
index 0000000..382cd68
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/sound-burst.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/sound-burst.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/sound-burst.ps b/doc/fr/Docs-jr/schematics/sound-burst.ps
new file mode 120000
index 0000000..058ac7a
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/sound-burst.ps
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/sound-burst.ps
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/sound-inter.png b/doc/fr/Docs-jr/schematics/sound-inter.png
new file mode 120000
index 0000000..f919722
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/sound-inter.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/sound-inter.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/sound-inter.ps b/doc/fr/Docs-jr/schematics/sound-inter.ps
new file mode 120000
index 0000000..00cda40
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/sound-inter.ps
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/sound-inter.ps
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/sound.png b/doc/fr/Docs-jr/schematics/sound.png
new file mode 120000
index 0000000..75f4b6d
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/sound.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/sound.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/sound.ps b/doc/fr/Docs-jr/schematics/sound.ps
new file mode 120000
index 0000000..5acfd0b
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/sound.ps
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/sound.ps
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/thermistor.png b/doc/fr/Docs-jr/schematics/thermistor.png
new file mode 120000
index 0000000..0215bb2
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/thermistor.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/thermistor.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/thermistor.ps b/doc/fr/Docs-jr/schematics/thermistor.ps
new file mode 120000
index 0000000..7fde541
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/thermistor.ps
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/thermistor.ps
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/tran.png b/doc/fr/Docs-jr/schematics/tran.png
new file mode 120000
index 0000000..a464996
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/tran.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/tran.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/tran.ps b/doc/fr/Docs-jr/schematics/tran.ps
new file mode 120000
index 0000000..b2006f0
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/tran.ps
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/tran.ps
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/transistor-ce.png b/doc/fr/Docs-jr/schematics/transistor-ce.png
new file mode 120000
index 0000000..39050c6
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/transistor-ce.png
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/transistor-ce.png
\ No newline at end of file
diff --git a/doc/fr/Docs-jr/schematics/transistor-ce.ps b/doc/fr/Docs-jr/schematics/transistor-ce.ps
new file mode 120000
index 0000000..d01e1d0
--- /dev/null
+++ b/doc/fr/Docs-jr/schematics/transistor-ce.ps
@@ -0,0 +1 @@
+../../../en/Docs-jr/schematics/transistor-ce.ps
\ No newline at end of file
diff --git a/doc/fr/Docs/Makefile b/doc/fr/Docs/Makefile
new file mode 100644
index 0000000..1647f1d
--- /dev/null
+++ b/doc/fr/Docs/Makefile
@@ -0,0 +1,26 @@
+DESTDIR =
+LANG    = fr
+SOURCES = eyes.tex
+PDFS    = $(patsubst %.tex, %.pdf, $(SOURCES))
+WARNING = LaTeX Warning: Label(s) may have changed. Rerun
+
+all: $(PDFS)
+
+install: all
+	install -m 644 $(PDFS) $(DESTDIR)
+
+%.pdf: %.tex
+	@echo -n "Language=$(LANG), shell=$$(echo $$SHELL), Running PDFLaTeX "
+	@ok=0; texfile=$<; logfile=$$(echo $$texfile| sed s/tex/log/); \
+	while [ $$ok = 0 ]; do \
+	  echo -n "... "; \
+	  pdflatex -interaction=nonstopmode $${texfile} >/dev/null 2>&1 || true; \
+	  if ! grep -q "$(WARNING)" $${logfile}; then \
+	    ok=1; \
+	  fi; \
+	done; \
+	echo Done.
+
+clean:
+	rm -f *~ *.aux *.log *.toc *.lof
+	[ -f /tmp/keep-expeyes-docs ] || rm -f $(PDFS)
diff --git a/doc/fr/Docs/Pic-40kHz-piezo-photo.png b/doc/fr/Docs/Pic-40kHz-piezo-photo.png
new file mode 100644
index 0000000..ba3b9aa
Binary files /dev/null and b/doc/fr/Docs/Pic-40kHz-piezo-photo.png differ
diff --git a/doc/fr/Docs/Pic-ACgen-output-30pct.png b/doc/fr/Docs/Pic-ACgen-output-30pct.png
new file mode 100644
index 0000000..6e09635
Binary files /dev/null and b/doc/fr/Docs/Pic-ACgen-output-30pct.png differ
diff --git a/doc/fr/Docs/Pic-ACthrough-water.png b/doc/fr/Docs/Pic-ACthrough-water.png
new file mode 100644
index 0000000..556233c
Binary files /dev/null and b/doc/fr/Docs/Pic-ACthrough-water.png differ
diff --git a/doc/fr/Docs/Pic-AMcarr-and-sig400x20.png b/doc/fr/Docs/Pic-AMcarr-and-sig400x20.png
new file mode 100644
index 0000000..06da271
Binary files /dev/null and b/doc/fr/Docs/Pic-AMcarr-and-sig400x20.png differ
diff --git a/doc/fr/Docs/Pic-CR-transient-screen.png b/doc/fr/Docs/Pic-CR-transient-screen.png
new file mode 100644
index 0000000..a8cc612
Binary files /dev/null and b/doc/fr/Docs/Pic-CR-transient-screen.png differ
diff --git a/doc/fr/Docs/Pic-CRphaseshift-1uf560.png b/doc/fr/Docs/Pic-CRphaseshift-1uf560.png
new file mode 100644
index 0000000..e7b4fbd
Binary files /dev/null and b/doc/fr/Docs/Pic-CRphaseshift-1uf560.png differ
diff --git a/doc/fr/Docs/Pic-DCthrough-water.png b/doc/fr/Docs/Pic-DCthrough-water.png
new file mode 100644
index 0000000..9f5461e
Binary files /dev/null and b/doc/fr/Docs/Pic-DCthrough-water.png differ
diff --git a/doc/fr/Docs/Pic-FMcarr-and-sig500x10-2V.png b/doc/fr/Docs/Pic-FMcarr-and-sig500x10-2V.png
new file mode 100644
index 0000000..44cc206
Binary files /dev/null and b/doc/fr/Docs/Pic-FMcarr-and-sig500x10-2V.png differ
diff --git a/doc/fr/Docs/Pic-LCRdischarge-1k.png b/doc/fr/Docs/Pic-LCRdischarge-1k.png
new file mode 100644
index 0000000..92325dc
Binary files /dev/null and b/doc/fr/Docs/Pic-LCRdischarge-1k.png differ
diff --git a/doc/fr/Docs/Pic-LCRdischarge-ferrite.png b/doc/fr/Docs/Pic-LCRdischarge-ferrite.png
new file mode 100644
index 0000000..e8884b0
Binary files /dev/null and b/doc/fr/Docs/Pic-LCRdischarge-ferrite.png differ
diff --git a/doc/fr/Docs/Pic-LCRdischarge.png b/doc/fr/Docs/Pic-LCRdischarge.png
new file mode 100644
index 0000000..3ee5f5d
Binary files /dev/null and b/doc/fr/Docs/Pic-LCRdischarge.png differ
diff --git a/doc/fr/Docs/Pic-LR-downstep.png b/doc/fr/Docs/Pic-LR-downstep.png
new file mode 100644
index 0000000..32dc067
Binary files /dev/null and b/doc/fr/Docs/Pic-LR-downstep.png differ
diff --git a/doc/fr/Docs/Pic-LRphaseshift-125mH-125ohm.png b/doc/fr/Docs/Pic-LRphaseshift-125mH-125ohm.png
new file mode 100644
index 0000000..e23ced2
Binary files /dev/null and b/doc/fr/Docs/Pic-LRphaseshift-125mH-125ohm.png differ
diff --git a/doc/fr/Docs/Pic-LRphaseshift-ferrite.png b/doc/fr/Docs/Pic-LRphaseshift-ferrite.png
new file mode 100644
index 0000000..c1af0ed
Binary files /dev/null and b/doc/fr/Docs/Pic-LRphaseshift-ferrite.png differ
diff --git a/doc/fr/Docs/Pic-capacitor-linear.png b/doc/fr/Docs/Pic-capacitor-linear.png
new file mode 100644
index 0000000..9d05334
Binary files /dev/null and b/doc/fr/Docs/Pic-capacitor-linear.png differ
diff --git a/doc/fr/Docs/Pic-cooling-water-photo.png b/doc/fr/Docs/Pic-cooling-water-photo.png
new file mode 100644
index 0000000..07bba0e
Binary files /dev/null and b/doc/fr/Docs/Pic-cooling-water-photo.png differ
diff --git a/doc/fr/Docs/Pic-cooling-water-pt100.png b/doc/fr/Docs/Pic-cooling-water-pt100.png
new file mode 100644
index 0000000..702ae7d
Binary files /dev/null and b/doc/fr/Docs/Pic-cooling-water-pt100.png differ
diff --git a/doc/fr/Docs/Pic-dcvoltage.png b/doc/fr/Docs/Pic-dcvoltage.png
new file mode 100644
index 0000000..3983fd5
Binary files /dev/null and b/doc/fr/Docs/Pic-dcvoltage.png differ
diff --git a/doc/fr/Docs/Pic-diode-4148.png b/doc/fr/Docs/Pic-diode-4148.png
new file mode 100644
index 0000000..8a08b7e
Binary files /dev/null and b/doc/fr/Docs/Pic-diode-4148.png differ
diff --git a/doc/fr/Docs/Pic-diode-LED-iv.png b/doc/fr/Docs/Pic-diode-LED-iv.png
new file mode 100644
index 0000000..634878f
Binary files /dev/null and b/doc/fr/Docs/Pic-diode-LED-iv.png differ
diff --git a/doc/fr/Docs/Pic-diode-fullwave.png b/doc/fr/Docs/Pic-diode-fullwave.png
new file mode 100644
index 0000000..62ddcb4
Binary files /dev/null and b/doc/fr/Docs/Pic-diode-fullwave.png differ
diff --git a/doc/fr/Docs/Pic-diode-halfwave-100uF.png b/doc/fr/Docs/Pic-diode-halfwave-100uF.png
new file mode 100644
index 0000000..e46ff89
Binary files /dev/null and b/doc/fr/Docs/Pic-diode-halfwave-100uF.png differ
diff --git a/doc/fr/Docs/Pic-diode-halfwave.png b/doc/fr/Docs/Pic-diode-halfwave.png
new file mode 100644
index 0000000..5df7b81
Binary files /dev/null and b/doc/fr/Docs/Pic-diode-halfwave.png differ
diff --git a/doc/fr/Docs/Pic-diode-zener-iv.png b/doc/fr/Docs/Pic-diode-zener-iv.png
new file mode 100644
index 0000000..99150ba
Binary files /dev/null and b/doc/fr/Docs/Pic-diode-zener-iv.png differ
diff --git a/doc/fr/Docs/Pic-drycell-voltage.png b/doc/fr/Docs/Pic-drycell-voltage.png
new file mode 100644
index 0000000..26d063a
Binary files /dev/null and b/doc/fr/Docs/Pic-drycell-voltage.png differ
diff --git a/doc/fr/Docs/Pic-em-induction.png b/doc/fr/Docs/Pic-em-induction.png
new file mode 100644
index 0000000..1d6f753
Binary files /dev/null and b/doc/fr/Docs/Pic-em-induction.png differ
diff --git a/doc/fr/Docs/Pic-gravity-tof-photo.png b/doc/fr/Docs/Pic-gravity-tof-photo.png
new file mode 100644
index 0000000..3c06fe9
Binary files /dev/null and b/doc/fr/Docs/Pic-gravity-tof-photo.png differ
diff --git a/doc/fr/Docs/Pic-lemoncellDC.png b/doc/fr/Docs/Pic-lemoncellDC.png
new file mode 100644
index 0000000..ab3f6f5
Binary files /dev/null and b/doc/fr/Docs/Pic-lemoncellDC.png differ
diff --git a/doc/fr/Docs/Pic-light-bar-rodpend-photo.png b/doc/fr/Docs/Pic-light-bar-rodpend-photo.png
new file mode 100644
index 0000000..e5579c7
Binary files /dev/null and b/doc/fr/Docs/Pic-light-bar-rodpend-photo.png differ
diff --git a/doc/fr/Docs/Pic-light-thru-paper-photo.png b/doc/fr/Docs/Pic-light-thru-paper-photo.png
new file mode 100644
index 0000000..4d49a09
Binary files /dev/null and b/doc/fr/Docs/Pic-light-thru-paper-photo.png differ
diff --git a/doc/fr/Docs/Pic-mutual-induction-Ecore-1kload.png b/doc/fr/Docs/Pic-mutual-induction-Ecore-1kload.png
new file mode 100644
index 0000000..d5478f0
Binary files /dev/null and b/doc/fr/Docs/Pic-mutual-induction-Ecore-1kload.png differ
diff --git a/doc/fr/Docs/Pic-mutual-induction.png b/doc/fr/Docs/Pic-mutual-induction.png
new file mode 100644
index 0000000..dfe2757
Binary files /dev/null and b/doc/fr/Docs/Pic-mutual-induction.png differ
diff --git a/doc/fr/Docs/Pic-pendulum-osc.png b/doc/fr/Docs/Pic-pendulum-osc.png
new file mode 100644
index 0000000..5a21c4c
Binary files /dev/null and b/doc/fr/Docs/Pic-pendulum-osc.png differ
diff --git a/doc/fr/Docs/Pic-photo-tran-ce.png b/doc/fr/Docs/Pic-photo-tran-ce.png
new file mode 100644
index 0000000..99e1713
Binary files /dev/null and b/doc/fr/Docs/Pic-photo-tran-ce.png differ
diff --git a/doc/fr/Docs/Pic-phototran-sqr-received.png b/doc/fr/Docs/Pic-phototran-sqr-received.png
new file mode 100644
index 0000000..a5414ae
Binary files /dev/null and b/doc/fr/Docs/Pic-phototran-sqr-received.png differ
diff --git a/doc/fr/Docs/Pic-piezo-100hz.png b/doc/fr/Docs/Pic-piezo-100hz.png
new file mode 100644
index 0000000..6b38c38
Binary files /dev/null and b/doc/fr/Docs/Pic-piezo-100hz.png differ
diff --git a/doc/fr/Docs/Pic-piezo-fft-500hz.png b/doc/fr/Docs/Pic-piezo-fft-500hz.png
new file mode 100644
index 0000000..a9574d8
Binary files /dev/null and b/doc/fr/Docs/Pic-piezo-fft-500hz.png differ
diff --git a/doc/fr/Docs/Pic-resistor-iv.png b/doc/fr/Docs/Pic-resistor-iv.png
new file mode 100644
index 0000000..e786cdd
Binary files /dev/null and b/doc/fr/Docs/Pic-resistor-iv.png differ
diff --git a/doc/fr/Docs/Pic-rodpend-ghist.png b/doc/fr/Docs/Pic-rodpend-ghist.png
new file mode 100644
index 0000000..140dca6
Binary files /dev/null and b/doc/fr/Docs/Pic-rodpend-ghist.png differ
diff --git a/doc/fr/Docs/Pic-rodpend-screen.png b/doc/fr/Docs/Pic-rodpend-screen.png
new file mode 100644
index 0000000..0249011
Binary files /dev/null and b/doc/fr/Docs/Pic-rodpend-screen.png differ
diff --git a/doc/fr/Docs/Pic-sariga.png b/doc/fr/Docs/Pic-sariga.png
new file mode 100644
index 0000000..59247be
Binary files /dev/null and b/doc/fr/Docs/Pic-sariga.png differ
diff --git a/doc/fr/Docs/Pic-sine-two-180deg.png b/doc/fr/Docs/Pic-sine-two-180deg.png
new file mode 100644
index 0000000..f102ea4
Binary files /dev/null and b/doc/fr/Docs/Pic-sine-two-180deg.png differ
diff --git a/doc/fr/Docs/Pic-sine90hz-fft.png b/doc/fr/Docs/Pic-sine90hz-fft.png
new file mode 100644
index 0000000..2f07e68
Binary files /dev/null and b/doc/fr/Docs/Pic-sine90hz-fft.png differ
diff --git a/doc/fr/Docs/Pic-sinewave50hz.png b/doc/fr/Docs/Pic-sinewave50hz.png
new file mode 100644
index 0000000..894fa3b
Binary files /dev/null and b/doc/fr/Docs/Pic-sinewave50hz.png differ
diff --git a/doc/fr/Docs/Pic-sinewave90hz.png b/doc/fr/Docs/Pic-sinewave90hz.png
new file mode 100644
index 0000000..40e2c79
Binary files /dev/null and b/doc/fr/Docs/Pic-sinewave90hz.png differ
diff --git a/doc/fr/Docs/Pic-solenoid-field.png b/doc/fr/Docs/Pic-solenoid-field.png
new file mode 100644
index 0000000..2979381
Binary files /dev/null and b/doc/fr/Docs/Pic-solenoid-field.png differ
diff --git a/doc/fr/Docs/Pic-sound-beats.png b/doc/fr/Docs/Pic-sound-beats.png
new file mode 100644
index 0000000..4e7b910
Binary files /dev/null and b/doc/fr/Docs/Pic-sound-beats.png differ
diff --git a/doc/fr/Docs/Pic-sound-outofphase.png b/doc/fr/Docs/Pic-sound-outofphase.png
new file mode 100644
index 0000000..38c4271
Binary files /dev/null and b/doc/fr/Docs/Pic-sound-outofphase.png differ
diff --git a/doc/fr/Docs/Pic-sound-speaker-photo.png b/doc/fr/Docs/Pic-sound-speaker-photo.png
new file mode 100644
index 0000000..27703f1
Binary files /dev/null and b/doc/fr/Docs/Pic-sound-speaker-photo.png differ
diff --git a/doc/fr/Docs/Pic-sound-waves.png b/doc/fr/Docs/Pic-sound-waves.png
new file mode 100644
index 0000000..64d142b
Binary files /dev/null and b/doc/fr/Docs/Pic-sound-waves.png differ
diff --git a/doc/fr/Docs/Pic-sound2000hz.png b/doc/fr/Docs/Pic-sound2000hz.png
new file mode 100644
index 0000000..c4efd9e
Binary files /dev/null and b/doc/fr/Docs/Pic-sound2000hz.png differ
diff --git a/doc/fr/Docs/Pic-sound3012hz.png b/doc/fr/Docs/Pic-sound3012hz.png
new file mode 100644
index 0000000..09c7c83
Binary files /dev/null and b/doc/fr/Docs/Pic-sound3012hz.png differ
diff --git a/doc/fr/Docs/Pic-sqr1000Hz-fft.png b/doc/fr/Docs/Pic-sqr1000Hz-fft.png
new file mode 100644
index 0000000..9e6ba01
Binary files /dev/null and b/doc/fr/Docs/Pic-sqr1000Hz-fft.png differ
diff --git a/doc/fr/Docs/Pic-sqrwave-dcblocked.png b/doc/fr/Docs/Pic-sqrwave-dcblocked.png
new file mode 100644
index 0000000..2882260
Binary files /dev/null and b/doc/fr/Docs/Pic-sqrwave-dcblocked.png differ
diff --git a/doc/fr/Docs/Pic-sqrwave-hand.png b/doc/fr/Docs/Pic-sqrwave-hand.png
new file mode 100644
index 0000000..b0531f8
Binary files /dev/null and b/doc/fr/Docs/Pic-sqrwave-hand.png differ
diff --git a/doc/fr/Docs/Pic-sqrwave2.png b/doc/fr/Docs/Pic-sqrwave2.png
new file mode 100644
index 0000000..f2bbe2b
Binary files /dev/null and b/doc/fr/Docs/Pic-sqrwave2.png differ
diff --git a/doc/fr/Docs/Pic-squarewave-diff20hz.png b/doc/fr/Docs/Pic-squarewave-diff20hz.png
new file mode 100644
index 0000000..7ddb91f
Binary files /dev/null and b/doc/fr/Docs/Pic-squarewave-diff20hz.png differ
diff --git a/doc/fr/Docs/Pic-squarewave-interg1khz.png b/doc/fr/Docs/Pic-squarewave-interg1khz.png
new file mode 100644
index 0000000..a836c28
Binary files /dev/null and b/doc/fr/Docs/Pic-squarewave-interg1khz.png differ
diff --git a/doc/fr/Docs/Pic-squarewave-interg20hz.png b/doc/fr/Docs/Pic-squarewave-interg20hz.png
new file mode 100644
index 0000000..eaa349d
Binary files /dev/null and b/doc/fr/Docs/Pic-squarewave-interg20hz.png differ
diff --git a/doc/fr/Docs/Pic-standing-wave-app-photo.png b/doc/fr/Docs/Pic-standing-wave-app-photo.png
new file mode 100644
index 0000000..3e4f73a
Binary files /dev/null and b/doc/fr/Docs/Pic-standing-wave-app-photo.png differ
diff --git a/doc/fr/Docs/Pic-std-accessory-photo.png b/doc/fr/Docs/Pic-std-accessory-photo.png
new file mode 100644
index 0000000..6c63d88
Binary files /dev/null and b/doc/fr/Docs/Pic-std-accessory-photo.png differ
diff --git a/doc/fr/Docs/Pic-stroboscope-photo.png b/doc/fr/Docs/Pic-stroboscope-photo.png
new file mode 100644
index 0000000..31bd632
Binary files /dev/null and b/doc/fr/Docs/Pic-stroboscope-photo.png differ
diff --git a/doc/fr/Docs/Pic-temp-con.png b/doc/fr/Docs/Pic-temp-con.png
new file mode 100644
index 0000000..d4f2643
Binary files /dev/null and b/doc/fr/Docs/Pic-temp-con.png differ
diff --git a/doc/fr/Docs/Pic-tran-amp2V.png b/doc/fr/Docs/Pic-tran-amp2V.png
new file mode 100644
index 0000000..3efa20c
Binary files /dev/null and b/doc/fr/Docs/Pic-tran-amp2V.png differ
diff --git a/doc/fr/Docs/Pic-tran-amp4V.png b/doc/fr/Docs/Pic-tran-amp4V.png
new file mode 100644
index 0000000..516d31b
Binary files /dev/null and b/doc/fr/Docs/Pic-tran-amp4V.png differ
diff --git a/doc/fr/Docs/Pic-tran-ce.png b/doc/fr/Docs/Pic-tran-ce.png
new file mode 100644
index 0000000..83411cb
Binary files /dev/null and b/doc/fr/Docs/Pic-tran-ce.png differ
diff --git a/doc/fr/Docs/Pic-triwave-diff.png b/doc/fr/Docs/Pic-triwave-diff.png
new file mode 100644
index 0000000..355ec2e
Binary files /dev/null and b/doc/fr/Docs/Pic-triwave-diff.png differ
diff --git a/doc/fr/Docs/Schematic-AM-photo.png b/doc/fr/Docs/Schematic-AM-photo.png
new file mode 100644
index 0000000..bf4fb9d
Binary files /dev/null and b/doc/fr/Docs/Schematic-AM-photo.png differ
diff --git a/doc/fr/Docs/Schematic-AMfft-1800x40.png b/doc/fr/Docs/Schematic-AMfft-1800x40.png
new file mode 100644
index 0000000..f5fa798
Binary files /dev/null and b/doc/fr/Docs/Schematic-AMfft-1800x40.png differ
diff --git a/doc/fr/Docs/Schematic-EMinduction-photo.png b/doc/fr/Docs/Schematic-EMinduction-photo.png
new file mode 100644
index 0000000..d981fe9
Binary files /dev/null and b/doc/fr/Docs/Schematic-EMinduction-photo.png differ
diff --git a/doc/fr/Docs/Schematic-RCdiff.png b/doc/fr/Docs/Schematic-RCdiff.png
new file mode 100644
index 0000000..ca422b7
Binary files /dev/null and b/doc/fr/Docs/Schematic-RCdiff.png differ
diff --git a/doc/fr/Docs/Schematic-RCinteg.png b/doc/fr/Docs/Schematic-RCinteg.png
new file mode 100644
index 0000000..7089482
Binary files /dev/null and b/doc/fr/Docs/Schematic-RCinteg.png differ
diff --git a/doc/fr/Docs/Schematic-ac-dc.png b/doc/fr/Docs/Schematic-ac-dc.png
new file mode 100644
index 0000000..cfde7d8
Binary files /dev/null and b/doc/fr/Docs/Schematic-ac-dc.png differ
diff --git a/doc/fr/Docs/Schematic-ac-gen.png b/doc/fr/Docs/Schematic-ac-gen.png
new file mode 100644
index 0000000..37512a4
Binary files /dev/null and b/doc/fr/Docs/Schematic-ac-gen.png differ
diff --git a/doc/fr/Docs/Schematic-cell-voltage.png b/doc/fr/Docs/Schematic-cell-voltage.png
new file mode 100644
index 0000000..bfd9a2d
Binary files /dev/null and b/doc/fr/Docs/Schematic-cell-voltage.png differ
diff --git a/doc/fr/Docs/Schematic-coil-magnetpendulum-photo.png b/doc/fr/Docs/Schematic-coil-magnetpendulum-photo.png
new file mode 100644
index 0000000..1fbb8ad
Binary files /dev/null and b/doc/fr/Docs/Schematic-coil-magnetpendulum-photo.png differ
diff --git a/doc/fr/Docs/Schematic-cond-main.png b/doc/fr/Docs/Schematic-cond-main.png
new file mode 100644
index 0000000..8afb9e6
Binary files /dev/null and b/doc/fr/Docs/Schematic-cond-main.png differ
diff --git a/doc/fr/Docs/Schematic-diode-halfwave-1uF.png b/doc/fr/Docs/Schematic-diode-halfwave-1uF.png
new file mode 100644
index 0000000..ee4d14f
Binary files /dev/null and b/doc/fr/Docs/Schematic-diode-halfwave-1uF.png differ
diff --git a/doc/fr/Docs/Schematic-diode-iv.png b/doc/fr/Docs/Schematic-diode-iv.png
new file mode 100644
index 0000000..ade3892
Binary files /dev/null and b/doc/fr/Docs/Schematic-diode-iv.png differ
diff --git a/doc/fr/Docs/Schematic-full-wave.png b/doc/fr/Docs/Schematic-full-wave.png
new file mode 100644
index 0000000..1a2940f
Binary files /dev/null and b/doc/fr/Docs/Schematic-full-wave.png differ
diff --git a/doc/fr/Docs/Schematic-half-wave.png b/doc/fr/Docs/Schematic-half-wave.png
new file mode 100644
index 0000000..4100ab1
Binary files /dev/null and b/doc/fr/Docs/Schematic-half-wave.png differ
diff --git a/doc/fr/Docs/Schematic-lc-tran.png b/doc/fr/Docs/Schematic-lc-tran.png
new file mode 100644
index 0000000..3ee4379
Binary files /dev/null and b/doc/fr/Docs/Schematic-lc-tran.png differ
diff --git a/doc/fr/Docs/Schematic-ldr.png b/doc/fr/Docs/Schematic-ldr.png
new file mode 100644
index 0000000..0354fd7
Binary files /dev/null and b/doc/fr/Docs/Schematic-ldr.png differ
diff --git a/doc/fr/Docs/Schematic-lemon-cell.png b/doc/fr/Docs/Schematic-lemon-cell.png
new file mode 100644
index 0000000..c893470
Binary files /dev/null and b/doc/fr/Docs/Schematic-lemon-cell.png differ
diff --git a/doc/fr/Docs/Schematic-light-bar.png b/doc/fr/Docs/Schematic-light-bar.png
new file mode 100644
index 0000000..2f4bdcf
Binary files /dev/null and b/doc/fr/Docs/Schematic-light-bar.png differ
diff --git a/doc/fr/Docs/Schematic-ntc.png b/doc/fr/Docs/Schematic-ntc.png
new file mode 100644
index 0000000..3b83f93
Binary files /dev/null and b/doc/fr/Docs/Schematic-ntc.png differ
diff --git a/doc/fr/Docs/Schematic-opto-tran.png b/doc/fr/Docs/Schematic-opto-tran.png
new file mode 100644
index 0000000..e202cb2
Binary files /dev/null and b/doc/fr/Docs/Schematic-opto-tran.png differ
diff --git a/doc/fr/Docs/Schematic-pendulum-photo.png b/doc/fr/Docs/Schematic-pendulum-photo.png
new file mode 100644
index 0000000..4c9314b
Binary files /dev/null and b/doc/fr/Docs/Schematic-pendulum-photo.png differ
diff --git a/doc/fr/Docs/Schematic-phtran-ce.png b/doc/fr/Docs/Schematic-phtran-ce.png
new file mode 100644
index 0000000..6dd0197
Binary files /dev/null and b/doc/fr/Docs/Schematic-phtran-ce.png differ
diff --git a/doc/fr/Docs/Schematic-pickup.png b/doc/fr/Docs/Schematic-pickup.png
new file mode 100644
index 0000000..2a19983
Binary files /dev/null and b/doc/fr/Docs/Schematic-pickup.png differ
diff --git a/doc/fr/Docs/Schematic-piezo-3600hz.png b/doc/fr/Docs/Schematic-piezo-3600hz.png
new file mode 100644
index 0000000..0add90c
Binary files /dev/null and b/doc/fr/Docs/Schematic-piezo-3600hz.png differ
diff --git a/doc/fr/Docs/Schematic-piezo-fft-100hz.png b/doc/fr/Docs/Schematic-piezo-fft-100hz.png
new file mode 100644
index 0000000..e0d8fed
Binary files /dev/null and b/doc/fr/Docs/Schematic-piezo-fft-100hz.png differ
diff --git a/doc/fr/Docs/Schematic-pt100.png b/doc/fr/Docs/Schematic-pt100.png
new file mode 100644
index 0000000..6a41a4f
Binary files /dev/null and b/doc/fr/Docs/Schematic-pt100.png differ
diff --git a/doc/fr/Docs/Schematic-rc-diff.png b/doc/fr/Docs/Schematic-rc-diff.png
new file mode 100644
index 0000000..13a624b
Binary files /dev/null and b/doc/fr/Docs/Schematic-rc-diff.png differ
diff --git a/doc/fr/Docs/Schematic-rc-integ.png b/doc/fr/Docs/Schematic-rc-integ.png
new file mode 100644
index 0000000..ffc1430
Binary files /dev/null and b/doc/fr/Docs/Schematic-rc-integ.png differ
diff --git a/doc/fr/Docs/Schematic-rc-steadystate.png b/doc/fr/Docs/Schematic-rc-steadystate.png
new file mode 100644
index 0000000..8a9e991
Binary files /dev/null and b/doc/fr/Docs/Schematic-rc-steadystate.png differ
diff --git a/doc/fr/Docs/Schematic-rc-tran.png b/doc/fr/Docs/Schematic-rc-tran.png
new file mode 100644
index 0000000..d22e874
Binary files /dev/null and b/doc/fr/Docs/Schematic-rc-tran.png differ
diff --git a/doc/fr/Docs/Schematic-res-measure.png b/doc/fr/Docs/Schematic-res-measure.png
new file mode 100644
index 0000000..2fc3bbd
Binary files /dev/null and b/doc/fr/Docs/Schematic-res-measure.png differ
diff --git a/doc/fr/Docs/Schematic-res-par.png b/doc/fr/Docs/Schematic-res-par.png
new file mode 100644
index 0000000..e88cde5
Binary files /dev/null and b/doc/fr/Docs/Schematic-res-par.png differ
diff --git a/doc/fr/Docs/Schematic-res-series.png b/doc/fr/Docs/Schematic-res-series.png
new file mode 100644
index 0000000..2199996
Binary files /dev/null and b/doc/fr/Docs/Schematic-res-series.png differ
diff --git a/doc/fr/Docs/Schematic-rl-steadystate-ferrite.png b/doc/fr/Docs/Schematic-rl-steadystate-ferrite.png
new file mode 100644
index 0000000..5a51bde
Binary files /dev/null and b/doc/fr/Docs/Schematic-rl-steadystate-ferrite.png differ
diff --git a/doc/fr/Docs/Schematic-rl-steadystate.png b/doc/fr/Docs/Schematic-rl-steadystate.png
new file mode 100644
index 0000000..02ab453
Binary files /dev/null and b/doc/fr/Docs/Schematic-rl-steadystate.png differ
diff --git a/doc/fr/Docs/Schematic-rl-tran.png b/doc/fr/Docs/Schematic-rl-tran.png
new file mode 100644
index 0000000..ad8c0cb
Binary files /dev/null and b/doc/fr/Docs/Schematic-rl-tran.png differ
diff --git a/doc/fr/Docs/Schematic-rlc-tran.png b/doc/fr/Docs/Schematic-rlc-tran.png
new file mode 100644
index 0000000..ad8c0cb
Binary files /dev/null and b/doc/fr/Docs/Schematic-rlc-tran.png differ
diff --git a/doc/fr/Docs/Schematic-rodpend-photo.png b/doc/fr/Docs/Schematic-rodpend-photo.png
new file mode 100644
index 0000000..d2e4068
Binary files /dev/null and b/doc/fr/Docs/Schematic-rodpend-photo.png differ
diff --git a/doc/fr/Docs/Schematic-sine-180deg.png b/doc/fr/Docs/Schematic-sine-180deg.png
new file mode 100644
index 0000000..e89f176
Binary files /dev/null and b/doc/fr/Docs/Schematic-sine-180deg.png differ
diff --git a/doc/fr/Docs/Schematic-sine-a0.png b/doc/fr/Docs/Schematic-sine-a0.png
new file mode 100644
index 0000000..a06f678
Binary files /dev/null and b/doc/fr/Docs/Schematic-sine-a0.png differ
diff --git a/doc/fr/Docs/Schematic-sinewave.png b/doc/fr/Docs/Schematic-sinewave.png
new file mode 100644
index 0000000..a67d921
Binary files /dev/null and b/doc/fr/Docs/Schematic-sinewave.png differ
diff --git a/doc/fr/Docs/Schematic-sound-beats.png b/doc/fr/Docs/Schematic-sound-beats.png
new file mode 100644
index 0000000..820810c
Binary files /dev/null and b/doc/fr/Docs/Schematic-sound-beats.png differ
diff --git a/doc/fr/Docs/Schematic-sound-freq.png b/doc/fr/Docs/Schematic-sound-freq.png
new file mode 100644
index 0000000..f7732b0
Binary files /dev/null and b/doc/fr/Docs/Schematic-sound-freq.png differ
diff --git a/doc/fr/Docs/Schematic-sound-inphase.png b/doc/fr/Docs/Schematic-sound-inphase.png
new file mode 100644
index 0000000..613a6a2
Binary files /dev/null and b/doc/fr/Docs/Schematic-sound-inphase.png differ
diff --git a/doc/fr/Docs/Schematic-sound-vel.png b/doc/fr/Docs/Schematic-sound-vel.png
new file mode 100644
index 0000000..5752137
Binary files /dev/null and b/doc/fr/Docs/Schematic-sound-vel.png differ
diff --git a/doc/fr/Docs/Schematic-sqr-a0.png b/doc/fr/Docs/Schematic-sqr-a0.png
new file mode 100644
index 0000000..bab7208
Binary files /dev/null and b/doc/fr/Docs/Schematic-sqr-a0.png differ
diff --git a/doc/fr/Docs/Schematic-sqr1000Hz.png b/doc/fr/Docs/Schematic-sqr1000Hz.png
new file mode 100644
index 0000000..68cb669
Binary files /dev/null and b/doc/fr/Docs/Schematic-sqr1000Hz.png differ
diff --git a/doc/fr/Docs/Schematic-strobo.png b/doc/fr/Docs/Schematic-strobo.png
new file mode 100644
index 0000000..b7ba8c1
Binary files /dev/null and b/doc/fr/Docs/Schematic-strobo.png differ
diff --git a/doc/fr/Docs/Schematic-temp-control.png b/doc/fr/Docs/Schematic-temp-control.png
new file mode 100644
index 0000000..f6aab79
Binary files /dev/null and b/doc/fr/Docs/Schematic-temp-control.png differ
diff --git a/doc/fr/Docs/Schematic-tran-amp.png b/doc/fr/Docs/Schematic-tran-amp.png
new file mode 100644
index 0000000..de5897e
Binary files /dev/null and b/doc/fr/Docs/Schematic-tran-amp.png differ
diff --git a/doc/fr/Docs/Schematic-tran-ce.png b/doc/fr/Docs/Schematic-tran-ce.png
new file mode 100644
index 0000000..6b21731
Binary files /dev/null and b/doc/fr/Docs/Schematic-tran-ce.png differ
diff --git a/doc/fr/Docs/Schematic-transformer.png b/doc/fr/Docs/Schematic-transformer.png
new file mode 100644
index 0000000..226abe2
Binary files /dev/null and b/doc/fr/Docs/Schematic-transformer.png differ
diff --git a/doc/fr/Docs/Schematic-ultra-sound.png b/doc/fr/Docs/Schematic-ultra-sound.png
new file mode 100644
index 0000000..6d2e0b2
Binary files /dev/null and b/doc/fr/Docs/Schematic-ultra-sound.png differ
diff --git a/doc/fr/Docs/Schematic-water.png b/doc/fr/Docs/Schematic-water.png
new file mode 100644
index 0000000..e09f2f5
Binary files /dev/null and b/doc/fr/Docs/Schematic-water.png differ
diff --git a/doc/fr/Docs/explorer.png b/doc/fr/Docs/explorer.png
new file mode 100644
index 0000000..9c48423
Binary files /dev/null and b/doc/fr/Docs/explorer.png differ
diff --git a/doc/fr/Docs/eyes.jpg b/doc/fr/Docs/eyes.jpg
new file mode 100644
index 0000000..2c4e5c0
Binary files /dev/null and b/doc/fr/Docs/eyes.jpg differ
diff --git a/doc/fr/Docs/eyes.lyx b/doc/fr/Docs/eyes.lyx
new file mode 100644
index 0000000..2c9e29f
--- /dev/null
+++ b/doc/fr/Docs/eyes.lyx
@@ -0,0 +1,15771 @@
+#LyX 1.6.5 created this file. For more info see http://www.lyx.org/
+\lyxformat 345
+\begin_document
+\begin_header
+\textclass book
+\begin_preamble
+\usepackage{wrapfig}
+\usepackage{lmodern}
+\setlength{\intextsep}{0cm plus1cm minus1cm}
+\newcommand{\menuitem}[1]{\textbf{\emph{#1}}}
+\newcommand{\buttonlabel}[1]{\textbf{\texttt{#1}}}
+\end_preamble
+\use_default_options false
+\language french
+\inputencoding auto
+\font_roman default
+\font_sans default
+\font_typewriter default
+\font_default_family default
+\font_sc false
+\font_osf false
+\font_sf_scale 100
+\font_tt_scale 100
+
+\graphics default
+\paperfontsize default
+\spacing single
+\use_hyperref false
+\papersize custom
+\use_geometry true
+\use_amsmath 1
+\use_esint 0
+\cite_engine basic
+\use_bibtopic false
+\paperorientation portrait
+\paperwidth 21cm
+\paperheight 29cm
+\leftmargin 4.75cm
+\topmargin 6cm
+\rightmargin 4.75cm
+\bottommargin 6cm
+\secnumdepth 2
+\tocdepth 3
+\paragraph_separation indent
+\defskip medskip
+\quotes_language french
+\papercolumns 1
+\papersides 2
+\paperpagestyle plain
+\tracking_changes false
+\output_changes false
+\author ""
+\author ""
+\end_header
+
+\begin_body
+
+\begin_layout Standard
+\align left
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+thispagestyle{empty}
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+\begin_inset VSpace 0.5in
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+\align center
+
+\size giant
+expEYES
+\end_layout
+
+\begin_layout Standard
+\align center
+\begin_inset Graphics
+	filename pics/eyes.jpg
+	lyxscale 40
+	width 8cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+\align center
+
+\size largest
+Experiments for
+\begin_inset Newline newline
+\end_inset
+
+ Young Engineers and Scientists
+\end_layout
+
+\begin_layout Standard
+\align center
+Des expériences pour les jeunes ingénieurs et scientifiques
+\end_layout
+
+\begin_layout Standard
+\align center
+site web : http://expeyes.in
+\end_layout
+
+\begin_layout Standard
+\begin_inset VSpace 0.5in
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+\align center
+
+\size large
+Manuel de l'utilisateur
+\end_layout
+
+\begin_layout Standard
+\align center
+avec 50 Expériences Scientifiques
+\end_layout
+
+\begin_layout Standard
+\begin_inset VSpace 0.25in
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+\align center
+Projet PHOENIX
+\begin_inset Newline newline
+\end_inset
+
+Inter-University Accelerator Centre
+\begin_inset Newline newline
+\end_inset
+
+(A Research Centre of UGC)
+\begin_inset Newline newline
+\end_inset
+
+New Delhi 110 067
+\begin_inset Newline newline
+\end_inset
+
+http://www.iuac.res.in
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+Préface
+\end_layout
+
+\begin_layout Standard
+Le projet PHOENIX (Physics with Home-made Equipment & Innovative Experiments)
+ a commencé en 2004 au Inter-University Accelerator Centre avec l'objectif
+ d'améliorer l'enseignement des sciences dans les Universités Indiennes.
+ Le développement d'équipements de laboratoire à bas prix et la formation
+ des professeurs sont les deux activités majeures de ce projet.
+ Le premier produit était une interface généraliste, s'appelait aussi Phoenix,
+ et s'articulait avec ces instruments tels qu'un compteur Geiger-Muller,
+ un spectromètre alpha, etc.
+ La puissance des ordinateurs personnels a été utilisée pour réaliser des
+ expériences et de l'analyse de données.
+ La conception du matériel est ouverte et le logiciel est publié sous la
+ Licence Publique Générale GNU GPL.
+ Les retours et le soutien de la communauté d'utilisateurs ont été cruciaux
+ pour ce projet.
+\end_layout
+
+\begin_layout Standard
+Le nouveau produit, expEYES (Experiments for Young Engineers & Scientists),
+ est conçu pour être un outil pour étudier par l'expérimentation, valide
+ pour les classes de collège et au-delà.
+ On a essayé de maintenir un équilibre entre les expériences ouvertes principale
+ment réservées à l'exploration et les expériences conventionnelles avec
+ un objectif spécifique.
+ Nous avons essayé d'optimiser la conception pour rester simple, souple,
+ robuste et surtout bon marché.
+ Il n'y a pas besoin d'une alimentation séparée, puisqu'il fonctionne à
+ l'aide de l'alimentation 5V de la prise USB, indépendamment des pannes
+ de courant communes à certains endroits.
+ Le prix très abordable le rend accessible à des individus et nous espérons
+ voir des étudiants faire des expériences hors des quatre murs du laboratoire,
+ qui ferme quand sonne la cloche.
+\end_layout
+
+\begin_layout Standard
+Vous pourrez trouver plus de détails et des versions mises à jour de ce
+ document sur le site web
+\shape italic
+http://expeyes.in
+\end_layout
+
+\begin_layout Standard
+\begin_inset space ~
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+Ajith Kumar
+\end_layout
+
+\begin_layout Standard
+V V V Satyanarayana
+\end_layout
+
+\begin_layout Standard
+Jimson Sacharias
+\end_layout
+
+\begin_layout Standard
+Deepak Munda
+\end_layout
+
+\begin_layout Standard
+S.
+ Venkataramanan
+\end_layout
+
+\begin_layout Standard
+(traduction française
+\begin_inset space ~
+\end_inset
+
+: Georges Khaznadar)
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+\begin_inset CommandInset toc
+LatexCommand tableofcontents
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Chapter
+Un bon départ
+\end_layout
+
+\begin_layout Section
+Introduction
+\end_layout
+
+\begin_layout Standard
+On mesure plus souvent la performance d'un étudiant par sa capacité à mémoriser
+ que par sa compréhension réelle.
+ Le résultat est que la plupart échouent à appliquer ce qu'ils apprennent
+ en classe aux choses qu'ils rencontrent dans la vie quotidienne.
+ On peut corriger ça dans une certaine mesure par un enseignement basé sur
+ l'exploration et l'expérience.
+ En général, les expériences impliquent de contrôler quelques paramètres
+ physiques tels que la température, la pression, la vitesse, l'accélération,
+ la force, la tension, le courant, etc.
+ Si la grandeur physique mesurée change rapidement, les mesures demandent
+ à être automatisées et un ordinateur devient un outil utile.
+ Par exemple, comprendre les variations du courant alternatif du secteur
+ avec le temps nécessite de le mesurer toutes les millisecondes.
+\end_layout
+
+\begin_layout Standard
+La possibilité de réaliser des expériences avec une précision raisonnable
+ ouvre un champ entièrement nouveau dans l'enseignement de la science.
+ Les étudiants peuvent comparer les données expérimentales avec les modèles
+ mathématiques et examiner les lois fondamentales gouvernant des phénomènes
+ variés.
+ Les chercheurs formulent des hypothèses, conçoivent et réalisent des expérience
+s, analysent les données pour vérifier si elles ont en accord avec la théorie.
+ Les objectifs du projet PHOENIX (Physics with Home-made Equipment and Innovativ
+e Experiments) est de fournir les mêmes facilités aux étudiants à une échelle
+ plus petite.
+ On a déjà développé plusieurs équipements et expériences à ce jour.
+ Ce document décrit quelques expériences qu'on peut faire avec l'interface
+ nommée
+\shape italic
+expEYES
+\shape default
+.
+\end_layout
+
+\begin_layout Section
+Le matériel
+\end_layout
+
+\begin_layout Standard
+Une photographie du matériel est présentée dans le figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:ExpEYES top panel"
+
+\end_inset
+
+.
+ On peut le connecter au port USB d'un ordinateur.
+ Il a 32 bornes d'entrée/Sortie, où on peut connecter des signaux du monde
+ extérieur.
+ Gardez en mémoire qu'il ne peut traiter que des signaux électriques, on
+ peut suivre et contrôler les niveaux de tension à plusieurs bornes.
+ Pour mesurer d'autre paramètres (tels que la température, la pression,
+ etc.), il faut les convertir en signaux électriques en utilisant des éléments
+ capteurs appropriés.
+ Même si notre objectif premier est de faire des expériences, vous êtes
+ encouragé à lire la brève description du matériel donnée ci-dessous.
+\end_layout
+
+\begin_layout Standard
+
+\shape italic
+IMPORTANT : Les tensions externes connectées à expEYES doivent être dans
+ l'intervalle
+\begin_inset Formula $\pm5V$
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/top-panel.png
+	lyxscale 50
+	width 10cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Le tableau de bord d'ExpEYES avec les connexions externes des deux côtés.
+ Les flèches indiquent les sens des signaux.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:ExpEYES top panel"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection
+Connexions externes
+\end_layout
+
+\begin_layout Standard
+On peut groupe les connexions externes selon leurs fonctions.
+\end_layout
+
+\begin_layout Subsection*
+Entrées numériques (ID0 et ID1)
+\end_layout
+
+\begin_layout Standard
+Le logiciel peut lire le niveau de tension appliqué à ces bornes.
+ Toute tension inférieure à 0,8
+\begin_inset space ~
+\end_inset
+
+V est traitée comm 0 (BAS) et tout ce qui dépasse 2
+\begin_inset space ~
+\end_inset
+
+V est traité comme 1 (HAUT).
+ Si la tension change entres HAUT et BAS, ces bornes peuvent mesurer la
+ fréquence et le rapport cyclique des signaux connectés.
+ ExpEYES peut mesurer l'intervalle de temps entre les transitions de tension
+ sur ces bornes avec une résolution de l'ordre de la microseconde.
+\end_layout
+
+\begin_layout Subsection*
+Sorties numériques (OD0 et OD1)
+\end_layout
+
+\begin_layout Standard
+à l'aide du logiciel, on peut commander la tension de ces bornes à 0 ou
+ 5
+\begin_inset space ~
+\end_inset
+
+V.
+ OD0 est amplifiée par un transistor et peut contrôler un courant jusqu'à
+ 100
+\begin_inset space ~
+\end_inset
+
+mA.
+ OD1 ne peut contrôler que jusqu'à 5
+\begin_inset space ~
+\end_inset
+
+mA.
+\end_layout
+
+\begin_layout Subsection*
+Générateurs de signaux
+\end_layout
+
+\begin_layout Subsubsection*
+SINE
+\end_layout
+
+\begin_layout Standard
+Générateur de signal sinusoïdel de fréquence fixe, la fréquence vaut environ
+ 90
+\begin_inset space ~
+\end_inset
+
+Hz.
+ Sortie bipolaire avec une amplitude proche de 4
+\begin_inset space ~
+\end_inset
+
+V.
+\end_layout
+
+\begin_layout Subsubsection*
+SQR1
+\end_layout
+
+\begin_layout Standard
+Peut générer un signal carré, oscillante entre 0 et 5
+\begin_inset space ~
+\end_inset
+
+V La fréquence est programmable de 15
+\begin_inset space ~
+\end_inset
+
+Hz à 1
+\begin_inset space ~
+\end_inset
+
+MHz.
+ Les valeurs de fréquences intermédiaires ne sont pas toutes possibles.
+\end_layout
+
+\begin_layout Subsubsection*
+SQR2
+\end_layout
+
+\begin_layout Standard
+Peut générer un signal carré, oscillante entre 0 et 5
+\begin_inset space ~
+\end_inset
+
+V La fréquence peut être réglée à toute valeur entre 0,7
+\begin_inset space ~
+\end_inset
+
+Hz et 90
+\begin_inset space ~
+\end_inset
+
+kHz.
+ L'oscillateur nécessite une résistance variable de 22
+\begin_inset space ~
+\end_inset
+
+kΩ pout fonctionner.
+ L'intervalle de fréquence est contrôlé par logiciel et le réglage fin de
+ fréquence est fait en ajustant la résistance variable.
+ Les intervalles de fréquence sont < 25
+\begin_inset space ~
+\end_inset
+
+Hz, 25 à 1
+\begin_inset space ~
+\end_inset
+
+kHz, 1
+\begin_inset space ~
+\end_inset
+
+kHz à 10
+\begin_inset space ~
+\end_inset
+
+kHz et 10
+\begin_inset space ~
+\end_inset
+
+kHz à 90k
+\begin_inset space ~
+\end_inset
+
+Hz.
+ Quand on écrit une fréquance dans un intervalle particulier, ça choisit
+ cet intervalle.
+ On ajuste alors la résistance variable pour obtenir la féquences désirée.
+ La valeur réelle de la fréquence est mesurée et affichée durant l'ajustement.
+\end_layout
+
+\begin_layout Subsubsection*
+PULSE
+\end_layout
+
+\begin_layout Standard
+La fréquence de sortie est 488
+\begin_inset space ~
+\end_inset
+
+Hz.
+ Le rapport cyclique peut être programmé de 0 à 100
+\begin_inset space ~
+\end_inset
+
+% en 255 étapes.
+ Cette borne peut être configurée pour générer un signal carré, comme SQR1.
+ Cette propriété est utilisée par le programme qui démontre les interférences
+ sonores.
+\end_layout
+
+\begin_layout Subsection*
+Entrées de tension analogiques
+\end_layout
+
+\begin_layout Subsubsection*
+A0 et A1
+\end_layout
+
+\begin_layout Standard
+peuvent mesurer la tension dans un intervalle
+\begin_inset Formula $\pm5\, V$
+\end_inset
+
+.
+ La résolution de la convetion analogique-numérique est 12
+\begin_inset space ~
+\end_inset
+
+bits.
+ La tension à ces bornes peut être affichée en fonction du temps, ce qui
+ donne la propriété d'un oscilloscope basse fréquence à deux canaux.
+\end_layout
+
+\begin_layout Subsubsection*
+A2
+\end_layout
+
+\begin_layout Standard
+Pour la mesure de tensions.
+ L'entrée doit être dans un intervalle de 0 à 5
+\begin_inset space ~
+\end_inset
+
+V.
+ La résolution est de 12
+\begin_inset space ~
+\end_inset
+
+bits.
+ La tension peut être représentée en fonction du temps à l'aide du logiciel.
+\end_layout
+
+\begin_layout Subsection*
+Sorties de tension analogique
+\end_layout
+
+\begin_layout Subsubsection*
+BPV
+\end_layout
+
+\begin_layout Standard
+Sortie de tension bipolaire.
+ Peut être programmée à toute valeur entre -5
+\begin_inset space ~
+\end_inset
+
+V et +5
+\begin_inset space ~
+\end_inset
+
+V.
+ La résolution est de 12
+\begin_inset space ~
+\end_inset
+
+bits, ce qui implique un échelon de tension minimal de 2,5
+\begin_inset space ~
+\end_inset
+
+mV.
+\end_layout
+
+\begin_layout Subsubsection*
+UPV
+\end_layout
+
+\begin_layout Standard
+Sortie de tension unipolaire.
+ Peut être programmée entre 0 et +5
+\begin_inset space ~
+\end_inset
+
+V.
+ Ne peut pas être utilisée en même temps que la sortie de courant constant
+ CS, dans la mesure où elles utilisent la même sortie de convertisseur numérique
+-analogique.
+\end_layout
+
+\begin_layout Subsubsection*
+IV
+\end_layout
+
+\begin_layout Standard
+Il s'agit juste de la sortie de BPV à travers une résistance de
+\begin_inset Formula $1\, k\Omega$
+\end_inset
+
+.
+ Utilisée pour faire des caractéristiques I-U.
+\end_layout
+
+\begin_layout Subsection*
+Source de courant constant (CS)
+\end_layout
+
+\begin_layout Standard
+programmable à toute valeur entre 0,05 et 2,0
+\begin_inset space ~
+\end_inset
+
+mA.
+ La résistance de charge devrait être choisie de telle façon que le produit
+\begin_inset Formula $RI$
+\end_inset
+
+ soit moins de 2
+\begin_inset space ~
+\end_inset
+
+V.
+ N'oubliez pas que CS et UPV partagent la même sortie de convertisseur numérique
+-analogique.
+\end_layout
+
+\begin_layout Subsection*
+Amplificateurs inverseurs
+\end_layout
+
+\begin_layout Standard
+Il y a trois amplificateurs inverseurs, implémentés à l'aide d'ampli-ops
+ TLO84, désignés ci-dessous à l'aide de leurs numéros des bornes d'entrée
+ et de sortie.
+\end_layout
+
+\begin_layout Subsubsection*
+15
+\begin_inset Formula $\Rightarrow$
+\end_inset
+
+13
+\end_layout
+
+\begin_layout Standard
+Entrée à la borne 15 est sortie à la borne 13.
+ Le gain par défaut est 50.
+ On peut réduire le gain en mettant une résistance en série avec l'entrée.
+ Le gain est donné par la relation
+\begin_inset Formula $G=\frac{R_{f}}{(R_{ext}+1000)}$
+\end_inset
+
+ où la résistance interne
+\begin_inset Formula $R_{f}=50\,000\,\Omega$
+\end_inset
+
+.
+ La résistance externe en série est
+\begin_inset Formula $R_{ext}$
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Subsubsection*
+14
+\begin_inset Formula $\Rightarrow$
+\end_inset
+
+12
+\end_layout
+
+\begin_layout Standard
+Entrée à la borne 14 et sortie à la 12.
+ Similaire au précédent.
+\end_layout
+
+\begin_layout Subsubsection*
+17
+\begin_inset Formula $\Rightarrow$
+\end_inset
+
+18
+\end_layout
+
+\begin_layout Standard
+Entrée en 17 et sortie en 18.
+ Le gain par défaut est 100.
+ On peut réduire le gain en mettant une résistance en série avec l'entrée.
+ Le gain est donné par la relation
+\begin_inset Formula $G=\frac{R_{f}}{(R_{ext}+100)}$
+\end_inset
+
+ où la résistance interne est
+\begin_inset Formula $R_{f}=10\,000\,\Omega$
+\end_inset
+
+ et la résistance externe en série est
+\begin_inset Formula $R_{ext}$
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Subsection*
+Amplificateur non-inverseur
+\end_layout
+
+\begin_layout Standard
+L'entrée est en 21 et la sortie en 22.
+ Le gain est régi par une résistance externe
+\begin_inset Formula $R_{g}$
+\end_inset
+
+ connectée entre 19 et 20, et donné par la relation
+\begin_inset Formula $Gain=1+10000/R_{g}$
+\end_inset
+
+.
+ Cet amplificateur est implémenté à l'aide d'un circuit intégré OP27 et
+ a une tension de décalage d'environ
+\begin_inset Formula $30\,\mu V$
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Standard
+This amplifier is implemented using OP27 IC and has an offset voltage of
+ around 30 micro-volts.
+\end_layout
+
+\begin_layout Subsection*
+Entrée de capteur (SEN)
+\end_layout
+
+\begin_layout Standard
+Pour y connecter tout capteur dont la résistance varie avec le paramètre
+ mesuré.
+ Quand on l'utilise avec le phototransistor, on branche le collecteur ici,
+ et l'émetteur à la masse [Ground].
+ Capable de mesurer la tension et la fréquence.
+\end_layout
+
+\begin_layout Subsection*
+Fréquencemètres
+\end_layout
+
+\begin_layout Standard
+La borne 15 peut servir à mesurer la fréquence d'un signal bipolaire (qui
+ varie entre des valeurs négatives et positives).
+ L'amplitude minimale mesurable est 100
+\begin_inset space ~
+\end_inset
+
+mV et la maximale est 5
+\begin_inset space ~
+\end_inset
+
+V.
+\end_layout
+
+\begin_layout Standard
+ID0, ID1 et SEN peuvent être utilisées pour mesurer la fréquence de signaux
+ qui oscillent entre 0 et 5
+\begin_inset space ~
+\end_inset
+
+V.
+\end_layout
+
+\begin_layout Subsection*
+Masses [Ground]
+\end_layout
+
+\begin_layout Standard
+Les bornes marquées GND et décorées d'un symbole de masse électrique représenten
+t le niveau de tension 0
+\begin_inset space ~
+\end_inset
+
+V.
+ Elles sont connectées entre elles et à la masse de l'ordinateur à travers
+ le câble USB.
+\end_layout
+
+\begin_layout Subsection*
+Comment connecter les fils
+\end_layout
+
+\begin_layout Standard
+On connecte les fils aux bornes à l'aide d'un tournevis.
+ Desserrer la vis (la monter presque jusqu'en haut du connecteur), entrer
+ le fil sur le côté et le serrer.
+ On ne doit pas insérer les fils quand la vis est dans la position serrée.
+ N'utiliser que le petit tournevis qui vient avec le kit.
+ Quand on doit changer le connexion à une borne plusieurs fois durant une
+ expérience, il sera commode de fixer une pince crocodile à cette borne.
+\end_layout
+
+\begin_layout Section
+Installation du logiciel
+\end_layout
+
+\begin_layout Standard
+ExpEYES ne peut fonctionner que sur des ordinateurs ayant un interpréteur
+ Python et un module Python pour accéder au port série USB.
+ L'interface USB est gérée par des pilotes qui représentent le port USB
+ comme un port série RS232 aux programmes de l'application.
+ La communication avec expEYES est faite à l'aide d'une bibliothèque écrite
+ en langage Python.
+ Des programmes avec une interface utilisateur graphique ont été écrits
+ pour plusieurs expériences.
+ Il y de nombreuses façons de faire fonctionner le logiciel
+\begin_inset space ~
+\end_inset
+
+:
+\end_layout
+
+\begin_layout Subsubsection*
+Le CD vif expEYES
+\end_layout
+
+\begin_layout Standard
+la façon la plus simple pour commencer est de démarrer votre PC à l'aide
+ du CD vif Phœnix.
+ Dans le BIOS du PC, faites en sorte que le lecteur de CD soit le premier
+ au démarrage, insérez le CD et redémarrez l'ordinateur.
+ Un bureau apparaîtra et on peut démarrer expEYES depuis le menu
+\series bold
+Applications
+\begin_inset space ~
+\end_inset
+
+->
+\begin_inset space ~
+\end_inset
+
+Science
+\series default
+.
+ Le CD vif expEYES est basé sur la distribution GNU/Linux Ubuntu 10.10.
+\end_layout
+
+\begin_layout Subsubsection*
+Installation dans une distribution GNU/Linux Debian ou Ubuntu
+\end_layout
+
+\begin_layout Standard
+Installer python-imaging-tk depuis le dépôt de la distribution qu'on a.
+ Télécharger
+\series bold
+expeyes.deb
+\series default
+ depuis
+\series bold
+http://expeyes.in
+\series default
+ et l'installer.
+ Installer aussi python-scipy et grace (un grapheur 2D) pour une pleine
+ fonctionnalité.
+\end_layout
+
+\begin_layout Subsubsection*
+Pour les autres distributions GNU/Linux
+\end_layout
+
+\begin_layout Standard
+Télécharger
+\series bold
+expeyes.tgz
+\series default
+ depuis
+\series bold
+http://expeyes.in
+\series default
+ et suivre les instructions du fichier README.
+ Il est important d'accoder des permissions de lecture/écriture à tous les
+ utilisateurs sur le port USB où expEYES est connecté.
+\end_layout
+
+\begin_layout Subsubsection*
+Sous MSWindows
+\end_layout
+
+\begin_layout Standard
+Bien qu'expEYES soit un Logiciel Libre développé à l'aide de logiciels libres,
+ il fonctionne sur des plateformes non-libres aussi.
+ Pour l'installer sous MS Windows, il vous faut les fichiers suivants (donnés
+ sur le CD)
+\begin_inset space ~
+\end_inset
+
+:
+\end_layout
+
+\begin_layout Itemize
+CDM20814_Setup.exe
+\end_layout
+
+\begin_layout Itemize
+python-2.6.6.msi
+\end_layout
+
+\begin_layout Itemize
+pyserial-2.5.win32.exe
+\end_layout
+
+\begin_layout Itemize
+PIL-1.1.7.win32-py2.6.exe
+\end_layout
+
+\begin_layout Itemize
+numpy-1.6.0b2-win32-superpack-python2.6.exe
+\end_layout
+
+\begin_layout Itemize
+scipy-0.9.0-win32-superpack-python2.6.exe
+\end_layout
+
+\begin_layout Itemize
+expeyes.zip
+\end_layout
+
+\begin_layout Standard
+Dézipper le fichier
+\series bold
+expeyes.zip
+\series default
+, cliquer double sur
+\series bold
+explore.py
+\series default
+ dans le répertoire nommé EYES nouvellement créé.
+\end_layout
+
+\begin_layout Standard
+Si vous avez le CD vif nommé expEYES, examinez le contenu du dossier nommé
+ WINEYES.
+ Tous les fichiers mentionnés ci-dessus sont dans ce dossier.
+ Cliquez double sur eux dans l'odre mentionné ci-dessus pour les installer.
+ L'utilitaire grapheur XmGrace n'est pas disponible sous Windows.
+ La sortie de la tranformation de Fourier sera enregistrée sur le disque
+ au format texte.
+\end_layout
+
+\begin_layout Section
+Le programme graphique principal
+\end_layout
+
+\begin_layout Standard
+Lancez Applications->Science->expEYES depuis le menu.
+ Ça lance une fenêtre graphique comme dans la figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Explorer screenshot"
+
+\end_inset
+
+, voyez l'explication ci-dessous.
+\end_layout
+
+\begin_layout Itemize
+Un clic sur les boîtes où s'affichent les numéros de bornes permet d'accéder
+ à de l'aide en ligne.
+\end_layout
+
+\begin_layout Itemize
+Le statut des entrées numériques décide de la couleur de la zone juste à
+ côté d'elles.
+ Un vert pâle signifie HAUT et un gris signifie BAS.
+ Quand on applique une tension oscillant entre 0 et 5V, ce champ va clignoter.
+\end_layout
+
+\begin_layout Itemize
+La zone d'affichage près de la borne 15 peut clignoter si un signal alternatif
+ est connecté là.
+\end_layout
+
+\begin_layout Itemize
+La zone d'affichage de la fréquence de SEN peut clignoter si la tension
+ d'entrée varie entre 0 et 5
+\begin_inset space ~
+\end_inset
+
+V.
+\end_layout
+
+\begin_layout Itemize
+Les boutons marqués
+\begin_inset Quotes fld
+\end_inset
+
+F
+\begin_inset Quotes frd
+\end_inset
+
+ peuvent être utilisés pour mesurer la fréquence, quand les champs colorés
+ sont en train de clignoter.
+\end_layout
+
+\begin_layout Itemize
+On peut fixer la valeur des signaux de sortie en les entrant dans la boîte
+ de texte voisine.
+ On peut fixer la tension, le courant, la fréquence et le rapport cyclique
+ de cette façon.
+ Tapez <Entrée> pour rendre la valeur effective.
+ En cas de succès, un point décimal sera affiché.
+\end_layout
+
+\begin_layout Itemize
+SQR2 nécessite la résistance externe de 22k
+\begin_inset Formula $\Omega$
+\end_inset
+
+ pour fonctionner.
+ La fréquence réelle est affichée juste sous le champ texte, là où on fixe
+ la fréquence.
+\end_layout
+
+\begin_layout Itemize
+Les états des sorties numériques, OD0 et OD1, peuvent être changés en utilisant
+ les boutons à cocher.
+\end_layout
+
+\begin_layout Itemize
+Les tensions aux bornes d'entrées 23, 24, 25 et 26 sont affichées constamment
+ à côté d'elles.
+\end_layout
+
+\begin_layout Subsubsection*
+La fenêtre de graphique
+\end_layout
+
+\begin_layout Standard
+La fenêtre de graphique à droite fonctionne comme un oscilloscope à basse
+ fréquence.
+ La cadence d'échantillonnage maximale est de 100 kHz seulement.
+ On peut numériser des signaux sinusoïdaux en utilisant un seul canal jusqu'à
+ 20
+\begin_inset space ~
+\end_inset
+
+kHz, et jusqu'à 10
+\begin_inset space ~
+\end_inset
+
+kHz quand les deux canaux sont utilisés.
+ Les contrôles suivants sont disponibles
+\begin_inset space ~
+\end_inset
+
+:
+\end_layout
+
+\begin_layout Itemize
+Curseur d'échelle horizontale (ms/carreau).
+ Le mettre à la valeur minimale puis augmenter pour voir plus de périodes
+ à l'écran.
+\end_layout
+
+\begin_layout Itemize
+Cases à cocher pour sélectionner A0 et A1.
+\end_layout
+
+\begin_layout Itemize
+Case à cocher LIZ pour faire une figure de lissajous à l'aide des entrées
+ A0 et A1.
+\end_layout
+
+\begin_layout Itemize
+Case à cocher FIT pour activer le calcul de l'amplitude, de la fréquence
+ et de la phase en modélisant [to fit] les données à l'aide de l'équation
+\begin_inset Formula $V=V_{0}\sin\left(\omega t+\theta\right)+C$
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Itemize
+Bouton SAVE pour enregistrer les données dans le fichier
+\shape italic
+explore.dat
+\shape default
+ comme deux colonnes de texte.
+\end_layout
+
+\begin_layout Itemize
+FT pour calculer un spectre de puissance par transformation de Fourier [Fourier
+ Transform] des données des canaux activés.
+ Si XmGrace et pygrace sont installés, une fenêtre s'ouvre.
+ Le spectre de puissance est enregistré dans le fichier
+\shape italic
+exploreFFT.dat
+\shape default
+ en format texte.
+\end_layout
+
+\begin_layout Section
+Mesures élémentaires à l'aide d'expEYES
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/explorer.png
+	lyxscale 50
+	width 11cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Copie d'écran du programme Explore.
+ Les flèches indiquent la direction des signaux.
+ Les champs textes servent à fixer des valeurs.
+ Des boutons sont fournis pour les mesures de fréquences.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Explorer screenshot"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+Avant de commencer les expériences, faisons quelques exercices simples pour
+ nous familiariser avec ExpEYES.
+ Démarrez votre ordinateur avec le cédérom vif, connectez ExpEYES au port
+ USB et démarrez le programme ExpEYES depuis le menu 'Applications->Science'.
+\end_layout
+
+\begin_layout Subsection
+Générer & mesurer des tensions
+\end_layout
+
+\begin_layout Itemize
+Connecter BPV à A0
+\end_layout
+
+\begin_layout Itemize
+Fixer BPV à une certaine tension et observer l'affichage à A0
+\end_layout
+
+\begin_layout Itemize
+Essayer A1 au lieu de A0
+\end_layout
+
+\begin_layout Itemize
+Répéter la même chose en connectant UPV à A2
+\end_layout
+
+\begin_layout Subsection
+Observer des signaux de tension
+\end_layout
+
+\begin_layout Itemize
+Connecter SQR1 à A0
+\end_layout
+
+\begin_layout Itemize
+Fixer SQR1 à 100 Hz
+\end_layout
+
+\begin_layout Itemize
+Ajuster l'échelle horizontale (ms/Div) pour voir 4 ou 5 périodes du signal
+ carré
+\end_layout
+
+\begin_layout Itemize
+Répéter la même chose avec d'autres valeurs de fréquence
+\end_layout
+
+\begin_layout Itemize
+Connecter SINE à A1 et observer les deux traces simultanément
+\end_layout
+
+\begin_layout Itemize
+Explorez les option FIT, XM et FT.
+\end_layout
+
+\begin_layout Subsection
+Mesurer la fréquence
+\end_layout
+
+\begin_layout Itemize
+Connecter SQR1 à ID0
+\end_layout
+
+\begin_layout Itemize
+Fixer SQR1 à 1000
+\end_layout
+
+\begin_layout Itemize
+Cliquer sur le bouton
+\begin_inset Quotes fld
+\end_inset
+
+F
+\begin_inset Quotes frd
+\end_inset
+
+ de ID0
+\end_layout
+
+\begin_layout Itemize
+Connecter SINE à la borne 15 et mesurer la fréquence.
+\begin_inset Foot
+status collapsed
+
+\begin_layout Plain Layout
+La borne 15 ne peut pas mesurer la fréquence des sorties SQR1 ou SQR2, parce
+ qu'elles n'oscillent pas en-dessous de zéro.
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection
+Mesurer le rapport cyclique
+\end_layout
+
+\begin_layout Itemize
+Connecter PULSE à ID0
+\end_layout
+
+\begin_layout Itemize
+Connecter aussi à A0, si on veut observer la forme du signal.
+\end_layout
+
+\begin_layout Itemize
+Fixer PULSE à une valeur quelconque comprise entre 0 et 100
+\end_layout
+
+\begin_layout Itemize
+Cliquer sur le bouton
+\begin_inset Quotes fld
+\end_inset
+
+%
+\begin_inset Quotes frd
+\end_inset
+
+ de ID0, pour mesurer le rapport cyclique.
+\end_layout
+
+\begin_layout Subsection
+Fixer des niveaux de tension
+\end_layout
+
+\begin_layout Itemize
+Connecter OD0 à ID0
+\end_layout
+
+\begin_layout Itemize
+Cliquer sur le bouton à cocher observer la couleur d'affichage de ID0.
+\end_layout
+
+\begin_layout Section
+Expériences
+\end_layout
+
+\begin_layout Standard
+Une expérience scientifique implique en général le contrôle et la mesure
+ de divers paramètres physiques comme la température, la pression, la tension,
+ le courant, etc.
+ The matériel de base d'expEYES peut générer différentes sortes de signaux
+ électriques et mesurer des signaux électriques.
+ Pour mesure quoi que ce soit d'autre q'une tension, il faut convertir à
+ l'aide d'éléments capteurs appropriés.
+ Par exemple un capteur de température donnera une tension indiquent la
+ température.
+ Comme les expériences en électrivcité et magnétisme ne nécessitent aucun
+ capteur, nous avons plus d'expériences basées sur l'électricité et le magnétism
+e.
+\end_layout
+
+\begin_layout Standard
+Un programme avec une interface graphique st fourni pour chacune des expériences
+ de ce manuel.
+ Cependant il est possible de faire la même chose en écrivant quelques lignes
+ en langage Python.
+ Toute la communication avec expEYES est faite à l'aide d'une bibliothèque
+ Python nommée eyes.py.
+ Des bibliothèques Python sont utilisées pour l'analyse des données.
+ Si vous êtes intéressé par développer de nouvelles expériences basées sur
+ expEYES, c'est une bonne idée d'étudier le langage de programmation Python.
+ Pratiquement chaque expérience peut être étendue d'une façon ou d'une autre
+ et quelques suggestions sont faites dans ce sens.
+\end_layout
+
+\begin_layout Standard
+Les chapitres suivants décrivent des expériences sur divers suhets comme
+ l'électricité, le magnétisme, l'électronique, le son, la chameur, etc.
+ Comme le kit expEYES est destiné à l'auto-apprentissage, nous avons inclus
+ quelques expériences très triviales au début.
+\end_layout
+
+\begin_layout Chapter
+Expériences
+\end_layout
+
+\begin_layout Standard
+Nous commençons avec la tâche triviale de mesurer la tension d'une pile.
+ On introduit ensuite le courant et la résistance, puis des résistances
+ changeant avec la lumière et la température.
+ Le concept de Courant Alternatif est introduit en traçant le graphique
+ d'une d'ension en fonction du temps.
+\end_layout
+
+\begin_layout Standard
+Le compotement d'éléments de circuit comme des condensateurs et des bobinages
+ en courant alternatif et continu sont explorés, en mesurant des paramètres
+ tels que l'amplitude, la féquence et la phase.
+ La réponse transitoire d'une résistance et d'un condensateur en série sont
+ utilisés pour mesurer la capacité.
+ L'inductance est aussi mesurée de cette façon.
+ On examine l'effet de matériaux ferromagnétiques dans un bobinage.
+\end_layout
+
+\begin_layout Standard
+L'analyse de Fourier d'un signal carré est fait pour étudier les harmoniques.
+ L'intégration et la différentiation d'un signal carré à l'aide de circuits
+ RC est aussi explorée.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Mesurer la tension
+\begin_inset CommandInset label
+LatexCommand label
+name "sec:Measuring-Voltage-*"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Apprendre à mesurer la tension à l'aide d'expEYES et acquérir une notion
+ du concept de masse électrique [Electrical Ground].
+\end_layout
+
+\begin_layout Subsection*
+Matériel
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename schematics/cell-volatge.png
+	height 2cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/drycell-voltage.png
+	width 3.5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Mesure de la tension de piles sèches
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Measuring-drycells"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+piles sèches de tensions 1,5
+\begin_inset space ~
+\end_inset
+
+V
+\end_layout
+
+\begin_layout Itemize
+Support de piles avec deux fils de connexion.
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Itemize
+Connecter le Négatif de la pile sèche à la masse [Ground].
+\end_layout
+
+\begin_layout Itemize
+Borne positive de la pile en A0.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+La tension sera affichée à gauche de A0, comme montré sur la figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Measuring-drycells"
+
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+On mesure la différence de potentiel expEYES mesurent la tension par rapport
+ aux bornes de masse marquées GND.
+ Nous avons connecté la borne négative de la pile à la masse [Ground].
+ La borne positive est à +3
+\begin_inset space ~
+\end_inset
+
+V par rapport à la borne négative.
+\end_layout
+
+\begin_layout Standard
+Recommencer l'expérience en connectant la borne positive de la pile à GND
+ et la négative à A0.
+ La tension sera présentée comme négative.
+\emph on
+Est-ce que ça donnerait la tension correcte, si la masse [Ground] n'était
+ pas connectée
+\begin_inset space ~
+\end_inset
+
+?
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Tension, courant et résistance
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+En apprendre au sujet du courant, de la résistance, et de la loi d'Ohm.
+ Tracer la courbe courant-tension [I-V] d'une résistance.
+\end_layout
+
+\begin_layout Subsection*
+Théorie
+\end_layout
+
+\begin_layout Standard
+La tension aux bornes d'un conducteur est directement proportionnelle au
+ courant qui le traverse.
+ La constante de proportionnalité est nommée Résistance.
+ Ceci est connu sous le nom de Loi d'Ohm, avec l'expression mathématique
+ suivante
+\begin_inset space ~
+\end_inset
+
+:
+\begin_inset Formula \[
+U\varpropto I\,\,\,;\,\,\,\, U=RI\,\,\,\, ou\,\,\, R=\frac{U}{I}\]
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Matériel
+\end_layout
+
+\begin_layout Standard
+\align left
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename schematics/res-measure.png
+	width 3cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/resistor_iv.png
+	lyxscale 50
+	width 5.3cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Caractéristique I-V d'une résistance
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:I-V of -resistor"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Une résistance de 1
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset Formula $k\Omega$
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Itemize
+Connecter la résistance entre la source de courant CS et la masse [Ground].
+\end_layout
+
+\begin_layout Itemize
+Fixer le courant à 0,5
+\begin_inset space ~
+\end_inset
+
+mA et noter la tension en CS.
+\end_layout
+
+\begin_layout Itemize
+Changer le courant par paliers de 0,5
+\begin_inset space ~
+\end_inset
+
+mA.
+ (La tension ne devrait pas dépasser 2
+\begin_inset space ~
+\end_inset
+
+V à la borne CS)
+\end_layout
+
+\begin_layout Itemize
+Faire un clic droit sur le tableau de bord.
+ Choisir
+\shape italic
+\emph on
+
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{Resistor IV}
+\end_layout
+
+\end_inset
+
+
+\shape default
+\emph default
+ dans le menu contextuel.
+\end_layout
+
+\begin_layout Itemize
+Tracer le graphique à l'aide du bouton
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+buttonlabel{START}
+\end_layout
+
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+\begin_inset Tabular
+<lyxtabular version="3" rows="4" columns="2">
+<features>
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+I
+\begin_inset space ~
+\end_inset
+
+(mA)
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+U
+\begin_inset space ~
+\end_inset
+
+(V)
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+0,5
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+0,508
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1,0
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1,011
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1,5
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1,510
+\end_layout
+
+\end_inset
+</cell>
+</row>
+</lyxtabular>
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+La précision de la source de courant n'est que de 1%, à cause de la tolérance
+ sur la valeur de la résistance utilisée.
+ Pour les applications nécessitant une précision supérieure, on peut la
+ calibrer à l'aide d'une résistance connue.
+ La courbe I-V est présentée à la figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:I-V of -resistor"
+
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+À l'aide d'expEYES, on peut fixer le courant issu de CS (de 0,05
+\begin_inset space ~
+\end_inset
+
+mA à 2
+\begin_inset space ~
+\end_inset
+
+mA).
+ La tension en CS dépend de la résistance connectée de la source de courant
+ à la masse [Ground].
+\begin_inset Foot
+status collapsed
+
+\begin_layout Plain Layout
+La tension aux bornes de cette source de courant particulière ne devrait
+ pas dépasser 2
+\begin_inset space ~
+\end_inset
+
+V.
+ Choisir la résistance de charge et les valeurs de courant en fonction de
+ ça.
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+Le graphique est une ligne droite comme la tension est directement proportionnel
+le au courant.
+ La courbe ne sera pas une ligne droite pour les éléments non-linéaires,
+ comme une diode.
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Résistances en série
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Trouver la résistance équivalente à une combinaison en série de résistances.
+\end_layout
+
+\begin_layout Subsection*
+Théorie
+\end_layout
+
+\begin_layout Standard
+Pour les combinaisons en série de résistances, la résistance totale est
+ donnée par
+\begin_inset Formula $R=R1+R2+\cdots$
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Matériel
+\end_layout
+
+\begin_layout Itemize
+Résistances de
+\begin_inset Formula $560\,\Omega$
+\end_inset
+
+ and
+\begin_inset Formula $1\, k\Omega$
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Standard
+
+\begin_inset Graphics
+	filename schematics/res-series.png
+	height 0.8cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Connecter les deux résistances en série entre CS et la masse [Ground]
+\end_layout
+
+\begin_layout Itemize
+Fixer le courant à 1
+\begin_inset space ~
+\end_inset
+
+mA et prendre note de la tension affichée à la borne CS.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+\begin_inset Tabular
+<lyxtabular version="3" rows="4" columns="2">
+<features>
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+R
+\begin_inset space ~
+\end_inset
+
+(
+\begin_inset Formula $\Omega$
+\end_inset
+
+)
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+U
+\begin_inset space ~
+\end_inset
+
+(V)
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+560
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+0,558
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1000
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+0,998
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1000+560
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1,556
+\end_layout
+
+\end_inset
+</cell>
+</row>
+</lyxtabular>
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+Comme le courant est le même, la tension totale donne la résistance effective.
+ On peut voir que c'est la somme des valeurs individuelles, dans la limite
+ de l'erreur de mesure.
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+Les très fortes résistances (
+\begin_inset Formula $>10^{9}\Omega$
+\end_inset
+
+) sont souvent réalisées à l'aide d'associations en série.
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Résistances en parallèle
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Trouver la résistance équivalente à une association de résistances en parallèle.
+\end_layout
+
+\begin_layout Subsection*
+Théorie
+\end_layout
+
+\begin_layout Standard
+Pour les associations en parallèle, la résistances effective est donnée
+ par la relation
+\begin_inset space ~
+\end_inset
+
+:
+\begin_inset Formula \[
+\frac{1}{R}=\frac{1}{R1}+\frac{1}{R2}+\cdots\]
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Matériel
+\end_layout
+
+\begin_layout Itemize
+Deux résistances de 1
+\begin_inset Formula $k\Omega$
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Standard
+
+\begin_inset Graphics
+	filename schematics/res-par.png
+	height 1cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Connecter une résistances de 1
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset Formula $k\Omega$
+\end_inset
+
+ entre CS et la masse [Ground].
+\end_layout
+
+\begin_layout Itemize
+Fixer le courant à 1
+\begin_inset space ~
+\end_inset
+
+mA (0,001
+\begin_inset space ~
+\end_inset
+
+A) et prendre note de la tension affichée à CS.
+\end_layout
+
+\begin_layout Itemize
+Répéter la même chose avec deux résistances connectées en parallèle.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+\begin_inset Tabular
+<lyxtabular version="3" rows="3" columns="2">
+<features>
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $R_{connectedée}(\Omega)$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $U_{mesurée}(V)$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1000
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1,008
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1000
+\begin_inset Formula $\parallel$
+\end_inset
+
+1000
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+0,503
+\end_layout
+
+\end_inset
+</cell>
+</row>
+</lyxtabular>
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+Comme nous connaissons le courant, à partir des tensions mesurées, nous
+ pouvons calculer la résistance.
+ Selon la tension mesurée la résistance de l'association en parallèle est
+\begin_inset Formula $\frac{0.503\, V}{0.001\, A}=503\,\Omega$
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+
+\emph on
+Pour quelles raisons voudrait-on connecter des résistances en parallèle
+\begin_inset space ~
+\end_inset
+
+?
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Mesure de résistance par comparaison
+\begin_inset CommandInset label
+LatexCommand label
+name "sec:Measure-resistance-by"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Apprendre à appliquer la Loi d'Ohm pour trouver la valeur d'une résistance
+ inconnue en la comparant avec une résistance connue.
+\end_layout
+
+\begin_layout Subsection*
+Théorie
+\end_layout
+
+\begin_layout Standard
+La tension aux bornes d'une résistance est donnée par
+\begin_inset Formula $U=RI$
+\end_inset
+
+.
+ Si le courant qui traverse deux résistances est le même, alors le quotient
+ des tensions sera le même que le quotient des résistances.
+\begin_inset Formula \[
+I=\frac{U_{1}}{R_{1}}=\frac{U_{2}}{R_{2}}\]
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Matériel
+\end_layout
+
+\begin_layout Itemize
+Une résistance de référence de 1
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset Formula $k\Omega$
+\end_inset
+
+ et quelques autres résistances.
+ (valeurs entre 100
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset Formula $\Omega$
+\end_inset
+
+ et 10
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset Formula $k\Omega$
+\end_inset
+
+)
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Itemize
+Connecter la résistance inconnue entre UPV et A2.
+\begin_inset Foot
+status collapsed
+
+\begin_layout Plain Layout
+On utilise A2 quand la tension est comprise entre 0 et 5
+\begin_inset space ~
+\end_inset
+
+V.
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Connecter la résistance de 1
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset Formula $k\Omega$
+\end_inset
+
+ (
+\begin_inset Formula $R_{2}$
+\end_inset
+
+) entre A2 et la masse [Ground].
+\end_layout
+
+\begin_layout Itemize
+Fixer UPV à 4
+\begin_inset space ~
+\end_inset
+
+V.
+\end_layout
+
+\begin_layout Itemize
+Mesurer la tension en A2.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+La tension en A2 = 1,244, ce qui implique que la tension aux bornes de la
+ résistance inconnue est
+\begin_inset Formula $4-1,244=2,756V$
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+Le courant est
+\begin_inset Formula $I=\frac{1,244}{1000}=1,244mA$
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+La valeur de la résistance inconnue est
+\begin_inset Formula $\frac{2,756}{1,244}=2,215\, k\Omega$
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+Quelle est la limite de cette méthode
+\begin_inset space ~
+\end_inset
+
+? Comment choisit-on la résistance de référence
+\begin_inset space ~
+\end_inset
+
+? supposons que la valeur inconnue soit en méga-ohm, quel serait la chute
+ de tension dans une résistance de référence de
+\begin_inset Formula $1k\Omega$
+\end_inset
+
+
+\begin_inset space ~
+\end_inset
+
+? Notre mesure de tension possède une résolution de
+\begin_inset Formula $\frac{1}{4095}$
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Standard
+Nous utiliserons cette méthode plus tard pour mesurer la résistance de solutions.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Tension d'une pile au citron
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Créer une source de tension.
+ En apprendre sur la possibilité de générer du courant.
+ Concept de résistance interne.
+\end_layout
+
+\begin_layout Subsection*
+Matériel
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename schematics/lemon-cell.png
+	width 4cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/lemoncellDC.png
+	lyxscale 50
+	width 4.6cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+(a) des plaques de zinc et de cuivre insérées dans un citron.
+ (b) La tension continue produite par la pile.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:lemoncell"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Un citron mur (ou un acide quelconque), des plaques fines de zinc et de
+ cuivre.
+\end_layout
+
+\begin_layout Itemize
+Une résistance de 1
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset Formula $k\Omega$
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Itemize
+Insérer les plaques de zinc et de cuivre dans le citron.
+\end_layout
+
+\begin_layout Itemize
+Connecter une plaque à la masse [Ground] et l'autre à A0, à l'aide de deux
+ fils électriques.
+\end_layout
+
+\begin_layout Itemize
+Connecter la résistance entre A0 et la masse [Ground].
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+La tension entre le cuivre et le zinc sera d'environ 0,9
+\begin_inset space ~
+\end_inset
+
+V.
+ Quand on connecte la résistance, celle-ci diminue jusqu'à environ 0,33
+\begin_inset space ~
+\end_inset
+
+V.
+\end_layout
+
+\begin_layout Standard
+Quelle est la résistance interne de la pile
+\begin_inset space ~
+\end_inset
+
+?
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+Quand la résistance est connectée, le courant commence à circuler par elle.
+ Mais pourquoi la tension diminue-t-elle
+\begin_inset space ~
+\end_inset
+
+?
+\end_layout
+
+\begin_layout Standard
+Ça ne se produit pas avec une pile sèche neuve.
+ Pourquoi
+\begin_inset space ~
+\end_inset
+
+?
+\end_layout
+
+\begin_layout Standard
+Le courant est causé par le mouvement de charges électriques et il doit
+ faire le tour complet.
+ Cela signifie que le courant doit traverser la pile aussi.
+ Selon la résistance interne de la pile, une part de la tension est perdue
+ à l'intérieur de la pile elle-même.
+\end_layout
+
+\begin_layout Standard
+Une source de tension idéale devrait posséder une résistance interne nulle.
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Tension variable dans le temps
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Introduire le concept de tensions dépendant du temps, à l'aide d'un graphique
+ U(t).
+\end_layout
+
+\begin_layout Subsection*
+Matériel
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename schematics/cell-volatge.png
+	width 4cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/dcvoltage.png
+	lyxscale 70
+	width 4.7cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Graphique d'une tension continue en fonction du temps
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Graph-of-DC"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Piles sèches de tensions 1,5
+\begin_inset space ~
+\end_inset
+
+V
+\end_layout
+
+\begin_layout Itemize
+Support de piles avec fils de connexion.
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Itemize
+Connecter le négatif de la pile sèche à la masse [Ground].
+\end_layout
+
+\begin_layout Itemize
+Borne positive de la pile en A0.
+\end_layout
+
+\begin_layout Itemize
+Observer le graphique dans la partie droite de la fenêtre.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Itemize
+Une ligne horizontale apparaît sur le graphique, le temps est sur l'axe
+ des abscisses et la tension est sur l'axe des ordonnées.
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+La tension est constante dans le temps.
+ Une pile est une source de tension continue.
+ Un autre type de tension est nommé tension alternative, elle change de
+ valeur et de signe dans le temps.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Tension alternative
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+En apprendre un peu au sujet de la tension alternative, à l'aide de graphiques.
+ Se familiariser avec la forme d'onde sinusoïdale.
+\end_layout
+
+\begin_layout Subsection*
+Matériel
+\end_layout
+
+\begin_layout Itemize
+Un bout de fil électrique.
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Itemize
+Connecter SIN à A0.
+\end_layout
+
+\begin_layout Itemize
+Ajuster l'échelle horizontale pour voir 4 ou 5 périodes.
+\end_layout
+
+\begin_layout Itemize
+Activer la case à cocher
+\begin_inset Quotes fld
+\end_inset
+
+FIT
+\begin_inset Quotes frd
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+
+\begin_inset Graphics
+	filename schematics/sine-a0.png
+	width 2cm
+
+\end_inset
+
+
+\lang french
+
+\begin_inset Graphics
+	filename pics/sinewave90hz.png
+	lyxscale 50
+	width 6cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Forme de l'onde de tension alternative issue de SIN
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Sinewave"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+La forme d'onde est montrée à la figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Sinewave"
+
+\end_inset
+
+.
+ Activer l'option
+\begin_inset Quotes fld
+\end_inset
+
+FIT
+\begin_inset Quotes frd
+\end_inset
+
+ pour calculer l'amplitude et la fréquence en modélisant les données à l'aide
+ de l'équation
+\begin_inset Formula $U=U_{0}\sin(2\pi ft+\theta)$
+\end_inset
+
+ , où
+\begin_inset Formula $U_{0}$
+\end_inset
+
+ est l'amplitude et
+\begin_inset Formula $f$
+\end_inset
+
+
+\begin_inset space ~
+\end_inset
+
+est la fréquence.
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+La tension change avec le temps.
+ Elle devient tantôt positive tantôt négative.
+ Une période complète dure environ 12 milli-secondes, c'est à dire environ
+ 90 périodes par seconde ou 90
+\begin_inset space ~
+\end_inset
+
+Hz.
+ Cette forme d'onde de tension est générée par des circuits électroniques.
+\begin_inset Foot
+status collapsed
+
+\begin_layout Plain Layout
+La fréquence de la sortie SIN est proche de 90
+\begin_inset space ~
+\end_inset
+
+Hz.
+ Ses variations sont dues à la tolérance de 20% sur les valeurs des condensateur
+s qui décident de la fréquence.
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+La tension d'alimentation du secteur dans nos maisons a une fréquence de
+ 50
+\begin_inset space ~
+\end_inset
+
+Hz.
+\end_layout
+
+\begin_layout Standard
+Quelle est la signification de
+\begin_inset Formula $\theta$
+\end_inset
+
+ dans l'équation ci-dessus
+\begin_inset space ~
+\end_inset
+
+?
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Influence d'une tension alternative
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+En apprendre un peu au sujet de la tension alternative du secteur.
+ Explorer le phénomène de propagation de tensions alternatives à travers
+ l'espace.
+\end_layout
+
+\begin_layout Subsection*
+Matériel
+\end_layout
+
+\begin_layout Itemize
+Un bout de fil électrique long.
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Itemize
+Connecter une extrémité du fil électrique en A0.
+\end_layout
+
+\begin_layout Itemize
+Placer l'autre extrémité du fil électrique près d'un câble électrique relié
+ au secteur (ne jamais toucher le câble) et changer l'orientation du fil
+ jusqu'au moment où on a un bon signal sur l'écran.
+\end_layout
+
+\begin_layout Itemize
+Ajuster l'échelle horizontale à 10 milli-secondes par division.
+\end_layout
+
+\begin_layout Itemize
+Activer la case à cocher
+\begin_inset Quotes fld
+\end_inset
+
+FIT
+\begin_inset Quotes frd
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+
+\begin_inset Graphics
+	filename schematics/pickup.png
+	width 4cm
+
+\end_inset
+
+
+\lang french
+
+\begin_inset Graphics
+	filename pics/sinewave50hz.png
+	lyxscale 50
+	width 6cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Influence d'un câble électrique connecté au secteur
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Power-line-pickup"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+La forme de tension observée est montrée à la figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Power-line-pickup"
+
+\end_inset
+
+.
+ La fréquence calculée par modélisation des données est 49,65
+\begin_inset space ~
+\end_inset
+
+Hz
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+Sans réaliser aucun branchement, comment se fait-il qu'on récupère une tension
+ alternative depuis le secteur
+\begin_inset space ~
+\end_inset
+
+? Faire cette expérience avec un ordinateur portable situé loi des lignes
+ de courant du secteur.
+\end_layout
+
+\begin_layout Standard
+Est-ce similaire aux radiations d'un téléphone cellulaire
+\begin_inset space ~
+\end_inset
+
+?
+\end_layout
+
+\begin_layout Standard
+Pourquoi la fréquence diffère-t-elle de 50
+\begin_inset space ~
+\end_inset
+
+Hz
+\begin_inset space ~
+\end_inset
+
+?
+\end_layout
+
+\begin_layout Standard
+Nous observons la tension reçue par influence par le fil électrique, qui
+ agit comme un antenne captant la radiation à 50
+\begin_inset space ~
+\end_inset
+
+Hz issue du câble du secteur.
+ Quand on touche le bout flottant du fil électrique on augmente le signal,
+ parce qu'on fait alors partie de l'antenne.
+ La fréquence
+\begin_inset Formula $f$
+\end_inset
+
+
+\begin_inset space ~
+\end_inset
+
+est calculée en modélisant les données recueillies par l'équation
+\begin_inset Formula $U=U_{0}\sin(2\pi ft+\theta)$
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Standard
+Essayez de faire les mesures durant la journée et à minuit pour comparer
+ les fréquences mesurées.
+ Elles dépendent de la charge du réseau électrique.
+ Si la distribution de l'énergie électrique est vraiment bonne, la fréquence
+ restera constante
+\begin_inset Foot
+status collapsed
+
+\begin_layout Plain Layout
+N.d.T.
+\begin_inset space ~
+\end_inset
+
+:En Inde où ExpEYES a été conçu, les usines de production d'électricité
+ cherchent à asservir la fréquence f à la valeur 50
+\begin_inset space ~
+\end_inset
+
+Hz.
+ Il y a donc toujours une petite différence entre la fréquence f et la valeur
+ de référence 50 Hz.
+ En Europe, les usines cherchent plutôt à asservir la phase
+\begin_inset Formula $\theta\,$
+\end_inset
+
+en utilisant une source de fréquence commune (f=50
+\begin_inset space ~
+\end_inset
+
+Hz).
+ Cette dernière méthode donne une plus grande stabilité de la fréquence.
+\end_layout
+
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Composantes continue et alternative d'une tension dépendant du temps
+\begin_inset CommandInset label
+LatexCommand label
+name "sec:DC-&-AC"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+En apprendre un peu au sujet des composantes continue et alternative d'une
+ tension dépendante du temps.
+ Séparer les composantes continue et alternative à l'aide d'un condensateur.
+\end_layout
+
+\begin_layout Subsection*
+Matériel
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+
+\begin_inset Graphics
+	filename schematics/sqr-a0.png
+	width 2cm
+
+\end_inset
+
+
+\lang french
+
+\begin_inset Graphics
+	filename pics/sqrwave2.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+
+
+\begin_inset Graphics
+	filename schematics/ac-dc.png
+	width 2.5cm
+
+\end_inset
+
+
+\lang french
+
+\begin_inset Graphics
+	filename pics/sqrwave_dcblocked.png
+	lyxscale 50
+	width 5.2cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+(a) Tension oscillant entre 0 et 5
+\begin_inset space ~
+\end_inset
+
+V (b) Après traversée d'un condensateur
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Square-wave"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+condensateur de 1
+\begin_inset space ~
+\end_inset
+
+µF, résistance de 10
+\begin_inset space ~
+\end_inset
+
+k
+\begin_inset Formula $\Omega$
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Itemize
+Connecter SQR1 à A0 à l'aide d'un fil électrique.
+\end_layout
+
+\begin_layout Itemize
+Entrer
+\shape italic
+500
+\shape default
+ dans la boîte texte de SQR1 et appuyer sur la touche <Entrée>.
+\end_layout
+
+\begin_layout Itemize
+Ajuster l'échelle horizontale pour voir plusieurs périodes.
+\end_layout
+
+\begin_layout Itemize
+Insérer un condensateur de 1
+\begin_inset space ~
+\end_inset
+
+µF entre SQR1 et A0
+\end_layout
+
+\begin_layout Itemize
+Connecter une résistance de 10
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset Formula $k\Omega$
+\end_inset
+
+ entre A0 et la masse [Ground].
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+Les formes d'ondes observées avec et sans le condensateur en série sont
+ montrées à la figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Square-wave"
+
+\end_inset
+
+.
+ La tension oscille entre 0 et 5
+\begin_inset space ~
+\end_inset
+
+V.
+ Après avoir traversé le condensateur, la tension oscille entre -2,5
+\begin_inset space ~
+\end_inset
+
+V et +2,5
+\begin_inset space ~
+\end_inset
+
+V.
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+Qu'obtiendrez-vous si vous faisiez la soustraction de 2,5
+\begin_inset space ~
+\end_inset
+
+V de la coordonnée-y de chaque point du premier graphique
+\begin_inset space ~
+\end_inset
+
+? C'est ce que le condensateur a réalisé.
+ Il s'est opposé au passage de la composante en tension continue.
+\end_layout
+
+\begin_layout Standard
+La tension d'origine peut être considérée comme la superposition de d'une
+ tension alternative de 5
+\begin_inset space ~
+\end_inset
+
+V (crête à crête) et d'une tension continue de 2,5
+\begin_inset space ~
+\end_inset
+
+V.
+\end_layout
+
+\begin_layout Standard
+Il se peut qu'on doive connecter une résistance de 10
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset Formula $k\Omega$
+\end_inset
+
+ entre A0 et la masse [Ground] pour voir un signal oscillant entre-2,5 et
+ +2,5
+\begin_inset space ~
+\end_inset
+
+V.
+\end_layout
+
+\begin_layout Standard
+Pourquoi cette résistance est-elle nécessaire
+\begin_inset space ~
+\end_inset
+
+?
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Résistance du corps humain
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Avoir une idée de la résistance de la peau humaine et savoir comment elle
+ varie.
+\end_layout
+
+\begin_layout Subsection*
+Matériel
+\end_layout
+
+\begin_layout Itemize
+Deux bouts de fil électrique.
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Itemize
+Connecter un bout d'un fil à SQR1, laisser l'autre bout en l'air
+\end_layout
+
+\begin_layout Itemize
+Connecter un bout du second fil électrique à A0.
+\end_layout
+
+\begin_layout Itemize
+Fixer SQR1 à 500.
+\end_layout
+
+\begin_layout Itemize
+Ajuster l'échelle horizontale pour voir plusieurs périodes.
+\end_layout
+
+\begin_layout Itemize
+Tenir les extrémités libres des fils électriques entre vos doigts.
+\end_layout
+
+\begin_layout Itemize
+Répéter la même chose en utilisant SINE au lieu de SQR1.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+
+\begin_inset Graphics
+	filename pics/conduct-hand.jpg
+	lyxscale 40
+	width 4.1cm
+
+\end_inset
+
+
+\lang french
+
+\begin_inset Graphics
+	filename pics/sqrwave_hand.png
+	lyxscale 50
+	width 6cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Tension après passage dans la main.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Square-wave-hand"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+Le signal observé est présenté à la figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Square-wave-hand"
+
+\end_inset
+
+.
+ L'onde n'est pas pure et l'amplitude est réduite para rapport à 5
+\begin_inset space ~
+\end_inset
+
+V.
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+En utilisant la méthode de comparaison, essayez de calculer la résistance
+ de la portion de main entre les deux fils, quand vous les tenez.
+ La résistance de référence est 10
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset Formula $M\Omega$
+\end_inset
+
+, connectée en interne entre A0 et la masse.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Résistances dépendantes de la température
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Montrer la dépendance de la résistance en fonction d la température.
+ Concept de base d'un capteur de température.
+\end_layout
+
+\begin_layout Subsection*
+Matériel
+\end_layout
+
+\begin_layout Itemize
+Thermistance (NTC)
+\begin_inset Foot
+status open
+
+\begin_layout Plain Layout
+en anglais
+\begin_inset space ~
+\end_inset
+
+: Negative Temperature Coefficient
+\end_layout
+
+\end_inset
+
+.
+ Résistance
+\begin_inset Formula $1k\Omega$
+\end_inset
+
+ à 25° Celsius.
+\end_layout
+
+\begin_layout Itemize
+De l'eau froide
+\end_layout
+
+\begin_layout Itemize
+Une bougie ou une autre source de chaleur.
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Standard
+
+\begin_inset Graphics
+	filename schematics/ntc.png
+	height 0.8cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Connecter la thermistance (NTC) entre CS et la masse [Ground]
+\end_layout
+
+\begin_layout Itemize
+Fixer CS à 1,0
+\begin_inset space ~
+\end_inset
+
+mA
+\end_layout
+
+\begin_layout Itemize
+Mesurer la tension aux bornes de la thermistances à diverses températures.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+\begin_inset Tabular
+<lyxtabular version="3" rows="3" columns="3">
+<features>
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Réglage
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+U=RI
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $R=\frac{U}{I}$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Dans l'eau froide
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1,2
+\begin_inset space ~
+\end_inset
+
+V
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1200
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset Formula $\Omega$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+À température ambiante
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+0,935
+\begin_inset space ~
+\end_inset
+
+V
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+935
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset Formula $\Omega$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+</lyxtabular>
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+Pour quelle raison les matériaux ont-ils une résistance électrique
+\begin_inset space ~
+\end_inset
+
+?
+\end_layout
+
+\begin_layout Standard
+Pourquoi dépend-elle de la température
+\begin_inset space ~
+\end_inset
+
+?
+\end_layout
+
+\begin_layout Standard
+Pour les métaux, R augmente avec T.
+ Mais pour les isolants et les semi-conducteurs elle diminue.
+ Pourquoi
+\begin_inset space ~
+\end_inset
+
+?
+\end_layout
+
+\begin_layout Standard
+Quelle est la signification de la température au niveau moléculaire
+\begin_inset space ~
+\end_inset
+
+?
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Résistances dépendant de la lumière
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+En apprendre un peu au sujet de la photo-résistance LDR.
+ Mesurer l'intensité de la lumière et sa variation avec la distance à la
+ source.
+\end_layout
+
+\begin_layout Subsection*
+Matériel
+\end_layout
+
+\begin_layout Itemize
+Une photo-résistance, LDR
+\end_layout
+
+\begin_layout Itemize
+Une résistance de 10
+\begin_inset space ~
+\end_inset
+
+k
+\begin_inset Formula $\Omega$
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Une ampoule de lampe-torche sans aucun réflecteur.
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Standard
+
+\begin_inset Graphics
+	filename schematics/ldr.png
+	height 0.8cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Connecter la LDR entre UPV et A2
+\end_layout
+
+\begin_layout Itemize
+Fixer UPV à 4
+\begin_inset space ~
+\end_inset
+
+V.
+\end_layout
+
+\begin_layout Itemize
+Résistance de 10
+\begin_inset space ~
+\end_inset
+
+k
+\begin_inset Formula $\Omega$
+\end_inset
+
+ entre A2 et la masse [Ground]
+\end_layout
+
+\begin_layout Itemize
+Mesurer la tension en A2, sans lumière sur la LDR.
+\end_layout
+
+\begin_layout Itemize
+La mesurer en plaçant l'ampoule allumée à une certaine distance
+\begin_inset Foot
+status collapsed
+
+\begin_layout Plain Layout
+À faire dans une pièce sombre
+\end_layout
+
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Itemize
+Changer la distance et prendre note de la tension en A2.
+\end_layout
+
+\begin_layout Itemize
+Calculer la résistance par comparaison comme décrit à la section
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "sec:Measure-resistance-by"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+La résistance varie de 1
+\begin_inset space ~
+\end_inset
+
+k
+\begin_inset Formula $\Omega$
+\end_inset
+
+ à environ 100
+\begin_inset space ~
+\end_inset
+
+k
+\begin_inset Formula $\Omega$
+\end_inset
+
+ selon la lumière qui lui arrive.
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+La tension est proportionnelle à la résistance.
+ La résistance diminue quand la lumière augmente.
+ Si vous utilisez une source de lumière ponctuelle, la résistance devrait
+ augmenter comme le carré de la distance.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+L'électricité traversant les liquides, en courant continu et alternatif
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Mesurer la résistance de liquides, en utilisant des tensions continues et
+ alternatives.
+\end_layout
+
+\begin_layout Subsection*
+Matériel
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+
+\begin_inset Graphics
+	filename schematics/water.png
+	width 3.9cm
+
+\end_inset
+
+
+\lang french
+
+\begin_inset Graphics
+	filename pics/DCthrough_water.png
+	lyxscale 50
+	width 3.6cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/ACthrough_water.png
+	lyxscale 50
+	width 3.6cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+(a)
+\begin_inset space ~
+\end_inset
+
+Montage expérimental.
+ (b)
+\begin_inset space ~
+\end_inset
+
+Tension continue totale et tension à travers la résistance de 1
+\begin_inset space ~
+\end_inset
+
+k
+\begin_inset Formula $\Omega$
+\end_inset
+
+.
+ (c)
+\begin_inset space ~
+\end_inset
+
+Tension alternative totale et tension à travers la résistance de 1
+\begin_inset space ~
+\end_inset
+
+k
+\begin_inset Formula $\Omega$
+\end_inset
+
+.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Current through water"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Un bécher de 100
+\begin_inset space ~
+\end_inset
+
+mL
+\end_layout
+
+\begin_layout Itemize
+Du sel de cuisine
+\end_layout
+
+\begin_layout Itemize
+Une résistance de 10
+\begin_inset space ~
+\end_inset
+
+k
+\begin_inset Formula $\Omega$
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Itemize
+Mettre de l'eau du robinet dans le bécher
+\end_layout
+
+\begin_layout Itemize
+Connecter un fil électrique à BPV et placer l'autre extrémité dans le bécher
+\end_layout
+
+\begin_layout Itemize
+Un autre fil électrique entre A0 et l'eau
+\end_layout
+
+\begin_layout Itemize
+Connecter la résistance de 10
+\begin_inset space ~
+\end_inset
+
+k
+\begin_inset Formula $\Omega$
+\end_inset
+
+ entre A0 et la masse [Ground]
+\end_layout
+
+\begin_layout Itemize
+Régler 2,8
+\begin_inset space ~
+\end_inset
+
+V en BPV et observer la valeur en A0
+\begin_inset Foot
+status collapsed
+
+\begin_layout Plain Layout
+Si la tension est trop basse utiliser une résistance supérieure à 10
+\begin_inset space ~
+\end_inset
+
+k
+\begin_inset Formula $\Omega$
+\end_inset
+
+, sinon en utiliser une inférieure.
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Essayez de changer BPV de +2,8
+\begin_inset space ~
+\end_inset
+
+V en -2,8
+\begin_inset space ~
+\end_inset
+
+V observez la trace horizontale sur l'oscillogramme.
+\end_layout
+
+\begin_layout Itemize
+Répétez l'expérience en utilisant SINE au lieu de BPV
+\end_layout
+
+\begin_layout Itemize
+Calculer la résistance comme expliqué dans la section
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "sec:Measure-resistance-by"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+\begin_inset Tabular
+<lyxtabular version="3" rows="3" columns="6">
+<features>
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $U_{total}$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $U_{10k\Omega}$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $U_{liq}$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $I=\frac{U_{10k\Omega}}{1000}$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $R_{liq}=\frac{U_{liq}}{I}$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Courant alternatif
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+2.,6
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+2.,3
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+0.,3
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+0,23 mA
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1,3 k
+\begin_inset Formula $\Omega$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Courant continu
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+2,6
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1,3
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1,3
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+0,13 mA
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+10 k
+\begin_inset Formula $\Omega$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+</lyxtabular>
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+Des valeurs observées sont montrées dans le tableau
+\begin_inset Foot
+status collapsed
+
+\begin_layout Plain Layout
+Le valeurs que vous obtenez peuvent être très différentes selon la la concentrat
+ion des ions et la présence d'impuretés dans l'eau utilisée.
+\end_layout
+
+\end_inset
+
+.
+ Les résistances en courant alternatif et en courant continu apparaissent
+ comme très différentes.
+ Cependant, quand vous changerez la polarité de BPV, la valeur dans la résistanc
+e reste proche de de la valeur en courant alternatif pendant un moment et
+ diminue ensuite.
+ Ça indique que la résistance du liquide augmente avec le temps, par exemple
+ à cause de la formation de bulles.
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+Pourquoi le comportement est-il différent en continu et en alternatif
+\begin_inset space ~
+\end_inset
+
+?
+\end_layout
+
+\begin_layout Standard
+Quels sont les porteurs de charges responsables du passage de l'électricité
+ à travers les solutions
+\begin_inset space ~
+\end_inset
+
+?
+\end_layout
+
+\begin_layout Standard
+Y a-t-il une réaction chimique qui se produit
+\begin_inset space ~
+\end_inset
+
+?
+\end_layout
+
+\begin_layout Standard
+Essayez d'ajouter un peu de sel et recommencez les mesures.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Réponse transitoire de circuits RC
+\begin_inset CommandInset label
+LatexCommand label
+name "sec:Capacitor-charging-&"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Dans la section
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "sec:DC-&-AC"
+
+\end_inset
+
+, nous avons vu qu'un condensateur bloque le courant continu mais laisse
+ le courant alternatif passer.
+ Dans cette expérience, nous allons explorer la nature du courant et de
+ la tension quand on applique un échelon de tension.
+ En mesurant la tension aux bornes du condensateur en fonction du temps,
+ on peut calculer la valeur de s capacité.
+\end_layout
+
+\begin_layout Subsection*
+Théorie
+\end_layout
+
+\begin_layout Standard
+La tension aux bornes d'un condensateur qui se charge à travers une résistance
+ est donnée par la relation
+\begin_inset space ~
+\end_inset
+
+:
+\begin_inset Formula \[
+U(t)=U_{0}\left(1-e^{-\frac{t}{RC}}\right)\]
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+\align left
+La tension aux bornes d'un condensateur quand il se décharge à travers une
+ résistance est donnée par la relation
+\begin_inset space ~
+\end_inset
+
+:
+\begin_inset Formula \[
+U(t)=U_{0}e^{-\frac{t}{RC}}\]
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Matériel
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+
+\begin_inset Graphics
+	filename schematics/rc-tran.png
+	width 3.2cm
+
+\end_inset
+
+
+\lang french
+
+\begin_inset Graphics
+	filename pics/CR-transient-screen.png
+	lyxscale 50
+	width 3.8cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/capacitor_linear.png
+	lyxscale 50
+	width 3.8cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Réponse transitoire d'un circuit RC.
+ Le troisième graphique représente la charge d'un condensateur par une source
+ de courant constant.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Capacitor-screenshot"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Un condensateur de
+\begin_inset Formula $1\,\mu F$
+\end_inset
+
+ et une résistance de
+\begin_inset Formula $1\, k\Omega$
+\end_inset
+
+ .
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Itemize
+Connecter le condensateur entre A0 et la masse [Ground]
+\end_layout
+
+\begin_layout Itemize
+Connecter la résistance entre A0 et OD1.
+\end_layout
+
+\begin_layout Itemize
+Faire un clic droit sur le tableau de bord et sélectionner
+\shape italic
+\emph on
+
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{RC Circuit}
+\end_layout
+
+\end_inset
+
+
+\shape default
+\emph default
+depuis le menu contextuel
+\end_layout
+
+\begin_layout Itemize
+Cliquer sur
+\shape italic
+\emph on
+les boutons
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+buttonlabel{0->5V STEP}
+\end_layout
+
+\end_inset
+
+
+\shape default
+\emph default
+ et
+\shape italic
+\emph on
+
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+buttonlabel{5->0V STEP}
+\end_layout
+
+\end_inset
+
+
+\shape default
+\emph default
+ pour tracer les graphiques
+\end_layout
+
+\begin_layout Itemize
+Ajuster l'échelle horizontale si nécessaire et recommencer.
+\end_layout
+
+\begin_layout Itemize
+Modéliser (FIT) la courbe pour extraire la constante de temps RC.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+Quand on applique un échelon de tension de 0 à 5
+\begin_inset space ~
+\end_inset
+
+V, cela fait monter la tension exponentiellement aux bornes du condensateur
+ comme montré sur la figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Capacitor-screenshot"
+
+\end_inset
+
+.
+ En modélisant le graphique on peut extraire la constante de temps RC et
+ en déduire la valeur de la capacité du condensateur.
+\end_layout
+
+\begin_layout Standard
+Cette expérience peut être étendue pour mesurer la constante diélectrique
+ de matériaux en fabriquant des condensateurs avec ceux-ci.
+ Pour obtenir le graphique représenté en
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Capacitor-screenshot"
+
+\end_inset
+
+(c), connecter R entre CS et A0, C entre OD1 et A0, réglez CS à 1
+\begin_inset space ~
+\end_inset
+
+mA et cliquer sur
+\shape italic
+5->0V.
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+Pourquoi le graphique est-il exponentiel
+\begin_inset space ~
+\end_inset
+
+?
+\end_layout
+
+\begin_layout Standard
+Un condensateur est fait de deux plaques en métal séparées par une fine
+ couche de matériau diélectrique.
+ Nous avons connecté une plaque (appelons-la plaque A) à la masse et l'autre
+ plaque (appelons-la B) à OD1 à travers une résistance.
+ La connexion à A0 sert à enregistrer la tension.
+\end_layout
+
+\begin_layout Standard
+Initialement les deux plaques sont à zéro volt.
+ En cliquant sur
+\shape italic
+0->5V
+\shape default
+ , nous portons OD1 à 5
+\begin_inset space ~
+\end_inset
+
+V.
+ Un courant commence à passer à travers la résistance vers la plaque B,
+ à cause de la différence de potentiel créée.
+ Ce courant (flux de charge électrique) va résulter en une accumulation
+ de charge électrique sur la plaque B.
+ La tension en B sera donnée par
+\begin_inset Formula $U=Q/C$
+\end_inset
+
+, où C désigne la capacité et Q désigne la charge électrique.
+ Comme de plus en plus de charges électriques arrivent, la tension en B
+ va augmenter.
+ Mais, selon la loi d'Ohm, le courant dans la résistance R est décidée par
+ la différence de potentiel à ses bornes.
+ Cela signifie que le courant va décroître progressivement et arriver à
+ zéro quand la tension en B devient 5
+\begin_inset space ~
+\end_inset
+
+V.
+ Le temps de ce processus est décidé par le produit RC, et donné par la
+ relation
+\begin_inset space ~
+\end_inset
+
+:
+\end_layout
+
+\begin_layout Standard
+\begin_inset Formula \[
+U(t)=U_{0}\left(1-e^{-\frac{t}{RC}}\right)\]
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+Le produit RC est nommé la constante de temps du circuit.
+\shape italic
+
+\begin_inset Foot
+status collapsed
+
+\begin_layout Plain Layout
+
+\shape italic
+http://hyperphysics.phy-astr.gsu.edu/hbase/electric/capchg.html
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Réponse transitoire de circuits RL
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Explorer la nature de la tension et du courant quand un échelon de tension
+ est appliqué à une résistance et un bobinage en série.
+ En mesurant la tension aux bornes du bobinage en fonction du temps, nous
+ pouvons calculer la valeur de son inductance.
+\end_layout
+
+\begin_layout Subsection*
+Théorie
+\end_layout
+
+\begin_layout Standard
+Dans un circuit RL
+\begin_inset Formula $U=RI+L\frac{dI}{dt}$
+\end_inset
+
+ .
+ La solution de cette équation est
+\begin_inset Formula $I=I_{0}e^{-\frac{R}{L}t}$
+\end_inset
+
+ .
+ Le coefficient du terme exponentiel R/L peut être déduit du graphique de
+ la tension aux bornes du bobinage.
+ Il faut inclure la résistance du bobinage dans les calculs,
+\begin_inset Formula $R=R_{ext}+R_{L}$
+\end_inset
+
+.
+\begin_inset Foot
+status collapsed
+
+\begin_layout Plain Layout
+http://nptel.iitm.ac.in/courses/Webcourse-contents/IIT-KANPUR/esc102/node14.html
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Matériel
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+
+\begin_inset Graphics
+	filename schematics/rl-tran.png
+	width 3.2cm
+
+\end_inset
+
+
+\lang french
+
+\begin_inset Graphics
+	filename pics/LR-downstep.png
+	lyxscale 50
+	width 4.5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Circuit RL.
+ Tension aux bornes du bobinage après un échelon de tension de 5 à 0V.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:LR-circuit.-Voltage"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Résistance de
+\begin_inset Formula $1\, k\Omega$
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Itemize
+Bobinage 3000 tours et noyau en ferrite
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Itemize
+Connecter le bobinage 3000 tours entre A0 et la masse [Ground].
+\end_layout
+
+\begin_layout Itemize
+Connecter la résistance entre A0 et OD1.
+\end_layout
+
+\begin_layout Itemize
+Connecter un fil électrique entre OD1 et A2 (pour une mesure précise de
+ la tension totale)
+\end_layout
+
+\begin_layout Itemize
+Faire un clic droit sur le tableau de bord et sélectionner
+\shape italic
+\emph on
+
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{RL Circuit}
+\end_layout
+
+\end_inset
+
+
+\shape default
+\emph default
+ dans le menu contextuel
+\end_layout
+
+\begin_layout Itemize
+Cliquer sur les boutons
+\shape italic
+\emph on
+
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+buttonlabel{0->5V STEP}
+\end_layout
+
+\end_inset
+
+
+\shape default
+\emph default
+ et
+\shape italic
+\emph on
+
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+buttonlabel{5->0V STEP}
+\end_layout
+
+\end_inset
+
+
+\shape default
+\emph default
+ pour tracer les graphiques
+\end_layout
+
+\begin_layout Itemize
+Ajuster l'échelle horizontale, si nécessaire, et recommencer.
+\end_layout
+
+\begin_layout Itemize
+Calculer la valeur de l'inductance.
+\end_layout
+
+\begin_layout Itemize
+Recommencer en insérant le noyau de ferrite.
+ Recommencer avec d'autres bobinages.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+La tension aux bornes du bobinage juste après une échelon de 5
+\begin_inset space ~
+\end_inset
+
+V à 0
+\begin_inset space ~
+\end_inset
+
+V est montré à la figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:LR-circuit.-Voltage"
+
+\end_inset
+
+.
+ La courbe exponentielle est modélisée pour en déduire la valeur de L/R.
+ La résistance de la bobine est mesurée en la comparant à la résistance
+ externe connue en courant continu.
+ Les inductances mesurées sont dans le tableau ci-dessous.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Tabular
+<lyxtabular version="3" rows="4" columns="3">
+<features>
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Bobinage
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Inductance (mH)
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Résistance (
+\begin_inset Formula $\Omega$
+\end_inset
+
+)
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+3000 tours
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+126
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+565
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1000 tours
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+4.7
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+42
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1000
+\begin_inset space ~
+\end_inset
+
+tours /ferrite
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+25
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+42
+\end_layout
+
+\end_inset
+</cell>
+</row>
+</lyxtabular>
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+Les tension appliquées sont positives, mais le graphique a donné des tensions
+ négatives.
+ Pourquoi
+\begin_inset space ~
+\end_inset
+
+?
+\end_layout
+
+\begin_layout Standard
+Quel était le courant juste avant l'échelon de tension 5->0
+\begin_inset space ~
+\end_inset
+
+? Quelle est la f.e.m.
+\begin_inset space ~
+\end_inset
+
+?
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Réponse transitoire de circuits RLC
+\begin_inset CommandInset label
+LatexCommand label
+name "sec:Step-Response-ofRLC"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Les réponses de circuits RC et RL ont été étudiées dans les sections précédentes.
+ Nous allons maintenant explorer la nature oscillante du signal obtenu en
+ connectant L et C en série.
+\end_layout
+
+\begin_layout Subsection*
+Théorie
+\end_layout
+
+\begin_layout Standard
+La fréquence de résonance d'un circuit se déduit de
+\begin_inset Formula $\omega_{0}=\frac{1}{2\pi\sqrt{LC}}$
+\end_inset
+
+, Le facteur d'amortissement est
+\begin_inset Formula $\frac{R}{2}\sqrt{\frac{C}{L}}$
+\end_inset
+
+, il vaut 1 pour l'amortissement critique.
+\begin_inset Foot
+status collapsed
+
+\begin_layout Plain Layout
+http://en.wikiversity.org/wiki/RLC_circuit
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Matériel
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+
+\begin_inset Graphics
+	filename schematics/lc-tran.png
+	width 3cm
+
+\end_inset
+
+
+\lang french
+
+\begin_inset Graphics
+	filename pics/LCRdischarge.png
+	lyxscale 50
+	width 5.9cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/LCRdischarge_ferrite.png
+	lyxscale 50
+	width 4.8cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Réponse transitoire d'un circuit RLC.
+ (a)
+\begin_inset space ~
+\end_inset
+
+Le montage (b)
+\begin_inset space ~
+\end_inset
+
+Résultat avec un bobinage sans noyau (c)
+\begin_inset space ~
+\end_inset
+
+avec un noyau en ferrite.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:LCR-response-setup"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Condensateur de 0,1
+\begin_inset space ~
+\end_inset
+
+µF
+\end_layout
+
+\begin_layout Itemize
+Bobinages de 3000 et 1000
+\begin_inset space ~
+\end_inset
+
+tours
+\end_layout
+
+\begin_layout Itemize
+Noyau de ferrite
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Itemize
+Connecter le bobinage entre OD1 et A0
+\end_layout
+
+\begin_layout Itemize
+Condensateur entre A0 et la masse [Ground]
+\end_layout
+
+\begin_layout Itemize
+Faire un clic droit sur le tableau de bord et sélectionner
+\shape italic
+\emph on
+
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{RLC Discharge}
+\end_layout
+
+\end_inset
+
+
+\shape default
+\emph default
+ dans le menu contextuel.
+\end_layout
+
+\begin_layout Itemize
+Cliquer sur Discharge.
+ Ajuster l'axe des x et recommencer si nécessaire.
+\end_layout
+
+\begin_layout Itemize
+Modéliser le graphique (FIT) pour trouver la fréquence de résonance et l'amortis
+sement.
+\end_layout
+
+\begin_layout Itemize
+Recommencer l'expérience avec le noyau de ferrite inséré.
+\end_layout
+
+\begin_layout Itemize
+Recommencer avec une résistance de 1
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset Formula $k\Omega$
+\end_inset
+
+ en série.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+
+\begin_inset Graphics
+	filename schematics/rlc-tran.png
+	width 3cm
+
+\end_inset
+
+
+\lang french
+
+\begin_inset Graphics
+	filename pics/LCRdischarge_1k.png
+	lyxscale 50
+	width 4.8cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Réponse RLC avec une résistance en série de1
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset Formula $k\Omega$
+\end_inset
+
+ qui ajoute un amortissement.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:LCR-response-screen"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+Les mesures ont été faites à l'aide de la bobine de 1000 tours, et avec
+ la bobine de 3000 tours.
+ Les résultats sont dans le tableau ci-dessous.
+ Le condensateur et les bobinages ont été mesurés pour leurs capacités et
+ inductances par un RLC-mètre.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Tabular
+<lyxtabular version="3" rows="4" columns="4">
+<features>
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+C (
+\begin_inset Formula $\mu F$
+\end_inset
+
+)
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+L (
+\begin_inset Formula $mH$
+\end_inset
+
+)
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $f=\frac{1}{2\pi}\sqrt{\frac{1}{LC}}$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $f_{mesurée}(Hz)$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+0,097
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+3,57
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+8552
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+8430
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+0,097
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+23,2
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+3354
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+3400
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+0,097
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+125
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1445
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1400
+\end_layout
+
+\end_inset
+</cell>
+</row>
+</lyxtabular>
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+Le signal est oscillant, il faut ajuster la résistance à
+\begin_inset Formula $R=\sqrt{\frac{4L}{C}}=\sqrt{\frac{4\times23.2e-3}{.097e-6}}=963\,\Omega$
+\end_inset
+
+ pour obtenir l'amortissement critique.
+ Le résultat avec une résistance en série de 1
+\begin_inset space ~
+\end_inset
+
+k
+\begin_inset Formula $\Omega$
+\end_inset
+
+ est montré dans la figure.
+\end_layout
+
+\begin_layout Standard
+Pourquoi le signal a-t-il augmenté d'amplitude après l'insertion du noyau
+ en ferrite
+\begin_inset space ~
+\end_inset
+
+?
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Condensateur dans des circuits en courant alternatif
+\begin_inset CommandInset label
+LatexCommand label
+name "sec:Capacitor-in-AC"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Explorer l'effet d'un condensateur en série dans des circuits en courant
+ alternatif, dans des conditions de régime permanent.
+\end_layout
+
+\begin_layout Subsection*
+Théorie
+\end_layout
+
+\begin_layout Standard
+L'impédance d'un condensateur est
+\begin_inset Formula $Z_{c}=\frac{1}{2\pi fC}$
+\end_inset
+
+
+\begin_inset space ~
+\end_inset
+
+, où
+\begin_inset Formula $f$
+\end_inset
+
+ est la fréquence en hertz et C est la capacité en farad.
+ Souvenez-vous du fonctionnement d'un condensateur déjà vu à la section
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "sec:Capacitor-charging-&"
+
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+
+\begin_inset Graphics
+	filename schematics/rc-steadystate.png
+	width 3cm
+
+\end_inset
+
+
+\lang french
+
+\begin_inset Graphics
+	filename pics/CRphaseshift-1uf560.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Copie d'écran montrant la tension totale aux bornes d'un circuit RC et la
+ tension aux bornes du condensateur.
+ C
+\begin_inset space ~
+\end_inset
+
+=
+\begin_inset space ~
+\end_inset
+
+1
+\begin_inset space ~
+\end_inset
+
+µF et R
+\begin_inset space ~
+\end_inset
+
+=
+\begin_inset space ~
+\end_inset
+
+560
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset Formula $\Omega$
+\end_inset
+
+.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:CRcircuit_voltages"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Matériel
+\end_layout
+
+\begin_layout Itemize
+Condensateur de 1
+\begin_inset space ~
+\end_inset
+
+µF
+\end_layout
+
+\begin_layout Itemize
+Résistance de 560
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset Formula $\Omega$
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Un voltmètre, si vous voulez mesurer la tension aux bornes des éléments
+ non directement connectés à la masse.
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Itemize
+Connecter un fil électrique entre SINE et A0
+\end_layout
+
+\begin_layout Itemize
+Connecter le condensateur entre A0 et A1
+\end_layout
+
+\begin_layout Itemize
+Connecter la résistance entre A1 et la masse [Ground].
+\end_layout
+
+\begin_layout Itemize
+Activer A1 aussi.
+ Ajuster l'échelle horizontale pour voir plus de 4 périodes.
+\end_layout
+
+\begin_layout Itemize
+Activer
+\begin_inset Quotes fld
+\end_inset
+
+FIT
+\begin_inset Quotes frd
+\end_inset
+
+ pour afficher la tension efficace (RMS), la fréquence, etc.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+Le signal d'entrée et la tension aux bornes de la résistance
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:CRcircuit_voltages"
+
+\end_inset
+
+.
+ La tension aux bornes du condensateur est calculable à l'aide de la loi
+ d'Ohm, on peut aussi le mesurer à l'aide d'un voltmètre.
+\end_layout
+
+\begin_layout Standard
+La somme des deux tensions semble supérieure à la tension totale appliquée
+ à l'association.
+\end_layout
+
+\begin_layout Standard
+La loi d'Ohm est-elle violée
+\begin_inset space ~
+\end_inset
+
+?
+\end_layout
+
+\begin_layout Standard
+Quelle erreur fait-on quand on additionne les tensions efficaces
+\begin_inset space ~
+\end_inset
+
+?
+\end_layout
+
+\begin_layout Standard
+\begin_inset Tabular
+<lyxtabular version="3" rows="2" columns="5">
+<features>
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $V_{Tot}$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $U_{R}$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $I=\frac{U_{R}}{R}$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $U_{c}=Z_{c}I$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $U_{R}+U_{c}$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+2.6
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+0,8
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+0.,0014
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+2,4
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+3,2
+\end_layout
+
+\end_inset
+</cell>
+</row>
+</lyxtabular>
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+\begin_inset Formula $Z_{c}=\frac{1}{2\pi fC}=\frac{1}{2\pi\times93.6\times1e-6}=1712\,\Omega$
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+\begin_inset Formula $U_{c}=Z_{c}I=1712\times0,0014$
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+On doit prendre en compte le déphasage introduit par le condensateur
+\begin_inset Foot
+status collapsed
+
+\begin_layout Plain Layout
+http://www.play-hookey.com/ac_theory/ac_rc_series.html
+\end_layout
+
+\end_inset
+
+.
+ Voir la section suivante.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Déphasage dans des circuits RC en courant alternatif
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Mesurer le déphasage aux bornes d'un condensateur dans un circuit RC en
+ courant alternatif.
+\end_layout
+
+\begin_layout Subsection*
+Théorie
+\end_layout
+
+\begin_layout Standard
+Dans un circuit RC, le déphasage aux bornes du condensateur est donné par
+ l'équation
+\begin_inset Formula $\triangle\Phi=\arctan\left(\frac{Z_{c}}{R}\right)$
+\end_inset
+
+, où R est la résistance et
+\begin_inset Formula $Z_{c}$
+\end_inset
+
+ est l'impédance du condensateur.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+
+\begin_inset Graphics
+	filename schematics/rc-steadystate.png
+	width 3cm
+
+\end_inset
+
+
+\lang french
+
+\begin_inset Graphics
+	filename pics/CRphaseshift-1uf560.png
+	lyxscale 50
+	width 5.5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Copie d'écran montrant le déphasage pour R
+\begin_inset space ~
+\end_inset
+
+=
+\begin_inset space ~
+\end_inset
+
+560
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset Formula $\Omega$
+\end_inset
+
+ et C
+\begin_inset space ~
+\end_inset
+
+=
+\begin_inset space ~
+\end_inset
+
+1
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset Formula $\mu F$
+\end_inset
+
+.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:RC phaseshift"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Matériel
+\end_layout
+
+\begin_layout Itemize
+Condensateur de 1
+\begin_inset space ~
+\end_inset
+
+µF
+\end_layout
+
+\begin_layout Itemize
+Résistance de 560
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset Formula $\Omega$
+\end_inset
+
+ (essayer aussi d'autres valeurs)
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Itemize
+Connecter un fil électrique entre SINE et A0
+\end_layout
+
+\begin_layout Itemize
+Connecter le condensateur entre A0 et A1
+\end_layout
+
+\begin_layout Itemize
+Connecter la résistance entre A1 et la masse [Ground].
+\end_layout
+
+\begin_layout Itemize
+Activer A1 aussi.
+ Ajuster l'échelle horizontale pour voir plus de 4 périodes.
+\end_layout
+
+\begin_layout Itemize
+Activer
+\begin_inset Quotes fld
+\end_inset
+
+FIT
+\begin_inset Quotes frd
+\end_inset
+
+ pour montrer la tension efficace (RMS), la fréquence et le déphasage [Phase
+ difference].
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+Les déphasages mesurés sont dans le tableau ci-dessous.
+ Les connexions et les signaux sont montrés à la figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:RC phaseshift"
+
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Tabular
+<lyxtabular version="3" rows="2" columns="5">
+<features>
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+C (µF)
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+R (k
+\begin_inset Formula $\Omega$
+\end_inset
+
+)
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Fréq (Hz)
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $\bigtriangleup\Phi$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $\arctan\left(\frac{Z_{c}}{R_{R}}\right)$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+560
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+93
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+71,3
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+71,9°
+\end_layout
+
+\end_inset
+</cell>
+</row>
+</lyxtabular>
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+où
+\begin_inset Formula $Z_{c}=\frac{1}{2\pi fC}$
+\end_inset
+
+ est l'impédance du condensateur à la fréquence 93
+\begin_inset space ~
+\end_inset
+
+Hz.
+\begin_inset Formula $Z_{R}$
+\end_inset
+
+ est la résistance.
+\end_layout
+
+\begin_layout Standard
+Le courant qui traverse un condensateur est déphasé par rapport à la tensions
+ à ses bornes de 90°.
+ Pourquoi
+\begin_inset space ~
+\end_inset
+
+?
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+Pourquoi la phase de la tension est-elle en avance
+\begin_inset space ~
+\end_inset
+
+? Admettons que nous avons connecté le courant alternatif à la plaque A
+ à l'instant
+\begin_inset Formula $t=t_{0}$
+\end_inset
+
+ où la tension d'alimentation est nulle.
+ Nous pouvons voir que la pente de la courbe est maximale là, c'est à dire
+ que le taux de changement de la tension est maximal.
+ Le condensateur est chargé très vite à ce moment-là.
+ La plaque B récolte aussi la même charge que la plaque A, c'est ainsi que
+ fonctionne une condensateur.
+ Le courant vers la plaque B circule depuis la masse à travers une résistance
+ et nous mesurons la chute de tension ohmique RI aux bornes de la résistance,
+ celle-ci sera déjà positive alors que la plaque A est à zéro volt.
+ Le résultat est une avance de phase.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Déphasage dans des circuits RL en courant alternatif
+\begin_inset CommandInset label
+LatexCommand label
+name "sec:Inductor-in-AC"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Mesurer le déphasage dans un circuit RL en courant alternatif.
+\end_layout
+
+\begin_layout Subsection*
+Théorie
+\end_layout
+
+\begin_layout Standard
+L'impédance d'un inducteur pur est
+\begin_inset Formula $Z_{L}=2\pi fL$
+\end_inset
+
+ , où
+\begin_inset Formula $f$
+\end_inset
+
+ est la fréquence en hertz et L est l'inductance en henry.
+ Dans un circuit LC, le déphasage aux bornes d'un inducteur pur est donné
+ par l'équation
+\begin_inset Formula $\triangle\Phi=\arctan\left(\frac{Z_{L}}{R}\right)$
+\end_inset
+
+, où R est la résistance en ohm.
+\end_layout
+
+\begin_layout Subsection*
+Matériel
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+
+\begin_inset Graphics
+	filename schematics/rl-steadystate.png
+	width 3cm
+
+\end_inset
+
+
+\lang french
+
+\begin_inset Graphics
+	filename pics/LRphaseshift-125mH-125ohm.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Circuit RL en régime sinusoïdal.
+ Déphasage aux bornes du bobinage.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:LR  phaseshift-screen"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Un bobinage, utiliser les solénoïdes fournis.
+\end_layout
+
+\begin_layout Itemize
+Résistances de 560
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset Formula $\Omega$
+\end_inset
+
+ et 1
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset Formula $k\Omega$
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Itemize
+Connecter un fil électrique entre SINE et A0
+\end_layout
+
+\begin_layout Itemize
+Connecter le bobinage entre A0 et A1
+\end_layout
+
+\begin_layout Itemize
+Connecter la résistance de 1000
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset Formula $\Omega$
+\end_inset
+
+ entre A1 et la masse [Ground].
+\end_layout
+
+\begin_layout Itemize
+Activer A1 aussi.
+ Ajuster l'échelle horizontale pour voir plus de 4 périodes.
+\end_layout
+
+\begin_layout Itemize
+Activer
+\begin_inset Quotes fld
+\end_inset
+
+FIT
+\begin_inset Quotes frd
+\end_inset
+
+ pour montrer la tension efficace [RMS], la fréquence et le déphasage [Phase
+ difference].
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+Les déphasages mesurés sont montrés ci-dessous.
+ Les signaux pour le bobinage de 125
+\begin_inset space ~
+\end_inset
+
+mH  sont montrés dans la figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:LR  phaseshift-screen"
+
+\end_inset
+
+.
+ Il faut aussi prendre en compte la résistance du bobinage en calculant
+ le déphasage.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Tabular
+<lyxtabular version="3" rows="3" columns="4">
+<features>
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+L (
+\begin_inset Formula $mH$
+\end_inset
+
+)
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $R=R_{bob}+R_{ext}$
+\end_inset
+
+(
+\begin_inset Formula $\Omega$
+\end_inset
+
+)
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $\bigtriangleup\Phi=\arctan\left(\frac{Z_{L}}{Z_{R}}\right)$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $\bigtriangleup\Phi_{mesuré}$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+125
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+565 + 560
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+3,71
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+-3,8
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+25
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+42 + 560
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1,39
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+-1,4
+\end_layout
+
+\end_inset
+</cell>
+</row>
+</lyxtabular>
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+Le courant dans un inducteur pur a un retard de phase de
+\begin_inset Formula $90^{0}$
+\end_inset
+
+
+\begin_inset Foot
+status collapsed
+
+\begin_layout Plain Layout
+http://www.play-hookey.com/ac_theory/ac_inductors.html
+\end_layout
+
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+Si on ne connaît pas la valeur de l'inductance d'un bobinage, on peut utiliser
+ cette expérience pour la déterminer à partir du déphasage observé avec
+ une valeur de résistance connue.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Matériau ferromagnétique dans un bobinage
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Observer l'effet de matériaux ferromagnétiques ajoutés dans un solénoïde.
+\end_layout
+
+\begin_layout Subsection*
+Théorie
+\end_layout
+
+\begin_layout Standard
+L'auto-inductance, ou inductance tout court [Self Inductance] d'un solénoïde
+ est donnée par
+\begin_inset Formula $L=\frac{\mu N^{2}A}{l}$
+\end_inset
+
+ , où N est le nombre de tours, A est l'aire de la section,
+\begin_inset Formula $\mu$
+\end_inset
+
+ est la perméabilité du matériau environnant et
+\begin_inset Formula $l$
+\end_inset
+
+ est la longueur.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+
+\begin_inset Graphics
+	filename schematics/rl-steadystate-ferrite.png
+	width 3cm
+
+\end_inset
+
+
+\lang french
+
+\begin_inset Graphics
+	filename pics/LRphaseshift_ferrite.png
+	lyxscale 50
+	width 5.5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Circuit RL.
+ Effet du noyau en ferrite.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Ferrite_LR-screen"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Matériel
+\end_layout
+
+\begin_layout Itemize
+Bobinage 1000
+\begin_inset space ~
+\end_inset
+
+tours
+\end_layout
+
+\begin_layout Itemize
+Résistance de 1
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset Formula $k\Omega$
+\end_inset
+
+ (on peut aussi utiliser d'autres valeurs)
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Itemize
+Connecter comme expliqué au
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "sec:Inductor-in-AC"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Insérer un noyau de ferrite dans la bobine et observer les changements
+\end_layout
+
+\begin_layout Itemize
+Recommencer avec la bobine de 3000
+\begin_inset space ~
+\end_inset
+
+tours.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+Le déphasage augmente de 3,7° à 11,6° à l'insertion du noyau de ferrite.
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+Le déphasage est passé de 3,7° à 11,6°, soit une augmentation de 3 environ
+ de l'inductance.
+ Cependant, dans ce cas il faux de supposer que la perméabilité du noyau
+ est 3.
+ Pourquoi
+\begin_inset space ~
+\end_inset
+
+? (examinez la géométrie)
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Intégration et différentiation RC
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Intégrer et différentier un signale carré à l'aide d'un circuit RC.
+\end_layout
+
+\begin_layout Subsection*
+Théorie
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/RCinteg.png
+	width 4cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/RCdiff.png
+	width 4cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/triwave_diff.png
+	width 1.6cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+(a)
+\begin_inset space ~
+\end_inset
+
+Intégrateur RC (b)
+\begin_inset space ~
+\end_inset
+
+Différentiateur RC (c)
+\begin_inset space ~
+\end_inset
+
+Signal carré, intégré et différentié.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:RC-Integ-diff"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+Pour le circuit présenté à la figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:RC-Integ-diff"
+
+\end_inset
+
+(a)
+\end_layout
+
+\begin_layout Standard
+\begin_inset Formula \[
+V_{sortie}=\frac{1}{RC}\int V_{entrée}dt\]
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+et pour ce lui présenté à la figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:RC-Integ-diff"
+
+\end_inset
+
+(b)
+\begin_inset Formula \[
+V_{sortie}=RC\frac{dV_{entrée}}{dt}\]
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+La figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:RC-Integ-diff"
+
+\end_inset
+
+(c) montre un signal carré, et ses contreparties intégrée et différentiée.
+ On comprend facilement comment le signal triangulaire est différentié deux
+ fois.
+ La pente positive constante du signal triangulaire donne la partie positive
+ du signal carré.
+ Quant on différentie le signal carré on obtient les pics aux fronts montants
+ et descendants.
+ Ce sont des cas idéaux.
+\end_layout
+
+\begin_layout Subsection*
+Matériel
+\end_layout
+
+\begin_layout Itemize
+Condensateur de 1
+\begin_inset space ~
+\end_inset
+
+µF
+\end_layout
+
+\begin_layout Itemize
+Résistance de 1
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset Formula $k\Omega$
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Standard
+
+\begin_inset Graphics
+	filename schematics/rc-integ.png
+	height 0.8cm
+
+\end_inset
+
+
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset Graphics
+	filename schematics/rc-diff.png
+	height 0.8cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Connecter un fil électrique entre SQR1 et A0
+\end_layout
+
+\begin_layout Itemize
+Connecter R entre SQR1 et A1
+\end_layout
+
+\begin_layout Itemize
+Connecter C entre A1 et la masse [Ground].
+\end_layout
+
+\begin_layout Itemize
+Activer A1.
+ Ajuster l'échelle horizontale pour voir plus de 4 périodes.
+\end_layout
+
+\begin_layout Itemize
+Fixer SQR1 à 20
+\begin_inset space ~
+\end_inset
+
+Hz, 100
+\begin_inset space ~
+\end_inset
+
+Hz et 1
+\begin_inset space ~
+\end_inset
+
+kHz et observer les signaux.
+\end_layout
+
+\begin_layout Itemize
+Échanger les positions de R et C et observer la différentiation.
+\end_layout
+
+\begin_layout Itemize
+Cliquer sur le bouton
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+buttonlabel{FT}
+\end_layout
+
+\end_inset
+
+ pour voir une transformée de Fourier.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/squarewave_interg20hz.png
+	lyxscale 50
+	width 3.6cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/squarewave_interg1khz.png
+	lyxscale 50
+	width 3.6cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/squarewave_diff20hz.png
+	lyxscale 50
+	width 3.6cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+(a)
+\begin_inset space ~
+\end_inset
+
+Intégration à 20
+\begin_inset space ~
+\end_inset
+
+Hz (b)
+\begin_inset space ~
+\end_inset
+
+Intégration à 1
+\begin_inset space ~
+\end_inset
+
+kHz (c)
+\begin_inset space ~
+\end_inset
+
+Différentiation à 20
+\begin_inset space ~
+\end_inset
+
+Hz.
+ Dans tous les cas R
+\begin_inset space ~
+\end_inset
+
+=
+\begin_inset space ~
+\end_inset
+
+1
+\begin_inset space ~
+\end_inset
+
+k
+\begin_inset Formula $\Omega$
+\end_inset
+
+ et C
+\begin_inset space ~
+\end_inset
+
+=
+\begin_inset space ~
+\end_inset
+
+1
+\begin_inset space ~
+\end_inset
+
+µF
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Effect-of-RCon squarewave"
+
+\end_inset
+
+.
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+Les intégrations observées à 20
+\begin_inset space ~
+\end_inset
+
+Hz et 1
+\begin_inset space ~
+\end_inset
+
+kHz sont montrées dans la figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Effect-of-RCon squarewave"
+
+\end_inset
+
+, en utilisant une base de temps RC de 1 milliseconde.
+ À 20
+\begin_inset space ~
+\end_inset
+
+Hz, le signal carré traverse le condensateur avec une faible distorsion.
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+Quand la période devient comparable avec la valeur de RC, la forme du signal
+ devient triangulaire.
+ La différentiation ne peut être montrée qu'à basse fréquence car la capture
+ du pic étroit de tension nécessite une oscilloscope rapide.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Analyse de Fourier
+\begin_inset CommandInset label
+LatexCommand label
+name "sec:Fourier-Transform-**"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+En apprendre au sujet de la transformée de Fourier d'un signal.
+ Représentations temporelle et fréquentielle.
+\end_layout
+
+\begin_layout Subsection*
+Matériel
+\end_layout
+
+\begin_layout Itemize
+Un bout de fil électrique.
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Itemize
+Connecter SINE à A0
+\end_layout
+
+\begin_layout Itemize
+Ajuster l'échelle horizontale pour voir plusieurs périodes.
+\end_layout
+
+\begin_layout Itemize
+Cliquer sur FT pour faire une transformation de Fourier
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Itemize
+Le signa sinusoïdal et sa transformée de Fourier sont montrés dans la figure
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Sine-wave-and"
+
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/sinewave.png
+	lyxscale 50
+	width 6cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/sine90hz-fft.png
+	lyxscale 15
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+(a)
+\begin_inset space ~
+\end_inset
+
+Signal sinusoïdal.
+ (b)
+\begin_inset space ~
+\end_inset
+
+Sa transformée de Fourier.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Sine-wave-and"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+L'affichage d'origine montrait l'amplitude en fonction du temps, et il s'appelle
+ donc représentation temporelle du signal.
+ Dans le graphique de la transformée de Fourier, la fréquence est sur l'axe-x
+ et l'ordonnée représente la force relative de composants fréquentiels du
+ signal.
+ Ceci s'appelle la représentation fréquentielle du signal
+\begin_inset Foot
+status open
+
+\begin_layout Plain Layout
+http://en.wikipedia.org/wiki/Fourier_transform
+\end_layout
+
+\end_inset
+
+.
+ Dans ce cas il n'y a qu'un pic principal.
+ Le petit pic à la fréquence triple est une mesure de la distorsion de notre
+ signal sinusoïdal.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Harmoniques composant un signal carré
+\end_layout
+
+\begin_layout Subsection*
+Matériel
+\end_layout
+
+\begin_layout Itemize
+Un bout de fil électrique.
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Itemize
+Connecter la borne 6 (SQR1) à la borne 26 (A0)
+\end_layout
+
+\begin_layout Itemize
+Entrer 100 dans le champ texte près de SQR1 et cliquer sur la flèche.
+\end_layout
+
+\begin_layout Itemize
+Activer la boîte à cocher jaune A0dans la partie droite de la fenêtre.
+\end_layout
+
+\begin_layout Itemize
+Ajuster l'échelle horizontale à 10 milliseconde par division.
+\end_layout
+
+\begin_layout Itemize
+Cliquez sur A0-FT
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/sqr1000Hz.png
+	lyxscale 50
+	width 5.5cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/sqr1000Hz-fft.png
+	lyxscale 15
+	width 5.5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Signal carré et sa transformée de Fourier
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Squarewave-and-it's"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Une nouvelle fenêtre s'ouvre et montre une trace comme dans la figure.
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+Les séries de Fourier décomposent n'importe quel signal périodique en la
+ somme d'un ensemble de simple fonctions oscillantes, à savoir des sinus
+ et des cosinus.
+ Un signal carré peut être représenté comme
+\begin_inset Formula $f(\theta)=sin(\theta)+\frac{sin(3\theta)}{3}+\frac{sin(5\theta)}{5}+\cdots$
+\end_inset
+
+.
+ Dans la transformée de Fourier d'un signal carré de fréquence
+\begin_inset Formula $f$
+\end_inset
+
+ , il y aura une composante
+\begin_inset Formula $3f$
+\end_inset
+
+ (avec comme amplitude le tiers de celle de
+\begin_inset Formula $f$
+\end_inset
+
+ ), une composante
+\begin_inset Formula $5f$
+\end_inset
+
+ (amplitude un cinquième) etc.
+ comme montré dans la figure.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Chapter
+Électricité et magnétisme
+\end_layout
+
+\begin_layout Standard
+On montre l'induction électromagnétique à l'aide d'un aimant mobile et d'un
+ bobinage alimenté en tension alternative.
+ La fabrication d'une transformateur est montrée à l'aide de deux bobines.
+ Un gnérateur de courant alternatif simple, capable de produire des signaux
+ avec plusieurs phases, et réalisé à l'aide d'un aimant tournant.
+\end_layout
+
+\begin_layout Section
+Induction électromagnétique
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Explorer la tension induite aux bornes d'un bobinage par un champ magnétique
+ changeant.
+\end_layout
+
+\begin_layout Subsection*
+Matériel
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/EMinduction-photo.jpg
+	lyxscale 50
+	width 5.8cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/em_induction.png
+	lyxscale 50
+	width 4.9cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Tension induite dans un bobinage par un aimant en mouvement.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:EM Induction"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Petits aimants cylindriques.
+\end_layout
+
+\begin_layout Itemize
+Bobinage 3000
+\begin_inset space ~
+\end_inset
+
+tours et un tube en papier pour guider l'aimant.
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Itemize
+Connecter le bobinage entre A0 et la masse [Ground].
+\end_layout
+
+\begin_layout Itemize
+Faire un clic droit sur le tableau de bord et ouvrir
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{
+\lang french
+EM Induction
+}
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Cliquer sur
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+buttonlabel{
+\lang french
+Start Scanning
+}
+\end_layout
+
+\end_inset
+
+.
+ Une trace horizontale devrait apparaître
+\end_layout
+
+\begin_layout Itemize
+Faire tomber l'aimant à travers la bobine jusqu'à ce qu'une trace soit capturée.
+\end_layout
+
+\begin_layout Itemize
+Répéter le processus en changeant des paramètres tels que la force de l'aimant,
+ la vitesse de chute, etc.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+Le résultat est montré à la figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:EM Induction"
+
+\end_inset
+
+.
+ L'amplitude augmente avec la vitesse de l'aimant.
+ À partir du graphique, on peut déterminer le temps que prend l'aimant pour
+ traverser la bobine.
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+Le deuxième pic est plus grand que le premier.
+ Pourquoi
+\begin_inset space ~
+\end_inset
+
+? À quelle place sera l'aimant au moment où la tension induite passe par
+ zéro
+\begin_inset space ~
+\end_inset
+
+?
+\end_layout
+
+\begin_layout Standard
+Laisser tomber l'aimant depuis des hauteurs variables et faire un graphique
+ de l'amplitude de la tension induite en fonction de la racine carrée de
+ la hauteur.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Un générateur de courant alternatif simple
+\begin_inset CommandInset label
+LatexCommand label
+name "sec:A-simple-AC"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Mesurer la fréquence et l'amplitude de la tension induite aux bornes d'un
+ solénoïde par un aimant tournant.
+ Comprendre un peu du fonctionnement des générateurs de courant alternatif
+ en examinant le résultat et les difficultés du montage.
+\end_layout
+
+\begin_layout Subsection*
+Matériel
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+
+\begin_inset Graphics
+	filename schematics/ac-gen.png
+	width 3cm
+
+\end_inset
+
+
+\lang french
+
+\begin_inset Graphics
+	filename pics/ACgen-output-30pct.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Tension en sortie du générateur de courant alternatif avec différentes vitesses
+ de rotation de l'aimant
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:AC generator output"
+
+\end_inset
+
+.
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+U aimant,D
+\begin_inset space ~
+\end_inset
+
+=
+\begin_inset space ~
+\end_inset
+
+10
+\begin_inset space ~
+\end_inset
+
+mm, L
+\begin_inset space ~
+\end_inset
+
+=
+\begin_inset space ~
+\end_inset
+
+10
+\begin_inset space ~
+\end_inset
+
+mm
+\end_layout
+
+\begin_layout Itemize
+moteur à courant continu
+\end_layout
+
+\begin_layout Itemize
+Bobine 300
+\begin_inset space ~
+\end_inset
+
+tours
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Itemize
+Connecter le moteur à courant continu à PULSE (borne 10), fixer l'aimant
+ horizontalement.
+\end_layout
+
+\begin_layout Itemize
+Connecter la bobine entre A0 et la masse [Ground].
+\end_layout
+
+\begin_layout Itemize
+Tenir la bobine perpendiculairement à l'axe de rotation du moteur, près
+ de l'aimant.
+ Attention de ne pas le toucher.
+\end_layout
+
+\begin_layout Itemize
+Régler PULSE à 10 (% de rapport cyclique)
+\end_layout
+
+\begin_layout Itemize
+Mesurer la fréquence et l'amplitude en activant
+\begin_inset Quotes fld
+\end_inset
+
+FIT
+\begin_inset Quotes frd
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Itemize
+Recommencer an changeant PULSE à 20 , 30 et 40 (PAS au-delà
+\begin_inset space ~
+\end_inset
+
+!)
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+La tension est montrée à la figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:AC generator output"
+
+\end_inset
+
+.
+ La vitesse du moteur est à peu près proportionnelles au rapport cyclique
+ (depuis 20% jusqu'à 40%)
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+Connecter une autre bobine à A1 et l'approcher aussi de l'aimant pour voir
+ deux signaux alternatifs avec des phases différentes.
+ On peut changer les phases relatives an modifiant la position angulaire
+ des bobines.
+\end_layout
+
+\begin_layout Standard
+Approcher une autre bobine court-circuitée près de l'aimant pour observer
+ les changements de fréquence.
+ La bobine court-circuitée soustrait de l'énergie au générateur et la vitesse
+ est réduite.
+\end_layout
+
+\begin_layout Standard
+Le champ magnétique dans ce générateur est très faible.
+ La résistance de la bobine est très élevée et si on essaie d'en tirer du
+ courant la plus grande partie de la tension sera perdue dans la bobine
+ elle-même.
+\begin_inset Foot
+status collapsed
+
+\begin_layout Plain Layout
+C'est plus sûr d'alimenter le moteur à partir d'une alimentation séparée
+ (de moins de 3 volt).
+ La sortie PULSE
+\shape smallcaps
+n'est pas adaptée
+\shape default
+ à ce travail, on crée pratiquement un court-circuit d'une borne de sortie
+ du micro-contrôleur.
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Induction mutuelle, transformateur
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Démontrer l'inductance mutuelle entre deux bobines, réalisation d'un transformat
+eur.
+\end_layout
+
+\begin_layout Subsection*
+Matériel
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+
+\begin_inset Graphics
+	filename schematics/transformer.png
+	width 3cm
+
+\end_inset
+
+
+\lang french
+
+\begin_inset Graphics
+	filename pics/mutual_induction.png
+	lyxscale 50
+	width 3.4cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/mutual_induction_Ecore_1kload.png
+	lyxscale 50
+	width 3.4cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Induction mutuelle entre deux bobines.
+ (a)
+\begin_inset space ~
+\end_inset
+
+Le montage.
+ (b)
+\begin_inset space ~
+\end_inset
+
+Avec un noyau en ferrite (c)
+\begin_inset space ~
+\end_inset
+
+Avec deux noyaux en forme de E
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Mutual-Induction-between"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Deux bobines, chacune de 3000
+\begin_inset space ~
+\end_inset
+
+tours.
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Itemize
+Connecter la première bobine entre SINE et la masse [Ground].
+\end_layout
+
+\begin_layout Itemize
+Un fil électrique entre SINE et A0, pour observer le signal d'entrée.
+\end_layout
+
+\begin_layout Itemize
+La deuxième bobine en A1 et la masse [Ground].
+\end_layout
+
+\begin_layout Itemize
+Aligner les bobines et insérer le noyau de ferrite à travers.
+\end_layout
+
+\begin_layout Itemize
+Activer A1 et
+\begin_inset Quotes fld
+\end_inset
+
+FIT
+\begin_inset Quotes frd
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+Le signal appliqué et le signal induit sont montrés dans la figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Mutual-Induction-between"
+
+\end_inset
+
+.
+ Un champ magnétique changeant est la cause de la tension induite.
+ Dans les deux expériences précédentes, le champ magnétique changeant était
+ créé par le mouvement d'aimants permanents.
+ Dans le cas présent le champ magnétique changeant est créé par un courant
+ variable dans le temps.
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+Le signal de sortie devrait être en phase avec le signal d'entrée selon
+ la théorie.
+\begin_inset Foot
+status collapsed
+
+\begin_layout Plain Layout
+http://sound.westhost.com/xfmr.htm
+\end_layout
+
+\end_inset
+
+ Cependant, ça ne se produit pas tant que le couplage est insuffisant.
+\end_layout
+
+\begin_layout Standard
+Avec plus de ferrite, le déphasage devient conforme à la théorie.
+\end_layout
+
+\begin_layout Standard
+Essayer de faire cette expérience avec un signal carré de 100
+\begin_inset space ~
+\end_inset
+
+Hz, 1000
+\begin_inset space ~
+\end_inset
+
+Hz, etc.
+ Connecter une résistance de 1
+\begin_inset space ~
+\end_inset
+
+k
+\begin_inset Formula $\Omega$
+\end_inset
+
+ entre le secondaire et la masse pour éviter les battements.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Électro-aimant, solénoïde
+\begin_inset CommandInset label
+LatexCommand label
+name "sec:Magnetic-Effect-of"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Montrer l'effet magnétique d'un courant, en utilisant un solénoïde et un
+ aimant permanent.
+\end_layout
+
+\begin_layout Subsection*
+Matériel
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/coil-magnetpendulum-photo.JPG
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/solenoid_field.jpg
+	lyxscale 40
+	width 6cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+(a)
+\begin_inset space ~
+\end_inset
+
+Solénoïde parcouru par un courant repoussant un aimant permanent.
+ (b)
+\begin_inset space ~
+\end_inset
+
+Champ magnétique d'un solénoïde parcouru par un courant.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Solenoid Magnetic-field"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Solénoïde (bobinage).
+\end_layout
+
+\begin_layout Itemize
+Deux aimants de forme bouton.
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Itemize
+Connecter le solénoïde entre OD0 et la masse [Ground].
+\end_layout
+
+\begin_layout Itemize
+Réaliser un pendule en utilisant une bandelette de papier et les aimants.
+\end_layout
+
+\begin_layout Itemize
+Suspendre le pendule près du solénoïde comme montré à la figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Solenoid Magnetic-field"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Porter OD0 à l'état HAUT et observer la force.
+\end_layout
+
+\begin_layout Itemize
+Retourner le sens du pendule
+\end_layout
+
+\begin_layout Itemize
+Placer le pendule de l'autre côté du solénoïde.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+Le solénoïde se comporte exactement comme un aimant droit.
+ Suspendre le pendule à aimant près du bobinage et changer le sens du courant
+ en échangeant les fils connectées à OD0 et à la masse.
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+Le champ magnétique d'un solénoïde est montré à la figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Solenoid Magnetic-field"
+
+\end_inset
+
+.
+ La direction du champ dépend de la direction du courant.
+\end_layout
+
+\begin_layout Standard
+Trouver le sens d'enroulement du solénoïde d'après les observations précédentes.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Freinage par courants de Foucault
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Démontrer l'effet des courantes de Foucault en déplaçant un conducteur perpendic
+ulairement à un champ magnétique.
+\end_layout
+
+\begin_layout Subsection*
+Matériel
+\end_layout
+
+\begin_layout Itemize
+moteur à courant continu
+\end_layout
+
+\begin_layout Itemize
+Disque d'aluminium annulaire
+\end_layout
+
+\begin_layout Itemize
+Aimant
+\begin_inset Formula $10\, mm\times10\, mm$
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Itemize
+Fixer le disque sur le moteur à l'aide d'une bande adhésive
+\end_layout
+
+\begin_layout Itemize
+Connecter le moteur entre PULSE et la masse [Ground]
+\end_layout
+
+\begin_layout Itemize
+Régler PULSE à 30%
+\end_layout
+
+\begin_layout Itemize
+Approcher l'aimant près de la surface du disque.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+La vitesse de rotation diminue quand l'aimant est approché de la surface
+ du disque.
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+Les courants de Foucault sont créés quand un conducteur subit des changements
+ de champ magnétique.
+ si soit le conducteur se déplace dans un champ magnétique immobile, soit
+ le champ magnétique change autour d'un conducteur immobile, des courants
+ de Foucault apparaissent dans le conducteur.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Chapter
+Le son
+\end_layout
+
+\begin_layout Standard
+On génère du son à l'aide de signaux électriques et la fréquence du son
+ est medurée en le convertissant à nouveau en signal électrique.
+ On explore la réflexion et l'interférence sonores.
+ La vitesse du son est mesurée en observant le déphasage du son numérisé
+ avec la distance.
+\end_layout
+
+\begin_layout Section
+Générer du son
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Trouver des réponses à quelques questions comme
+\begin_inset space ~
+\end_inset
+
+:
+\end_layout
+
+\begin_layout Itemize
+Quelle est la description du son
+\begin_inset space ~
+\end_inset
+
+?
+\end_layout
+
+\begin_layout Itemize
+Quelles sont les caractéristiques des ondes sonores
+\begin_inset space ~
+\end_inset
+
+?
+\end_layout
+
+\begin_layout Itemize
+Comment créer et détecter un son
+\begin_inset space ~
+\end_inset
+
+?
+\end_layout
+
+\begin_layout Subsection*
+Matériel
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/sound-speaker-photo.jpg
+	lyxscale 30
+	width 6cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Générer du son à partir de signaux électriques.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Generating sound"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Haut-parleur
+\end_layout
+
+\begin_layout Itemize
+Disque piézo-électrique
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Itemize
+Connecter le haut-parleur de 150
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset Formula $\Omega$
+\end_inset
+
+ entre SQR1 et GND
+\end_layout
+
+\begin_layout Itemize
+Régler SQR1 à 1000
+\begin_inset space ~
+\end_inset
+
+Hz.
+\end_layout
+
+\begin_layout Itemize
+Écouter le son.
+\end_layout
+
+\begin_layout Itemize
+Changer la fréquence pour noter la différence dans le son généré.
+\end_layout
+
+\begin_layout Itemize
+Recommencer la même chose avec le disque piézo aussi.
+\end_layout
+
+\begin_layout Itemize
+Faire un clic droit sur le tableau de bord et ouvrir
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{Music}
+\end_layout
+
+\end_inset
+
+ dans le menu contextuel.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Itemize
+La hauteur du son produit dépend de la fréquence.
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+Comment le haut-parleur produit-il du son
+\begin_inset space ~
+\end_inset
+
+? Quand un courant alternatif est appliqué, le diaphragme du haut parleur
+ oscille d'avant en arrière.
+ Quand il va vers l'avant, il pousse l'air en face de lui et créer un région
+ comprimée ou de haute pression.
+ Ensuite il recule en créant une zone de basse pression juste derrière la
+ zone de haute pression créée précédemment, et termine son premier cycle.
+ Au déplacement suivant vers l'avant, une autre zone de haute pression est
+ créée et se place derrière les zones de basse et de haute pression créées
+ lors du cycle précédent.
+ Ce procédé se répète et les zones de pression alternativement haute et
+ basse voyagent vers l'avant.
+ Ceci est le son.
+\end_layout
+
+\begin_layout Standard
+Quand on génère des fréquences différentes on crée une mélodie.
+ Cependant la richesse vient d'un juste dosage des harmoniques pour chaque
+ fréquence, c'est quelque chose qu'on ne peut pas contrôler à l'aide de
+ notre montage.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+La fréquence du son
+\begin_inset CommandInset label
+LatexCommand label
+name "sec:Sound Frequency"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Mesurer la fréquence du son en le convertissant en signal électrique.
+\end_layout
+
+\begin_layout Subsection*
+Matériel
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+
+\begin_inset Graphics
+	filename schematics/sound-freq.png
+	width 3cm
+
+\end_inset
+
+
+\lang french
+
+\begin_inset Graphics
+	filename pics/sound3012hz.png
+	lyxscale 50
+	width 5.4cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/sound2000hz.png
+	lyxscale 50
+	width 5.4cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Numériser le son.
+ (a)
+\begin_inset space ~
+\end_inset
+
+branchements (b)
+\begin_inset space ~
+\end_inset
+
+3012
+\begin_inset space ~
+\end_inset
+
+Hz (c)
+\begin_inset space ~
+\end_inset
+
+2000
+\begin_inset space ~
+\end_inset
+
+Hz.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Digitized sound screen"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Montage à microphone
+\end_layout
+
+\begin_layout Itemize
+Disque piézo
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Itemize
+Connecter le microphone entre les bornes 15 et 16.
+ Résistance de polarisation en UPV
+\end_layout
+
+\begin_layout Itemize
+Connecter la sortie de l'amplificateur (borne 13) à A0.
+\end_layout
+
+\begin_layout Itemize
+Régler UPV à 5
+\begin_inset space ~
+\end_inset
+
+V.
+\end_layout
+
+\begin_layout Itemize
+Connecter le haut-parleur de 150
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset Formula $\Omega$
+\end_inset
+
+ entre SQR1 et la masse [Ground].
+\end_layout
+
+\begin_layout Itemize
+Régler SQR1 à 3000
+\begin_inset space ~
+\end_inset
+
+Hz et placer le haut-parleur face au microphone.
+\end_layout
+
+\begin_layout Itemize
+Observer le signal et ajuster la base de temps.
+\end_layout
+
+\begin_layout Itemize
+Activer
+\begin_inset Quotes fld
+\end_inset
+
+FIT
+\begin_inset Quotes frd
+\end_inset
+
+ pour mesurer la fréquence
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+\begin_inset Tabular
+<lyxtabular version="3" rows="3" columns="2">
+<features>
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+fréq.
+ de consigne
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+fréq.
+ mesurée
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+3012,0
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+3011,94
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+2000
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+2000,46
+\end_layout
+
+\end_inset
+</cell>
+</row>
+</lyxtabular>
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+En modélisant les données numérisées, on peut récupérer l'information de
+ fréquence.
+ Cependant, le signal paraît plus propre aux environs de 3000
+\begin_inset space ~
+\end_inset
+
+Hz.
+\end_layout
+
+\begin_layout Standard
+La sortie à 2000
+\begin_inset space ~
+\end_inset
+
+Hz contient une composante à 6000
+\begin_inset space ~
+\end_inset
+
+Hz.
+ On peut voir la différence en comparant les spectres de fréquence de chaque
+ enregistrement, cliquer sur FT pour cela.
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+Les ondes sonores créent des variations de pression dans les milieux qu'elles
+ traversent.
+ Le microphone génère une tension qui est proportionnelle à la pression.
+ Comme ce signal est très faible, on l'amplifie 50 fois avant de le numériser.
+ Les variations de tension son en rapport avec les variations de pression.
+ On peut considérer le microphone comme un capteur de pression, mais qui
+ fonctionne uniquement pour les pressions variant avec le temps.
+\end_layout
+
+\begin_layout Standard
+Quand on recommence l'expérience on peut obtenir des résultats étranges
+ à première vue.
+ Une alimentation à la fréquence de 100
+\begin_inset space ~
+\end_inset
+
+Hz vous donnera une fréquence proche de 3900
+\begin_inset space ~
+\end_inset
+
+Hz, mais avec une amplitude faible.
+ La résonance intervient là aussi.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+La vitesse du son
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Calculer la vitesse du son en mesurant la variation de la pression dans
+ l'air en fonction de la distance.
+\end_layout
+
+\begin_layout Subsection*
+Matériel
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+
+\begin_inset Graphics
+	filename schematics/sound-vel.png
+	width 4cm
+
+\end_inset
+
+
+\lang french
+
+\begin_inset Graphics
+	filename pics/sound_waves.png
+	lyxscale 80
+	width 6.2cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+(a)
+\begin_inset space ~
+\end_inset
+
+Montage expérimental (b)
+\begin_inset space ~
+\end_inset
+
+Schéma de la propagation d'ondes sonores, et de la variation du signal du
+ microphone avec la pression.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Sound-waves"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Disque piézo
+\end_layout
+
+\begin_layout Itemize
+Montage à microphone
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Itemize
+Connecter le disque piézo entre SQR1 et la masse [Ground].
+\end_layout
+
+\begin_layout Itemize
+Connecter le montage à microphone et régler UPV à 5
+\begin_inset space ~
+\end_inset
+
+V.
+\end_layout
+
+\begin_layout Itemize
+Connecter la sortie de l'amplificateur à A0 et SQR1 à A1
+\end_layout
+
+\begin_layout Itemize
+Faire un clic droit sur le tableau de bord et démarrer
+\shape italic
+\emph on
+
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{Velocity of Sound}
+\end_layout
+
+\end_inset
+
+
+\shape default
+\emph default
+depuis le menu contextuel
+\end_layout
+
+\begin_layout Itemize
+Placer le disque piézo sur le côté, posé sur une pièce de tissu doux, en
+ face du microphone.
+\end_layout
+
+\begin_layout Itemize
+Ajuster la distance pour avoir des signaux en phase.
+\end_layout
+
+\begin_layout Itemize
+Déplacer le microphone pour mettre les signaux en opposition de phase, sans
+ bouger le tissu.
+\end_layout
+
+\begin_layout Itemize
+Mesurer la distance depuis le bord du tissu jusqu'à la position courante.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/sound_inphase.png
+	lyxscale 50
+	width 5.4cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/sound_outofphase.png
+	lyxscale 50
+	width 5.4cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Amplitude du son capté à deux positions séparées d'une demi-longueur d'onde.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Sound-amplitude-captured"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+L'amplitude du son capté en deux points est montrée dans la figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Sound-amplitude-captured"
+
+\end_inset
+
+.
+ Le signal carré est la tension qui commande la disque piézo.
+ Pour changer la phase de l'onde sinusoïdale issue du microphone, de 180°
+ (demi-longueur d'onde) le microphone est déplacé de 4,3
+\begin_inset space ~
+\end_inset
+
+cm.
+ La vitesse du son est donnée par
+\begin_inset Formula $v=f\lambda=4000\times2\times0.043=344\, m\cdot s^{-1}$
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+Le son voyage comme une série de zones en surpression et en dépression.
+ La partie basse de la figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Sound-waves"
+
+\end_inset
+
+ montre les régions de haute et basse pression le long de la direction de
+ déplacement de l'onde sonore.
+ La pression en fonction du temps en un point fixe de ce chemin est donnée
+ par le signal issu du microphone, comme montré dans la partie haute de
+ la figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Sound-waves"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+On peut représenter la variation de la pression en tout point par rapport
+ à sa variation au point de départ.
+ La phase relative des deux signaux change quand on bouge le microphone.
+ Un déplacement d'une longueur d'onde changera la phase de 360°.
+ On a fait un déplacement d'une demi-longueur d'onde pour avoir une différence
+ de phase de 180°.
+ La vitesse du son peut être calculée en multipliant la fréquence par la
+ longueur d'onde mesurée.
+\end_layout
+
+\begin_layout Standard
+Pourquoi utiliser un tissu doux replié
+\begin_inset space ~
+\end_inset
+
+? Pourquoi ne pas utiliser une surface dure
+\begin_inset space ~
+\end_inset
+
+?
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+La réflexion du son
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Étudier la nature de la réflexion du son par une surface dure
+\end_layout
+
+\begin_layout Subsection*
+Matériel
+\end_layout
+
+\begin_layout Itemize
+Microphone à condensateur
+\end_layout
+
+\begin_layout Itemize
+Disque piézo-électrique, alimenté par SQR1
+\end_layout
+
+\begin_layout Itemize
+Un carré dur de
+\begin_inset Formula $10\, cm\times10\, cm$
+\end_inset
+
+, en plastique ou en carton
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Itemize
+Connecter le microphone comme expliqué à la section
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "sec:Sound Frequency"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Connecter le disque piézo entre SQE1 et la masse [Ground].
+\end_layout
+
+\begin_layout Itemize
+Fixer le microphone et le disque piézo face à la même direction.
+\end_layout
+
+\begin_layout Itemize
+Observer l'amplitude en A0.
+\end_layout
+
+\begin_layout Itemize
+Placer le carré dur en face et refaire la capture.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+Le son est reflété par une surface dure.
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+Changer l'orientation du réflecteur et observer les modifications.
+ Comment cela peut-il être comparé avec la réflexion de la lumière par un
+ miroir (ou plutôt sur une feuille de papier blanc)
+\begin_inset space ~
+\end_inset
+
+?
+\end_layout
+
+\begin_layout Standard
+Essayer la réflexion sur une surface molle comme du tissu ou une éponge
+\end_layout
+
+\begin_layout Standard
+L'effet de la réflection est ce qui nous a obligé à utiliser une surface
+ en tissu dans l'expérience précédente.
+ Essayer de placer une surface dure parallèle à la direction du son pour
+ voir l'effet sur la différence de phase.
+ Le son qui voyage directement interfère avec la part qui est reflétée sur
+ la surface dure, ce qui modifie la phase au niveau du microphone.
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Les interférences sonores
+\begin_inset CommandInset label
+LatexCommand label
+name "sec:Interference-of-sound"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Étudier les interférences sonores de sons issus de deux sources individuelles
+\end_layout
+
+\begin_layout Subsection*
+Matériel
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+
+\begin_inset Graphics
+	filename schematics/sound-beats.png
+	width 5cm
+
+\end_inset
+
+
+\lang french
+
+\begin_inset Graphics
+	filename pics/sound_beats.png
+	lyxscale 40
+	width 6cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Battements créés à l'aide de deux fréquences voisines.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:SoundBeats"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Microphone à condensateur
+\end_layout
+
+\begin_layout Itemize
+Deux disques piézo, alimentés par SQR1 et PULSE
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Itemize
+Connecter le microphone comme expliqué à la section
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "sec:Sound Frequency"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Connecter un disque piézo entre SQR1 et la masse [Ground] et l'autre entre
+ PULSE et la masse.
+\end_layout
+
+\begin_layout Itemize
+Faire un clic droit sur le tableau de contrôle et démarrer
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{Interference of Sound}
+\end_layout
+
+\end_inset
+
+depuis le menu contextuel
+\end_layout
+
+\begin_layout Itemize
+Régler SQR1 à 4200
+\begin_inset space ~
+\end_inset
+
+Hz et PULSE à 3800
+\begin_inset space ~
+\end_inset
+
+Hz
+\end_layout
+
+\begin_layout Itemize
+Ajuster les distances pour obtenir un motif de battements clair.
+\end_layout
+
+\begin_layout Itemize
+Recommencer avec d'autres valeurs de fréquences.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+Les fréquences individuelles sont 4201,7
+\begin_inset space ~
+\end_inset
+
+Hz et 3816,8
+\begin_inset space ~
+\end_inset
+
+Hz, elles diffèrent de 384,9
+\begin_inset space ~
+\end_inset
+
+Hz.
+ D'après la figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:SoundBeats"
+
+\end_inset
+
+ on peut voir qu'une enveloppe d'onde dure environ 2,65
+\begin_inset space ~
+\end_inset
+
+ms, c'est à dire une fréquence proche de 380 Hz.
+ La demi-longueur d'onde est la distance entre deux points de pression minimale.
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+La puissance relative des composants fréquentiels individuels peut être
+ mesurée en prenant une transformée de Fourier du signal de sortie.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Analyser de la musique
+\begin_inset Foot
+status open
+
+\begin_layout Plain Layout
+Contribution de jithinbp at gmail.com
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Matériel
+\end_layout
+
+\begin_layout Itemize
+Une guitare avec quelqu'un qui sait s'en servir
+\end_layout
+
+\begin_layout Itemize
+Montage à microphone
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Itemize
+Connecter le microphone entre les bornes 15 et 16
+\end_layout
+
+\begin_layout Itemize
+Relier le fil de polarisation à UPV et le régler à 5
+\begin_inset space ~
+\end_inset
+
+V
+\end_layout
+
+\begin_layout Itemize
+Jouer différentes notes et cliquer sur FT pour faire la transformée de Fourier
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+Les résultats sont montrés dans la figure
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Fourier-analysis-of Music"
+
+\end_inset
+
+.
+ La corde de base Mi est utilisée.
+ Les relations entre les notes sont données par
+\end_layout
+
+\begin_layout Standard
+\align center
+\begin_inset Tabular
+<lyxtabular version="3" rows="2" columns="8">
+<features>
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Do
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Ré
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Mi
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Fa
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Sol
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+La
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Si
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Do
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+9/8
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+5/4
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+4/3
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+3/2
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+5/3
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+15/8
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+2
+\end_layout
+
+\end_inset
+</cell>
+</row>
+</lyxtabular>
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/sariga.png
+	lyxscale 50
+	width 6cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Analyse de Fourier de notes de musique.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Fourier-analysis-of Music"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Vitesse du son, avec des ultra-sons
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Mesurer la vitesse du son d'après le temps de vol de salves d'ultrasons.
+\end_layout
+
+\begin_layout Subsection*
+Matériel
+\end_layout
+
+\begin_layout Standard
+
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+
+\begin_inset Graphics
+	filename pics/40kHz-piezo-photo.jpg
+	lyxscale 50
+	width 4cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename schematics/ultra-sound.png
+	width 3cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+
+\begin_inset Caption
+
+\begin_layout Plain Layout
+
+(a)Montage expérimental (b) Connexions
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Ultrasound"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Émetteur et récepteur piéeo 40
+\begin_inset space ~
+\end_inset
+
+kHz
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Itemize
+Connecter l'émetteur piézo entre OD1 et la masse [Ground]
+\end_layout
+
+\begin_layout Itemize
+Le récepteur entre la borne 15 et la masse [Ground].
+\end_layout
+
+\begin_layout Itemize
+Faire un clic droit et ouvrir
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{40 kHz Piezo TOF}
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Placer l'émetteur et le récepteur en face l'un de l'autre à 5
+\begin_inset space ~
+\end_inset
+
+cm
+\end_layout
+
+\begin_layout Itemize
+Mesurer le temps de vol
+\end_layout
+
+\begin_layout Itemize
+Recommencer aussi à 6 et 7
+\begin_inset space ~
+\end_inset
+
+cm
+\end_layout
+
+\begin_layout Itemize
+Calculer la vitesse du son à partir des différences de distance et de temps
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+
+\begin_inset Tabular
+<lyxtabular version="3" rows="4" columns="2">
+<features>
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+
+Distance
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+
+Temps
+\begin_inset space ~
+\end_inset
+
+(µs)
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+
+4
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+
+223
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+
+5
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+
+253
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+
+6
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+
+282
+\end_layout
+
+\end_inset
+</cell>
+</row>
+</lyxtabular>
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+Dans cette expérience, on utilise une paire de craistaux piézo-électriques
+ 40
+\begin_inset space ~
+\end_inset
+
+kHz pour étudier la propagation du son dans l'air.
+ On applique une impulsion de 5
+\begin_inset space ~
+\end_inset
+
+V, large de 13
+\begin_inset space ~
+\end_inset
+
+µs, à l'émetteur piézo afin qu'il entre en vibration mécanique et génère
+ une salve sonore à 40
+\begin_inset space ~
+\end_inset
+
+kHz.
+ Le récepteur piézo placé à distance convertit ces ondes sonores à nouveau
+ en signal électrique.
+ Ceci est amplifié et l'intervalle de temps entre l'impulsion et l'arrivée
+ des ondes au récepteur est mesuré.
+\end_layout
+
+\begin_layout Standard
+Afin d'éliminer les erreurs systématiques comme le temps de réponse du l'émetteu
+r, les délais d'amplification, etc., on utilise les différences en temps
+ avec les changements de distance.
+
+\begin_inset Formula $0,02/(0,000282-0,000223)=338\, m\cdot s^{-1}$
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Oscillations forcées d'un cristal piézo-électrique
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Étudier la comportement d'un disque piézo-électrique à plusieurs fréquences
+ d'excitation.
+ Il s'agit juste d'une exploration.
+\end_layout
+
+\begin_layout Subsection*
+Matériel
+\end_layout
+
+\begin_layout Itemize
+Disque piézo
+\end_layout
+
+\begin_layout Itemize
+Montage à microphone
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Itemize
+Connecter le piézo entre SQR1 et la masse [Ground]
+\end_layout
+
+\begin_layout Itemize
+Connecter le microphone entre les bornes 15, 16 et 31
+\end_layout
+
+\begin_layout Itemize
+Faire un clic droit et ouvrir
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{Interference of Sound}
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Placer l'émetteur et le récepteur face à face
+\end_layout
+
+\begin_layout Itemize
+Régler NS (nombre d'échantillons) à 800
+\end_layout
+
+\begin_layout Itemize
+Cliquer SQR1, le régler à 200
+\end_layout
+
+\begin_layout Itemize
+Cliquer
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+buttonlabel{START}
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Ajuster la distance et cliquer sur
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+buttonlabel{FFT}
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Changer SQR1 à 500, désactiver et activer
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+buttonlabel{START}
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+La fréquence de résonance di cristal pizo est proche de 3600
+\begin_inset space ~
+\end_inset
+
+Hz, où il donne son amplitude maximale comme montré à la figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Piezo-Sound-output"
+
+\end_inset
+
+(a).
+ Quand la fréquence d'excitation est 100 Hz, le piézo reçoit une impulsion
+ toutes les 5
+\begin_inset space ~
+\end_inset
+
+ms, comme montré à la figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Piezo-Sound-output"
+
+\end_inset
+
+(b), c'est à dire aux fronts montants et descendants du signal carré excitateur.
+\end_layout
+
+\begin_layout Standard
+
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+
+\begin_inset Graphics
+	filename pics/piezo-3600hz.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/piezo-100hz.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+
+\begin_inset Caption
+
+\begin_layout Plain Layout
+
+Sortie sonore du piézo (a)
+\begin_inset space ~
+\end_inset
+
+fréquence d'excitation de 3625
+\begin_inset space ~
+\end_inset
+
+Hz (b)
+\begin_inset space ~
+\end_inset
+
+fréquence d'excitation de 100
+\begin_inset space ~
+\end_inset
+
+Hz
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Piezo-Sound-output"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+
+\begin_inset Graphics
+	filename pics/piezo-fft-100hz.png
+	lyxscale 70
+	width 5cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/piezo-fft-500hz.png
+	lyxscale 70
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+
+\begin_inset Caption
+
+\begin_layout Plain Layout
+
+Spectre de puissance de Fourier du son du disque piézo.
+ (a)
+\begin_inset space ~
+\end_inset
+
+Excité à 100
+\begin_inset space ~
+\end_inset
+
+Hz (b)
+\begin_inset space ~
+\end_inset
+
+Excité à 500
+\begin_inset space ~
+\end_inset
+
+Hz.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Piezo-Fourier-spectrum"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Chapter
+Électronique
+\end_layout
+
+\begin_layout Standard
+Les éléments non-linéaires comme les diodes et les transistors sont étudiés
+ en traçant leurs courbes caractéristiques et en réalisant des circuits
+ simples pour montrer leur fonctionnement.
+ Le photo-transistor est utilisé pour les mesures de transparence, la transmissi
+on de signal optique et pour chronométrer des mouvements mécaniques.
+ On explore la modulation d'amplitude et de fréquence.
+\end_layout
+
+\begin_layout Section
+Redresseur demi-onde, jonction PN
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Apprendre le fonctionnement d'une jonction PN de diode.
+ Créer un courant continu à partit d'un courant alternatif sinusoïdal.
+ Filtrage pour réduire la composante alternative.
+\end_layout
+
+\begin_layout Subsection*
+Matériel
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+
+\begin_inset Graphics
+	filename schematics/half-wave.png
+	width 3cm
+
+\end_inset
+
+
+\lang french
+
+\begin_inset Graphics
+	filename pics/diode-halfwave.png
+	lyxscale 50
+	width 5.7cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Une diode utilisée comme redresseur demi-onde.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Diode-rectifier"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Une diode 1N4148, une résistance de 1
+\begin_inset space ~
+\end_inset
+
+k
+\begin_inset Formula $\Omega$
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+des condensateurs de 1
+\begin_inset space ~
+\end_inset
+
+µF et 100
+\begin_inset space ~
+\end_inset
+
+µF.
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Itemize
+Connecter SINE à A0
+\end_layout
+
+\begin_layout Itemize
+La diode entre A0 et A1
+\end_layout
+
+\begin_layout Itemize
+La résistance entre A1 et la masse [Ground]
+\end_layout
+
+\begin_layout Itemize
+Visualiser les signaux de A0 et A1
+\end_layout
+
+\begin_layout Itemize
+Ajouter le condensateur entre A1 et la masse [Ground]
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/diode-halfwave-1uF.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/diode-halfwave-100uF.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Redresseur avec filtrage.
+ (a)
+\begin_inset space ~
+\end_inset
+
+1
+\begin_inset space ~
+\end_inset
+
+µF (b)
+\begin_inset space ~
+\end_inset
+
+100
+\begin_inset space ~
+\end_inset
+
+µF.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Rectifier-with-Filter"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+L'alternance négative est retirée par la diode comme montré dans la figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Diode-rectifier"
+
+\end_inset
+
+.
+ Notez aussi que la tension de l'alternance positive est réduite d'environ
+ 0,7
+\begin_inset space ~
+\end_inset
+
+V, la tension de seuil d'une diode au silicium.
+ Une résistance de charge est nécessaire au bon fonctionnement du montage,
+ on pourrait choisir plus que 1 k
+\begin_inset Formula $\Omega$
+\end_inset
+
+ mais
+\shape smallcaps
+il ne faut pas utiliser
+\shape default
+ des valeurs trop faibles comme notre source de courant alternatif ne peut
+ donner qu'un courant de 5
+\begin_inset space ~
+\end_inset
+
+mA.
+\end_layout
+
+\begin_layout Standard
+L'effet du condensateur est montré dans la figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Rectifier-with-Filter"
+
+\end_inset
+
+.
+ On peut voir que le condensateur se charge et que pendant l'alternance
+ manquante il maintient la tension.
+ La composante alternative restante est appelée
+\begin_inset Quotes fld
+\end_inset
+
+ondulation résiduelle
+\begin_inset Quotes frd
+\end_inset
+
+ [ripple] dans le courant continu.
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+Peut-on utiliser une très grande capacité pour réduire l'ondulation résiduelle
+\begin_inset space ~
+\end_inset
+
+?
+\end_layout
+
+\begin_layout Standard
+Pendant quelle partie du cycle le courant passe-t-il à travers la diode
+\begin_inset space ~
+\end_inset
+
+?
+\end_layout
+
+\begin_layout Standard
+Qu'est-ce qui décide de la hauteur du pic
+\begin_inset space ~
+\end_inset
+
+?
+\end_layout
+
+\begin_layout Standard
+N'imaginez pas qu'on puisse réduire l'ondulation résiduelle en augmentant
+ la capacité.
+ Pendant la partie montante de l'alternance positive, la réactance capacitive
+ décide du courant dans la diode, mais en pratique dans les circuits il
+ ne doit pas dépasser les limitations de la diode.
+\end_layout
+
+\begin_layout Standard
+En pratique on utilise des redresseurs double alternance ou des redresseurs
+ en pont.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Signuax sinusoïdaux en opposition de phase (déphasés de 180°)
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Montrer le fonctionnement d'un redresseur double alternance à l'aide de
+ deux diodes, qui utilisent deux signaux alternatifs déphasés de 180°.
+ On le réalise en inversant la sortie de SINE à l'aide d'un amplificateur
+ inverseur.
+ Le gain est rendu quasi-unitaire en mettant une résistance de
+\begin_inset Formula $10k\,\Omega$
+\end_inset
+
+ en série avec son entrée.
+\end_layout
+
+\begin_layout Subsection*
+Matériel
+\end_layout
+
+\begin_layout Standard
+
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+
+\begin_inset Graphics
+	filename schematics/sine-180deg.png
+	width 3cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/sine-two-180deg.png
+	lyxscale 50
+	width 4cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+
+\begin_inset Caption
+
+\begin_layout Plain Layout
+
+Un amplificateur inverseur pour faire un signal sinusoïdal déphasé de 180°.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Inverting-Amplifier-making"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+résistance de
+\begin_inset Formula $10\, k\Omega$
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Itemize
+Réaliser les connexions comme dans la figure
+\end_layout
+
+\begin_layout Itemize
+Visualiser les signaux sur A0 et A1
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+Le résultat est montré dans la figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Inverting-Amplifier-making"
+
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+Les amplitudes ne sont pas exactement égales.
+ Le gain est donné par
+\begin_inset Formula $G=\frac{10000}{10000+100}$
+\end_inset
+
+.
+
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Les diodes, redresseur double alternance
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Réaliser un redresseur double alternance à partir de deux signaux de phases
+ décalées de 180° l'une par rapport à l'autre.
+
+\end_layout
+
+\begin_layout Subsection*
+Matériel
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+
+\begin_inset Graphics
+	filename schematics/full-wave.png
+	width 4cm
+
+\end_inset
+
+
+\lang french
+
+\begin_inset Graphics
+	filename pics/diode-fullwave.png
+	lyxscale 50
+	width 5.7cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Redressement double alternance réalisé à l'aide de deux diodes.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Diode-fullwave-rectifier"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Deux diodes 1N4148
+\end_layout
+
+\begin_layout Itemize
+Résistances de 1
+\begin_inset space ~
+\end_inset
+
+k
+\begin_inset Formula $\Omega$
+\end_inset
+
+ et 10
+\begin_inset space ~
+\end_inset
+
+k
+\begin_inset Formula $\Omega$
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Condensateurs de 1
+\begin_inset space ~
+\end_inset
+
+µF et 100
+\begin_inset space ~
+\end_inset
+
+µF.
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Itemize
+Connecter SINE à A0
+\end_layout
+
+\begin_layout Itemize
+Connecter SINE à la borne 17 à travers une résistance de 10
+\begin_inset space ~
+\end_inset
+
+k
+\begin_inset Formula $\Omega$
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Itemize
+Une diode de A0 à A1
+\end_layout
+
+\begin_layout Itemize
+Une autre diode de la borne 18 à A1
+\end_layout
+
+\begin_layout Itemize
+Résistance entre A1 et la masse [Ground]
+\end_layout
+
+\begin_layout Itemize
+Visualiser les signaux de A0 et A1
+\end_layout
+
+\begin_layout Itemize
+Ajouter un condensateur entre A1 et la masse [Ground]
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+Le résultat est montré dans la figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Diode-fullwave-rectifier"
+
+\end_inset
+
+.
+ On laisse comme exercice pour l'utilisateur l'ajout de condensateurs pour
+ réduire l'oscillation résiduelle.
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+Pourquoi le redresseur double alternance est-il supérieur au redresseur
+ simple alternance
+\begin_inset space ~
+\end_inset
+
+?
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Caractéristique courant-tension [I-V] d'une diode
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Tracer la caractéristique courant-tension [I-V] d'une diode.
+ Examiner l'équation d'une diode.
+\end_layout
+
+\begin_layout Subsection*
+Théorie
+\end_layout
+
+\begin_layout Standard
+La caractéristique courant-tension [I-V] d'une jonction PN idéale est donnée
+ par l'équation
+\begin_inset space ~
+\end_inset
+
+:
+\begin_inset Formula \[
+I=I_{0}\left(e^{\frac{qU}{kT}}-1\right)\]
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+\begin_inset Formula $I_{0}$
+\end_inset
+
+ , courant de saturation inverse
+\end_layout
+
+\begin_layout Itemize
+q , charge de l'électron
+\end_layout
+
+\begin_layout Itemize
+k, constante de Boltzman
+\end_layout
+
+\begin_layout Itemize
+T, température absolue
+\end_layout
+
+\begin_layout Standard
+Pour les diodes ordinaires (non-idéales), la formule utilisée est
+\begin_inset space ~
+\end_inset
+
+:
+\begin_inset Formula \[
+I=I_{0}\left(e^{\frac{qU}{nkT}}-1\right)\]
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+où
+\begin_inset Formula $n=1$
+\end_inset
+
+ pour une diode idéale.
+ Pour des diodes réelles il varie entre 1 et 2.
+\end_layout
+
+\begin_layout Subsection*
+Matériel
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+
+\begin_inset Graphics
+	filename schematics/diode-iv.png
+	width 3cm
+
+\end_inset
+
+
+\lang french
+
+\begin_inset Graphics
+	filename pics/diode_4148.png
+	lyxscale 40
+	width 3.7cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/diode_zener_iv.png
+	lyxscale 40
+	width 3.7cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Caractéristiques courant-tension [I-V] pour une diode 1N4148 et pour une
+ diode Zéner 3,3
+\begin_inset space ~
+\end_inset
+
+V.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Diode-IV-characteristic"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Une diodes 1N4148 et une diode Zéner 3,3
+\begin_inset space ~
+\end_inset
+
+V (pour voir la courbe de polarisation inverse).
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Itemize
+Connecter la diode 1N4148 entre I-V et la masse [Ground].
+ (côté N vers la masse)
+\end_layout
+
+\begin_layout Itemize
+Connecter I-V à A0 par un fil électrique.
+\end_layout
+
+\begin_layout Itemize
+Faire un clic droit sur le tableau de bord et sélectionner
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{Diode IV}
+\end_layout
+
+\end_inset
+
+ dans le menu contextuel.
+\end_layout
+
+\begin_layout Itemize
+Cliquer sur
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+buttonlabel{START}
+\end_layout
+
+\end_inset
+
+ pour tracer la courbe caractéristique.
+\end_layout
+
+\begin_layout Itemize
+Cliquer sur
+\begin_inset Quotes fld
+\end_inset
+
+FIT
+\begin_inset Quotes frd
+\end_inset
+
+ pour calculer le facteur d'idéalité de la diode.
+\end_layout
+
+\begin_layout Itemize
+Remplacer la diode 1N4148 par la diode Zéner.
+\end_layout
+
+\begin_layout Itemize
+Activer la case à cocher ZENER et cliquer sur
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+buttonlabel{START}
+\end_layout
+
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+Les courbes obtenues sont montrées dans la figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Diode-IV-characteristic"
+
+\end_inset
+
+.
+ La valeur de n pour la diode 1N4148 est 1,93 et pour la Zéner elle est
+ 1,5.
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+Nous avons calculé la valeur de
+\begin_inset Formula $n$
+\end_inset
+
+ en modélisant les données expérimentales par l'équation.
+ Le facteur d'idéalité de la diode Zéner est calculé en ne prenant en compte
+ que la partie des données en polarisation directe.
+\end_layout
+
+\begin_layout Standard
+Recommencer l'expérience en chauffant la diode à différentes températures.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Diodes émettrices de lumière, DEL [LED]
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Tracer les courbes courant-tension [I-V] de DELs [LEDs] de différentes longueurs
+ d'ondes.
+ Trouver une relation avec la constante de Planck.
+\end_layout
+
+\begin_layout Subsection*
+Théorie
+\end_layout
+
+\begin_layout Standard
+L'énergie d'un photon est donnée par
+\begin_inset Formula $E=h\nu=hc/\lambda$
+\end_inset
+
+ .
+ Cette énergie est égale à l'énergie d'une électron qui surmonte la barrière
+ de potentiel à la jonction et est donnée par
+\begin_inset Formula $E=eU_{0}$
+\end_inset
+
+.
+ Donc la constante de Planck vaut
+\begin_inset Formula $h=eU_{0}\lambda/c$
+\end_inset
+
+ , où
+\begin_inset Formula $\lambda$
+\end_inset
+
+ la longueur d'onde de la lumière émise par la DEL [LED],
+\begin_inset Formula $e$
+\end_inset
+
+ la charge de l'électron et
+\begin_inset Formula $c$
+\end_inset
+
+ la vitesse de la lumière.
+\end_layout
+
+\begin_layout Subsection*
+Matériel
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+
+\begin_inset Graphics
+	filename schematics/diode-iv.png
+	width 3cm
+
+\end_inset
+
+
+\lang french
+
+\begin_inset Graphics
+	filename pics/diode-LED-iv.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Caractéristiques courant-tension [I-V] de DELs bleue et verte
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:LED IV-char"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+DELs rouge, verte, bleue et jaune.
+ Chacune avec un enrobage transparent, c'est à dire non coloré.
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Itemize
+Faire un clic droit dans le tableau de bord, ouvrir
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{LED IV}
+\end_layout
+
+\end_inset
+
+ depuis le menu contextuel.
+\end_layout
+
+\begin_layout Itemize
+Connecter les diodes entre I-V et la masse [Ground], une par une, et tracer
+ le graphique.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+Les caractéristiques observées sont montrées dans la figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:LED IV-char"
+
+\end_inset
+
+.
+ La partie linéaire de la courbe est modélisée pour déterminer le point
+ où elle rejoint l'axe-x, ce qui se produit à 1,788
+\begin_inset space ~
+\end_inset
+
+V pour le DEL rouge
+\begin_inset Formula $(\lambda=660\, nm)$
+\end_inset
+
+, ce qui donne
+\begin_inset Formula \[
+h=\frac{1,6\cdot10^{-19}\times1,788\times660\cdot10^{-9}}{3\cdot10^{8}}=6,29\cdot10^{-34}\, J\cdot s\]
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+
+\shape italic
+Note
+\begin_inset space ~
+\end_inset
+
+: il faut faire ça plus précisément.
+ Comparer le quotient des tensions avec celui des longueurs d'ondes pour
+ estimer la précision des mesures.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Caractéristique d'un transistor en émetteur commun
+\begin_inset CommandInset label
+LatexCommand label
+name "sec:Transistor-CE-Characteristic"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Tracer la courbe caractéristique en émetteur commun [CE] d'un transistor.
+\end_layout
+
+\begin_layout Subsection*
+Matériel
+\end_layout
+
+\begin_layout Itemize
+un transistor 2N2222, une résistance de 200
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset Formula $k\Omega$
+\end_inset
+
+ , des fils électriques
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Itemize
+Souder deux petits fils électriques au collecteur.
+\end_layout
+
+\begin_layout Itemize
+Souder la résistance à la base.
+\end_layout
+
+\begin_layout Itemize
+Connecter la base à UPV (sortie 0 à 5
+\begin_inset space ~
+\end_inset
+
+V)
+\end_layout
+
+\begin_layout Itemize
+Collecteur à I-V, et à A0 pour le suivi de la tension.
+\end_layout
+
+\begin_layout Itemize
+Émetteur à la masse [Ground].
+\end_layout
+
+\begin_layout Itemize
+Faire un clic droit dans le tableau de bord et ouvrir
+\shape italic
+\emph on
+
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{Transistor CE}
+\end_layout
+
+\end_inset
+
+
+\shape default
+\emph default
+ depuis le menu contextuel
+\end_layout
+
+\begin_layout Itemize
+Entrer la tension de polarisation de la base puis cliquez sur
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+buttonlabel{START}
+\end_layout
+
+\end_inset
+
+.
+ Recommencez pour plusieurs valeurs de Vb.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+
+\begin_inset Graphics
+	filename schematics/tran-ce.png
+	width 3cm
+
+\end_inset
+
+
+\lang french
+
+\begin_inset Graphics
+	filename pics/tran_ce.png
+	lyxscale 50
+	width 5.2cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Caractéristiques du transistor en émetteur commun
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Transistor-common-emitter"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+Les courbes caractéristiques pour différents courants de base sont montrées
+ à la figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Transistor-common-emitter"
+
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+On connecte le collecteur à I-V , qui est relié en interne à BPV.
+ Le courant de base est fixé en réglant la tension à une extrémité de la
+ résistance de 200
+\begin_inset space ~
+\end_inset
+
+k
+\begin_inset Formula $\Omega$
+\end_inset
+
+, l'autre extrémité étant reliée à la base du transistor.
+ La valeur du courant de base est calculable par
+\begin_inset space ~
+\end_inset
+
+:
+\end_layout
+
+\begin_layout Standard
+\begin_inset Formula \[
+I_{b}=\frac{U_{polarisation}-0.6}{200\times10^{3}}\times10^{6}\mu A\]
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Amplificateur à transistor (Émetteur commun)
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Démontrer le fonctionnement d'un amplificateur à transistor en configuration
+ émetteur commun.
+ Le point de fonctionnement est fixé en changeant la tension de polarisation,
+ à l'aide de UPV.
+ Un signal alternatif est généré à l'aide du haut-parleur utilisé comme
+ microphone et ce signal d'entrée est amené à la base à travers un condensateur
+ pour séparer la composante continue.
+\end_layout
+
+\begin_layout Subsection*
+Matériel
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+
+\begin_inset Graphics
+	filename schematics/tran-amp.png
+	width 4cm
+
+\end_inset
+
+
+\lang french
+
+\begin_inset Graphics
+	filename pics/tran_amp2V.png
+	lyxscale 50
+	width 3.4cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/tran_amp4V.png
+	lyxscale 50
+	width 3.4cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Amplificateur à transistor à différents points de fonctionnement.
+ (a)
+\begin_inset space ~
+\end_inset
+
+Le montage (b)
+\begin_inset space ~
+\end_inset
+
+Polarisation
+\begin_inset space ~
+\end_inset
+
+=
+\begin_inset space ~
+\end_inset
+
+2
+\begin_inset space ~
+\end_inset
+
+V (c)
+\begin_inset space ~
+\end_inset
+
+Polarisation
+\begin_inset space ~
+\end_inset
+
+=
+\begin_inset space ~
+\end_inset
+
+4
+\begin_inset space ~
+\end_inset
+
+V
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Transistor-amplifier-at"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Support de transistor avec une résistance de 200
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset Formula $k\Omega$
+\end_inset
+
+  et un condensateur de 0,1
+\begin_inset space ~
+\end_inset
+
+µF à la base.
+\end_layout
+
+\begin_layout Itemize
+Petit haut-parleur, à utiliser comme microphone
+\end_layout
+
+\begin_layout Itemize
+Disque piézo, pour générer un son.
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Itemize
+Collecteur du transistor à I-V et A0
+\end_layout
+
+\begin_layout Itemize
+Connecter la base du transistor à UPV
+\end_layout
+
+\begin_layout Itemize
+Disque Piézo à SQR1 et régler SQR1 à 3000
+\begin_inset space ~
+\end_inset
+
+Hz
+\end_layout
+
+\begin_layout Itemize
+Petit haut-parleur à la base à travers le condensateur de 0,1
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset Formula $\mu F$
+\end_inset
+
+ , l'autre connexion à la masse [Ground].
+\end_layout
+
+\begin_layout Itemize
+Régler la tension de polarisation en UPV.
+ Essayer des valeurs entre 1
+\begin_inset space ~
+\end_inset
+
+V et 5
+\begin_inset space ~
+\end_inset
+
+V.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+Les tensions de collecteur pour différents courants de base sont montrées
+ à la figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Transistor-amplifier-at"
+
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+Souvenez-vous que le gain en tension n'est pas la même chose que le
+\begin_inset Formula $\beta$
+\end_inset
+
+ du transistor, mais qu'il dépend de la résistance dans le circuit de collecteur.
+ Dans notre montage le collecteur est connecté à 5
+\begin_inset space ~
+\end_inset
+
+V à travers une résistance de 1
+\begin_inset space ~
+\end_inset
+
+k
+\begin_inset Formula $\Omega$
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Standard
+Pourquoi a-t-on besoin d'entrer le signal à travers un condensateur
+\begin_inset space ~
+\end_inset
+
+? Essayez de court-circuiter le condensateur avec un morceau de fil électrique,
+ tout en observant la trace.
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Photo-transistor
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Comprendre le photo-transistor.
+ Tracer la caractéristique en mode émetteur commun [CE].
+\end_layout
+
+\begin_layout Subsection*
+Matériel
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+
+\begin_inset Graphics
+	filename schematics/phtran-ce.png
+	width 4cm
+
+\end_inset
+
+
+\lang french
+
+\begin_inset Graphics
+	filename pics/photo-tran_ce.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Caractéristique du photo-transistor en configuration émetteur commun.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:CE-char phototran"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Photo-transistor (sans le fil de base) et des fils électriques
+\end_layout
+
+\begin_layout Itemize
+DEL blanche
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Itemize
+Émetteur du transistor à la masse [Ground] et collecteur branché à I-V et
+ A0.
+\end_layout
+
+\begin_layout Itemize
+DEL entre SQR2 et la masse [Ground].
+\end_layout
+
+\begin_layout Itemize
+Faire un clic droit sur le tableau de bord et ouvrir
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{Photo-Transistor CE}
+\end_layout
+
+\end_inset
+
+ depuis le menu contextuel
+\end_layout
+
+\begin_layout Itemize
+Placer une source de lumière à 5
+\begin_inset space ~
+\end_inset
+
+cm du transistor
+\end_layout
+
+\begin_layout Itemize
+Cliquer sur
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+buttonlabel{START}
+\end_layout
+
+\end_inset
+
+, pour tracer la caractéristique en mode émetteur commun
+\end_layout
+
+\begin_layout Itemize
+Recommencer en changeant la distance entre la source de lumière et le transistor
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+Les courbes caractéristiques pour différentes intensités de lumière sont
+ montrées sur la figure.
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+Le courant de base est régi par l'intensité de la lumière.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Transmission de signal opto-électrique
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Montrer la transmission de signaux à travers un média optique.
+ Des signaux électriques sont convertis en lumière puis convertis à nouveau
+ en signaux électriques au niveau du récepteur.
+\end_layout
+
+\begin_layout Subsection*
+Matériel
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+
+\begin_inset Graphics
+	filename schematics/opto-tran.png
+	width 4cm
+
+\end_inset
+
+
+\lang french
+
+\begin_inset Graphics
+	filename pics/phototran_sqr_received.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Les signal au collecteur du photo-transistor
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Phototransistor output"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+DEL et photo-transistor
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Itemize
+Connecter la DEL entre SQR2 et la masse [Ground].
+\end_layout
+
+\begin_layout Itemize
+Connecter la résistance de 22
+\begin_inset space ~
+\end_inset
+
+k
+\begin_inset Formula $\Omega$
+\end_inset
+
+  de SQR2
+\end_layout
+
+\begin_layout Itemize
+Émetteur du photo-transistor à la masse [Ground] et collecteur à SEN (borne
+\begin_inset space ~
+\end_inset
+
+23)
+\end_layout
+
+\begin_layout Itemize
+Connecter SEN à A0
+\end_layout
+
+\begin_layout Itemize
+Régler SQR2 à 100
+\begin_inset space ~
+\end_inset
+
+Hz
+\end_layout
+
+\begin_layout Itemize
+Placer la DEL en face du photo-transistor et ajuster le signal.
+\end_layout
+
+\begin_layout Itemize
+Activer l'option
+\begin_inset Quotes fld
+\end_inset
+
+FIT
+\begin_inset Quotes frd
+\end_inset
+
+ pour calculer la fréquence en modélisant les données.
+\end_layout
+
+\begin_layout Itemize
+Faites une mesure de fréquence plus précise en cliquant sur '
+\emph on
+Measure Freq
+\emph default
+'
+\end_layout
+
+\begin_layout Itemize
+Recommencer l'expérience en changeant la fréquence.
+\end_layout
+
+\begin_layout Itemize
+Utiliser la câble en fibre optique pour guider la lumière de la DEL au transisto
+r.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+Le signal de sortie du photo-transistor pour un signal de la DEL à 500
+\begin_inset space ~
+\end_inset
+
+Hz est montré à la figure
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Phototransistor output"
+
+\end_inset
+
+.
+ La fréquence calculée par modélisation de la courbe est très proche de
+ 500
+\begin_inset space ~
+\end_inset
+
+Hz.
+ La mesure de fréquence réalisée en interne donne la valeur correcte.
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+Le signal électrique est converti en lumière par la DEL.
+ La lumière est transmise au photo-transistor et est convertie à nouveau
+ en signal électrique.
+ On peut voir que la forme du signal est légèrement arrondie, mais que l'informa
+tion de fréquence est préservée.
+ Ceci démontre l'avantage de la transmission numérique sur la transmission
+ analogique.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Modulation d'amplitude et de fréquence
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Étudier la modulation d'un signal en amplitude et en fréquence.
+ Analyser le signal modulé en amplitude mathématiquement pour voir les bandes
+ latérales.
+\end_layout
+
+\begin_layout Subsection*
+Matériel
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/AM-photo.jpg
+	lyxscale 30
+	width 6cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/AMcarr-and-sig400x20.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Modulation d'amplitude.(a)
+\begin_inset space ~
+\end_inset
+
+Montage expérimental (b)
+\begin_inset space ~
+\end_inset
+
+Signal modulant présenté en même temps que la sortie modulée.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Amplitude-modulation"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+Le boîtier analogique Phoenix
+\begin_inset space ~
+\end_inset
+
+: il a un générateur sinusoïdal (à 100
+\begin_inset space ~
+\end_inset
+
+Hz environ) dont l'amplitude peut être contrôlée à l'aide d'une tension
+ continue.
+ Il a aussi un générateur sinusoïdal à 4
+\begin_inset space ~
+\end_inset
+
+kHz disposant de contrôles d'amplitude et de fréquence.
+ Nous utilisons la sortie UPV de expEYES pour contrôler l'amplitude du générateu
+r de 100
+\begin_inset space ~
+\end_inset
+
+Hz.
+ Sa sortie est suivie par A0 et aussi reliée à l'entrée d'amplitude de modulatio
+n du deuxième oscillateur.
+ L'amplitude du deuxième oscillateur est reliée à A1.
+\end_layout
+
+\begin_layout Standard
+On peut capturer ces signaux, séparément ou ensemble.
+ Le nombre d'échantillons et l'intervalle entre les échantillons peuvent
+ être spécifiés par l'utilisateur.
+ La profondeur de modulation est régie par l'amplitude du signal de modulation.
+\end_layout
+
+\begin_layout Standard
+Le boîtier analogique permet aussi de régler la fréquence du signal de modulatio
+n entre 100 et 300
+\begin_inset space ~
+\end_inset
+
+Hz, mais on n'utilise pas cette fonction ici.
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Itemize
+Connecter les masses du boîtier analogique et d'expEYES
+\end_layout
+
+\begin_layout Itemize
+UPV relié à AC de l'oscillateur 100
+\begin_inset space ~
+\end_inset
+
+Hz
+\end_layout
+
+\begin_layout Itemize
+Sortie 100
+\begin_inset space ~
+\end_inset
+
+Hz reliée à A0 et à l'entrée de modulation d'amplitude AM
+\end_layout
+
+\begin_layout Itemize
+Sortie modulée reliée à A1
+\end_layout
+
+\begin_layout Itemize
+Sélectionner A0 et A1
+\end_layout
+
+\begin_layout Itemize
+Capture 400 échantillons avec un intervalle de 20
+\begin_inset space ~
+\end_inset
+
+ms.
+\end_layout
+
+\begin_layout Itemize
+Sélectionner A1 seulement
+\end_layout
+
+\begin_layout Itemize
+Capturer 1800 échantillons avec un intervalle de 40
+\begin_inset space ~
+\end_inset
+
+µs
+\end_layout
+
+\begin_layout Itemize
+Cliquer sur
+\emph on
+Power Spectrum
+\emph default
+ pour faire une transformation de Fourier
+\end_layout
+
+\begin_layout Itemize
+Pour faire une modulation de fréquence [FM], connecter la sortie 100
+\begin_inset space ~
+\end_inset
+
+Hz à l'entrée FM de l'autre générateur.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+Une porteuse avec une fréquence proche de 4
+\begin_inset space ~
+\end_inset
+
+kHz est modulée par un signal sinusoïdal d'environ 100
+\begin_inset space ~
+\end_inset
+
+Hz.
+ Une petite portion du signal de sortie (400 points avec un intervalle de
+ 20
+\begin_inset space ~
+\end_inset
+
+µs) représenté ensemble avec le signal modulant est montré à la figure
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Amplitude-modulation"
+
+\end_inset
+
+(b).
+ Le spectre de puissance est calculé par transformation de Fourier.
+ Pour obtenir de meilleurs résultats un échantillon plus long (1800 échantillons
+ avec un intervalle de 40
+\begin_inset space ~
+\end_inset
+
+µs) est étudié.
+ La modulation de fréquence est faite aussi, juste en changeant la connexion
+ du signal de AM vers l'entrée FM.
+ La sortie FM est montrée à la figure
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Amplitude-FT"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/AMfft-1800x40.png
+	lyxscale 15
+	width 5.4cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/FMcarr-and-sig500x10-2V.png
+	lyxscale 50
+	width 5.5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+(1)
+\begin_inset space ~
+\end_inset
+
+Spectre de puissance de la sortie AM.
+ Générée avec 1800 mesures et un intervalle de temps de 40
+\begin_inset space ~
+\end_inset
+
+µs entre elles.
+ (2)
+\begin_inset space ~
+\end_inset
+
+La sortie FM.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Amplitude-FT"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+Les deux bandes latérales sont clairement obtenues de part et d'autre du
+ pic de la porteuse, séparées par la fréquence de modulation.
+\end_layout
+
+\begin_layout Standard
+La sortie AM ressemble aux battements sonores que nous avons obtenus à la
+ section
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "sec:Interference-of-sound"
+
+\end_inset
+
+, mais si on prend le spectre de battements donne deux pics correspondant
+ aux fréquences individuelles.
+ Quelles sont leurs différences malgré les apparences semblables
+\begin_inset space ~
+\end_inset
+
+?
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Chapter
+Mécanique, optique et thermophysique
+\end_layout
+
+\begin_layout Standard
+On étudie un phénomène de résonance à l'aide d'un pendule excité.
+ La valeur de l'accélération due à la gravité est mesurée à l'aide d'une
+ méthode par temps de vol et aussi à l'aide d'un pendule.
+\end_layout
+
+\begin_layout Section
+Résonance d'un pendule entretenu
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Démontrer la résonance d'un pendule entretenu
+\end_layout
+
+\begin_layout Subsection*
+Matériel
+\end_layout
+
+\begin_layout Itemize
+Un solénoïde et un pendule réalisé à l'aide d'aimants en boutons, identique
+ à celui de la section
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "sec:Magnetic-Effect-of"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Potentiomètre de 22
+\begin_inset space ~
+\end_inset
+
+k
+\begin_inset Formula $\Omega$
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Itemize
+Connecter le solénoïde entre SQR2 et la masse [Ground].
+\end_layout
+
+\begin_layout Itemize
+Connecter la résistance variable de 22
+\begin_inset space ~
+\end_inset
+
+k
+\begin_inset Formula $\Omega$
+\end_inset
+
+ en tant que résistance variable pour SQR2.
+\end_layout
+
+\begin_layout Itemize
+Suspendre le pendule près du solénoïde solénoïde comme montré à la figure
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Solenoid Magnetic-field"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Régler SQR2 à 10
+\begin_inset space ~
+\end_inset
+
+Hz, et ajuster la résistance pour réduire la fréquence jusqu'à ce que l'amplitud
+e du pendule augmente.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+Quand SQR2 arrive à la fréquence de résonance du pendule, l'amplitude augmente
+ à cause du phénomène de résonance.
+ Un pendule long de 5,2
+\begin_inset space ~
+\end_inset
+
+cm (du centre de l'aimant à l'axe de l'oscillation) a résonné à environ
+ 2,3 Hz, en concordance presque parfaite avec sa fréquence naturelle calculée.
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+La fréquence de résonance du pendule peut être calculée en l'assimilant
+ à un pendule simple et à l'aide de la formule
+\begin_inset space ~
+\end_inset
+
+:
+\end_layout
+
+\begin_layout Standard
+\begin_inset Formula \[
+f=\frac{1}{T}\,\,\,\,\, où\,\,\,\,\, T=2\pi\sqrt{\frac{\ell}{g}}\]
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+où
+\begin_inset Formula $\ell$
+\end_inset
+
+ est la distance du centre de l'aimant au point de suspension et
+\begin_inset Formula $g$
+\end_inset
+
+ est l'accélération due à la gravité.
+\end_layout
+
+\begin_layout Standard
+Recommencer l'expérience en changeant la longueur du pendule.
+\begin_inset Foot
+status collapsed
+
+\begin_layout Plain Layout
+SQR2 ne peux pas aller en deçà de 0,7
+\begin_inset space ~
+\end_inset
+
+Hz
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Valeur de 'g', pendule pesant
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Mesurer la période des oscillations d'un pendule pesant en utilisant une
+ barrière photo-électrique et calculer l'accélération due à la gravité.
+\end_layout
+
+\begin_layout Subsection*
+Théorie
+\end_layout
+
+\begin_layout Standard
+La période des oscillations d'un pendule pesant fait d'une barre de section
+ constante suspendu à une extrémité est donné par
+\begin_inset space ~
+\end_inset
+
+:
+\begin_inset Formula \[
+T=2\pi\sqrt{\frac{2\ell}{3g}}\]
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Matériel
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/rodpend-photo.jpg
+	lyxscale 30
+	width 3.2cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/rodpend-screen.png
+	lyxscale 40
+	width 3.7cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/rodpend-ghist.png
+	width 4cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Mesure de la période d'un pendule pesant à l'aide du barrière photo-électrique,
+ pour calculer la valeur de 'g'.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Rod Pendulum"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Barrière photo-électrique réalisée avec une DEL et un photo-transistor
+\end_layout
+
+\begin_layout Itemize
+Pendule pesant
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Itemize
+Connecter la DEL entre SQR2 et la masse [Ground]
+\end_layout
+
+\begin_layout Itemize
+Collecteur du photo-transistor en SEN et émetteur à la masse [Ground]
+\end_layout
+
+\begin_layout Itemize
+Faire un clic droit sur le tableau de bord et démarrer
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{Rod Pendulum}
+\end_layout
+
+\end_inset
+
+ depuis le menu contextuel
+\end_layout
+
+\begin_layout Itemize
+Mesurée et entrer la longueur du pendule
+\end_layout
+
+\begin_layout Itemize
+Mettre le pendule à osciller et cliquer sur
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+buttonlabel{START}
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+On peut faire un histogramme à l'aide de XmGrace.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+La période (en milliseconde pour s'ajuster à l'intervalle vertical avec
+ des valeurs de 'g') et la valeur calculée de 'g' sont représentées sur
+ la courbe, comme montré à la figure
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Rod Pendulum"
+
+\end_inset
+
+.
+ On voit aussi un histogramme des 20 dernières mesures.
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+La valeur calculée de 'g' est proche de 9,725
+\begin_inset Formula $m\cdot s^{-2}$
+\end_inset
+
+ (9, avec une erreur systématique d'environ 8,5).
+ L'erreur aléatoire est de moins de 0,1%.
+ La raison de l'erreur systématique peut être due aux raisons suivantes
+\begin_inset space ~
+\end_inset
+
+: La longueur est mesurée du bord du couteau jusqu'en bas, et utilisée dans
+ la formule.
+ Mais il y a une petite masse au-dessus du bord du couteau qui n'est pas
+ incluse dans le calcul.
+ Une autre raison est que le pendule n'est pas exactement vertical dans
+ la position de repos.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Oscillations d'un pendule
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Étudier la nature des oscillations d'un pendule.
+ Un encodeur angulaire est nécessaire pour mesure le déplacement angulaire
+ en fonction du temps.
+ Nous allons essayer de mesurer la vitesse angulaire en fonction du temps,
+ puisque ça peut se faire à l'aide d'un moteur à courant continu bon marché.
+\end_layout
+
+\begin_layout Subsection*
+Matériel
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/pendulum-photo.jpg
+	lyxscale 40
+	width 5.5cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/pendulum-osc.png
+	lyxscale 50
+	width 5.5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Nature des oscillations d'un pendule
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Un petit moteur à courant continu avec un pendule fixé à son axe.
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Itemize
+Attacher quelque sorte de pendule rigide à l'axe su moteur.
+\end_layout
+
+\begin_layout Itemize
+Connecter les fils électriques du moteur entre la borne 17 et la masse [Ground]
+\end_layout
+
+\begin_layout Itemize
+Connecter la borne 18 à A0
+\end_layout
+
+\begin_layout Itemize
+Faire un clic droit sur le tableau de bord et démarrer
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{Pendulum Waveform}
+\end_layout
+
+\end_inset
+
+ depuis le menu contextuel
+\end_layout
+
+\begin_layout Itemize
+Faire osciller la pendule et cliquez sur
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+buttonlabel{START}
+\end_layout
+
+\end_inset
+
+ pour numériser
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+Le signal observé est montré dans la figure.
+ La modélisation des données avec l'équation
+\begin_inset Formula $A=A_{0}sin\left(\omega t+\theta\right)*\exp\left(-dt\right)+C$
+\end_inset
+
+, à l'aide de XmGrace a donné une fréquence angulaire de 10
+\begin_inset space ~
+\end_inset
+
+Hz.
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+Le pendule devrait être fait avec une masselotte lourde et une tige légère
+ la reliant à l'axe du moteur.
+ J'étais pressé de terminer ce texte et j'ai juste utilisé un tournevis
+ et un aimant pour l'attacher à l'axe du moteur.
+ Le moteur à courant continu se comporte comme un générateur dans ce cas.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Valeur de 'g' par temps de vol
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Mesurer le temps de vol d'un objet tombant sous gravité d'une hauteur connue
+ et calculer la valeur de l'accélération due à la gravité.
+\end_layout
+
+\begin_layout Subsection*
+Matériel
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/gravity-tof-photo.jpg
+	lyxscale 40
+	width 6cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Gravité par mesure de temps de vol.
+ La bille de fer est tenue par l'électro-aimant.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Gravity-by-TOF"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Électro-aimant (bobine de 1000
+\begin_inset space ~
+\end_inset
+
+tours avec un noyau de fer)
+\end_layout
+
+\begin_layout Itemize
+Haut-parleur 150
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset Formula $\Omega$
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Itemize
+Fixer l'électro-aimant sur un support
+\end_layout
+
+\begin_layout Itemize
+Le connecter à OD0 et à la masse [Ground]
+\end_layout
+
+\begin_layout Itemize
+Connecter le haut-parleur entre les bornes 15 et 16
+\end_layout
+
+\begin_layout Itemize
+Faire un clic droit sur le tableau de bord et démarrer
+\shape italic
+Gravity TOF
+\end_layout
+
+\begin_layout Itemize
+Cliquer sur
+\emph on
+Attach the Ball
+\end_layout
+
+\begin_layout Itemize
+Entrer la hauteur du bas de la bille jusqu'au sol
+\end_layout
+
+\begin_layout Itemize
+Cliquer sur
+\emph on
+Measure TOF
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+\begin_inset Tabular
+<lyxtabular version="3" rows="3" columns="3">
+<features>
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Hauteur (m)
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Durée
+\begin_inset Formula $t$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $g=\frac{2h}{t^{2}}\,(m\cdot s^{-2})$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+0,35
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+0,269
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+9,674
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+0,25
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+0,228
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+9,61.8
+\end_layout
+
+\end_inset
+</cell>
+</row>
+</lyxtabular>
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+Les valeurs calculées sont moins que la valeur réelle.
+ Pourquoi
+\begin_inset space ~
+\end_inset
+
+?
+\end_layout
+
+\begin_layout Standard
+Nous mesurons la durée entre la transition 5->0
+\begin_inset space ~
+\end_inset
+
+V à OD0 et l'apparition de quelques millivolts à la sortie du haut-parleur.
+ L'effet magnétique ne disparaît pas instantanément et les délais du circuit
+ doivent aussi être pris en compte.
+\end_layout
+
+\begin_layout Standard
+Si on applique une correction de 2
+\begin_inset space ~
+\end_inset
+
+ms à la première lecture, le résultat est
+\begin_inset Formula $0,70/0,267^{2}=9,819$
+\end_inset
+
+.
+ Cela montre la précision nécessaire requise pour les mesures de temps de
+ vol.
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Mesure de température, PT100
+\end_layout
+
+\begin_layout Subsection*
+Objective
+\end_layout
+
+\begin_layout Standard
+Enregistrer la température d'un liquide à l'aide d'un thermomètre à résistance
+ de platine
+\end_layout
+
+\begin_layout Subsection*
+Théorie
+\end_layout
+
+\begin_layout Standard
+La résistance d'un élément PT100 est reliée à la température par l'équation
+\begin_inset space ~
+\end_inset
+
+:
+\begin_inset Formula \[
+R_{T}=R_{0}\left[1+AT+BT^{2}\right]\]
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+où
+\begin_inset Formula $A=3,9083\cdot10^{-3}$
+\end_inset
+
+ et
+\begin_inset Formula $B=-5,775\cdot10^{-7}$
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Matériel
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/cooling-water-photo.jpg
+	lyxscale 50
+	width 5.5cm
+
+\end_inset
+
+
+
+\begin_inset Graphics
+	filename schematics/pt100.png
+	width 3cm
+
+\end_inset
+
+
+\lang french
+
+\begin_inset Graphics
+	filename pics/cooling-water-pt100.png
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Courbe du refroidissement de l'eau mesurée à l'aide d'un capteur PT1OO.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Cooling-curve-water"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Un capteur PT100
+\end_layout
+
+\begin_layout Itemize
+Une résistance de 330
+\begin_inset space ~
+\end_inset
+
+Ohm
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Itemize
+Connecter le capteur PT100 entre CS et la masse [Ground]
+\end_layout
+
+\begin_layout Itemize
+Connecter CS à la borne 21 (entrée de l'amplificateur non-inverseur)
+\end_layout
+
+\begin_layout Itemize
+Connecter la borne 22 (sortie de l'amplificateur) à A2
+\end_layout
+
+\begin_layout Itemize
+Connecter la résistance de contrôle du gain entre les bornes 19 et 20
+\end_layout
+
+\begin_layout Itemize
+Faire un clic droit sur le tableau de bord et démarrer
+\emph on
+PT100
+\end_layout
+
+\begin_layout Itemize
+Entrer la valeur de la résistance
+\end_layout
+
+\begin_layout Itemize
+Sélectionner la durée totale et l'intervalle de temps entre les mesures
+\end_layout
+
+\begin_layout Itemize
+Cliquez sur
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+buttonlabel{START}
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+Une calibration est nécessaire pour une meilleur précision.
+ Placez le capteur sur de la glace fondante et cliquer sur
+\shape italic
+\emph on
+
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{Freezing Point}
+\end_layout
+
+\end_inset
+
+
+\shape default
+\emph default
+.
+ Plongez le capteur dans de l'eau bouillante et cliquer sur
+\shape italic
+\emph on
+
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{Boiling Point}
+\end_layout
+
+\end_inset
+
+
+\shape default
+\emph default
+.
+ Après ce clic, cliquer sur
+\shape italic
+
+\emph on
+
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{Calibrate}
+\end_layout
+
+\end_inset
+
+
+\shape default
+\emph default
+.
+ Une fois que la calibration est faite la température est déterminée en
+ utilisant les constantes de calibration.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+La courbe de refroidissement d'un échantillon d'eau est montrée à la figure
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Cooling-curve-water"
+
+\end_inset
+
+ .
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+Un capteurPT100 est un élément en platine ayant une résistance de 100
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset Formula $\Omega$
+\end_inset
+
+ à 0° C.
+ La résistance varie avec la température et des tables sont disponibles
+ pour corréler la résistance et la température.
+ Notre programme fixe un courant de 1
+\begin_inset space ~
+\end_inset
+
+mA à travers le capteur PT100 et mesure la chute de tension à ses bornes.
+ La tension est amplifiée pour augmenter la résolution.
+\end_layout
+
+\begin_layout Standard
+Le programme lit la tension de sortie de l'amplificateur.
+ La tension aux bornes de l'élément PT100 est calculé en divisant cette
+ tension par le gain de l'amplificateur (
+\begin_inset Formula $G=1+\frac{10000}{Rg}$
+\end_inset
+
+).
+ Comme le courant est connu la résistance et donc la température peuvent
+ être calculées.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Contrôleur de température
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Implémenter une contrôleur de température à l'aide d'un capteur LM35.
+ Un transistor est utilisé en chauffage piloté.
+ On maintient le LM35 pressé contre le corps du transistor avec un peu de
+ pâte conductrice de chaleur.
+\end_layout
+
+\begin_layout Subsection*
+Matériel
+\end_layout
+
+\begin_layout Standard
+
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+
+\begin_inset Graphics
+	filename schematics/temp-control.png
+	width 5cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/temp-con.png
+	lyxscale 40
+	width 4cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+
+\begin_inset Caption
+
+\begin_layout Plain Layout
+
+Mesure de température à l'aide d'un LM35.
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+capteur LM35
+\end_layout
+
+\begin_layout Itemize
+Transistor 2N2222
+\end_layout
+
+\begin_layout Itemize
+Résistances de
+\begin_inset Formula $10\, k\Omega$
+\end_inset
+
+ et
+\begin_inset Formula $2,4\, k\Omega$
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Itemize
+Connecter le LM35 et le transistor comme montré sur la figure
+\end_layout
+
+\begin_layout Itemize
+Coupler les corps du LM35 et du 2N2222 en utilisant la pâte conductrice
+ thermique.
+\end_layout
+
+\begin_layout Itemize
+Utiliser une alimentation continue 12
+\begin_inset space ~
+\end_inset
+
+V externe.
+\end_layout
+
+\begin_layout Itemize
+Cliquer droit et démarrer
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{Temp Controller}
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Régler la consigne de température et la tension de la base (UPV)
+\end_layout
+
+\begin_layout Itemize
+Cliquer sur
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+buttonlabel{
+\lang french
+START
+}
+\end_layout
+
+\end_inset
+
+ .
+ L'échelle verticale ira de 0 jusqu'à la température de consigne plus 10°.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+La température est tracée en fonction du temps.
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+La température est maintenue à
+\begin_inset Formula $\pm0.5\text{°}$
+\end_inset
+
+de la consigne.
+ Le LM35 n'a que cette résolution-la.
+ La variation temporelle dépend de la conductivité thermique des corps du
+ LM35 et du 2N2222 et du courant de collecteur.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Stroboscope
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Un objet effectuant un mouvement périodique va apparaître stationnaire si
+ on l'illumine avec des impulsions lumineuses de la même fréquence.
+ La raison simple est que l'objet est illuminé à chaque fois qu'il arrive
+ au même point.
+\end_layout
+
+\begin_layout Subsection*
+Matériel
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/stroboscope-photo.jpg
+	lyxscale 30
+	width 6cm
+
+\end_inset
+
+
+
+\begin_inset Graphics
+	filename schematics/strobo.png
+	width 4.5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Stroboscope utilisant une DEL comme flash.
+ Le courant alternatif récupéré par la bobine à cause de l'aimant tournant
+ est utilisé pour vérifier la vitesse de rotation.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Stroboscope"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+DEL
+\end_layout
+
+\begin_layout Itemize
+Moteur à courant continu
+\end_layout
+
+\begin_layout Itemize
+Bobinage 3000
+\begin_inset space ~
+\end_inset
+
+tours
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Itemize
+Connecter le moteur à PULSE et à la masse [Ground]
+\end_layout
+
+\begin_layout Itemize
+Connecter la DEL entre SQR2 et la masse [Ground]
+\end_layout
+
+\begin_layout Itemize
+Connecter la résistance de 22
+\begin_inset space ~
+\end_inset
+
+k
+\begin_inset Formula $\Omega$
+\end_inset
+
+ pour SQR2
+\end_layout
+
+\begin_layout Itemize
+Régler Pulse à 20 %
+\end_layout
+
+\begin_layout Itemize
+Régler SQR2 à 20
+\begin_inset space ~
+\end_inset
+
+Hz
+\end_layout
+
+\begin_layout Itemize
+Ajuster la résistance jusqu'à ce que l'axe du moteur apparaisse à peu près
+ stationnaire
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+Pendant qu'on ajuste SQR2, Le mouvement du disque sur l'axe du moteur semble
+ ralentir puis à moment donné change de sens apparent de rotation.
+ Prendre note de la fréquence au moment du renversement de sens.
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+Comment ajuste-t-on le compteur de tours 5RPM) d'un moteur de voiture
+\begin_inset space ~
+\end_inset
+
+?
+\end_layout
+
+\begin_layout Standard
+Quand on l'examine avec une lumière pulsée à une fréquence de 11
+\begin_inset space ~
+\end_inset
+
+Hz, un moteur tournant dans le sens des aiguilles à 10
+\begin_inset space ~
+\end_inset
+
+Hz semblera tourner dans le sens anti-horaire à un tout par seconde.
+ Pendant l'arrêt et le démarrage, les ventilateurs semblent quelquefois
+ tourner à l'envers, dans la lumière des tubes fluorescents.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Vitesse de rotation d'un moteur
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+En apprendre plus sur comment réaliser des capteurs pour détecter des mouvements
+ mécaniques.
+ Utiliser un photo-transistor pour trouver la vitesse de rotation d'un moteur.
+\end_layout
+
+\begin_layout Subsection*
+Matériel
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/motor-rpm-photo.jpg
+	lyxscale 40
+	width 6cm
+
+\end_inset
+
+
+
+\begin_inset Graphics
+	filename schematics/motor-rps.png
+	width 4cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Mesure de la vitesse de rotation d'un moteur à l'aide d'une barrière photo-élect
+rique
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Photo-transistor
+\end_layout
+
+\begin_layout Itemize
+DEL
+\end_layout
+
+\begin_layout Itemize
+Petit moteur à courant continu.
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Itemize
+Fixer une languette de papier au moteur
+\end_layout
+
+\begin_layout Itemize
+Connecter le moteur à PULSE et à la masse [Ground].
+\end_layout
+
+\begin_layout Itemize
+Connecter la DEL entre SQR2 et la masse [Ground]
+\end_layout
+
+\begin_layout Itemize
+Régler SQR2 à zéro
+\end_layout
+
+\begin_layout Itemize
+Connecter le collecteur du photo-transistor à SEN
+\end_layout
+
+\begin_layout Itemize
+émetteur à la masse [Ground]
+\end_layout
+
+\begin_layout Itemize
+Placer la DEL et le photo-transistor de telle façon que la languettes passe
+ entre eux
+\end_layout
+
+\begin_layout Itemize
+Régler PULSE à différents rapports cycliques pour faire tourner le moteur
+ à différentes vitesses.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+Les tours par seconde observés pour différentes valeurs du rapport cyclique
+ sont données ci-dessous.
+ Hormis la première valeur, la vitesse est proportionnelle au rapport cyclique,
+ c'est à dire à la puissance fournie au moteur.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Tabular
+<lyxtabular version="3" rows="5" columns="2">
+<features>
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+PULSE %
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Fréq.
+ SEN
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+9,8
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+5,6
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+20
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+14,4
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+29,8
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+21.,4
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+40
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+28.,2
+\end_layout
+
+\end_inset
+</cell>
+</row>
+</lyxtabular>
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+Les valeurs observées peuvent être vérifiées en utilisant un aimant et une
+ bobine comme expliqué à la section
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "sec:A-simple-AC"
+
+\end_inset
+
+ ou à l'aide du stroboscope expliqué dans la section précédente.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Mesure de transparence
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Comparer la transmission de la lumière entre différents matériaux semi transpare
+nts.
+\end_layout
+
+\begin_layout Subsection*
+Matériel
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/light-thru-paper-photo.JPG
+	lyxscale 50
+	width 6cm
+
+\end_inset
+
+
+
+\begin_inset Graphics
+	filename schematics/light-bar.png
+	width 4cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Mesure de transmission de lumière à l'aide d'un photo-transistor
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Light-transmission-measurement"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Photo-transistor, DEL et résistance de 10
+\begin_inset space ~
+\end_inset
+
+k
+\begin_inset Formula $\Omega$
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Itemize
+Connecter le collecteur du photo-transistor à SEN.
+\end_layout
+
+\begin_layout Itemize
+Émetteur à la masse [Ground].
+\end_layout
+
+\begin_layout Itemize
+Résistance entre les bornes 8 et 9 (pour SQR2).
+\end_layout
+
+\begin_layout Itemize
+Connecter la DEL entre SQR2 et la masse [Ground].
+\end_layout
+
+\begin_layout Itemize
+Régler SQR2 à 0 pour allumer la DEL
+\end_layout
+
+\begin_layout Itemize
+Faire un clic droit et démarrer le programme
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+menuitem{
+\lang french
+CRO
+}
+\end_layout
+
+\end_inset
+
+ et sélectionner le canal SEN.
+\end_layout
+
+\begin_layout Itemize
+Placer la DEL en face du transistor et prendre note de la tension.
+\end_layout
+
+\begin_layout Itemize
+Placer quelques matériaux semi-transparents au milieu et voyez la différence.
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+La tension diminue quand l'intensité de la lumière décroît sur le photo-transist
+or.
+ Essayer des DELs de différentes couleurs et comparer les résultats.
+ Essayez les transmission de la lumière rouge à travers des feuilles transparent
+es
+\noun on
+Rouge
+\noun default
+ et
+\noun on
+Verte
+\noun default
+ de la même épaisseur.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Ondes stationnaires dans une corde
+\end_layout
+
+\begin_layout Subsection*
+Objectif
+\end_layout
+
+\begin_layout Standard
+Étudier des ondes stationnaires dans une corde tendue excitée par une bobine-rel
+ais.
+ La tension de la corde est variée en changeant la masse suspendue.
+\end_layout
+
+\begin_layout Subsection*
+Théorie
+\end_layout
+
+\begin_layout Standard
+La vitesse de propagation du son dans une corde est données par
+\begin_inset Formula $v=\sqrt{\frac{T}{\mu}}$
+\end_inset
+
+, où T est la tension et
+\begin_inset Formula $\mu$
+\end_inset
+
+ est la masse linéique.
+ La vitesse vérifie
+\begin_inset Formula $v=f\lambda$
+\end_inset
+
+ .
+ Sur une corde vibrante, l'harmonique fondamentale a seulement deux nœuds,
+ à chaque extrémité et la longueur de la corde est
+\begin_inset Formula $L=\lambda/2$
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Formula \[
+f_{0}=\frac{v}{2L}=\frac{1}{2L}\sqrt{\frac{T}{\mu}}\]
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+Le carré de la fréquence est proportionnel à la tension.
+\end_layout
+
+\begin_layout Subsection*
+Matériel
+\end_layout
+
+\begin_layout Itemize
+Une bobine de de relais avec amplificateur, alimentée par un adaptateur
+ 12
+\begin_inset space ~
+\end_inset
+
+V (courant alternatif).
+\end_layout
+
+\begin_layout Itemize
+Potentiomètre de 22k
+\begin_inset Formula $\Omega$
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Procédure
+\end_layout
+
+\begin_layout Itemize
+Connecter à la terre la masse de expEYES et l'accessoire de corde vibrante.
+\end_layout
+
+\begin_layout Itemize
+Connecter SQR2 à l'entrée de l'amplificateur du relais.
+\end_layout
+
+\begin_layout Itemize
+Connecter le potentiomètre de 22
+\begin_inset space ~
+\end_inset
+
+k
+\begin_inset Formula $\Omega$
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Régler SQR2 à 30
+\end_layout
+
+\begin_layout Itemize
+Choisir une masse à suspendre de quelques grammes
+\end_layout
+
+\begin_layout Itemize
+Ajuster la potentiomètre de 22
+\begin_inset space ~
+\end_inset
+
+k
+\begin_inset Formula $\Omega$
+\end_inset
+
+ pour obtenir l'onde stationnaire fondamentale (un seul ventre)
+\end_layout
+
+\begin_layout Subsection*
+Observation
+\end_layout
+
+\begin_layout Standard
+\begin_inset Tabular
+<lyxtabular version="3" rows="5" columns="3">
+<features>
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+M
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $Freq$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+\begin_inset Formula $M^{2}/Freq$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+</lyxtabular>
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+La fréquence est proportionnelle au carré de la tension appliquée, dans
+ la limite des erreurs expérimentales.
+\end_layout
+
+\begin_layout Subsection*
+Discussion
+\end_layout
+
+\begin_layout Standard
+Faites en sorte que la corde ait une moitié avec une massé linéique double
+ de celle de l'autre moitié.
+ Observer les modes plus élevés.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Chapter*
+Appendice A
+\begin_inset space ~
+\end_inset
+
+: Accessoires
+\end_layout
+
+\begin_layout Standard
+Chaque expérience réclame que quelque chose soit connecté à l'interface
+ ExpEYES.
+ Ça pourrait juste être un bout de fil électrique ou au contraire un ensemble
+ de capteurs.
+ La collection standard d'accessoires est suffisante pour réaliser la plupart
+ des expériences décrites dans ce manuel.
+ Il y a quelques autres accessoires actuellement disponibles et leur nombre
+ va probablement augmenter.
+\end_layout
+
+\begin_layout Subsection*
+La collection d'accessoires standard
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/std-accessory-photo.jpg
+	lyxscale 50
+	width 11cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Collection d'accessoires standard.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Standard-Accessory-set."
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+La collection d'accessoires standard disponible avec ExpEYES contient les
+ composants suivants.
+ Les valeurs des paramètres, comme l'inductance, sont spécifiées comme valeur
+ nominale, mesurées à partir d'échantillons pris au hasard.
+ Pour connaître les valeurs exactes, il vous faut les mesurer avec un équipement
+ de test adéquat.
+\end_layout
+
+\begin_layout Subsubsection*
+Pinces crocodiles avec fils
+\end_layout
+
+\begin_layout Standard
+Si ion doit changer la connexion à n'importe quelle borne plusieurs fois
+ pendant une expérience, c'est plus facile de faire la connexion à l'aide
+ de la pince crocodile fournie.
+\end_layout
+
+\begin_layout Subsubsection*
+Montage à microphone
+\end_layout
+
+\begin_layout Standard
+Un microphone à condensateur avec une résistance de polarisation et un condensat
+eur pour bloquer la composante continue.
+ Il est censé être branché aux bornes 15 et 16, avec le fil électrique connecté
+ en UPV.
+ Le fil du condensateur va à la borne 15.
+\end_layout
+
+\begin_layout Subsubsection*
+Bobinage 3000 tours (2)
+\end_layout
+
+\begin_layout Standard
+Inductance
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset Formula $\approx$
+\end_inset
+
+
+\begin_inset space ~
+\end_inset
+
+125
+\begin_inset space ~
+\end_inset
+
+mH, Résistance
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset Formula $\approx$
+\end_inset
+
+
+\begin_inset space ~
+\end_inset
+
+560
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset Formula $\Omega$
+\end_inset
+
+, faites en fil de cuivre isolé 44
+\begin_inset space ~
+\end_inset
+
+SWG.
+ Ces bobinages sont utilisés pour étudier l'inductance, l'induction électromagné
+tique, etc.
+\end_layout
+
+\begin_layout Subsubsection*
+Bobinage 1000 tours
+\end_layout
+
+\begin_layout Standard
+Inductance
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset Formula $\approx$
+\end_inset
+
+
+\begin_inset space ~
+\end_inset
+
+4
+\begin_inset space ~
+\end_inset
+
+mH, Résistance
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset Formula $\approx$
+\end_inset
+
+
+\begin_inset space ~
+\end_inset
+
+45
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset Formula $\Omega$
+\end_inset
+
+, faites en fil de cuivre isolé 40
+\begin_inset space ~
+\end_inset
+
+SWG.
+ Avec le bâton de ferrite en place, l'inductance monte jusqu'à environ 25
+\begin_inset space ~
+\end_inset
+
+mH.
+\end_layout
+
+\begin_layout Subsubsection*
+Électro-aimant (avec un noyau en fer)
+\end_layout
+
+\begin_layout Standard
+Inductance
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset Formula $\approx$
+\end_inset
+
+
+\begin_inset space ~
+\end_inset
+
+20
+\begin_inset space ~
+\end_inset
+
+mH, Résistance
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset Formula $\approx$
+\end_inset
+
+
+\begin_inset space ~
+\end_inset
+
+45
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset Formula $\Omega$
+\end_inset
+
+, faites en fil de cuivre isolé 40
+\begin_inset space ~
+\end_inset
+
+SWG.
+ Ce bobinage a un noyau de fer fixé à l'intérieur et peut être utilisé comme
+ électro-aimant dans quelques expériences.
+ des fils de 50
+\begin_inset space ~
+\end_inset
+
+cm de long sont fournis.
+\end_layout
+
+\begin_layout Subsubsection*
+Disques piézo-électriques (2)
+\end_layout
+
+\begin_layout Standard
+Leur fréquence de résonance est environ 4000
+\begin_inset space ~
+\end_inset
+
+Hz.
+ Ils peuvent être alimentés par les sorties SQR1, SQR2 ou PULSE.
+ Les disques sont enfermés dans une coquille en plastique qui forme une
+ cavité, qui augmente l'intensité du son produit.
+\end_layout
+
+\begin_layout Subsubsection*
+Haut-parleur (grand)
+\end_layout
+
+\begin_layout Standard
+La résistance du haut-parleur est 150
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset Formula $\Omega$
+\end_inset
+
+, différente de celle des hauts-parleurs ordinaires 8
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset Formula $\Omega$
+\end_inset
+
+.
+ Peut être alimenté par les sorties SQR1, SQR2 ou PULSE.
+\end_layout
+
+\begin_layout Subsubsection*
+Haut-parleur (petit)
+\end_layout
+
+\begin_layout Standard
+C'est un haut-parleur de basse impédance, mais plus robuste.
+ ON l'utilisera aussi comme microphone dans quelques expériences.
+\end_layout
+
+\begin_layout Subsubsection*
+Moteur à courant continu
+\end_layout
+
+\begin_layout Standard
+Fixé sur une base métallique.
+ Doit être alimenté par une source de tension inférieure à 3
+\begin_inset space ~
+\end_inset
+
+V.
+ Dans quelques expériences, on alimente le moteur avec la sortie PULSE,
+ avec un rapport cyclique inférieur à 40%.
+ Il est préférable de connecter une diode en série quand le moteur est connecté
+ à la borne PULSE.
+\end_layout
+
+\begin_layout Subsubsection*
+Support de transistor
+\end_layout
+
+\begin_layout Standard
+Un connecteur à trois broches pour y insérer des transistors, afin d'en
+ tracer la courbe caractéristique, résistance de 200
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset Formula $k\Omega$
+\end_inset
+
+ à la base.
+\end_layout
+
+\begin_layout Subsubsection*
+Aimants permanents
+\end_layout
+
+\begin_layout Itemize
+diamètre 10
+\begin_inset space ~
+\end_inset
+
+mm & longueur 10
+\begin_inset space ~
+\end_inset
+
+mm
+\end_layout
+
+\begin_layout Itemize
+diamètre 12
+\begin_inset space ~
+\end_inset
+
+mm & longueur 1,5
+\begin_inset space ~
+\end_inset
+
+mm12 mm
+\end_layout
+
+\begin_layout Itemize
+diamètre 5
+\begin_inset space ~
+\end_inset
+
+mm & longueur 10
+\begin_inset space ~
+\end_inset
+
+mm
+\end_layout
+
+\begin_layout Subsubsection*
+Autres objets
+\end_layout
+
+\begin_layout Itemize
+potentiomètre de 22
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset Formula $k\Omega$
+\end_inset
+
+, utilisé pour SQR2.
+\end_layout
+
+\begin_layout Itemize
+Support pour deux piles électriques.
+\end_layout
+
+\begin_layout Itemize
+Disque d'aluminium avec un trou central.
+\end_layout
+
+\begin_layout Itemize
+Bille d'acier doux, D
+\begin_inset space ~
+\end_inset
+
+=
+\begin_inset space ~
+\end_inset
+
+10
+\begin_inset space ~
+\end_inset
+
+mm
+\end_layout
+
+\begin_layout Itemize
+Bâton de ferrite, D
+\begin_inset space ~
+\end_inset
+
+=
+\begin_inset space ~
+\end_inset
+
+6
+\begin_inset space ~
+\end_inset
+
+mm, L
+\begin_inset space ~
+\end_inset
+
+=
+\begin_inset space ~
+\end_inset
+
+20
+\begin_inset space ~
+\end_inset
+
+mm
+\end_layout
+
+\begin_layout Itemize
+Bâton de ferrite, D
+\begin_inset space ~
+\end_inset
+
+=
+\begin_inset space ~
+\end_inset
+
+12
+\begin_inset space ~
+\end_inset
+
+mm, L
+\begin_inset space ~
+\end_inset
+
+=
+\begin_inset space ~
+\end_inset
+
+50
+\begin_inset space ~
+\end_inset
+
+mm
+\end_layout
+
+\begin_layout Itemize
+DELs 5
+\begin_inset space ~
+\end_inset
+
+mm
+\begin_inset space ~
+\end_inset
+
+ : Rouge, Bleue, Verte
+\end_layout
+
+\begin_layout Itemize
+DEL 10
+\begin_inset space ~
+\end_inset
+
+mm blanche, équipée de fils
+\end_layout
+
+\begin_layout Itemize
+Condensateurs
+\begin_inset space ~
+\end_inset
+
+: 100
+\begin_inset space ~
+\end_inset
+
+µF, 47
+\begin_inset space ~
+\end_inset
+
+µF, 10
+\begin_inset space ~
+\end_inset
+
+µF, 1
+\begin_inset space ~
+\end_inset
+
+µF et 0,1
+\begin_inset space ~
+\end_inset
+
+µF
+\end_layout
+
+\begin_layout Itemize
+Résistance
+\begin_inset space ~
+\end_inset
+
+: 100
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset Formula $\Omega$
+\end_inset
+
+, 200
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset Formula $\Omega$
+\end_inset
+
+, 330
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset Formula $\Omega$
+\end_inset
+
+, 560
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset Formula $\Omega$
+\end_inset
+
+, 1
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset Formula $k\Omega$
+\end_inset
+
+, 10
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset Formula $k\Omega$
+\end_inset
+
+ et 100
+\begin_inset space ~
+\end_inset
+
+
+\begin_inset Formula $k\Omega$
+\end_inset
+
+
+\end_layout
+
+\begin_layout Itemize
+Diode
+\begin_inset space ~
+\end_inset
+
+: 1N4148
+\end_layout
+
+\begin_layout Itemize
+Diode Zéner, 3.3
+\begin_inset space ~
+\end_inset
+
+V
+\end_layout
+
+\begin_layout Itemize
+Transistor
+\begin_inset space ~
+\end_inset
+
+: 2N2222
+\end_layout
+
+\begin_layout Itemize
+Fils électriques de 15
+\begin_inset space ~
+\end_inset
+
+cm - 5 unités
+\end_layout
+
+\begin_layout Itemize
+Fils électriques de 8
+\begin_inset space ~
+\end_inset
+
+cm - 5 unités
+\end_layout
+
+\begin_layout Itemize
+Tournevis
+\end_layout
+
+\begin_layout Itemize
+Photo-résistance
+\end_layout
+
+\begin_layout Itemize
+Thermistance
+\end_layout
+
+\begin_layout Subsection*
+Barrière photo-électrique et pendule pesant
+\end_layout
+
+\begin_layout Standard
+La barrière photo-électrique peut être utilisée pour chronométrer des mouvements
+ mécaniques.
+ Un rayon de lumière arrivant sur le photo-transistor est intercepté et
+ les intervalles de temps sont mesurés.
+ On peut l'utiliser pour trouver la vitesse de rotation d'un moteur, les
+ périodes d'oscillation d'un pendule, etc.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/light-bar-rodpend-photo.jpg
+	lyxscale 30
+	width 3.7cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/40kHz-piezo-photo.jpg
+	lyxscale 40
+	width 3.7cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/standing-wave-app-photo.jpg
+	lyxscale 30
+	width 3.6cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+(a) Barrière photo-électrique et pendule pesant.
+ (b) Émetteur piézo 40 kHz et récepteur.
+ (c) Appareil à corde vibrante.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Light-Barrier-and"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection*
+Émetteur-récepteur piézo ultra-sonores
+\end_layout
+
+\begin_layout Standard
+L'émetteur et le récepteur piézo-électrique 40
+\begin_inset space ~
+\end_inset
+
+kHz ultra-sonores peuvent être utilisés pour étudier le son.
+ La vitesse du son peut être trouvée en mesurant le temps de vol d'un paquet
+ sonore de l'émetteur au récepteur.
+ Le signal à 40
+\begin_inset space ~
+\end_inset
+
+kHz ne peut pas être visualisé correctement en utilisant la fonction oscilloscop
+e d'expEYES, il faut un oscillographe à plus haute fréquence pour voir ces
+ signaux correctement.
+\end_layout
+
+\begin_layout Subsection*
+Appareil à corde vibrante
+\end_layout
+
+\begin_layout Standard
+Celui-ci est alimenté sous 12
+\begin_inset space ~
+\end_inset
+
+V et piloté par un signal provenant de SQR2.
+ Le signal de SQR2 amplifié pilote la bobine d'un relais et une corde fixée
+ au contact du relais est mise en vibration.
+ Des ondes stationnaires peuvent être formées en ajustant la tension et
+ la fréquence de vibration.
+\end_layout
+
+\end_body
+\end_document
diff --git a/doc/fr/Docs/eyes.tex b/doc/fr/Docs/eyes.tex
new file mode 100644
index 0000000..b10c268
--- /dev/null
+++ b/doc/fr/Docs/eyes.tex
@@ -0,0 +1,5104 @@
+\documentclass{book}
+% -*- coding: utf-8 -*-
+
+\usepackage[utf8x]{inputenc}
+\usepackage{ucs}
+\usepackage{lmodern}
+\usepackage{graphicx}
+\usepackage[frenchb]{babel}
+\usepackage{hyperref,wrapfig}
+\usepackage{amssymb}
+\usepackage{latexsym}
+
+\PrerenderUnicode{É} % Pre-render some accented chars for titles of chapter
+\PrerenderUnicode{À}
+
+\newcommand{\nop}{}
+
+\begin{document}
+
+%% uicilibris: begin 'Page de couverture'
+\begin{titlepage}{\Large expEYES}\\[6em]
+\begin{center}\includegraphics[width=1.0\textwidth]{eyes.jpg}\\[1em]
+{\large Experiments for Young Engineers and Scientists}\\[6em]
+{\Huge Manuel de l'utilisateur}\\[1em]
+{\Large avec 50 Expériences Scientifiques}\\[3em]
+\end{center}{\large Projet PHOENIXInter-University Accelerator Centre(A Research Centre of UGC) New Delhi 110 067 \href{http://www.iuac.res.in }{\mbox{http://www.iuac.res.in} }}\\
+\end{titlepage}\pagebreak \tableofcontents \listoffigures\pagebreak
+
+
+
+
+
+
+%% uicilibris: end 'Page de couverture' tables OK
+
+
+
+%% uicilibris: begin 'Préambule'
+\textbf{Préface}
+
+
+
+
+
+
+Le projet PHOENIX (Physics with Home-made Equipment \& Innovative Experiments) a commencé en 2004 au Inter-University Accelerator Centre avec l'objectif d'améliorer l'enseignement des sciences dans les Universités Indiennes. Le développement d'équipements de laboratoire à bas prix et la formation des professeurs sont les deux activités majeures de ce projet. Le premier produit était une interface généraliste, s'appelait aussi Phoenix, et s'articulait avec ces instruments tels qu'un c [...]
+
+
+
+Le nouveau produit, expEYES (Experiments for Young Engineers \& Scientists), est conçu pour être un outil pour étudier par l'expérimentation, valide pour les classes de collège et au-delà. On a essayé de maintenir un équilibre entre les expériences ouvertes principalement réservées à l'exploration et les expériences conventionnelles avec un objectif spécifique. Nous avons essayé d'optimiser la conception pour rester simple, souple, robuste et surtout bon marché. Il n'y a pas besoin d [...]
+
+
+
+Vous pourrez trouver plus de détails et des versions mises à jour de ce document sur le site web \href{http://expeyes.in
+ }{\mbox{http://expeyes.in
+} }
+
+
+
+
+
+
+
+
+Ajith Kumar
+
+
+
+V V V Satyanarayana
+
+
+
+Jimson Sacharias
+
+
+
+Deepak Munda
+
+
+
+S. Venkataramanan
+
+
+
+(traduction française : Georges Khaznadar)
+
+
+
+
+
+
+
+
+
+%% uicilibris: end 'Préambule' tables OK
+
+
+
+%% uicilibris: begin 'Un bon départ'
+
+\chapter{Un bon départ}
+
+
+
+\section{Introduction}
+
+
+On mesure plus souvent la performance d'un étudiant par sa capacité à mémoriser que par sa compréhension réelle. Le résultat est que la plupart échouent à appliquer ce qu'ils apprennent en classe aux choses qu'ils rencontrent dans la vie quotidienne. On peut corriger ça dans une certaine mesure par un enseignement basé sur l'exploration et l'expérience. En général, les expériences impliquent de contrôler quelques paramètres physiques tels que la température, la pression, la vitesse, l'ac [...]
+
+
+
+La possibilité de réaliser des expériences avec une précision raisonnable ouvre un champ entièrement nouveau dans l'enseignement de la science. Les étudiants peuvent comparer les données expérimentales avec les modèles mathématiques et examiner les lois fondamentales gouvernant des phénomènes variés. Les chercheurs formulent des hypothèses, conçoivent et réalisent des expériences, analysent les données pour vérifier si elles ont en accord avec la théorie. Les objectifs du projet PHOENIX  [...]
+
+\section{Le matériel : connexions externes}
+
+
+
+
+
+\begin{figure}[h!]
+\begin{center}
+\caption{Le tableau de bord d'ExpEYES avec les connexions externes des deux côtés. Les flèches indiquent les sens des signaux. \label{fig:ExpEYES-top-panel}}\vspace{0.5em}
+\includegraphics{top-panelcolor.png}
+\end{center}
+\end{figure}
+
+
+
+
+
+
+Une photographie du matériel est présentée dans la figure  \ref{fig:ExpEYES-top-panel}. On peut le connecter au port USB d'un ordinateur. Il a 32 bornes d'entrée/Sortie, où on peut connecter des signaux du monde extérieur. Gardez en mémoire qu'il ne peut traiter que des signaux électriques, on peut suivre et contrôler les niveaux de tension à plusieurs bornes. Pour mesurer d'autre paramètres (tels que la température, la pression, etc.), il faut les convertir en signaux électriques en uti [...]
+
+
+
+\textbf{IMPORTANT} : \emph{Les tensions externes connectées à expEYES doivent être dans l'intervalle $\pm{}5\ V$}.
+
+
+
+
+\subsection{Signaux numériques}
+
+
+On peut groupe les connexions externes selon leurs fonctions.
+
+
+
+
+\subsubsection{Entrées numériques (ID0 et ID1)}
+
+
+Le logiciel peut lire le niveau de tension appliqué à ces bornes. Toute tension inférieure à 0,8 V est traitée comm 0 (BAS) et tout ce qui dépasse 2 V est traité comme 1 (HAUT). Si la tension change entres HAUT et BAS, ces bornes peuvent mesurer la fréquence et le rapport cyclique des signaux connectés. ExpEYES peut mesurer l'intervalle de temps entre les transitions de tension sur ces bornes avec une résolution de l'ordre de la microseconde.
+
+
+
+
+\subsubsection{Sorties numériques (OD0 et OD1)}
+
+
+
+
+
+à l'aide du logiciel, on peut commander la tension de ces bornes à 0 ou 5 V. OD0 est amplifiée par un transistor et peut contrôler un courant jusqu'à 100 mA. OD1 ne peut contrôler que jusqu'à 5 mA.
+
+
+
+
+\subsection{Générateurs de signaux}
+
+
+
+
+
+
+\subsubsection{SINE}
+
+
+
+
+
+Générateur de signal sinusoïdal de fréquence fixe, la fréquence vaut environ 90 Hz. Sortie bipolaire avec une amplitude proche de 4 V.
+
+
+
+
+\subsubsection{SQR1}
+
+
+
+
+
+Peut générer un signal carré, oscillant entre 0 et 5 V La fréquence est programmable de 15 Hz à 1 MHz. Les valeurs de fréquences intermédiaires ne sont pas toutes possibles.
+
+
+
+
+\subsubsection{SQR2}
+
+
+
+
+
+Peut générer un signal carré, oscillant entre 0 et 5 V La fréquence peut être réglée à toute valeur entre 0,7 Hz et 90 kHz. L'oscillateur nécessite une résistance variable de $22\, k\Omega$ pour fonctionner. L'intervalle de fréquence est contrôlé par logiciel et le réglage fin de fréquence est fait en ajustant la résistance variable. Les intervalles de fréquence sont $< 25 Hz$, $25$ à $1 kHz$, $1 kHz$ à $10 kHz$ et $10 kHz$ à $90 kHz$. Quand on écrit une fréquence dans un intervalle part [...]
+
+
+
+
+\subsubsection{PULSE}
+
+
+
+
+
+La fréquence de sortie est 488 Hz. Le rapport cyclique peut être programmé de 0 à 100 \%{} en 255 étapes. Cette borne peut être configurée pour générer un signal carré, comme SQR1. Cette propriété est utilisée par le programme qui démontre les interférences sonores.
+
+
+
+
+\subsection{Entrées de tension analogiques}
+
+
+
+
+
+
+\subsubsection{A0 et A1}
+
+
+
+
+
+peuvent mesurer la tension dans un intervalle$\pm5\, V$ . La résolution de la conversion analogique-numérique est 12 bits. La tension à ces bornes peut être affichée en fonction du temps, ce qui donne la propriété d'un oscilloscope basse fréquence à deux canaux.
+
+
+
+
+\subsubsection{A2}
+
+
+
+
+
+Pour la mesure de tensions. L'entrée doit être dans un intervalle de 0 à 5 V. La résolution est de 12 bits. La tension peut être représentée en fonction du temps à l'aide du logiciel.
+
+
+
+
+\subsection{Sorties de tension analogique}
+
+
+
+
+
+
+\subsubsection{BPV}
+
+
+
+
+
+Sortie de tension bipolaire. Peut être programmée à toute valeur entre -5 V et +5 V. La résolution est de 12 bits, ce qui implique un échelon de tension minimal de 2,5 mV.
+
+
+
+
+\subsubsection{UPV}
+
+
+
+
+
+Sortie de tension unipolaire. Peut être programmée entre 0 et +5 V. Ne peut pas être utilisée en même temps que la sortie de courant constant CS, dans la mesure où elles utilisent la même sortie de convertisseur numérique-analogique.
+
+
+
+
+\subsubsection{IV}
+
+
+
+
+
+Il s'agit juste de la sortie de BPV à travers une résistance de$1\, k\Omega$ . Utilisée pour faire des caractéristiques I-U.
+
+
+
+
+\subsubsection{Source de courant constant (CS)}
+
+
+
+
+
+programmable à toute valeur entre 0,05 et 2,0 mA. La résistance de charge devrait être choisie de telle façon que le produit $RI$  soit moins de 2 V. N'oubliez pas que CS et UPV partagent la même sortie de convertisseur numérique-analogique.
+
+
+
+
+\subsection{Amplificateurs inverseurs}
+
+
+
+
+
+Il y a trois amplificateurs inverseurs, implémentés à l'aide d'ampli-ops TLO84, désignés ci-dessous à l'aide de leurs numéros des bornes d'entrée et de sortie.
+
+
+
+
+\subsubsection{15 $\Rightarrow$ 13}
+
+
+
+
+
+Entrée à la borne 15 est sortie à la borne 13. Le gain par défaut est 50. On peut réduire le gain en mettant une résistance en série avec l'entrée. Le gain est donné par la relation $G=\frac{R_{f}}{(R_{ext}+1000)}$  où la résistance interne $R_{f}=50\,000\,\Omega$ . La résistance externe en série est $R_{ext}$ .
+
+
+
+
+\subsubsection{14 $\Rightarrow$ 12}
+
+
+
+
+
+Entrée à la borne 14 et sortie à la 12. Similaire au précédent.
+
+
+
+
+\subsubsection{17 $\Rightarrow$ 18}
+
+
+
+
+
+Entrée en 17 et sortie en 18. Le gain par défaut est 100. On peut réduire le gain en mettant une résistance en série avec l'entrée. Le gain est donné par la relation $G=\frac{R_{f}}{(R_{ext}+100)}$  où la résistance interne est $R_{f}=10\,000\,\Omega$  et la résistance externe en série est $R_{ext}$ .
+
+
+
+
+\subsubsection{Amplificateur non-inverseur}
+
+
+
+
+
+L'entrée est en 21 et la sortie en 22. Le gain est régi par une résistance externe $R_{g}$  connectée entre 19 et 20, et donné par la relation $Gain=1+10000/R_{g}$ . Cet amplificateur est implémenté à l'aide d'un circuit intégré OP27 et a une tension de décalage d'environ $30\,\mu V$.
+
+
+
+
+
+
+
+\subsubsection{Entrée de capteur (SEN)}
+
+
+
+
+
+Pour y connecter tout capteur dont la résistance varie avec le paramètre mesuré. Quand on l'utilise avec le photo-transistor, on branche le collecteur ici, et l'émetteur à la masse [Ground]. Capable de mesurer la tension et la fréquence.
+
+
+
+
+\subsection{Fréquencemètres}
+
+
+
+
+
+La borne 15 peut servir à mesurer la fréquence d'un signal bipolaire (qui varie entre des valeurs négatives et positives). L'amplitude minimale mesurable est 100 mV et la maximale est 5 V.
+
+
+
+ID0, ID1 et SEN peuvent être utilisées pour mesurer la fréquence de signaux qui oscillent entre 0 et 5 V.
+
+
+
+
+\subsection{Masses [Ground]}
+
+
+
+
+
+Les bornes marquées GND et décorées d'un symbole de masse électrique représentent le niveau de tension 0 V. Elles sont connectées entre elles et à la masse de l'ordinateur à travers le câble USB.
+
+
+
+
+\subsection{Comment connecter les fils}
+
+
+
+
+
+On connecte les fils aux bornes à l'aide d'un tournevis. Desserrer la vis (la monter presque jusqu'en haut du connecteur), entrer le fil sur le côté et le serrer. On ne doit pas insérer les fils quand la vis est dans la position serrée. N'utiliser que le petit tournevis qui vient avec le kit. Quand on doit changer le connexion à une borne plusieurs fois durant une expérience, il sera commode de fixer une pince crocodile à cette borne.
+
+
+
+
+\section{Installation du logiciel}
+
+
+
+
+
+ExpEYES ne peut fonctionner que sur des ordinateurs ayant un interpréteur Python et un module Python pour accéder au port série USB. L'interface USB est gérée par des pilotes qui représentent le port USB comme un port série RS232 aux programmes de l'application. La communication avec expEYES est faite à l'aide d'une bibliothèque écrite en langage Python. Des programmes avec une interface utilisateur graphique ont été écrits pour plusieurs expériences. Il y de nombreuses façons de faire f [...]
+
+
+
+
+\subsection{Le CD vif expEYES}
+
+
+la façon la plus simple pour commencer est de démarrer votre PC à l'aide du CD vif Phœnix. Dans le BIOS du PC, faites en sorte que le lecteur de CD soit le premier au démarrage, insérez le CD et redémarrez l'ordinateur. Un bureau apparaîtra et on peut démarrer expEYES depuis le menu  \texttt{\textbf{Applications -\> Science}\nop}. Le CD vif expEYES est basé sur la distribution GNU/Linux Ubuntu 10.10.
+
+
+
+
+\subsection{Installation dans une distribution GNU/Linux Debian ou Ubuntu}
+
+
+
+
+
+Installer python-imaging-tk depuis le dépôt de la distribution qu'on a. Télécharger <code\>expeyes.deb</code\> depuis \href{http://expeyes.in }{\mbox{http://expeyes.in} } et l'installer. Installer aussi \emph{python-scipy} et \emph{grace} (un grapheur 2D) pour une pleine fonctionnalité.
+
+
+
+
+\subsection{Pour les autres distributions GNU/Linux}
+
+
+
+
+
+Télécharger <code\>expeyes.tgz</code\> depuis \href{http://expeyes.in }{\mbox{http://expeyes.in} } et suivre les instructions du fichier README. Il est important d’accorder des permissions de lecture/écriture à tous les utilisateurs sur le port USB où expEYES est connecté.
+
+
+
+
+\subsection{Sous MSWindows}
+
+
+
+
+
+Bien qu'expEYES soit un Logiciel Libre développé à l'aide de logiciels libres, il fonctionne sur des plateformes non-libres aussi. Pour l'installer sous MS Windows, il vous faut les fichiers suivants (donnés sur le CD) :
+\begin{itemize}
+  \item CDM20814\_Setup.exe
+  \item python-2.6.6.msi
+  \item pyserial-2.5.win32.exe
+  \item PIL-1.1.7.win32-py2.6.exe
+  \item numpy-1.6.0b2-win32-superpack-python2.6.exe
+  \item scipy-0.9.0-win32-superpack-python2.6.exe
+  \item expeyes.zip
+\end{itemize}
+
+
+
+Dézipper le fichier <code\>expeyes.zip</code\>, cliquer double sur <code\>explore.py</code\> dans le répertoire nommé EYES nouvellement créé.
+
+
+
+Si vous avez le CD vif nommé \textbf{expEYES}, examinez le contenu du dossier nommé WINEYES. Tous les fichiers mentionnés ci-dessus sont dans ce dossier. Cliquez double sur eux dans l’ordre mentionné ci-dessus pour les installer. L'utilitaire grapheur XmGrace n'est pas disponible sous Windows. La sortie de la transformée de Fourier sera enregistrée sur le disque au format texte.
+
+
+
+
+\section{Le programme graphique principal}
+
+
+Lancez  \texttt{\textbf{Applications-\>Science-\>expEYES}\nop} depuis le menu. Ça lance une fenêtre graphique comme dans la figure  \ref{fig:Explorer-screenshot}, voyez l'explication ci-dessous.
+\begin{itemize}
+  \item Un clic sur les boîtes où s'affichent les numéros de bornes permet d'accéder à de l'aide en ligne.
+  \item Le statut des entrées numériques décide de la couleur de la zone juste à côté d'elles. Un vert pâle signifie HAUT et un gris signifie BAS. Quand on applique une tension oscillant entre 0 et 5V, ce champ va clignoter.
+  \item La zone d'affichage près de la borne 15 peut clignoter si un signal alternatif est connecté là.
+  \item La zone d'affichage de la fréquence de SEN peut clignoter si la tension d'entrée varie entre 0 et 5 V.
+  \item Les boutons marqués « F » peuvent être utilisés pour mesurer la fréquence, quand les champs colorés sont en train de clignoter.
+  \item On peut fixer la valeur des signaux de sortie en les entrant dans la boîte de texte voisine. On peut fixer la tension, le courant, la fréquence et le rapport cyclique de cette façon. Tapez  \fbox{Entrée} pour rendre la valeur effective. En cas de succès, un point décimal sera affiché.
+  \item SQR2 nécessite la résistance externe de $22\, k\Omega$  pour fonctionner. La fréquence réelle est affichée juste sous le champ texte, là où on fixe la fréquence.
+  \item Les états des sorties numériques, OD0 et OD1, peuvent être changés en utilisant les boutons à cocher.
+  \item Les tensions aux bornes d'entrées 23, 24, 25 et 26 sont affichées constamment à côté d'elles.
+\end{itemize}
+
+
+
+
+\subsection{La fenêtre du graphique}
+
+
+
+
+
+La fenêtre de graphique à droite fonctionne comme un oscilloscope à basse fréquence. La cadence d'échantillonnage maximale est de 100 kHz seulement. On peut numériser des signaux sinusoïdaux en utilisant un seul canal jusqu'à 20 kHz, et jusqu'à 10 kHz quand les deux canaux sont utilisés. Les contrôles suivants sont disponibles :
+
+
+
+\begin{itemize}
+  \item Curseur d'échelle horizontale (ms/carreau). Le mettre à la valeur minimale puis augmenter pour voir plus de périodes à l'écran.
+  \item Cases à cocher pour sélectionner A0 et A1.
+  \item Case à cocher LIZ pour faire une figure de Lissajous à l'aide des entrées A0 et A1.
+  \item Case à cocher FIT pour activer le calcul de l'amplitude, de la fréquence et de la phase en modélisant [to fit] les données à l'aide de l'équation $V=V_{0}\sin\left(\omega t+\theta\right)+C$.
+  \item Bouton SAVE pour enregistrer les données dans le fichier <code\>explore.dat</code\> (format : deux colonnes de texte).
+  \item FT pour calculer un spectre de puissance par transformation de Fourier [Fourier Transform] des données des canaux activés. Si XmGrace et pygrace sont installés, une fenêtre s'ouvre. Le spectre de puissance est enregistré dans le fichier <code\>exploreFFT.dat</code\> en format texte.
+\end{itemize}
+
+
+
+
+\section{Mesures élémentaires à l'aide d'expEYES}
+
+
+
+
+
+\begin{figure}[h!]
+\begin{center}
+\caption{Copie d'écran du programme Explore. Les flèches indiquent la direction des signaux. Les champs textes servent à fixer des valeurs. Des boutons sont fournis pour les mesures de fréquences. \label{fig:Explorer-screenshot}}\vspace{0.5em}
+\includegraphics[width=0.95\textwidth]{explorer.png}
+\end{center}
+\end{figure}
+
+
+
+Avant de commencer les expériences, faisons quelques exercices simples pour nous familiariser avec ExpEYES. Démarrez votre ordinateur avec le cédérom vif, connectez ExpEYES au port USB et démarrez le programme ExpEYES depuis le menu  \texttt{\textbf{Applications-\>Science}\nop}.
+
+
+
+
+\subsection{Générer \& mesurer des tensions}
+
+
+\begin{itemize}
+  \item Connecter BPV à A0
+  \item Fixer BPV à une certaine tension et observer l'affichage à A0
+  \item Essayer A1 au lieu de A0
+  \item Répéter la même chose en connectant UPV à A2
+\end{itemize}
+
+
+
+
+\subsection{Observer des signaux de tension}
+
+
+
+
+
+\begin{itemize}
+  \item Connecter SQR1 à A0
+  \item Fixer SQR1 à 100 Hz
+  \item Ajuster l'échelle horizontale (ms/Div) pour voir 4 ou 5 périodes du signal carré
+  \item Répéter la même chose avec d'autres valeurs de fréquence
+  \item Connecter SINE à A1 et observer les deux traces simultanément
+  \item Explorez les option FIT, XM et FT.
+\end{itemize}
+
+
+
+
+\subsection{Mesurer la fréquence}
+
+
+
+
+
+\begin{itemize}
+  \item Connecter SQR1 à ID0
+  \item Fixer SQR1 à 1000
+  \item Cliquer sur le bouton « F » de ID0
+  \item Connecter SINE à la borne 15 et mesurer la fréquence\footnote{La borne 15 ne peut pas mesurer la fréquence des sorties SQR1 ou SQR2, parce qu'elles n'oscillent pas en-dessous de zéro.}.
+\end{itemize}
+
+\subsection{Mesurer le Connecter PULSE à ID0}
+
+
+
+
+
+\begin{itemize}
+  \item Connecter aussi à A0, si on veut observer la forme du signal.
+  \item Fixer PULSE à une valeur quelconque comprise entre 0 et 100
+  \item Cliquer sur le bouton « \%{} » de ID0, pour mesurer le rapport cyclique.rapport cyclique
+\end{itemize}
+
+
+
+
+\subsection{Fixer des niveaux de tension}
+
+
+
+
+
+\begin{itemize}
+  \item Connecter OD0 à ID0
+  \item Cliquer sur le bouton à cocher observer la couleur d'affichage de ID0.
+\end{itemize}
+
+
+
+
+
+
+
+
+
+
+\section{Expériences}
+
+
+Une expérience scientifique implique en général le contrôle et la mesure de divers paramètres physiques comme la température, la pression, la tension, le courant, etc. Le matériel de base d'expEYES peut générer différentes sortes de signaux électriques et mesurer des signaux électriques. Pour mesure quoi que ce soit d'autre qu’une tension, il faut convertir à l'aide d'éléments capteurs appropriés. Par exemple un capteur de température donnera une tension indiquent la température. Comme l [...]
+
+
+
+Un programme avec une interface graphique est fourni pour chacune des expériences de ce manuel. Cependant il est possible de faire la même chose en écrivant quelques lignes en langage Python. Toute la communication avec expEYES est faite à l'aide d'une bibliothèque Python nommée <code\>eyes.py</code\>. Des bibliothèques Python sont utilisées pour l'analyse des données. Si vous êtes intéressé par développer de nouvelles expériences basées sur expEYES, c'est une bonne idée d'étudier  [...]
+
+
+
+Les chapitres suivants décrivent des expériences sur divers sujets comme l'électricité, le magnétisme, l'électronique, le son, la chaleur, etc. Comme le kit expEYES est destiné à l'auto-apprentissage, nous avons inclus quelques expériences très triviales au début.
+
+
+
+%% uicilibris: end 'Un bon départ' tables OK
+
+
+
+%% uicilibris: begin 'Expériences'
+
+\chapter{Expériences}
+
+
+
+
+
+Nous commençons avec la tâche triviale de mesurer la tension d'une pile. On introduit ensuite le courant et la résistance, puis des résistances changeant avec la lumière et la température. Le concept de Courant Alternatif est introduit en traçant le graphique d'une tension en fonction du temps.
+
+
+
+Le comportement d'éléments de circuit comme des condensateurs et des bobinages en courant alternatif et continu sont explorés, en mesurant des paramètres tels que l'amplitude, la fréquence et la phase. La réponse transitoire d'une résistance et d'un condensateur en série est utilisée pour mesurer la capacité. L'inductance est aussi mesurée de cette façon. On examine l'effet de matériaux ferromagnétiques dans un bobinage.
+
+
+
+L'analyse de Fourier d'un signal carré est faite pour étudier les harmoniques. L'intégration et la différentiation d'un signal carré à l'aide de circuits RC est aussi explorée.
+
+
+
+%% uicilibris: end 'Expériences' tables OK
+
+
+
+%% uicilibris: begin 'Mesurer la tension'
+
+\section{Mesurer la tension}
+
+
+
+\subsection{Objectif}
+
+
+Apprendre à mesurer la tension à l'aide d'expEYES et acquérir une notion du concept de masse électrique [Electrical Ground].
+
+
+
+
+\subsection{Matériel}
+
+
+\begin{figure}[h!]
+\begin{center}
+\caption{\label{measuring-drycells}Mesure de la tension de piles sèches }\vspace{0.5em}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Schematic-cell-voltage.png}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Pic-drycell-voltage.png}
+\end{center}
+\end{figure}
+
+
+
+\begin{itemize}
+  \item piles sèches de tensions 1,5 V
+  \item Support de piles avec deux fils de connexion.
+\end{itemize}
+
+\subsection{Procédure}
+
+
+\begin{itemize}
+  \item Connecter le Négatif de la pile sèche à la masse [Ground].
+  \item Borne positive de la pile en A0.
+\end{itemize}
+
+\subsection{Observation}
+
+
+La tension sera affichée à gauche de A0, comme montré sur la figure \ref{measuring-drycells}.
+
+\subsection{Discussion}
+
+
+On mesure la différence de potentiel expEYES mesurent la tension par rapport aux bornes de masse marquées GND. Nous avons connecté la borne négative de la pile à la masse [Ground]. La borne positive est à +3 V par rapport à la borne négative.
+
+
+
+Recommencer l'expérience en connectant la borne positive de la pile à GND et la négative à A0. La tension sera présentée comme négative. \emph{Est-ce que ça donnerait la tension correcte, si la masse [Ground] n'était pas connectée ?}
+
+
+
+%% uicilibris: end 'Mesurer la tension' tables OK
+
+
+
+%% uicilibris: begin 'Tension, courant et résistance'
+
+\section{Tension, courant et résistance}
+
+
+
+\subsection{Objectif}
+
+
+En apprendre au sujet du courant, de la résistance, et de la loi d'Ohm. Tracer la courbe courant-tension [I-V] d'une résistance.
+
+\subsection{Théorie}
+
+
+La tension aux bornes d'un conducteur est directement proportionnelle au courant qui le traverse. La constante de proportionnalité est nommée Résistance. Ceci est connu sous le nom de Loi d'Ohm, avec l'expression mathématique suivante :
+
+
+
+$U\varpropto I\,\,\,;\,\,\,\, U=RI\,\,\,\, ou\,\,\, R=\frac{U}{I}$
+
+\subsection{Matériel}
+
+
+\begin{figure}[h!]
+\begin{center}
+\caption{\label{fig:I-V-of-resistor}Caractéristique I-V d'une résistance }\vspace{0.5em}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Schematic-res-measure.png}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Pic-resistor-iv.png}
+\end{center}
+\end{figure}
+
+
+
+\begin{itemize}
+  \item Une résistance de $1 k\Omega$
+\end{itemize}
+
+\subsection{Procédure}
+
+
+\begin{itemize}
+  \item Connecter la résistance entre la source de courant CS et la masse [Ground].
+  \item Fixer le courant à 0,5 mA et noter la tension en CS.
+  \item Changer le courant par paliers de 0,5 mA. (La tension ne devrait pas dépasser 2 V à la borne CS)
+  \item Faire un clic droit sur le tableau de bord. Choisir  \texttt{\textbf{Resistor IV}\nop} dans le menu contextuel.
+  \item Tracer le graphique à l'aide du bouton  \fbox{START}.
+\end{itemize}
+
+\subsection{Observation}
+
+
+\begin{tabular}{|l|l|}
+\hline
+\textbf{I (mA)}&\textbf{U (V)}
+\\ \hline
+0,5&0,508
+\\ \hline
+1,0&1,011
+\\ \hline
+1,5&1,510
+\\ \hline
+\end{tabular}\\[0.5em]
+
+
+
+
+
+
+La précision de la source de courant n'est que de 1\%{}, à cause de la tolérance sur la valeur de la résistance utilisée. Pour les applications nécessitant une précision supérieure, on peut la calibrer à l'aide d'une résistance connue. La courbe I-V est présentée à la figure  \ref{fig:I-V-of-resistor}.
+
+\subsection{Discussion}
+
+
+À l'aide d'expEYES, on peut fixer le courant issu de CS (de 0,05 mA à 2 mA). La tension en CS dépend de la résistance connectée de la source de courant à la masse [Ground]\footnote{La tension aux bornes de cette source de courant particulière ne devrait pas dépasser 2 V. Choisir la résistance de charge et les valeurs de courant en fonction de ça.}.La tension aux bornes de cette source de courant particulière ne devrait pas dépasser 2 V. Choisir la résistance de charge et les valeurs de c [...]
+
+
+
+Le graphique est une ligne droite comme la tension est directement proportionnelle au courant. La courbe ne sera pas une ligne droite pour les éléments non-linéaires, comme une diode.
+
+
+
+
+
+
+%% uicilibris: end 'Tension, courant et résistance' tables OK
+
+
+
+%% uicilibris: begin 'Résistances en série'
+
+\section{Résistances en série}
+
+
+
+\subsection{Objectif}
+
+
+Trouver la résistance équivalente à une combinaison en série de résistances.
+
+\subsection{Théorie}
+
+
+Pour les combinaisons en série de résistances, la résistance totale est donnée par $R=R1+R2+\cdots$
+
+\subsection{Matériel}
+
+
+Résistances de $560\,\Omega$  et $1\, k\Omega$.
+
+\subsection{Procédure}
+
+
+\begin{figure}[h!]
+\begin{center}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Schematic-res-series.png}
+\end{center}
+\end{figure}
+
+
+
+Connecter les deux résistances en série entre CS et la masse [Ground]
+
+
+
+Fixer le courant à 1 mA et prendre note de la tension affichée à la borne CS.
+
+\subsection{Observation}
+
+
+\begin{tabular}{|l|l|}
+\hline
+\textbf{R ($\Omega$)}&\textbf{U (V)}
+\\ \hline
+560&0,558
+\\ \hline
+1000&0,998
+\\ \hline
+1000+560&1,556
+\\ \hline
+\end{tabular}\\[0.5em]
+
+
+
+Comme le courant est le même, la tension totale donne la résistance effective. On peut voir que c'est la somme des valeurs individuelles, dans la limite de l'erreur de mesure.
+
+\subsection{Discussion}
+
+
+Les très fortes résistances ($\>10^{9}\Omega$) sont souvent réalisées à l'aide d'associations en série.
+
+
+
+%% uicilibris: end 'Résistances en série' tables OK
+
+
+
+%% uicilibris: begin 'Résistances en parallèle'
+
+\section{Résistances en parallèle}
+
+
+
+\subsection{Objectif}
+
+
+Trouver la résistance équivalente à une association de résistances en parallèle.
+
+\subsection{Théorie}
+
+
+Pour les associations en parallèle, la résistances effective est donnée par la relation :
+
+
+
+$\frac{1}{R}=\frac{1}{R1}+\frac{1}{R2}+\cdots$
+
+\subsection{Matériel}
+
+
+Deux résistances de 1k$\Omega$
+
+\subsection{Procédure}
+
+
+\begin{figure}[h!]
+\begin{center}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Schematic-res-par.png}
+\end{center}
+\end{figure}
+
+
+
+\begin{itemize}
+  \item Connecter une résistances de 1 k$\Omega$  entre CS et la masse [Ground].
+  \item Fixer le courant à 1 mA (0,001 A) et prendre note de la tension affichée à CS.
+  \item Répéter la même chose avec deux résistances connectées en parallèle.
+\end{itemize}
+
+\subsection{Observation}
+
+
+\begin{tabular}{|l|l|}
+\hline
+\textbf{$R_{connectee}(\Omega)$}&\textbf{$U_{mesuree}(V)$}
+\\ \hline
+1000&1,008
+\\ \hline
+1000 $\parallel$ 1000&0,503
+\\ \hline
+\end{tabular}\\[0.5em]
+Comme nous connaissons le courant, à partir des tensions mesurées, nous pouvons calculer la résistance. Selon la tension mesurée la résistance de l'association en parallèle est $\frac{0.503\, V}{0.001\, A}=503\,\Omega$
+
+\subsection{Discussion}
+
+
+\emph{Pour quelles raisons voudrait-on connecter des résistances en parallèle ?}
+
+
+
+%% uicilibris: end 'Résistances en parallèle' tables OK
+
+
+
+%% uicilibris: begin 'Mesure de résistance par comparaison'
+
+\section{Mesure de résistance par comparaison}
+
+
+ \label{sec:Measure-resistance-by}
+
+\subsection{Objectif}
+
+
+Apprendre à appliquer la Loi d'Ohm pour trouver la valeur d'une résistance inconnue en la comparant avec une résistance connue.
+
+\subsection{Théorie}
+
+
+La tension aux bornes d'une résistance est donnée par $ U=RI$. Si le courant qui traverse deux résistances est le même, alors le quotient des tensions sera le même que le quotient des résistances.
+
+
+
+$I=\frac{U_{1} }{R_{1} }=\frac{U_{2} }{R_{2} }$
+
+\subsection{Matériel}
+
+
+Une résistance de référence de 1 k$\Omega$  et quelques autres résistances. (valeurs entre 100 $\Omega$  et 10 k$\Omega$ )
+
+\subsection{Procédure}
+
+
+\begin{itemize}
+  \item Connecter la résistance inconnue entre UPV et A2.\footnote{On utilise A2 quand la tension est comprise entre 0 et 5 V.}
+  \item Connecter la résistance de 1 k$\Omega$  ($R_{2}$) entre A2 et la masse [Ground].
+  \item Fixer UPV à 4 V.
+  \item Mesurer la tension en A2.
+\end{itemize}
+
+\subsection{Observation}
+
+
+La tension en A2 = 1,244 V, ce qui implique que la tension aux bornes de la résistance inconnue est $4-1,244=2,756V$
+
+
+
+Le courant est $I=\frac{1,244}{1000}=1,244mA$
+
+
+
+La valeur de la résistance inconnue est $R_1=\frac{2,756}{1,244}=2,215\, k\Omega$
+
+\subsection{Discussion}
+
+
+Quelle est la limite de cette méthode ? Comment choisit-on la résistance de référence ? supposons que la valeur inconnue soit en méga-ohm, quel serait la chute de tension dans une résistance de référence de 1 k$\Omega$ ? Notre mesure de tension possède une résolution de $\frac{1}{4095}$ .
+
+
+
+Nous utiliserons cette méthode plus tard pour mesurer la résistance de solutions.
+
+
+
+
+
+
+%% uicilibris: end 'Mesure de résistance par comparaison' tables OK
+
+
+
+%% uicilibris: begin 'Tension d'une pile au citron'
+
+\section{Tension d'une pile au citron}
+
+
+
+\subsection{Objectif}
+
+
+Créer une source de tension. En apprendre sur la possibilité de générer du courant. Concept de résistance interne.
+
+
+
+
+\subsection{Matériel}
+
+
+\begin{itemize}
+  \item Un citron mur (ou un acide quelconque), des plaques fines de zinc et de cuivre.
+  \item Une résistance de 1 k$\Omega$.
+\end{itemize}
+
+\subsection{Procédure}
+
+
+\begin{figure}[h!]
+\begin{center}
+\caption{\label{fig:lemoncell}(a) des plaques de zinc et de cuivre insérées dans un citron. (b) La tension continue produite par la pile. }\vspace{0.5em}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Schematic-lemon-cell.png}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Pic-lemoncellDC.png}
+\end{center}
+\end{figure}
+
+
+
+\begin{itemize}
+  \item Insérer les plaques de zinc et de cuivre dans le citron.
+  \item Connecter une plaque à la masse [Ground] et l'autre à A0, à l'aide de deux fils électriques.
+  \item Connecter la résistance entre A0 et la masse [Ground].
+\end{itemize}
+
+\subsection{Observation}
+
+
+La tension entre le cuivre et le zinc sera d'environ 0,9 V. Quand on connecte la résistance, celle-ci diminue jusqu'à environ 0,33 V.
+
+
+
+Quelle est la résistance interne de la pile ?
+
+\subsection{Discussion}
+
+
+Quand la résistance est connectée, le courant commence à circuler par elle. Mais pourquoi la tension diminue-t-elle ?
+
+
+
+Ça ne se produit pas avec une pile sèche neuve. Pourquoi ?
+
+
+
+Le courant est causé par le mouvement de charges électriques et il doit faire le tour complet. Cela signifie que le courant doit traverser la pile aussi. Selon la résistance interne de la pile, une part de la tension est perdue à l'intérieur de la pile elle-même.
+
+
+
+Une source de tension idéale devrait posséder une résistance interne nulle.
+
+
+
+%% uicilibris: end 'Tension d'une pile au citron' tables OK
+
+
+
+%% uicilibris: begin 'Tension variable dans le temps'
+
+\section{Tension variable dans le temps}
+
+
+
+\subsection{Objectif}
+
+
+Introduire le concept de tensions dépendant du temps, à l'aide d'un graphique U(t).
+
+
+
+
+\subsection{Matériel}
+
+
+\begin{itemize}
+  \item Piles sèches de tensions 1,5 V
+  \item Support de piles avec fils de connexion.
+\end{itemize}
+
+\subsection{Procédure}
+
+
+\begin{figure}[h!]
+\begin{center}
+\caption{\label{fig:Graph-of-DC}Graphique d'une tension continue en fonction du temps }\vspace{0.5em}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Schematic-cell-voltage.png}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Pic-dcvoltage.png}
+\end{center}
+\end{figure}
+
+
+
+\begin{itemize}
+  \item Connecter le négatif de la pile sèche à la masse [Ground].
+  \item Borne positive de la pile en A0.
+  \item Observer le graphique dans la partie droite de la fenêtre.
+\end{itemize}
+
+\subsection{Observation}
+
+
+Une ligne horizontale apparaît sur le graphique, le temps est sur l'axe des abscisses et la tension est sur l'axe des ordonnées.
+
+\subsection{Discussion}
+
+
+La tension est constante dans le temps. Une pile est une source de tension continue. Un autre type de tension est nommé tension alternative, elle change de valeur et de signe dans le temps.
+
+
+
+%% uicilibris: end 'Tension variable dans le temps' tables OK
+
+
+
+%% uicilibris: begin 'Tension alternative'
+
+\section{Tension alternative}
+
+
+
+\subsection{Objectif}
+
+
+En apprendre un peu au sujet de la tension alternative, à l'aide de graphiques. Se familiariser avec la forme d'onde sinusoïdale.
+
+
+
+
+\subsection{Matériel}
+
+
+\begin{itemize}
+  \item Un bout de fil électrique.
+\end{itemize}
+
+\subsection{Procédure}
+
+
+\begin{figure}[h!]
+\begin{center}
+\caption{\label{fig:Sinewave}Forme de l'onde de tension alternative issue de SIN }\vspace{0.5em}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Schematic-sine-a0.png}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Pic-sinewave90hz.png}
+\end{center}
+\end{figure}
+
+
+
+\begin{itemize}
+  \item Connecter SIN à A0.
+  \item Ajuster l'échelle horizontale pour voir 4 ou 5 périodes.
+  \item Activer la case à cocher  \fbox{FIT}.
+\end{itemize}
+
+\subsection{Observation}
+
+
+La forme d'onde est montrée à la figure  \ref{fig:Sinewave}. Activer l'option  \fbox{FIT} pour calculer l'amplitude et la fréquence en modélisant les données à l'aide de l'équation $U=U_{0}\sin(2\pi ft+\theta)$, où $U_{0}$  est l'amplitude et $f$  est la fréquence.
+
+\subsection{Discussion}
+
+
+La tension change avec le temps. Elle devient tantôt positive tantôt négative. Une période complète dure environ 12 milli-secondes, c'est à dire environ 90 périodes par seconde ou 90 Hz. Cette forme d'onde de tension est générée par des circuits électroniques.\footnote{La fréquence de la sortie SIN est proche de 90 Hz. Ses variations sont dues à la tolérance de 20\%{} sur les valeurs des condensateurs qui décident de la fréquence.}
+
+
+
+La tension d'alimentation du secteur dans nos maisons a une fréquence de 50 Hz.
+
+
+
+Quelle est la signification de $\theta$ dans l'équation ci-dessus ?
+
+
+
+
+
+
+%% uicilibris: end 'Tension alternative' tables OK
+
+
+
+%% uicilibris: begin 'Influence d'une tension alternative'
+
+\section{Influence d'une tension alternative}
+
+
+
+\subsection{Objectif}
+
+
+En apprendre un peu au sujet de la tension alternative du secteur. Explorer le phénomène de propagation de tensions alternatives à travers l'espace.
+
+
+
+
+\subsection{Matériel}
+
+
+\begin{itemize}
+  \item Un bout de fil électrique long.
+\end{itemize}
+
+\subsection{Procédure}
+
+
+\begin{figure}[h!]
+\begin{center}
+\caption{\label{fig:Power-line-pickup}Influence d'un câble électrique connecté au secteur }\vspace{0.5em}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Schematic-pickup.png}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Pic-sinewave50hz.png}
+\end{center}
+\end{figure}
+
+
+
+\begin{itemize}
+  \item Connecter une extrémité du fil électrique en A0.
+  \item Placer l'autre extrémité du fil électrique près d'un câble électrique relié au secteur (ne jamais toucher le câble) et changer l'orientation du fil jusqu'au moment où on a un bon signal sur l'écran.
+  \item Ajuster l'échelle horizontale à 10 milli-secondes par division.
+  \item Activer la case à cocher« FIT ».
+\end{itemize}
+
+\subsection{Observation}
+
+
+La forme de tension observée est montrée à la figure  \ref{fig:Power-line-pickup}. La fréquence calculée par modélisation des données est 49,65 Hz
+
+\subsection{Discussion}
+
+
+Sans réaliser aucun branchement, comment se fait-il qu'on récupère une tension alternative depuis le secteur ? Faire cette expérience avec un ordinateur portable situé loin des lignes de courant du secteur.
+
+
+
+Est-ce similaire aux radiations d'un téléphone cellulaire ?
+
+
+
+Pourquoi la fréquence diffère-t-elle de 50 Hz ?
+
+
+
+Nous observons la tension reçue par influence par le fil électrique, qui agit comme un antenne captant la radiation à 50 Hz issue du câble du secteur. Quand on touche le bout flottant du fil électrique on augmente le signal, parce qu'on fait alors partie de l'antenne. La fréquence $f$  est calculée en modélisant les données recueillies par l'équation $U=U_{0}\sin(2\pi ft+\theta)$.
+
+
+
+Essayez de faire les mesures durant la journée et à minuit pour comparer les fréquences mesurées. Elles dépendent de la charge du réseau électrique. Si la distribution de l'énergie électrique est vraiment bonne, la fréquence restera constante\footnote{N.d.T. :En Inde où ExpEYES a été conçu, les usines de production d'électricité cherchent à asservir la fréquence f à la valeur 50 Hz. Il y a donc toujours une petite différence entre la fréquence f et la valeur de référence 50 Hz. En Europe [...]
+
+
+
+
+
+
+%% uicilibris: end 'Influence d'une tension alternative' tables OK
+
+
+
+%% uicilibris: begin 'Composantes continue et alternative d'une tension'
+
+\section{Composantes continue et alternative d'une tension}
+
+
+  \label{sec:DC-AC}
+
+\subsection{Objectif}
+
+
+En apprendre un peu au sujet des composantes continue et alternative d'une tension dépendante du temps. Séparer les composantes continue et alternative à l'aide d'un condensateur.
+
+
+
+
+\subsection{Matériel}
+
+
+\begin{itemize}
+  \item condensateur de $1 \mu{}F$, résistance de 10 k$\Omega$
+\end{itemize}
+
+\subsection{Procédure}
+
+
+\begin{figure}[h!]
+\begin{center}
+\caption{\label{fig:Square-wave}(a) Tension oscillant entre 0 et 5 V }\vspace{0.5em}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Schematic-sqr-a0.png}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Pic-sqrwave2.png}
+\end{center}
+\end{figure}
+
+
+
+\begin{figure}[h!]
+\begin{center}
+\caption{\label{}(b) Après traversée d'un condensateur }\vspace{0.5em}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Schematic-ac-dc.png}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Pic-sqrwave-dcblocked.png}
+\end{center}
+\end{figure}
+
+
+
+\begin{itemize}
+  \item Connecter SQR1 à A0 à l'aide d'un fil électrique.
+  \item Entrer 500 dans la boîte texte de SQR1 et appuyer sur la touche <Entrée\>.
+  \item Ajuster l'échelle horizontale pour voir plusieurs périodes.
+  \item Insérer un condensateur de 1 µF entre SQR1 et A0
+  \item Connecter une résistance de 10 k$\Omega$  entre A0 et la masse [Ground].
+\end{itemize}
+
+\subsection{Observation}
+
+
+Les formes d'ondes observées avec et sans le condensateur en série sont montrées à la figure  \ref{fig:Square-wave}. La tension oscille entre 0 et 5 V. Après avoir traversé le condensateur, la tension oscille entre -2,5 V et +2,5 V.
+
+\subsection{Discussion}
+
+
+Qu'obtiendrez-vous si vous faisiez la soustraction de 2,5 V de la coordonnée-y de chaque point du premier graphique ? C'est ce que le condensateur a réalisé. Il s'est opposé au passage de la composante en tension continue.
+
+
+
+La tension d'origine peut être considérée comme la superposition de d'une tension alternative de 5 V (crête à crête) et d'une tension continue de 2,5 V.
+
+
+
+Il se peut qu'on doive connecter une résistance de 10 k$\Omega$  entre A0 et la masse [Ground] pour voir un signal oscillant entre-2,5 et +2,5 V.
+
+
+
+Pourquoi cette résistance est-elle nécessaire ?
+
+
+
+%% uicilibris: end 'Composantes continue et alternative d'une tension' tables OK
+
+
+
+%% uicilibris: begin 'Résistivité du corps humain'
+
+\section{Résistance du corps humain}
+
+
+
+\subsection{Objectif}
+
+
+Avoir une idée de la résistance de la peau humaine, et savoir comment elle varie.
+
+
+
+
+\subsection{Matériel}
+
+
+\begin{itemize}
+  \item Deux bouts de fil électrique.
+\end{itemize}
+
+\subsection{Procédure}
+
+
+\begin{figure}[h!]
+\begin{center}
+\caption{\label{}Tension après passage dans la main. }\vspace{0.5em}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Schematic-cond-main.png}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Pic-sqrwave-hand.png}
+\end{center}
+\end{figure}
+
+
+
+\begin{itemize}
+  \item Connecter un bout d'un fil à SQR1, laisser l'autre bout en l'air
+  \item Connecter un bout du second fil électrique à A0.
+  \item Fixer SQR1 à 500.
+  \item Ajuster l'échelle horizontale pour voir plusieurs périodes.
+  \item Tenir les extrémités libres des fils électriques entre vos doigts.
+  \item Répéter la même chose en utilisant SINE au lieu de SQR1.
+\end{itemize}
+
+
+
+
+\subsection{Discussion}
+
+
+En utilisant la méthode de comparaison, essayez de calculer la résistance de la portion de main entre les deux fils, quand vous les tenez. La résistance de référence est $10 M\Omega$, connectée en interne entre A0 et la masse.
+
+
+
+%% uicilibris: end 'Résistivité du corps humain' tables OK
+
+
+
+%% uicilibris: begin 'Résistances dépendantes de la température'
+
+\section{Résistances dépendantes de la température}
+
+
+
+\subsection{Objectif}
+
+
+Montrer la dépendance de la résistance en fonction d la température. Concept de base d'un capteur de température.
+
+
+
+
+\subsection{Matériel}
+
+
+\begin{itemize}
+  \item Thermistance (NTC)\footnote{en anglais : Negative Temperature Coefficient}. Résistance $1\ k\Omega$  à 25° Celsius.
+  \item De l'eau froide
+  \item Une bougie ou une autre source de chaleur.
+\end{itemize}
+
+\subsection{Procédure}
+
+
+\begin{figure}[h!]
+\begin{center}
+\caption{\label{} }\vspace{0.5em}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Schematic-ntc.png}
+\end{center}
+\end{figure}
+
+
+
+\begin{itemize}
+  \item Connecter la thermistance (NTC) entre CS et la masse [Ground]
+  \item Fixer CS à $1,0\ mA$
+  \item Mesurer la tension aux bornes de la thermistance à diverses températures.
+\end{itemize}
+
+\subsection{Observation}
+
+
+\begin{tabular}{|l|l|l|}
+\hline
+\textbf{Réglage}&\textbf{$U=RI$}&\textbf{$R=\frac{U}{I}$}
+\\ \hline
+Dans l'eau froide&$1,2\ V$&$1200\ \Omega$
+\\ \hline
+À température ambiante&$0,935\ V$&$935\ \Omega$
+\\ \hline
+\end{tabular}\\[0.5em]
+
+\subsection{Discussion}
+
+
+Pour quelle raison les matériaux ont-ils une résistance électrique ?
+
+
+
+Pourquoi dépend-elle de la température ?
+
+
+
+Pour les métaux, R augmente avec T. Mais pour les isolants et les semi-conducteurs elle diminue. Pourquoi ?
+
+
+
+Quelle est la signification de la température au niveau moléculaire ?
+
+
+
+
+
+
+%% uicilibris: end 'Résistances dépendantes de la température' tables OK
+
+
+
+%% uicilibris: begin 'Résistances dépendant de la lumière'
+
+\section{Résistances dépendant de la lumière}
+
+
+
+\subsection{Objectif}
+
+
+En apprendre un peu au sujet de la photo-résistance LDR. Mesurer l'intensité de la lumière et sa variation avec la distance à la source.
+
+
+
+
+\subsection{Matériel}
+
+
+\begin{itemize}
+  \item Une photo-résistance, LDR
+  \item Une résistance de $10\ k\Omega$
+  \item Une ampoule de lampe-torche sans aucun réflecteur.
+\end{itemize}
+
+\subsection{Procédure}
+
+
+\begin{figure}[h!]
+\begin{center}
+\caption{\label{} }\vspace{0.5em}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Schematic-ldr.png}
+\end{center}
+\end{figure}
+
+
+
+\begin{itemize}
+  \item Connecter la LDR entre UPV et A2
+  \item Fixer UPV à $4\ V$.
+  \item Résistance de $10\ k\Omega$  entre A2 et la masse [Ground]
+  \item Mesurer la tension en A2, sans lumière sur la LDR.
+  \item La mesurer en plaçant l'ampoule allumée à une certaine distance\footnote{À faire dans une pièce sombre}.
+  \item Changer la distance et prendre note de la tension en A2.
+  \item Calculer la résistance par comparaison comme décrit à la section  \ref{sec:Measure-resistance-by}.
+\end{itemize}
+
+\subsection{Observation}
+
+
+La résistance varie de $1\ k\Omega$  à environ $100\ k\Omega$  selon la lumière qui lui arrive.
+
+\subsection{Discussion}
+
+
+La tension est proportionnelle à la résistance. La résistance diminue quand la lumière augmente. Si vous utilisez une source de lumière ponctuelle, la résistance devrait augmenter comme le carré de la distance.
+
+
+
+
+
+
+%% uicilibris: end 'Résistances dépendant de la lumière' tables OK
+
+
+
+%% uicilibris: begin 'L'électricité traversant les liquides, en courant continu et alternatif'
+
+\section{L'électricité traversant les liquides, en courant continu et alternatif}
+
+
+
+\subsection{Objectif}
+
+
+Mesurer la résistance de liquides, en utilisant des tensions continues et alternatives.
+
+
+
+
+\subsection{Matériel}
+
+
+\begin{itemize}
+  \item Un bécher de $100\ mL$
+  \item Du sel de cuisine
+  \item Une résistance de $10\ k\Omega$
+\end{itemize}
+
+\subsection{Procédure}
+
+
+\begin{figure}[h!]
+\begin{center}
+\caption{\label{}(a) Montage expérimental. (b) Tension continue totale et tension à travers la résistance de $1\ k\Omega$. }\vspace{0.5em}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Schematic-water.png}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Pic-DCthrough-water.png}
+\end{center}
+\end{figure}
+
+
+
+\begin{figure}[h!]
+\begin{center}
+\caption{\label{}Tension alternative totale et tension à travers la résistance de $1\ k\Omega$. }\vspace{0.5em}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Pic-ACthrough-water.png}
+\end{center}
+\end{figure}
+
+
+
+\begin{itemize}
+  \item Mettre de l'eau du robinet dans le bécher
+  \item Connecter un fil électrique à BPV et placer l'autre extrémité dans le bécher
+  \item Un autre fil électrique entre A0 et l'eau
+  \item Connecter la résistance de $10\ k\Omega$  entre A0 et la masse [Ground]
+  \item Régler 2,8 V en BPV et observer la valeur en A0\footnote{Si la tension est trop basse utiliser une résistance supérieure à $10\ k\Omega$, sinon en utiliser une inférieure}.
+  \item Essayez de changer BPV de $+2,8\ V$ en $-2,8\ V$ observez la trace horizontale sur l'oscillogramme.
+  \item Répétez l'expérience en utilisant SINE au lieu de BPV
+  \item Calculer la résistance comme expliqué dans la section  \ref{sec:Measure-resistance-by}.
+\end{itemize}
+
+\subsection{Observation}
+
+
+\begin{tabular}{|l|l|l|l|l|l|}
+\hline
+
+&$U_{total}$&$U_{10k\Omega}$&$U_{liq}$&$I=\frac{U_{10k\Omega} }{1000}$&$R_{liq}=\frac{U_{liq} }{I}$
+\\ \hline
+Courant alternatif&$2,6\ V$&$2,3\ V$&$0,3\ V$&$0,23\ mA$&$1,3\ k\Omega$
+\\ \hline
+Courant continu&$2,6\ V$&$1,3\ V$&$1,3\ V$&$0,13\ mA$&$10\ k\Omega$
+\\ \hline
+\end{tabular}\\[0.5em]
+Des valeurs observées sont montrées dans le tableau\footnote{Le valeurs que vous obtenez peuvent être très différentes selon la la concentration des ions et la présence d'impuretés dans l'eau utilisée.}. Les résistances en courant alternatif et en courant continu apparaissent comme très différentes. Cependant, quand vous changerez la polarité de BPV, la valeur dans la résistance reste proche de de la valeur en courant alternatif pendant un moment et diminue ensuite. Ça indique que la rés [...]
+
+\subsection{Discussion}
+
+
+Pourquoi le comportement est-il différent en continu et en alternatif ?
+
+
+
+Quels sont les porteurs de charges responsables du passage de l'électricité à travers les solutions ?
+
+
+
+Y a-t-il une réaction chimique qui se produit ?
+
+
+
+Essayez d'ajouter un peu de sel et recommencez les mesures.
+
+
+
+
+
+
+%% uicilibris: end 'L'électricité traversant les liquides, en courant continu et alternatif' tables OK
+
+
+
+%% uicilibris: begin 'Réponse transitoire de circuits RC'
+
+\section{Réponse transitoire de circuits RC}
+
+
+ \label{sec:Capacitor-charging}
+
+\subsection{Objectif}
+
+
+Dans la section  \ref{sec:DC-AC}, nous avons vu qu'un condensateur bloque le courant continu mais laisse le courant alternatif passer. Dans cette expérience, nous allons explorer la nature du courant et de la tension quand on applique un échelon de tension. En mesurant la tension aux bornes du condensateur en fonction du temps, on peut calculer la valeur de sa capacité.
+
+\subsection{Théorie}
+
+
+La tension aux bornes d'un condensateur qui se charge à travers une résistance est donnée par la relation :
+
+
+
+$U(t)=U_{0}\left(1-e^{-\frac{t}{RC} }\right)$
+
+
+
+La tension aux bornes d'un condensateur quand il se décharge à travers une résistance est donnée par la relation :
+
+
+
+$U(t)=U_{0}e^{-\frac{t}{RC} }$
+
+\subsection{Matériel}
+
+
+\begin{itemize}
+  \item Un condensateur de $1\,\mu F$  et une résistance de $1\, k\Omega$.
+\end{itemize}
+
+\subsection{Procédure}
+
+
+\begin{figure}[h!]
+\begin{center}
+\caption{\label{fig:Capacitor-screenshot}Réponse transitoire d'un circuit RC. }\vspace{0.5em}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Schematic-rc-tran.png}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Pic-CR-transient-screen.png}
+\end{center}
+\end{figure}
+
+
+
+\begin{figure}[h!]
+\begin{center}
+\caption{\label{fig:Capacitor-screenshot2}Ce dernier graphique représente la charge d'un condensateur par une source de courant constant. }\vspace{0.5em}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Pic-capacitor-linear.png}
+\end{center}
+\end{figure}
+
+
+
+\begin{itemize}
+  \item Connecter le condensateur entre A0 et la masse [Ground]
+  \item Connecter la résistance entre A0 et OD1.
+  \item Faire un clic droit sur le tableau de bord et sélectionner  \texttt{\textbf{Circuit RC}\nop}depuis le menu contextuel
+  \item Cliquer sur les boutons  \fbox{0$\rightarrow$5V STEP} et  \fbox{5$\rightarrow$0V STEP} pour tracer les graphiques
+  \item Ajuster l'échelle horizontale si nécessaire et recommencer.
+  \item Modéliser  \fbox{FIT} la courbe pour extraire la constante de temps $RC$.
+\end{itemize}
+
+\subsection{Observation}
+
+
+Quand on applique un échelon de tension de $0$ à $5\ V$, cela fait monter la tension exponentiellement aux bornes du condensateur comme montré sur la figure  \ref{fig:Capacitor-screenshot}. En modélisant le graphique on peut extraire la constante de temps $RC$ et en déduire la valeur de la capacité du condensateur.
+
+
+
+Cette expérience peut être étendue pour mesurer la constante diélectrique de matériaux en fabriquant des condensateurs avec ceux-ci. Pour obtenir le graphique représenté en  \ref{fig:Capacitor-screenshot2}, connecter $R$ entre CS et A0, $C$ entre OD1 et A0, réglez CS à $1\ mA$ et cliquer sur  \fbox{5$\rightarrow$0V}.
+
+\subsection{Discussion}
+
+
+Pourquoi le graphique est-il exponentiel ?
+
+
+
+Un condensateur est fait de deux plaques en métal séparées par une fine couche de matériau diélectrique. Nous avons connecté une plaque (appelons-la plaque A) à la masse et l'autre plaque (appelons-la B) à OD1 à travers une résistance. La connexion à A0 sert à enregistrer la tension.
+
+
+
+Initialement les deux plaques sont à zéro volt. En cliquant sur  \fbox{0$\rightarrow$5V}, nous portons OD1 à $5\ V$. Un courant commence à passer à travers la résistance vers la plaque B, à cause de la différence de potentiel créée. Ce courant (flux de charge électrique) va résulter en une accumulation de charge électrique sur la plaque B. La tension en B sera donnée par $U=Q/C$ , où $C$ désigne la capacité et $Q$ désigne la charge électrique. Comme de plus en plus de charges électriques [...]
+
+
+
+$U(t)=U_{0}\left(1-e^{-\frac{t}{RC} }\right)$
+
+
+
+Le produit $RC$ est nommé la constante de temps du circuit\footnote{\href{http://hyperphysics.phy-astr.gsu.edu/hbase/electric/capchg.html}{\mbox{http://hyperphysics.phy-astr.gsu.edu/hbase/electric/capchg.html}
+}
+ }
+
+
+
+
+
+%% uicilibris: end 'Réponse transitoire de circuits RC' tables OK
+
+
+
+%% uicilibris: begin 'Réponse transitoire de circuits RL'
+
+\section{Réponse transitoire de circuits RL}
+
+
+
+\subsection{Objectif}
+
+
+Explorer la nature de la tension et du courant quand un échelon de tension est appliqué à une résistance et un bobinage en série. En mesurant la tension aux bornes du bobinage en fonction du temps, nous pouvons calculer la valeur de son inductance.
+
+\subsection{Théorie}
+
+
+Dans un circuit RL, $U=RI+L\frac{dI}{dt}$. La solution de cette équation est $I=I_{0}e^{-\frac{R}{L}t}$. Le coefficient du terme exponentiel $R/L$ peut être déduit du graphique de la tension aux bornes du bobinage. Il faut inclure la résistance du bobinage dans les calculs, $R=R_{ext}+R_{L}$\footnote{\href{http://nptel.iitm.ac.in/courses/Webcourse-contents/IIT-KANPUR/esc102/node14.html}{\mbox{http://nptel.iitm.ac.in/courses/Webcourse-contents/IIT-KANPUR/esc102/node14.html}.
+}
+ }
+\subsection{Matériel}
+
+
+\begin{itemize}
+  \item Résistance de $1\ k\Omega$.
+  \item Bobinage 3000 tours et noyau en ferrite
+\end{itemize}
+
+\subsection{Procédure}
+
+
+\begin{figure}[h!]
+\begin{center}
+\caption{\label{fig:LR-circuit.-Voltage}Tension aux bornes du bobinage après un échelon de tension de 5 à 0V. }\vspace{0.5em}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Schematic-rl-tran.png}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Pic-LR-downstep.png}
+\end{center}
+\end{figure}
+
+
+
+\begin{itemize}
+  \item Connecter le bobinage 3000 tours entre A0 et la masse [Ground].
+  \item Connecter la résistance entre A0 et OD1.
+  \item Connecter un fil électrique entre OD1 et A2 (pour une mesure précise de la tension totale)
+  \item Faire un clic droit sur le tableau de bord et sélectionner  \texttt{\textbf{Circuit RL}\nop} dans le menu contextuel
+  \item Cliquer sur les boutons  \fbox{0$\rightarrow$5V STEP} et  \fbox{5$\rightarrow$0V STEP} pour tracer les graphiques
+  \item Ajuster l'échelle horizontale, si nécessaire, et recommencer.
+  \item Calculer la valeur de l'inductance.
+  \item Recommencer en insérant le noyau de ferrite. Recommencer avec d'autres bobinages.
+\end{itemize}
+
+\subsection{Observation}
+
+
+La tension aux bornes du bobinage juste après une échelon de $5\ V$ à $0\ V$ est montré à la figure  \ref{fig:LR-circuit.-Voltage}. La courbe exponentielle est modélisée pour en déduire la valeur de $L/R$. La résistance de la bobine est mesurée en la comparant à la résistance externe connue en courant continu. Les inductances mesurées sont dans le tableau ci-dessous.
+
+
+
+\begin{tabular}{|l|l|l|}
+\hline
+\textbf{Bobinage}&\textbf{Inductance ($mH$)}&\textbf{Résistance ($\Omega$)}
+\\ \hline
+3000 tours&126&565
+\\ \hline
+1000 tours&4,7&42
+\\ \hline
+1000 tours/ferrite&25&42
+\\ \hline
+\end{tabular}\\[0.5em]
+
+\subsection{Discussion}
+
+
+Les tension appliquées sont positives, mais le graphique a donné des tensions négatives. Pourquoi ?
+
+
+
+Quel était le courant juste avant l'échelon de tension $5\ V\rightarrow 0\ V$ ? Quelle est la f.e.m. ?
+
+
+
+
+
+
+%% uicilibris: end 'Réponse transitoire de circuits RL' tables OK
+
+
+
+%% uicilibris: begin 'Réponse transitoire de circuits RLC'
+
+\section{Réponse transitoire de circuits RLC}
+
+
+ \label{sec:Step-Response-ofRLC}
+
+\subsection{Objectif}
+
+
+Les réponses de circuits $RC$ et $RL$ ont été étudiées dans les sections précédentes. Nous allons maintenant explorer la nature oscillante du signal obtenu en connectant $L$ et $C$ en série.
+
+\subsection{Théorie}
+
+
+La fréquence de résonance d'un circuit se déduit de $\omega_{0}=\frac{1}{2\pi\sqrt{LC} }$ , Le facteur d'amortissement est $\frac{R}{2}\sqrt{\frac{C}{L} }$,
+il vaut 1 pour l'amortissement critique\footnote{\href{http://en.wikiversity.org/wiki/RLC\_circuit}{\mbox{http://en.wikiversity.org/wiki/RLC\_circuit}.
+} 
+ }
+\subsection{Matériel}
+
+
+\begin{itemize}
+  \item Condensateur de $0,1\ \mu F$
+  \item Bobinages de 3000 et 1000 tours
+  \item Noyau de ferrite
+\end{itemize}
+
+\subsection{Procédure}
+
+
+\begin{figure}[h!]
+\begin{center}
+\caption{\label{fig:LCR-response-setup}Réponse transitoire d'un circuit RLC. (a) Le montage (b) Résultat avec un bobinage sans noyau }\vspace{0.5em}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Schematic-lc-tran.png}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Pic-LCRdischarge.png}
+\end{center}
+\end{figure}
+
+
+
+\begin{figure}[h!]
+\begin{center}
+\caption{\label{fig:LCR-response-setup2}Résultat avec un noyau en ferrite. }\vspace{0.5em}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Pic-LCRdischarge-ferrite.png}
+\end{center}
+\end{figure}
+
+
+
+\begin{figure}[h!]
+\begin{center}
+\caption{\label{fig:LCR-response-screen}Réponse RLC avec une résistance en série de $1 k\Omega$  qui ajoute un amortissement. }\vspace{0.5em}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Schematic-rlc-tran.png}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Pic-LCRdischarge-1k.png}
+\end{center}
+\end{figure}
+
+
+
+\begin{itemize}
+  \item Connecter le bobinage entre OD1 et A0
+  \item Condensateur entre A0 et la masse [Ground]
+  \item Faire un clic droit sur le tableau de bord et sélectionner  \texttt{\textbf{Décharge RLC}\nop} dans le menu contextuel.
+  \item Cliquer sur  \fbox{Discharge}. Ajuster l'axe des $x$ et recommencer si nécessaire.
+  \item Modéliser le graphique ( \fbox{FIT}) pour trouver la fréquence de résonance et l'amortissement.
+  \item Recommencer l'expérience avec le noyau de ferrite inséré.
+  \item Recommencer avec une résistance de $1\ k\Omega$  en série.
+\end{itemize}
+
+\subsection{Observation}
+
+
+Les mesures ont été faites à l'aide de la bobine de 1000 tours, et avec la bobine de 3000 tours. Les résultats sont dans le tableau ci-dessous. Le condensateur et les bobinages ont été mesurés pour leurs capacités et inductances par un RLC-mètre.
+
+
+
+\begin{tabular}{|l|l|l|l|}
+\hline
+C ($\mu F$)&L ($mH$)&$f=\frac{1}{2\pi}\sqrt{\frac{1}{LC} }$&$f_{mesuree}(Hz)$
+\\ \hline
+0,097&3,57&8552&8430
+\\ \hline
+0,097&23,2&3354&3400
+\\ \hline
+0,097&125&1445&1400
+\\ \hline
+\end{tabular}\\[0.5em]
+
+\subsection{Discussion}
+
+
+Le signal est oscillant, il faut ajuster la résistance à $R=\sqrt{\frac{4L}{C} }=\sqrt{\frac{4\times23.2e-3}{.097e-6} }=963\,\Omega$  pour obtenir l'amortissement critique. Le résultat avec une résistance en série de $1\ k\Omega$  est montré dans la figure  \ref{fig:LCR-response-screen}.
+
+
+
+Pourquoi le signal a-t-il augmenté d'amplitude après l'insertion du noyau en ferrite ?
+
+
+
+
+
+
+%% uicilibris: end 'Réponse transitoire de circuits RLC' tables OK
+
+
+
+%% uicilibris: begin 'Condensateur dans des circuits en courant alternatif'
+
+\section{Condensateur dans des circuits en courant alternatif}
+
+
+ \label{sec:Capacitor-in-AC}
+
+\subsection{Objectif}
+
+
+Explorer l'effet d'un condensateur en série dans des circuits en courant alternatif, dans des conditions de régime permanent.
+
+\subsection{Théorie}
+
+
+L'impédance d'un condensateur est $Z_{c}=\frac{1}{2\pi fC}$, où $f$  est la fréquence en hertz et $C$ est la capacité en farad. Souvenez-vous du fonctionnement d'un condensateur déjà vu à la section  \ref{sec:Capacitor-charging}.
+
+\subsection{Matériel}
+
+
+\begin{itemize}
+  \item Condensateur de $1\ \mu F$
+  \item Résistance de $560 \Omega$
+  \item Un voltmètre, si vous voulez mesurer la tension aux bornes des éléments non directement connectés à la masse.
+\end{itemize}
+
+\subsection{Procédure}
+
+
+\begin{figure}[h!]
+\begin{center}
+\caption{\label{fig:CRcircuit-voltages}Copie d'écran montrant la tension totale aux bornes d'un circuit $RC$ et la tension aux bornes du condensateur. $C = 1\ \mu F$ et $R = 560 \Omega$. }\vspace{0.5em}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Schematic-rc-steadystate.png}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Pic-CRphaseshift-1uf560.png}
+\end{center}
+\end{figure}
+
+
+
+\begin{itemize}
+  \item Connecter un fil électrique entre SINE et A0
+\end{itemize}
+
+
+
+\begin{itemize}
+  \item Connecter le condensateur entre A0 et A1
+\end{itemize}
+
+
+
+\begin{itemize}
+  \item Connecter la résistance entre A1 et la masse [Ground].
+\end{itemize}
+
+
+
+\begin{itemize}
+  \item Activer A1 aussi. Ajuster l'échelle horizontale pour voir plus de 4 périodes.
+\end{itemize}
+
+
+
+\begin{itemize}
+  \item Activer  \fbox{FIT} pour afficher la tension efficace (RMS), la fréquence, etc.
+\end{itemize}
+
+\subsection{Observation}
+
+
+Le signal d'entrée et la tension aux bornes de la résistance  \ref{fig:CRcircuit-voltages}. La tension aux bornes du condensateur est calculable à l'aide de la loi d'Ohm, on peut aussi le mesurer à l'aide d'un voltmètre.
+
+
+
+La somme des deux tensions semble supérieure à la tension totale appliquée à l'association.
+
+
+
+La loi d'Ohm est-elle violée ?
+
+
+
+Quelle erreur fait-on quand on additionne les tensions efficaces ?
+
+
+
+
+
+
+\begin{tabular}{|l|l|l|l|l|}
+\hline
+\textbf{$V_{Tot}$}&\textbf{$U_{R}$}&\textbf{$I=\frac{U_{R} }{R}$}&\textbf{$U_{c}=Z_{c}I$}&\textbf{$U_{R}+U_{c}$}
+\\ \hline
+2,6&0,8&0,0014&2,4&3,2
+\\ \hline
+\end{tabular}\\[0.5em]
+
+
+
+$Z_{c}=\frac{1}{2\pi fC}=\frac{1}{2\pi\times93.6\times1e-6}=1712\,\Omega$
+
+
+
+$U_{c}=Z_{c}I=1712\times0,0014$
+
+\subsection{Discussion}
+
+
+On doit prendre en compte le déphasage introduit par le condensateur\footnote{\href{http://www.play-hookey.com/ac\_theory/ac\_rc\_series.html}{\mbox{http://www.play-hookey.com/ac\_theory/ac\_rc\_series.html}.} }. Voir la section suivante.
+
+
+
+
+
+
+%% uicilibris: end 'Condensateur dans des circuits en courant alternatif' tables OK
+
+
+
+%% uicilibris: begin 'Déphasage dans des circuits RC en courant alternatif'
+
+\section{Déphasage dans des circuits RC en courant alternatif}
+
+
+
+\subsection{Objectif}
+
+
+Mesurer le déphasage aux bornes d'un condensateur dans un circuit $RC$ en courant alternatif.
+
+\subsection{Théorie}
+
+
+Dans un circuit $RC$, le déphasage aux bornes du condensateur est donné par l'équation $\triangle\Phi=\arctan\left(\frac{Z_{c} }{R}\right)$, où $R$ est la résistance et
+$Z_{C}$  est l'impédance du condensateur.
+
+\subsection{Matériel}
+
+
+\begin{itemize}
+  \item Condensateur de $1\ \mu F$
+  \item Résistance de $560\ \Omega$  (essayer aussi d'autres valeurs)
+\end{itemize}
+
+\subsection{Procédure}
+
+
+\begin{figure}[h!]
+\begin{center}
+\caption{\label{fig:RC-phaseshift}Copie d'écran montrant le déphasage pour $R = 560\ \Omega$  et $C = 1\ \mu F$. }\vspace{0.5em}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Schematic-rc-steadystate.png}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Pic-CRphaseshift-1uf560.png}
+\end{center}
+\end{figure}
+
+
+
+\begin{itemize}
+  \item Connecter un fil électrique entre SINE et A0
+  \item Connecter le condensateur entre A0 et A1
+  \item Connecter la résistance entre A1 et la masse [Ground].
+  \item Activer A1 aussi. Ajuster l'échelle horizontale pour voir plus de 4 périodes.
+  \item Activer  \fbox{FIT} pour montrer la tension efficace (RMS), la fréquence et le déphasage [Phase difference].
+\end{itemize}
+
+\subsection{Observation}
+
+
+Les déphasages mesurés sont dans le tableau ci-dessous. Les connexions et les signaux sont montrés à la figure  \ref{fig:RC-phaseshift}.
+
+
+
+\begin{tabular}{|l|l|l|l|l|}
+\hline
+$C (\mu F)$&$R (k\Omega )$&$Fr\acute eq (Hz)$&$\bigtriangleup\Phi$&$\arctan\left(\frac{Z_{c} }{R_{R} }\right)$
+\\ \hline
+1&560&93&71,3&71,9°
+\\ \hline
+\end{tabular}\\[0.5em]
+où $Z_{c}=\frac{1}{2\pi fC}$  est l'impédance du condensateur à la fréquence
+$93\ Hz$.
+$Z_{R}$  est la résistance.
+
+
+
+Le courant qui traverse un condensateur est déphasé par rapport à la tensions à ses bornes de 90°. Pourquoi ?
+
+\subsection{Discussion}
+
+
+Pourquoi la phase de la tension est-elle en avance ? Admettons que nous avons connecté le courant alternatif à la plaque A à l'instant $t=t_{0}$  où la tension d'alimentation est nulle. Nous pouvons voir que la pente de la courbe est maximale là, c'est à dire que le taux de changement de la tension est maximal. Le condensateur est chargé très vite à ce moment-là. La plaque B récolte aussi la même charge que la plaque A, c'est ainsi que fonctionne une condensateur. Le courant vers la plaq [...]
+
+
+
+%% uicilibris: end 'Déphasage dans des circuits RC en courant alternatif' tables OK
+
+
+
+%% uicilibris: begin 'Déphasage dans des circuits RL en courant alternatif'
+
+\section{Déphasage dans des circuits RL en courant alternatif}
+
+
+ \label{sec:Inductor-in-AC}
+
+\subsection{Objectif}
+
+
+Mesurer le déphasage dans un circuit RL en courant alternatif.
+
+\subsection{Théorie}
+
+
+L'impédance d'un inducteur pur est $Z_{L}=2\pi f\cdot L$  , où $f$  est la fréquence en hertz et $L$ est l'inductance en henry. Dans un circuit $LC$, le déphasage aux bornes d'un inducteur pur est donné par l'équation $\triangle\Phi=\arctan\left(\frac{Z_{L} }{R}\right)$, où $R$ est la résistance en ohm.
+
+\subsection{Matériel}
+
+
+\begin{itemize}
+  \item Un bobinage, utiliser les solénoïdes fournis.
+  \item Résistances de $560\ \Omega$  et $1\ k\Omega$
+\end{itemize}
+
+\subsection{Procédure}
+
+
+\begin{figure}[h!]
+\begin{center}
+\caption{\label{fig:LR  phaseshift-screen}Circuit RL en régime sinusoïdal. Déphasage aux bornes du bobinage. }\vspace{0.5em}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Schematic-rl-steadystate.png}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Pic-LRphaseshift-125mH-125ohm.png}
+\end{center}
+\end{figure}
+
+
+
+\begin{itemize}
+  \item Connecter un fil électrique entre SINE et A0
+  \item Connecter le bobinage entre A0 et A1
+  \item Connecter la résistance de $1000\ \Omega$  entre A1 et la masse [Ground].
+  \item Activer A1 aussi. Ajuster l'échelle horizontale pour voir plus de 4 périodes.
+  \item Activer  \fbox{FIT} pour montrer la tension efficace [RMS], la fréquence et le déphasage [Phase difference].
+\end{itemize}
+
+\subsection{Observation}
+
+
+Les déphasages mesurés sont montrés ci-dessous. Les signaux pour le bobinage de $125\ mH$  sont montrés dans la figure  \ref{fig:LR  phaseshift-screen}. Il faut aussi prendre en compte la résistance du bobinage en calculant le déphasage.
+
+
+
+\begin{tabular}{|l|l|l|l|}
+\hline
+$L (mH )$&$R=R_{bob}+R_{ext} (\Omega )$&$\bigtriangleup\Phi=\arctan\left(\frac{Z_{L} }{Z_{R} }\right)$&$\bigtriangleup\Phi_{mesure}$
+\\ \hline
+125&565 + 560&3,71& -3,8
+\\ \hline
+25&42 + 560&1,39& -1,4
+\\ \hline
+\end{tabular}\\[0.5em]
+
+
+
+Le courant dans un inducteur pur a un retard de phase de 90°\footnote{\href{http://www.play-hookey.com/ac\_theory/ac\_inductors.html}{\mbox{http://www.play-hookey.com/ac\_theory/ac\_inductors.html}.
+}.
+ }
+\subsection{Discussion}
+
+
+Si on ne connaît pas la valeur de l'inductance d'un bobinage, on peut utiliser cette expérience pour la déterminer à partir du déphasage observé avec une valeur de résistance connue.
+
+
+
+
+
+
+%% uicilibris: end 'Déphasage dans des circuits RL en courant alternatif' tables OK
+
+
+
+%% uicilibris: begin 'Matériau ferromagnétique dans un bobinage'
+
+\section{Matériau ferromagnétique dans un bobinage}
+
+
+
+\subsection{Objectif}
+
+
+Observer l'effet de matériaux ferromagnétiques ajoutés dans un solénoïde.
+
+\subsection{Théorie}
+
+
+L'auto-inductance, ou inductance tout court [Self Inductance] d'un solénoïde est donnée par $L=\frac{\mu N^{2}A}{l}$, où $N$ est le nombre de tours, $A$ est l'aire de la section, $\mu$  est la perméabilité du matériau environnant et $l$  est la longueur.
+
+\subsection{Matériel}
+
+
+\begin{itemize}
+  \item Bobinage 1000 tours
+  \item Résistance de $1\ k\Omega$  (on peut aussi utiliser d'autres valeurs)
+\end{itemize}
+
+\subsection{Procédure}
+
+
+\begin{figure}[h!]
+\begin{center}
+\caption{\label{fig:Ferrite-LR-screen}Circuit RL. Effet du noyau en ferrite. }\vspace{0.5em}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Schematic-rl-steadystate-ferrite.png}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Pic-LRphaseshift-ferrite.png}
+\end{center}
+\end{figure}
+
+
+
+\begin{itemize}
+  \item Connecter comme expliqué à la section  \ref{sec:Inductor-in-AC}
+  \item Insérer un noyau de ferrite dans la bobine et observer les changements
+  \item Recommencer avec la bobine de 3000 tours.
+\end{itemize}
+
+\subsection{Observation}
+
+
+Le déphasage augmente de 3,7° à 11,6° à l'insertion du noyau de ferrite.
+
+\subsection{Discussion}
+
+
+Le déphasage est passé de 3,7° à 11,6°, soit une augmentation de 3 environ de l'inductance. Cependant, dans ce cas il faux de supposer que la perméabilité du noyau est 3. Pourquoi ? (examinez la géométrie).
+
+
+
+%% uicilibris: end 'Matériau ferromagnétique dans un bobinage' tables OK
+
+
+
+%% uicilibris: begin 'Intégration et différentiation RC'
+
+\section{Intégration et différentiation RC}
+
+
+
+\subsection{Objectif}
+
+
+Intégrer et différentier un signale carré à l'aide d'un circuit $RC$.
+
+\subsection{Théorie}
+
+
+\begin{figure}[h!]
+\begin{center}
+\caption{\label{fig:RC-Integ}Intégrateur $RC$ }\vspace{0.5em}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Schematic-RCinteg.png}
+\end{center}
+\end{figure}
+
+
+
+\begin{figure}[h!]
+\begin{center}
+\caption{\label{fig:RC-diff}Différentiateur $RC$ }\vspace{0.5em}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Schematic-RCdiff.png}
+\end{center}
+\end{figure}
+
+
+
+\begin{figure}[h!]
+\begin{center}
+\caption{\label{fig:RC-Integ-diff}Signal carré, intégré et différentié. }\vspace{0.5em}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Pic-triwave-diff.png}
+\end{center}
+\end{figure}
+
+
+
+Pour le circuit présenté à la figure  \ref{fig:RC-Integ}
+
+
+
+$V_{sortie}=\frac{1}{RC}\int V_{entree}dt$
+
+
+
+et pour ce lui présenté à la figure  \ref{fig:RC-diff}
+
+
+
+$V_{sortie}=RC\frac{dV_{entree} }{dt}$
+
+
+
+Les figures  \ref{fig:RC-Integ} et  \ref{fig:RC-diff} montrent un signal carré, et ses contreparties intégrée et différentiée. On comprend facilement comment le signal triangulaire est différentié deux fois. La pente positive constante du signal triangulaire donne la partie positive du signal carré. Quant on différentie le signal carré on obtient les pics aux fronts montants et descendants. Ce sont des cas idéaux.
+
+\subsection{Matériel}
+
+
+\begin{itemize}
+  \item Condensateur de $1\ \mu F$
+  \item Résistance de $1\ k\Omega$
+\end{itemize}
+
+\subsection{Procédure}
+
+
+\begin{figure}[h!]
+\begin{center}
+\caption{\label{} }\vspace{0.5em}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Schematic-rc-integ.png}
+\end{center}
+\end{figure}
+
+
+
+\begin{figure}[h!]
+\begin{center}
+\caption{\label{} }\vspace{0.5em}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Schematic-rc-diff.png}
+\end{center}
+\end{figure}
+
+
+
+\begin{itemize}
+  \item Connecter un fil électrique entre SQR1 et A0
+  \item Connecter $R$ entre SQR1 et A1
+  \item Connecter $C$ entre A1 et la masse [Ground].
+  \item Activer A1. Ajuster l'échelle horizontale pour voir plus de 4 périodes.
+  \item Fixer SQR1 à $20\ Hz$, $100\ Hz$ et $1\ kHz$ et observer les signaux.
+  \item Échanger les positions de $R$ et $C$ et observer la différentiation.
+  \item Cliquer sur le bouton  \fbox{FT} pour voir une transformée de Fourier.
+\end{itemize}
+
+\subsection{Observation}
+
+
+\begin{figure}[h!]
+\begin{center}
+\caption{\label{fig:Effect-of-RCon-squarewave}Intégration à 20 Hz. $R = 1\ k\Omega$  et $C = 1\ \mu F$ }\vspace{0.5em}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Pic-squarewave-interg20hz.png}
+\end{center}
+\end{figure}
+
+
+
+\begin{figure}[h!]
+\begin{center}
+\caption{\label{fig:Effect-of-RCon-squarewave2}Intégration à 1 kHz. $R = 1\ k\Omega$  et $C = 1\ \mu F$ }\vspace{0.5em}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Pic-squarewave-interg1khz.png}
+\end{center}
+\end{figure}
+
+
+
+Les intégrations observées à $20\ Hz$ et $1\ kHz$ sont montrées dans les figures  \ref{fig:Effect-of-RCon-squarewave} et  \ref{fig:Effect-of-RCon-squarewave2}, en utilisant une base de temps RC de 1 milliseconde. À 20 Hz, le signal carré traverse le condensateur avec une faible distorsion.
+\begin{figure}[h!]
+\begin{center}
+\caption{\label{}Différentiation à 20 Hz. $R = 1\ k\Omega$  et $C = 1\ \mu F$ }\vspace{0.5em}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Pic-squarewave-diff20hz.png}
+\end{center}
+\end{figure}
+
+\subsection{Discussion}
+
+
+Quand la période devient comparable avec la valeur de RC, la forme du signal devient triangulaire. La différentiation ne peut être montrée qu'à basse fréquence car la capture du pic étroit de tension nécessite une oscilloscope rapide.
+
+
+
+%% uicilibris: end 'Intégration et différentiation RC' tables OK
+
+
+
+%% uicilibris: begin 'Analyse de Fourier'
+
+\section{Analyse de Fourier}
+
+
+
+\subsection{Objectif}
+
+
+Étudier la transformée de Fourier d'un signal, les représentations temporelle et fréquentielle.
+
+
+
+
+\subsection{Matériel}
+
+
+\begin{itemize}
+  \item Un bout de fil électrique.
+\end{itemize}
+
+\subsection{Procédure}
+
+
+\begin{itemize}
+  \item Connecter SINE à A0
+  \item Ajuster l'échelle horizontale pour voir plusieurs périodes.
+  \item Cliquer sur  \fbox{FT} pour faire une transformation de Fourier
+\end{itemize}
+
+\subsection{Observation}
+
+
+Le signa sinusoïdal et sa transformée de Fourier sont montrés dans la figure  \ref{fig:Sine-wave-and}.
+\begin{figure}[h!]
+\begin{center}
+\caption{\label{fig:Sine-wave-and}(a) Signal sinusoïdal. (b) Sa transformée de Fourier. }\vspace{0.5em}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Schematic-sinewave.png}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Pic-sine90hz-fft.png}
+\end{center}
+\end{figure}
+
+\subsection{Discussion}
+
+
+L'affichage d'origine (a) montre l'amplitude en fonction du temps, et il s'appelle donc représentation temporelle du signal. Dans le graphique de la transformée de Fourier, la fréquence est sur l'axe-x et l'ordonnée représente la puissance relative de composantes fréquentielles du signal. Ceci s'appelle la représentation fréquentielle du signal\footnote{\href{http://fr.wikipedia.org/wiki/Transformée\_de\_Fourier}{\mbox{http://fr.wikipedia.org/wiki/Transformée\_de\_Fourier}.}. } Dans ce c [...]
+
+
+
+
+
+
+%% uicilibris: end 'Analyse de Fourier' tables OK
+
+
+
+%% uicilibris: begin 'Harmoniques composant un signal carré'
+
+\section{Harmoniques composant un signal carré}
+
+
+
+
+
+
+
+
+
+\subsection{Matériel}
+
+
+\begin{itemize}
+  \item Un bout de fil électrique.
+\end{itemize}
+
+\subsection{Procédure}
+
+
+\begin{itemize}
+  \item Connecter la borne 6 (SQR1) à la borne 26 (A0)
+  \item Entrer 100 dans le champ texte près de SQR1 et cliquer sur la flèche.
+  \item Activer la boîte à cocher jaune A0 dans la partie droite de la fenêtre.
+  \item Ajuster l'échelle horizontale à 10 milliseconde par division.
+  \item Cliquez sur  \fbox{A0} et  \fbox{FT}
+\end{itemize}
+
+\subsection{Observation}
+
+
+\begin{figure}[h!]
+\begin{center}
+\caption{\label{fig:Squarewave-and-its}Signal carré et sa transformée de Fourier }\vspace{0.5em}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Schematic-sqr1000Hz.png}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Pic-sqr1000Hz-fft.png}
+\end{center}
+\end{figure}
+
+
+
+\begin{itemize}
+  \item Une nouvelle fenêtre s'ouvre et montre une trace comme dans la figure.
+\end{itemize}
+
+\subsection{Discussion}
+
+
+Les séries de Fourier décomposent n'importe quel signal périodique en la somme d'un ensemble de simple fonctions oscillantes, à savoir des sinus et des cosinus. Un signal carré peut être représenté comme $f(\theta)=sin(\theta)+\frac{sin(3\theta)}{3}+\frac{sin(5\theta)}{5}+\cdots$. Dans la transformée de Fourier d'un signal carré de fréquence $f$, il y aura une composante $3f$  (avec comme amplitude le tiers de celle de $f$), une composante $5f$  (amplitude un cinquième) etc. comme montré [...]
+
+
+
+%% uicilibris: end 'Harmoniques composant un signal carré' tables OK
+
+
+
+%% uicilibris: begin 'Électricité et magnétisme'
+
+\chapter{Électricité et magnétisme}
+
+
+On montre l'induction électromagnétique à l'aide d'un aimant mobile et d'un bobinage alimenté en tension alternative. La fabrication d'une transformateur est montrée à l'aide de deux bobines. Un générateur de courant alternatif simple, capable de produire des signaux avec plusieurs phases, et réalisé à l'aide d'un aimant tournant.
+
+
+
+%% uicilibris: end 'Électricité et magnétisme' tables OK
+
+
+
+%% uicilibris: begin 'Induction électromagnétique'
+
+\section{Induction électromagnétique}
+
+
+
+\subsection{Objectif}
+
+
+Examiner la tension induite aux bornes d'un bobinage par un champ magnétique variable.
+
+
+
+
+\subsection{Matériel}
+
+
+\begin{itemize}
+  \item Petits aimants cylindriques.
+  \item Bobinage 3000 tours et un tube en papier pour guider l'aimant.
+\end{itemize}
+
+\subsection{Procédure}
+
+
+\begin{figure}[h!]
+\begin{center}
+\caption{\label{fig:EM-Induction}Tension induite dans un bobinage par un aimant en mouvement. }\vspace{0.5em}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Schematic-EMinduction-photo.png}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Pic-em-induction.png}
+\end{center}
+\end{figure}
+
+
+
+\begin{itemize}
+  \item Connecter le bobinage entre A0 et la masse [Ground].
+  \item Faire un clic droit sur le tableau de bord et ouvrir  \texttt{\textbf{EM-Induction}\nop}
+  \item Cliquer sur  \fbox{Start Scanning}. Une trace horizontale devrait apparaître
+  \item Faire tomber l'aimant à travers la bobine jusqu'à ce qu'une trace soit capturée.
+  \item Répéter le processus en changeant des paramètres tels que la force de l'aimant, la vitesse de chute, etc.
+\end{itemize}
+
+\subsection{Observation}
+
+
+Le résultat est montré à la figure  \ref{fig:EM-Induction}. L'amplitude augmente avec la vitesse de l'aimant. À partir du graphique, on peut déterminer le temps que prend l'aimant pour traverser la bobine.
+
+\subsection{Discussion}
+
+
+Le deuxième pic est plus grand que le premier. Pourquoi ? À quelle place sera l'aimant au moment où la tension induite passe par zéro ?
+
+
+
+Laisser tomber l'aimant depuis des hauteurs variables et faire un graphique de l'amplitude de la tension induite en fonction de la racine carrée de la hauteur.
+
+
+
+%% uicilibris: end 'Induction électromagnétique' tables OK
+
+
+
+%% uicilibris: begin 'Un générateur de courant alternatif simple'
+
+\section{Un générateur de courant alternatif simple}
+
+
+ \label{sec:A-simple-AC}
+
+\subsection{Objectif}
+
+
+Mesurer la fréquence et l'amplitude de la tension induite aux bornes d'un solénoïde par un aimant tournant. Comprendre un peu du fonctionnement des générateurs de courant alternatif en examinant le résultat et les difficultés du montage.
+
+
+
+
+\subsection{Matériel}
+
+
+\begin{itemize}
+  \item Un aimant, Diamètre = 10 mm, Longueur = 10 mm
+  \item Un moteur à courant continu
+  \item Bobine 300 tours
+\end{itemize}
+
+\subsection{Procédure}
+
+
+\begin{figure}[h!]
+\begin{center}
+\caption{\label{fig:AC-generator-output}Tension en sortie du générateur de courant alternatif avec différentes vitesses de rotation de l'aimant }\vspace{0.5em}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Schematic-ac-gen.png}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Pic-ACgen-output-30pct.png}
+\end{center}
+\end{figure}
+
+
+
+\begin{itemize}
+  \item Connecter le moteur à courant continu à PULSE (borne 10), fixer l'aimant horizontalement.
+  \item Connecter la bobine entre A0 et la masse [Ground].
+  \item Tenir la bobine perpendiculairement à l'axe de rotation du moteur, près de l'aimant. Attention de ne pas le toucher.
+  \item Régler PULSE à 10 (\%{} de rapport cyclique)
+  \item Mesurer la fréquence et l'amplitude en activant  \fbox{FIT}.
+  \item Recommencer en changeant PULSE à 20 , 30 et 40 (mais PAS au-delà !)
+\end{itemize}
+
+\subsection{Observation}
+
+
+La tension est montrée à la figure  \ref{fig:AC-generator-output}. La vitesse du moteur est à peu près proportionnelle au rapport cyclique (depuis 20\%{} jusqu'à 40\%{}).
+
+\subsection{Discussion}
+
+
+Connecter une autre bobine à A1 et l'approcher aussi de l'aimant pour voir deux signaux alternatifs avec des phases différentes. On peut changer les phases relatives an modifiant la position angulaire des bobines.
+
+
+
+Approcher une autre bobine court-circuitée près de l'aimant pour observer les changements de fréquence. La bobine court-circuitée soustrait de l'énergie au générateur et la vitesse est réduite.
+
+
+
+Le champ magnétique dans ce générateur est très faible. La résistance de la bobine est très élevée et si on essayait d'en tirer du courant, la plus grande partie de la tension serait perdue dans la bobine elle-même. C'est plus sûr d'alimenter le moteur à partir d'une alimentation séparée (de moins de 3 volt). La sortie PULSE n'est pas bien adaptée à ce travail, car on crée pratiquement un court-circuit d'une borne de sortie du micro-contrôleur.
+
+
+
+%% uicilibris: end 'Un générateur de courant alternatif simple' tables OK
+
+
+
+%% uicilibris: begin 'Induction mutuelle, transformateur'
+
+\section{Induction mutuelle, transformateur}
+
+
+
+\subsection{Objectif}
+
+
+Démontrer l'inductance mutuelle entre deux bobines, réalisation d'un transformateur.
+
+
+
+
+\subsection{Matériel}
+
+
+\begin{itemize}
+  \item Deux bobines, chacune de 3000 tours.
+\end{itemize}
+
+\subsection{Procédure}
+
+
+\begin{figure}[h!]
+\begin{center}
+\caption{\label{fig:Mutual-Induction-between}Induction mutuelle entre deux bobines. (a) Le montage. (b) Avec un noyau en ferrite }\vspace{0.5em}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Schematic-transformer.png}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Pic-mutual-induction.png}
+\end{center}
+\end{figure}
+
+
+
+\begin{figure}[h!]
+\begin{center}
+\caption{\label{}Induction mutuelle entre deux bobines, avec deux noyaux en forme de E. }\vspace{0.5em}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Pic-mutual-induction-Ecore-1kload.png}
+\end{center}
+\end{figure}
+
+
+
+\begin{itemize}
+  \item Connecter la première bobine entre SINE et la masse [Ground].
+  \item Un fil électrique entre SINE et A0, pour observer le signal d'entrée.
+  \item Connecter la deuxième bobine entre A1 et la masse [Ground].
+  \item Aligner les bobines et insérer le noyau de ferrite à travers.
+  \item Activer  \fbox{A1} et  \fbox{FIT}
+\end{itemize}
+
+\subsection{Observation}
+
+
+Le signal appliqué et le signal induit sont montrés dans la figure  \ref{fig:Mutual-Induction-between}. Un champ magnétique variable est la cause de la tension induite. Dans les deux expériences précédentes, le champ magnétique variable était créé par le mouvement d'aimants permanents. Dans le cas présent le champ magnétique variable est créé par un courant variable dans le temps.
+
+\subsection{Discussion}
+
+
+Le signal de sortie devrait être en phase avec le signal d'entrée selon la théorie\footnote{\href{http://sound.westhost.com/xfmr.htm}{\mbox{http://sound.westhost.com/xfmr.htm}.} }. Cependant, ça ne se produit pas tant que le couplage est insuffisant.
+
+
+
+Avec plus de ferrite, le déphasage devient conforme à la théorie.
+
+
+
+Essayer de faire cette expérience avec un signal carré de 100 Hz, 1000 Hz, etc. Connecter une résistance de $1\ k\Omega$  entre le secondaire et la masse pour éviter les battements.
+
+
+
+
+
+
+%% uicilibris: end 'Induction mutuelle, transformateur' tables OK
+
+
+
+%% uicilibris: begin 'Électro-aimant, solénoïde'
+
+\section{Électro-aimant, solénoïde}
+
+
+ \label{sec:Magnetic-Effect-of}
+
+\subsection{Objectif}
+
+
+Montrer l'effet magnétique d'un courant, en utilisant un solénoïde et un aimant permanent.
+
+
+
+
+\subsection{Matériel}
+
+
+\begin{itemize}
+  \item Solénoïde (bobinage).
+  \item Deux aimants de forme bouton.
+\end{itemize}
+
+\subsection{Procédure}
+
+
+\begin{figure}[h!]
+\begin{center}
+\caption{\label{fig:Solenoid-Magnetic-field}(a) Solénoïde parcouru par un courant repoussant un aimant permanent. (b) Champ magnétique d'un solénoïde parcouru par un courant. }\vspace{0.5em}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Schematic-coil-magnetpendulum-photo.png}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Pic-solenoid-field.png}
+\end{center}
+\end{figure}
+
+
+
+\begin{itemize}
+  \item Connecter le solénoïde entre OD0 et la masse [Ground].
+  \item Réaliser un pendule en utilisant une bandelette de papier et les aimants.
+  \item Suspendre le pendule près du solénoïde comme montré à la figure  \ref{fig:Solenoid-Magnetic-field}
+  \item Porter OD0 à l'état HAUT et observer la force.
+  \item Retourner le sens du pendule
+  \item Placer le pendule de l'autre côté du solénoïde.
+\end{itemize}
+
+\subsection{Observation}
+
+
+Le solénoïde se comporte exactement comme un aimant droit. Suspendre le pendule à aimant près du bobinage et changer le sens du courant en échangeant les fils connectées à OD0 et à la masse.
+
+\subsection{Discussion}
+
+
+Le champ magnétique d'un solénoïde est montré à la figure  \ref{fig:Solenoid-Magnetic-field}. La direction du champ dépend de la direction du courant.
+
+
+
+Trouver le sens d'enroulement du solénoïde d'après les observations précédentes.
+
+
+
+%% uicilibris: end 'Électro-aimant, solénoïde' tables OK
+
+
+
+%% uicilibris: begin 'Freinage par courants de Foucault'
+
+\section{Freinage par courants de Foucault}
+
+
+
+\subsection{Objectif}
+
+
+Démontrer l'effet des courantes de Foucault en déplaçant un conducteur perpendiculairement à un champ magnétique.
+
+
+
+
+\subsection{Matériel}
+
+
+\begin{itemize}
+  \item Moteur à courant continu
+  \item Disque d'aluminium annulaire
+  \item Aimant $10\, mm\times10\, mm$
+\end{itemize}
+
+\subsection{Procédure}
+
+
+\begin{itemize}
+  \item Fixer le disque sur le moteur à l'aide d'une bande adhésive
+  \item Connecter le moteur entre PULSE et la masse [Ground]
+  \item Régler PULSE à 30* Approcher l'aimant près de la surface du disque.
+\end{itemize}
+
+\subsection{Observation}
+
+
+La vitesse de rotation diminue quand l'aimant est approché de la surface du disque.
+
+\subsection{Discussion}
+
+
+Les courants de Foucault sont créés quand un conducteur subit des changements de champ magnétique. si soit le conducteur se déplace dans un champ magnétique immobile, soit le champ magnétique change autour d'un conducteur immobile, des courants de Foucault apparaissent dans le conducteur.
+
+
+
+%% uicilibris: end 'Freinage par courants de Foucault' tables OK
+
+
+
+%% uicilibris: begin 'Le son'
+
+\chapter{Le son}
+
+
+On génère du son à l'aide de signaux électriques et la fréquence du son est medurée en le convertissant à nouveau en signal électrique. On explore la réflexion et l'interférence sonores. La vitesse du son est mesurée en observant le déphasage du son numérisé avec la distance.
+
+
+
+%% uicilibris: end 'Le son' tables OK
+
+
+
+%% uicilibris: begin 'Générer du son'
+
+\section{Générer du son}
+
+
+
+\subsection{Objectif}
+
+
+Trouver des réponses à quelques questions comme :
+\begin{itemize}
+  \item Quelle est la description du son ?
+  \item Quelles sont les caractéristiques des ondes sonores ?
+  \item Comment créer et détecter un son ?
+\end{itemize}
+
+
+
+
+\subsection{Matériel}
+
+
+\begin{itemize}
+  \item Haut-parleur de $150\ \Omega$
+  \item Disque piézo-électrique
+\end{itemize}
+
+\subsection{Procédure}
+
+
+\begin{figure}[h!]
+\begin{center}
+\caption{\label{fig:Generating-sound}Générer du son à partir de signaux électriques. }\vspace{0.5em}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Pic-sound-speaker-photo.png}
+\end{center}
+\end{figure}
+
+
+
+\begin{itemize}
+  \item Connecter le haut-parleur de $150\ \Omega$  entre SQR1 et GND
+  \item Régler SQR1 à $1000\ Hz$.
+  \item Écouter le son.
+  \item Changer la fréquence pour noter la différence dans le son généré.
+  \item Recommencer la même chose avec le disque piézo aussi.
+  \item Faire un clic droit sur le tableau de bord et ouvrir  \texttt{\textbf{Musique}\nop} dans le menu contextuel.
+\end{itemize}
+
+\subsection{Observation}
+
+
+La hauteur du son produit dépend de la fréquence.
+
+\subsection{Discussion}
+
+
+Comment le haut-parleur produit-il du son ? Quand un courant alternatif est appliqué, le diaphragme du haut parleur oscille d'avant en arrière. Quand il va vers l'avant, il pousse l'air en face de lui et créer un région comprimée ou de haute pression. Ensuite il recule en créant une zone de basse pression juste derrière la zone de haute pression créée précédemment, et termine son premier cycle. Au déplacement suivant vers l'avant, une autre zone de haute pression est créée et se place de [...]
+
+
+
+Quand on génère des fréquences différentes on crée une mélodie. Cependant la richesse vient d'un juste dosage des harmoniques pour chaque fréquence, c'est quelque chose qu'on ne peut pas contrôler à l'aide de notre montage.
+
+
+
+%% uicilibris: end 'Générer du son' tables OK
+
+
+
+%% uicilibris: begin 'La fréquence du son'
+
+\section{La fréquence du son}
+
+
+ \label{sec:Sound-Frequency}
+
+\subsection{Objectif}
+
+
+Mesurer la fréquence du son en le convertissant en signal électrique.
+
+
+
+
+\subsection{Matériel}
+
+
+\begin{itemize}
+  \item Montage à microphone
+  \item Haut-parleur de $150\ \Omega$
+  \item Disque piézo
+\end{itemize}
+
+\subsection{Procédure}
+
+
+\begin{figure}[h!]
+\begin{center}
+\caption{\label{fig:Digitized-sound-screen}Numériser le son. (a) branchements (b) son à 3012 Hz }\vspace{0.5em}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Schematic-sound-freq.png}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Pic-sound3012hz.png}
+\end{center}
+\end{figure}
+
+
+
+\begin{figure}[h!]
+\begin{center}
+\caption{\label{}son à 2000 Hz }\vspace{0.5em}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Pic-sound2000hz.png}
+\end{center}
+\end{figure}
+
+
+
+\begin{itemize}
+  \item Connecter le microphone entre les bornes 15 et 16, et la résistance de polarisation en UPV
+  \item Connecter la sortie de l'amplificateur (borne 13) à A0.
+  \item Régler UPV à 5 V.
+  \item Connecter le haut-parleur de $150\ \Omega$  entre SQR1 et la masse [Ground].
+  \item Régler SQR1 à 3000 Hz et placer le haut-parleur face au microphone.
+  \item Observer le signal et ajuster la base de temps.
+  \item Activer  \fbox{FIT} pour mesurer la fréquence
+\end{itemize}
+
+\subsection{Observation}
+
+
+\begin{tabular}{|l|l|}
+\hline
+\textbf{fréq. de consigne}&\textbf{fréq. mesurée}
+\\ \hline
+3012,0&3011,94
+\\ \hline
+2000&2000,46
+\\ \hline
+\end{tabular}\\[0.5em]
+
+
+
+En modélisant les données numérisées, on peut récupérer l'information de fréquence. Cependant, le signal paraît plus propre aux environs de $3000\ Hz$.
+
+
+
+La sortie à $2000\ Hz$ contient une composante à $6000\ Hz$. On peut voir la différence en comparant les spectres de fréquence de chaque enregistrement, cliquer sur  \fbox{FT} pour cela.
+
+\subsection{Discussion}
+
+
+Les ondes sonores créent des variations de pression dans les milieux qu'elles traversent. Le microphone génère une tension qui est proportionnelle à la pression. Comme ce signal est très faible, on l'amplifie 50 fois avant de le numériser. Les variations de tension son en rapport avec les variations de pression. On peut considérer le microphone comme un capteur de pression, mais qui fonctionne uniquement pour les pressions variant avec le temps.
+
+
+
+Quand on recommence l'expérience on peut obtenir des résultats étranges à première vue. Une alimentation à la fréquence de $100\ Hz$ vous donnera une fréquence proche de $3900\ Hz$, mais avec une amplitude faible. La résonance intervient là aussi.
+
+
+
+%% uicilibris: end 'La fréquence du son' tables OK
+
+
+
+%% uicilibris: begin 'La vitesse du son'
+
+\section{La vitesse du son}
+
+
+
+\subsection{Objectif}
+
+
+Calculer la vitesse du son en mesurant la variation de la pression dans l'air en fonction de la distance.
+
+
+
+
+\subsection{Matériel}
+
+
+\begin{itemize}
+  \item Disque piézo
+  \item Montage à microphone
+\end{itemize}
+
+\subsection{Procédure}
+
+
+\begin{figure}[h!]
+\begin{center}
+\caption{\label{fig:Sound-waves}(a) Montage expérimental (b) Schéma de la propagation d'ondes sonores, et de la variation du signal du microphone avec la pression. }\vspace{0.5em}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Schematic-sound-vel.png}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Pic-sound-waves.png}
+\end{center}
+\end{figure}
+
+
+
+\begin{itemize}
+  \item Connecter le disque piézo entre SQR1 et la masse [Ground].
+  \item Connecter le montage à microphone et régler UPV à 5 V.
+  \item Connecter la sortie de l'amplificateur à A0 et SQR1 à A1
+  \item Faire un clic droit sur le tableau de bord et démarrer  \texttt{\textbf{Vitesse du son}\nop} depuis le menu contextuel
+  \item Placer le disque piézo sur le côté, posé sur une pièce de tissu doux, en face du microphone.
+  \item Ajuster la distance pour avoir des signaux en phase.
+  \item Déplacer le microphone pour mettre les signaux en opposition de phase, sans bouger le tissu.
+  \item Mesurer la distance depuis le bord du tissu jusqu'à la position courante.
+\end{itemize}
+
+\subsection{Observation}
+
+
+\begin{figure}[h!]
+\begin{center}
+\caption{\label{fig:Sound-amplitude-captured}Amplitude du son capté à deux positions séparées d'une demi-longueur d'onde. }\vspace{0.5em}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Schematic-sound-inphase.png}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Pic-sound-outofphase.png}
+\end{center}
+\end{figure}
+
+
+
+L'amplitude du son capté en deux points est montrée dans la figure  \ref{fig:Sound-amplitude-captured}. Le signal carré est la tension qui commande la disque piézo. Pour changer la phase de l'onde sinusoïdale issue du microphone, de 180° (demi-longueur d'onde) le microphone est déplacé de 4,3 cm. La vitesse du son est donnée par $v=f\lambda=4000\times2\times0.043=344\, m\cdot s^{-1}$.
+
+\subsection{Discussion}
+
+
+Le son voyage comme une série de zones en surpression et en dépression. La partie basse de la figure  \ref{fig:Sound-waves} montre les régions de haute et basse pression le long de la direction de déplacement de l'onde sonore. La pression en fonction du temps en un point fixe de ce chemin est donnée par le signal issu du microphone, comme montré dans la partie haute de la figure  \ref{fig:Sound-waves}.
+
+
+
+On peut représenter la variation de la pression en tout point par rapport à sa variation au point de départ. La phase relative des deux signaux change quand on bouge le microphone. Un déplacement d'une longueur d'onde changera la phase de 360°. On a fait un déplacement d'une demi-longueur d'onde pour avoir une différence de phase de 180°. La vitesse du son peut être calculée en multipliant la fréquence par la longueur d'onde mesurée.
+
+
+
+Pourquoi utiliser un tissu doux replié ? Pourquoi ne pas utiliser une surface dure ?
+
+
+
+%% uicilibris: end 'La vitesse du son' tables OK
+
+
+
+%% uicilibris: begin 'La réflexion du son'
+
+\section{La réflexion du son}
+
+
+
+\subsection{Objectif}
+
+
+Étudier la nature de la réflexion du son par une surface dure
+
+
+
+
+\subsection{Matériel}
+
+
+\begin{itemize}
+  \item Microphone à condensateur
+  \item Disque piézo-électrique, alimenté par SQR1
+  \item Un carré dur de $10\, cm\times10\, cm$, en plastique ou en carton
+\end{itemize}
+
+\subsection{Procédure}
+
+
+\begin{itemize}
+  \item Connecter le microphone comme expliqué à la section  \ref{sec:Sound-Frequency}
+  \item Connecter le disque piézo entre SQE1 et la masse [Ground].
+  \item Fixer le microphone et le disque piézo face à la même direction.
+  \item Observer l'amplitude en A0.
+  \item Placer le carré dur en face et refaire la capture.
+\end{itemize}
+
+\subsection{Observation}
+
+
+Le son est reflété par une surface dure.
+
+\subsection{Discussion}
+
+
+Changer l'orientation du réflecteur et observer les modifications. Comment cela peut-il être comparé avec la réflexion de la lumière par un miroir (ou plutôt sur une feuille de papier blanc) ?
+
+
+
+Essayer la réflexion sur une surface molle comme du tissu ou une éponge
+
+
+
+L'effet de la réflection est ce qui nous a obligé à utiliser une surface en tissu dans l'expérience précédente. Essayer de placer une surface dure parallèle à la direction du son pour voir l'effet sur la différence de phase. Le son qui voyage directement interfère avec la part qui est reflétée sur la surface dure, ce qui modifie la phase au niveau du microphone.
+
+
+
+%% uicilibris: end 'La réflexion du son' tables OK
+
+
+
+%% uicilibris: begin 'Les interférences sonores'
+
+\section{Les interférences sonores}
+
+
+ \label{sec:Interference-of-sound}
+
+\subsection{Objectif}
+
+
+Étudier les interférences sonores de sons issus de deux sources individuelles
+
+
+
+
+\subsection{Matériel}
+
+
+\begin{itemize}
+  \item Microphone à condensateur
+  \item Deux disques piézo, alimentés par SQR1 et PULSE
+\end{itemize}
+
+\subsection{Procédure}
+
+
+\begin{figure}[h!]
+\begin{center}
+\caption{\label{fig:SoundBeats}Battements créés à l'aide de deux fréquences voisines. }\vspace{0.5em}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Schematic-sound-beats.png}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Pic-sound-beats.png}
+\end{center}
+\end{figure}
+
+
+
+
+
+
+\begin{itemize}
+  \item Connecter le microphone comme expliqué à la section  \ref{sec:Sound-Frequency}
+  \item Connecter un disque piézo entre SQR1 et la masse [Ground] et l'autre entre PULSE et la masse [Ground].
+  \item Faire un clic droit sur le tableau de contrôle et démarrer  \texttt{\textbf{Interférences sonores}\nop} depuis le menu contextuel
+  \item Régler SQR1 à $4200\ Hz$ et PULSE à $3800\ Hz$
+  \item Ajuster les distances pour obtenir un motif de battements clair.
+  \item Recommencer avec d'autres valeurs de fréquences.
+\end{itemize}
+
+\subsection{Observation}
+
+
+Les fréquences individuelles sont $4201,7\ Hz$ et $3816,8\ Hz$, elles diffèrent de $384,9\ Hz$. D'après la figure  \ref{fig:SoundBeats} on peut voir qu'une enveloppe d'onde dure environ $2,65\ ms$, c'est à dire une fréquence proche de $380\ Hz$. La demi-longueur d'onde est la distance entre deux points de pression minimale.
+
+\subsection{Discussion}
+
+
+La puissance relative des composants fréquentiels individuels peut être mesurée en prenant une transformée de Fourier du signal de sortie.
+
+
+
+%% uicilibris: end 'Les interférences sonores' tables OK
+
+
+
+%% uicilibris: begin 'Analyser de la musique'
+
+\section{Analyser de la musique}
+
+
+\emph{Contribution de jithinbp at gmail.com}
+
+
+
+
+
+
+
+\subsection{Matériel}
+
+
+\begin{itemize}
+  \item Une guitare avec quelqu'un qui sait s'en servir
+  \item Montage à microphone
+\end{itemize}
+
+\subsection{Procédure}
+
+
+\begin{figure}[h!]
+\begin{center}
+\caption{\label{fig:Fourier-analysis-of-Music}Analyse de Fourier de notes de musique. }\vspace{0.5em}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Pic-sariga.png}
+\end{center}
+\end{figure}
+
+
+
+\begin{itemize}
+  \item Connecter le microphone entre les bornes 15 et 16
+  \item Relier le fil de polarisation à UPV et le régler à $5\ V$
+  \item Jouer différentes notes et cliquer sur  \fbox{FT} pour faire la transformée de Fourier
+\end{itemize}
+
+\subsection{Observation}
+
+
+Les résultats sont montrés dans la figure  \ref{fig:Fourier-analysis-of-Music}. La corde de base Mi est utilisée. Les relations entre les notes sont données par
+
+
+
+\begin{tabular}{|l|l|l|l|l|l|l|l|}
+\hline
+Do&Ré&Mi&Fa&Sol&La&Si&Do
+\\ \hline
+1&9/8&5/4&4/3&3/2&5/3&15/8&2
+\\ \hline
+\end{tabular}\\[0.5em]
+
+
+
+
+
+
+%% uicilibris: end 'Analyser de la musique' tables OK
+
+
+
+%% uicilibris: begin 'Vitesse du son, avec des ultra-sons'
+
+\section{Vitesse du son, avec des ultra-sons}
+
+
+
+\subsection{Objectif}
+
+
+Mesurer la vitesse du son d'après le temps de vol de salves d'ultrasons.
+
+
+
+
+\subsection{Matériel}
+
+
+\begin{itemize}
+  \item Émetteur et récepteur piézo de fréquences $40\ kHz$
+\end{itemize}
+
+\subsection{Procédure}
+
+
+\begin{figure}[h!]
+\begin{center}
+\caption{\label{fig:Ultrasound}(a) Connexions, (b) Montage expérimental }\vspace{0.5em}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Schematic-ultra-sound.png}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Pic-40kHz-piezo-photo.png}
+\end{center}
+\end{figure}
+
+
+
+\begin{itemize}
+  \item Connecter l'émetteur piézo entre OD1 et la masse [Ground]
+  \item Connecter le récepteur entre la borne 15 et la masse [Ground].
+  \item Faire un clic droit et ouvrir  \texttt{\textbf{TDV piézo 40 kHz}\nop}
+  \item Placer l'émetteur et le récepteur en face l'un de l'autre à 5 cm
+  \item Mesurer le temps de vol
+  \item Recommencer aussi à 6 et 7 cm
+  \item Calculer la vitesse du son à partir des différences de distance et de temps
+\end{itemize}
+
+\subsection{Observation}
+
+
+\begin{tabular}{|l|l|}
+\hline
+\textbf{Distance ($cm$)}&\textbf{Temps ($\mu s$)}
+\\ \hline
+4&223
+\\ \hline
+5&253
+\\ \hline
+6&282
+\\ \hline
+\end{tabular}\\[0.5em]
+
+\subsection{Discussion}
+
+
+Dans cette expérience, on utilise une paire de cristaux piézo-électriques $40\ kHz$ pour étudier la propagation du son dans l'air. On applique une impulsion de $5\ V$, large de $13\ \mu s$, à l'émetteur piézo afin qu'il entre en vibration mécanique et génère une salve sonore à $40\ kHz$. Le récepteur piézo placé à distance convertit ces ondes sonores à nouveau en signal électrique. Ce signal est amplifié et l'intervalle de temps entre l'impulsion et l'arrivée des ondes au récepteur est mesuré.
+
+
+
+Afin d'éliminer les erreurs systématiques comme le temps de réponse du l'émetteur, les délais d'amplification, etc., on met en relation les différences de temps de vol avec les changements de distance.
+
+
+
+$0,02/(0,000282-0,000223)=338\, m\cdot s^{-1}$.
+
+
+
+%% uicilibris: end 'Vitesse du son, avec des ultra-sons' tables OK
+
+
+
+%% uicilibris: begin 'Oscillations forcées d'un cristal piézo-électrique'
+
+\section{Oscillations forcées d'un cristal piézo-électrique}
+
+
+
+\subsection{Objectif}
+
+
+Étudier la comportement d'un disque piézo-électrique à plusieurs fréquences d'excitation. Il s'agit juste d'une exploration.
+
+
+
+
+\subsection{Matériel}
+
+
+\begin{itemize}
+  \item Disque piézo
+  \item Montage à microphone
+\end{itemize}
+
+\subsection{Procédure}
+
+
+\begin{itemize}
+  \item Connecter le piézo entre SQR1 et la masse [Ground]
+  \item Connecter le microphone entre les bornes 15, 16 et 31
+  \item Faire un clic droit et ouvrir  \texttt{\textbf{Interférences sonores}\nop}
+  \item Placer l'émetteur et le récepteur face à face
+  \item Régler NS (nombre d'échantillons) à 800
+  \item Cliquer SQR1, le régler à 200
+  \item Cliquer  \fbox{LANCER}
+  \item Ajuster la distance et cliquer sur  \fbox{FFT}
+  \item Changer SQR1 à 500, désactiver et activer  \fbox{LANCER}
+\end{itemize}
+
+\subsection{Observation}
+
+
+La fréquence de résonance di cristal pizo est proche de $3600\ Hz$, où il donne son amplitude maximale comme montré à la figure  \ref{fig:Piezo-Sound-output}(a). Quand la fréquence d'excitation est 100 Hz, le piézo reçoit une impulsion toutes les 5 ms, comme montré à la figure  \ref{fig:Piezo-Sound-output}(b), c'est à dire aux fronts montants et descendants du signal carré excitateur.
+\begin{figure}[h!]
+\begin{center}
+\caption{\label{fig:Piezo-Sound-output}Sortie sonore du piézo (a) fréquence d'excitation de $3625\ Hz$ (b) fréquence d'excitation de $100\ Hz$ }\vspace{0.5em}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Schematic-piezo-3600hz.png}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Pic-piezo-100hz.png}
+\end{center}
+\end{figure}
+
+
+
+\begin{figure}[h!]
+\begin{center}
+\caption{\label{fig:Piezo-Fourier-spectrum}Spectre de puissance de Fourier du son du disque piézo. (a) Excité à $100\ Hz$ (b) Excité à $500\ Hz$. }\vspace{0.5em}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Schematic-piezo-fft-100hz.png}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Pic-piezo-fft-500hz.png}
+\end{center}
+\end{figure}
+
+
+
+
+
+
+%% uicilibris: end 'Oscillations forcées d'un cristal piézo-électrique' tables OK
+
+
+
+%% uicilibris: begin 'Électronique'
+
+\chapter{Électronique}
+
+
+Les éléments non-linéaires comme les diodes et les transistors sont étudiés en traçant leurs courbes caractéristiques et en réalisant des circuits simples pour montrer leur fonctionnement. Le photo-transistor est utilisé pour les mesures de transparence, la transmission de signal optique et pour chronométrer des mouvements mécaniques. On explore la modulation d'amplitude et de fréquence.
+
+
+
+%% uicilibris: end 'Électronique' tables OK
+
+
+
+%% uicilibris: begin 'Redresseur demi-onde, jonction PN'
+
+\section{Redresseur demi-onde, jonction PN}
+
+
+
+\subsection{Objectif}
+
+
+Apprendre le fonctionnement d'une jonction PN de diode. Créer un courant continu à partit d'un courant alternatif sinusoïdal. Filtrage pour réduire la composante alternative.
+
+
+
+
+\subsection{Matériel}
+
+
+\begin{itemize}
+  \item Une diode 1N4148, une résistance de $1\ k\Omega$
+  \item Des condensateurs de $1\ \mu F$ et $100\ \mu F$.
+\end{itemize}
+
+\subsection{Procédure}
+
+
+\begin{figure}[h!]
+\begin{center}
+\caption{\label{fig:Diode-rectifier}Une diode utilisée comme redresseur demi-onde. }\vspace{0.5em}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Schematic-half-wave.png}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Pic-diode-halfwave.png}
+\end{center}
+\end{figure}
+
+
+
+\begin{itemize}
+  \item Connecter SINE à A0
+  \item Connecter la diode entre A0 et A1
+  \item Connecter la résistance entre A1 et la masse [Ground]
+  \item Visualiser les signaux de A0 et A1
+  \item Ajouter le condensateur entre A1 et la masse [Ground]
+\end{itemize}
+
+\subsection{Observation}
+
+
+\begin{figure}[h!]
+\begin{center}
+\caption{\label{fig:Rectifier-with-Filter}Redresseur avec filtrage. (a) $1\ \mu F$ (b) $100\ \mu F$. }\vspace{0.5em}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Schematic-diode-halfwave-1uF.png}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Pic-diode-halfwave-100uF.png}
+\end{center}
+\end{figure}
+
+
+
+L'alternance négative est retirée par la diode comme montré dans la figure  \ref{fig:Diode-rectifier}. Notez aussi que la tension de l'alternance positive est réduite d'environ $0,7\ V$, la tension de seuil d'une diode au silicium. Une résistance de charge est nécessaire au bon fonctionnement du montage, on pourrait choisir plus que $1\ k\Omega$  mais il ne faut pas utiliser des valeurs trop faibles comme notre source de courant alternatif ne peut donner qu'un courant de $5\ mA$.
+
+
+
+L'effet du condensateur est montré dans la figure  \ref{fig:Rectifier-with-Filter}. On peut voir que le condensateur se charge et que pendant l'alternance manquante il maintient la tension. La composante alternative restante est appelée « ondulation résiduelle » [ripple] dans le courant continu.
+
+\subsection{Discussion}
+
+
+Peut-on utiliser une très grande capacité pour réduire l'ondulation résiduelle ?
+
+
+
+Pendant quelle partie du cycle le courant passe-t-il à travers la diode ?
+
+
+
+Qu'est-ce qui décide de la hauteur du pic ?
+
+
+
+N'imaginez pas qu'on puisse réduire l'ondulation résiduelle en augmentant la capacité. Pendant la partie montante de l'alternance positive, la réactance capacitive décide du courant dans la diode, mais en pratique dans les circuits il ne faut pas dépasser les limitations de la diode.
+
+
+
+En pratique on utilise des redresseurs double alternance ou des redresseurs en pont.
+
+
+
+%% uicilibris: end 'Redresseur demi-onde, jonction PN' tables OK
+
+
+
+%% uicilibris: begin 'Signaux sinusoïdaux en opposition de phase (déphasés de 180°)'
+
+\section{Signaux sinusoïdaux en opposition de phase (déphasés de 180°)}
+
+
+
+\subsection{Objectif}
+
+
+Montrer le fonctionnement d'un redresseur double alternance à l'aide de deux diodes, qui utilisent deux signaux alternatifs déphasés de 180°. On le réalise en inversant la sortie de SINE à l'aide d'un amplificateur inverseur. Le gain est rendu quasi-unitaire en mettant une résistance de $10k\,\Omega$ en série avec son entrée.
+
+
+
+
+\subsection{Matériel}
+
+
+\begin{itemize}
+  \item Une résistance de $10\, k\Omega$
+\end{itemize}
+
+\subsection{Procédure}
+
+
+\begin{figure}[h!]
+\begin{center}
+\caption{\label{fig:Inverting-Amplifier-making}Un amplificateur inverseur pour faire un signal sinusoïdal déphasé de 180°. }\vspace{0.5em}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Schematic-sine-180deg.png}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Pic-sine-two-180deg.png}
+\end{center}
+\end{figure}
+
+
+
+\begin{itemize}
+  \item Réaliser les connexions comme dans la figure
+  \item Visualiser les signaux sur A0 et A1
+\end{itemize}
+
+\subsection{Observation}
+
+
+Le résultat est montré dans la figure  \ref{fig:Inverting-Amplifier-making}.
+
+\subsection{Discussion}
+
+
+Les amplitudes ne sont pas exactement égales. Le gain est donné par $G=\frac{10000}{10000+100}$.
+
+
+
+%% uicilibris: end 'Signaux sinusoïdaux en opposition de phase (déphasés de 180°)' tables OK
+
+
+
+%% uicilibris: begin 'Les diodes, redresseur double alternance'
+
+\section{Les diodes, redresseur double alternance}
+
+
+
+\subsection{Objectif}
+
+
+Réaliser un redresseur double alternance à partir de deux signaux de phases décalées de 180° l'une par rapport à l'autre.
+
+
+
+
+\subsection{Matériel}
+
+
+\begin{itemize}
+  \item Deux diodes 1N4148
+  \item Résistances de $1\ k\Omega$  et $10\ k\Omega$
+  \item Condensateurs de $1\ \mu F$ et $100\ \mu F$.
+\end{itemize}
+
+\subsection{Procédure}
+
+
+\begin{figure}[h!]
+\begin{center}
+\caption{\label{fig:Diode-fullwave-rectifier}Redressement double alternance réalisé à l'aide de deux diodes. }\vspace{0.5em}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Schematic-full-wave.png}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Pic-diode-fullwave.png}
+\end{center}
+\end{figure}
+
+
+
+\begin{itemize}
+  \item Connecter SINE à A0
+  \item Connecter SINE à la borne 17 à travers une résistance de $10\ k\Omega$.
+  \item Connecter une diode de A0 à A1
+  \item Connecter une autre diode de la borne 18 à A1
+  \item Connecter une résistance entre A1 et la masse [Ground]
+  \item Visualiser les signaux de A0 et A1
+  \item Ajouter un condensateur entre A1 et la masse [Ground]
+\end{itemize}
+
+\subsection{Observation}
+
+
+Le résultat est montré dans la figure  \ref{fig:Diode-fullwave-rectifier}. On laisse comme exercice pour l'utilisateur l'ajout de condensateurs pour réduire l'oscillation résiduelle.
+
+\subsection{Discussion}
+
+
+Pourquoi le redresseur double alternance est-il supérieur au redresseur simple alternance ?
+
+
+
+%% uicilibris: end 'Les diodes, redresseur double alternance' tables OK
+
+
+
+%% uicilibris: begin 'Caractéristique courant-tension (I-V) d'une diode'
+
+\section{Caractéristique courant-tension (I-V) d'une diode}
+
+
+
+\subsection{Objectif}
+
+
+Tracer la caractéristique courant-tension (I-V) d'une diode. Examiner l'équation d'une diode.
+
+\subsection{Théorie}
+
+
+La caractéristique courant-tension (I-V) d'une jonction PN idéale est donnée par l'équation :
+
+
+
+$I=I_{0}\left(e^{\frac{qU}{kT} }-1\right)$
+
+
+
+\begin{itemize}
+  \item $I_{0}$ est le courant de saturation inverse
+\end{itemize}
+
+
+
+\begin{itemize}
+  \item $q$ est la charge de l'électron
+\end{itemize}
+
+
+
+\begin{itemize}
+  \item $k$ est la constante de Boltzman
+\end{itemize}
+
+
+
+\begin{itemize}
+  \item $T$ est la température absolue
+\end{itemize}
+
+
+
+Pour les diodes ordinaires (non-idéales), la formule utilisée est :
+
+
+
+$I=I_{0}\left(e^{\frac{qU}{nkT} }-1\right)$
+
+
+
+où l'exposant $n$ vaut $1$  pour une diode idéale. Pour des diodes réelles il varie entre $1$ et $2$.
+
+\subsection{Matériel}
+
+
+\begin{itemize}
+  \item Une diode 1N4148 et une diode Zéner $3,3\ V$ (pour voir la courbe de polarisation inverse).
+\end{itemize}
+
+\subsection{Procédure}
+
+
+\begin{figure}[h!]
+\begin{center}
+\caption{\label{fig:Diode-IV-characteristic}Caractéristiques courant-tension (I-V) pour une diode 1N4148 }\vspace{0.5em}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Schematic-diode-iv.png}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Pic-diode-4148.png}
+\end{center}
+\end{figure}
+
+
+
+\begin{figure}[h!]
+\begin{center}
+\caption{\label{fig:Diode-IV-characteristic2}Caractéristiques courant-tension (I-V) pour une diode Zéner $3,3\ V$. }\vspace{0.5em}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Pic-diode-zener-iv.png}
+\end{center}
+\end{figure}
+
+
+
+\begin{itemize}
+  \item Connecter la diode 1N4148 entre I-V et la masse [Ground]. (côté N vers la masse)
+  \item Connecter I-V à A0 par un fil électrique.
+  \item Faire un clic droit sur le tableau de bord et sélectionner  \texttt{\textbf{Courbe I-U d'une diode}\nop} dans le menu contextuel.
+  \item Cliquer sur  \fbox{LANCER} pour tracer la courbe caractéristique.
+  \item Cliquer sur  \fbox{FIT} pour calculer le facteur d'idéalité de la diode.
+  \item Remplacer la diode 1N4148 par la diode Zéner.
+  \item Activer la case à cocher  \texttt{\textbf{ZENER}\nop} et cliquer sur  \fbox{LANCER}.
+\end{itemize}
+
+\subsection{Observation}
+
+
+Les courbes obtenues sont montrées dans la figure  \ref{fig:Diode-IV-characteristic}. La valeur de $n$ pour la diode 1N4148 est $1,93$ et pour la Zéner elle est $1,5$.
+
+\subsection{Discussion}
+
+
+Nous avons calculé la valeur de $n$  en modélisant les données expérimentales par l'équation. Le facteur d'idéalité de la diode Zéner est calculé en ne prenant en compte que la partie des données en polarisation directe.
+
+
+
+Recommencer l'expérience en chauffant la diode à différentes températures.
+
+
+
+%% uicilibris: end 'Caractéristique courant-tension (I-V) d'une diode' tables OK
+
+
+
+%% uicilibris: begin 'Diodes émettrices de lumière, DEL (LED)'
+
+\section{Diodes émettrices de lumière, DEL (LED)}
+
+
+
+\subsection{Objectif}
+
+
+Tracer les courbes courant-tension (I-V) de DELs (LEDs) de différentes longueurs d'ondes. Trouver une relation avec la constante de Planck.
+
+\subsection{Théorie}
+
+
+L'énergie d'un photon est donnée par $E=h\nu=hc/\lambda$. Cette énergie est égale à l'énergie d'une électron qui surmonte la barrière de potentiel à la jonction et est donnée par $E=eU_{0}$. Donc la constante de Planck vaut $h=eU_{0}\lambda/c$, où $\lambda$ est  la longueur d'onde de la lumière émise par la DEL (LED), $e$  la charge de l'électron et $c$ est  la vitesse de la lumière.
+
+\subsection{Matériel}
+
+
+\begin{itemize}
+  \item DELs rouge, verte, bleue et jaune. Chacune avec un enrobage transparent, c'est à dire non coloré.
+\end{itemize}
+
+\subsection{Procédure}
+
+
+\begin{figure}[h!]
+\begin{center}
+\caption{\label{fig:LED-IV-char}Caractéristiques courant-tension (I-V) de DELs bleue et verte }\vspace{0.5em}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Schematic-diode-iv.png}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Pic-diode-LED-iv.png}
+\end{center}
+\end{figure}
+
+
+
+\begin{itemize}
+  \item Faire un clic droit dans le tableau de bord, ouvrir  \texttt{\textbf{Courbe I-U d'une DEL}\nop} depuis le menu contextuel.
+  \item Connecter les diodes entre I-V et la masse [Ground], une par une, et tracer le graphique.
+\end{itemize}
+
+\subsection{Observation}
+
+
+Les caractéristiques observées sont montrées dans la figure  \ref{fig:LED-IV-char}. La partie linéaire de la courbe est modélisée pour déterminer le point où elle rejoint l'axe-x, ce qui se produit à $1,788\ V$ pour le DEL rouge($\lambda=660\, nm$) , ce qui donne :
+
+
+
+$h=\frac{1,6\cdot10^{-19}\times1,788\times660\cdot10^{-9} }{3\cdot10^{8} }=6,29\cdot10^{-34}\, J\cdot s$
+
+\subsection{Discussion}
+
+
+\emph{Note : il faut faire ça plus précisément. Comparer le quotient des tensions avec celui des longueurs d'ondes pour estimer la précision des mesures.}
+
+
+
+%% uicilibris: end 'Diodes émettrices de lumière, DEL (LED)' tables OK
+
+
+
+%% uicilibris: begin 'Caractéristique d'un transistor en émetteur commun'
+
+\section{Caractéristique d'un transistor en émetteur commun}
+
+
+
+\subsection{Objectif}
+
+
+Tracer la courbe caractéristique en émetteur commun (CE) d'un transistor.
+
+
+
+
+\subsection{Matériel}
+
+
+\begin{itemize}
+  \item Un transistor 2N2222, une résistance de $200\ k\Omega$, des fils électriques.
+\end{itemize}
+
+\subsection{Procédure}
+
+
+\begin{figure}[h!]
+\begin{center}
+\caption{\label{fig:Transistor-common-emitter}Caractéristiques du transistor en émetteur commun }\vspace{0.5em}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Schematic-tran-ce.png}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Pic-tran-ce.png}
+\end{center}
+\end{figure}
+\begin{itemize}
+  \item Souder deux petits fils électriques au collecteur.
+  \item Souder la résistance à la base.
+  \item Connecter la base à UPV (sortie 0 à 5 V)
+  \item Collecteur à I-V, et à A0 pour le suivi de la tension.
+  \item Émetteur à la masse [Ground].
+  \item Faire un clic droit dans le tableau de bord et ouvrir  \texttt{\textbf{Transistor en émetteur commun}\nop} depuis le menu contextuel
+  \item Entrer la tension de polarisation de la base puis cliquez sur  \fbox{LANCER}. Recommencez pour plusieurs valeurs de Vb.
+\end{itemize}
+
+\subsection{Observation}
+
+
+Les courbes caractéristiques pour différents courants de base sont montrées à la figure  \ref{fig:Transistor-common-emitter}.
+
+\subsection{Discussion}
+
+
+On connecte le collecteur à I-V , qui est relié en interne à BPV. Le courant de base est fixé en réglant la tension à une extrémité de la résistance de $200\ k\Omega$, l'autre extrémité étant reliée à la base du transistor. La valeur du courant de base est calculable par :
+
+
+
+$I_{b}=\frac{U_{polarisation}-0.6}{200\times10^{3} }\times10^{6}\mu A$
+
+
+
+%% uicilibris: end 'Caractéristique d'un transistor en émetteur commun' tables OK
+
+
+
+%% uicilibris: begin 'Amplificateur à transistor (Émetteur commun)'
+
+\section{Amplificateur à transistor (Émetteur commun)}
+
+
+
+\subsection{Objectif}
+
+
+Démontrer le fonctionnement d'un amplificateur à transistor en configuration émetteur commun. Le point de fonctionnement est fixé en changeant la tension de polarisation, à l'aide de UPV. Un signal alternatif est généré à l'aide du haut-parleur utilisé comme microphone et ce signal d'entrée est amené à la base à travers un condensateur pour séparer la composante continue.
+
+
+
+
+\subsection{Matériel}
+
+
+\begin{itemize}
+  \item Support de transistor avec une résistance de $200\ k\Omega$   et un condensateur de $0,1\ \mu F$ à la base.
+  \item Petit haut-parleur, à utiliser comme microphone
+  \item Disque piézo, pour générer un son.
+\end{itemize}
+
+\subsection{Procédure}
+
+
+\begin{figure}[h!]
+\begin{center}
+\caption{\label{fig:Transistor-amplifier-at}Amplificateur à transistor. Le montage, et point de fonctionnement avec une polarisation de $2\ V$ }\vspace{0.5em}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Schematic-tran-amp.png}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Pic-tran-amp2V.png}
+\end{center}
+\end{figure}
+
+
+
+\begin{figure}[h!]
+\begin{center}
+\caption{\label{fig:Transistor-amplifier-at2}Même montage, point de fonctionnement avec une polarisation de $4\ V$ }\vspace{0.5em}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Pic-tran-amp4V.png}
+\end{center}
+\end{figure}
+
+
+
+\begin{itemize}
+  \item Connecter le collecteur du transistor à I-V et A0
+  \item Connecter la base du transistor à UPV
+  \item Connecter le disque Piézo à SQR1 et régler SQR1 à $3000\ Hz$
+  \item Connecter le petit haut-parleur à la base à travers le condensateur de $0,1\ \mu F$  , l'autre connexion à la masse [Ground].
+  \item Régler la tension de polarisation en UPV. Essayer des valeurs entre $1\ V$ et $5\ V$.
+\end{itemize}
+
+\subsection{Observation}
+
+
+Les tensions de collecteur pour différents courants de base sont montrées aux figures  \ref{fig:Transistor-amplifier-at} et  \ref{fig:Transistor-amplifier-at2}.
+
+\subsection{Discussion}
+
+
+Souvenez-vous que le gain en tension n'est pas la même chose que le coefficient $\beta$  du transistor, mais qu'il dépend de la résistance dans le circuit de collecteur. Dans notre montage le collecteur est connecté à $5\ V$ à travers une résistance de $1\ k\Omega$.
+
+
+
+Pourquoi a-t-on besoin d'entrer le signal à travers un condensateur ? Essayez de court-circuiter le condensateur avec un morceau de fil électrique, tout en observant la trace.
+
+
+
+%% uicilibris: end 'Amplificateur à transistor (Émetteur commun)' tables OK
+
+
+
+%% uicilibris: begin 'Photo-transistor'
+
+\section{Photo-transistor}
+
+
+
+\subsection{Objectif}
+
+
+Comprendre le photo-transistor. Tracer la caractéristique en mode émetteur commun (CE).
+
+
+
+
+\subsection{Matériel}
+
+
+\begin{itemize}
+  \item Photo-transistor (sans le fil de base) et des fils électriques
+  \item DEL blanche
+\end{itemize}
+
+\subsection{Procédure}
+
+
+\begin{figure}[h!]
+\begin{center}
+\caption{\label{fig:CE-char phototran}Caractéristique du photo-transistor en configuration émetteur commun. }\vspace{0.5em}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Schematic-phtran-ce.png}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Pic-photo-tran-ce.png}
+\end{center}
+\end{figure}
+
+
+
+\begin{itemize}
+  \item Connecter l'émetteur du transistor à la masse [Ground] et collecteur branché à I-V et A0.
+  \item Connecter  la DEL entre SQR2 et la masse [Ground].
+  \item Faire un clic droit sur le tableau de bord et ouvrir  \texttt{\textbf{Photo-transistor en émetteur commun}\nop} depuis le menu contextuel
+  \item Placer une source de lumière à 5 cm du transistor
+  \item Cliquer sur  \fbox{LANCER}, pour tracer la caractéristique en mode émetteur commun
+  \item Recommencer en changeant la distance entre la source de lumière et le transistor
+\end{itemize}
+
+\subsection{Observation}
+
+
+Les courbes caractéristiques pour différentes intensités de lumière sont montrées sur la figure.
+
+\subsection{Discussion}
+
+
+Le courant de base est régi par l'intensité de la lumière.
+
+
+
+%% uicilibris: end 'Photo-transistor' tables OK
+
+
+
+%% uicilibris: begin 'Transmission de signal opto-électrique'
+
+\section{Transmission de signal opto-électrique}
+
+
+
+\subsection{Objectif}
+
+
+Montrer la transmission de signaux à travers un média optique. Des signaux électriques sont convertis en lumière puis convertis à nouveau en signaux électriques au niveau du récepteur.
+
+
+
+
+\subsection{Matériel}
+
+
+\begin{itemize}
+  \item DEL et photo-transistor
+\end{itemize}
+
+\subsection{Procédure}
+
+
+\begin{figure}[h!]
+\begin{center}
+\caption{\label{fig:Phototransistor-output}Le signal au collecteur du photo-transistor }\vspace{0.5em}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Schematic-opto-tran.png}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Pic-phototran-sqr-received.png}
+\end{center}
+\end{figure}
+
+
+
+\begin{itemize}
+  \item Connecter la DEL entre SQR2 et la masse [Ground].
+  \item Connecter la résistance de $22\ k\Omega$   de SQR2
+  \item Connecter l'émetteur du photo-transistor à la masse [Ground] et collecteur à SEN (borne 23)
+  \item Connecter SEN à A0
+  \item Régler SQR2 à $500\ Hz$
+  \item Placer la DEL en face du photo-transistor et ajuster le signal.
+  \item Activer l'option  \fbox{FIT} pour calculer la fréquence en modélisant les données.
+  \item Faire une mesure de fréquence plus précise en cliquant sur  \fbox{Measure Freq}
+  \item Recommencer l'expérience en changeant la fréquence.
+  \item Utiliser le câble en fibre optique pour guider la lumière de la DEL au transistor.
+\end{itemize}
+
+\subsection{Observation}
+
+
+Le signal de sortie du photo-transistor pour un signal de la DEL à $500\ Hz$ est montré à la figure  \ref{fig:Phototransistor-output}. La fréquence calculée par modélisation de la courbe est très proche de $500\ Hz$. La mesure de fréquence réalisée en interne donne la valeur correcte.
+
+\subsection{Discussion}
+
+
+Le signal électrique est converti en lumière par la DEL. La lumière est transmise au photo-transistor et est convertie à nouveau en signal électrique. On peut voir que la forme du signal est légèrement arrondie, mais que l'information de fréquence est préservée. Ceci démontre l'avantage de la transmission numérique sur la transmission analogique.
+
+
+
+%% uicilibris: end 'Transmission de signal opto-électrique' tables OK
+
+
+
+%% uicilibris: begin 'Modulation d'amplitude et de fréquence'
+
+\section{Modulation d'amplitude et de fréquence}
+
+
+
+\subsection{Objectif}
+
+
+Étudier la modulation d'un signal en amplitude et en fréquence. Analyser le signal modulé en amplitude mathématiquement pour voir les bandes latérales.
+
+
+
+
+\subsection{Matériel}
+
+
+Le boîtier analogique Phoenix : il a un générateur sinusoïdal (à $100\ Hz$ environ) dont l'amplitude peut être contrôlée à l'aide d'une tension continue. Il a aussi un générateur sinusoïdal à $4\ kHz$ disposant de contrôles d'amplitude et de fréquence. Nous utilisons la sortie UPV de expEYES pour contrôler l'amplitude du générateur de $100\ Hz$. Sa sortie est suivie par A0 et aussi reliée à l'entrée d'amplitude de modulation du deuxième oscillateur. L'amplitude du deuxième oscillateur es [...]
+
+
+
+On peut capturer ces signaux, séparément ou ensemble. Le nombre d'échantillons et l'intervalle entre les échantillons peuvent être spécifiés par l'utilisateur. La profondeur de modulation est régie par l'amplitude du signal de modulation.
+
+
+
+Le boîtier analogique permet aussi de régler la fréquence du signal de modulation entre $100$ et $300\ Hz$, mais on n'utilise pas cette fonction ici.
+
+\subsection{Procédure}
+
+
+\begin{figure}[h!]
+\begin{center}
+\caption{\label{fig:Amplitude-modulation}Modulation d'amplitude.(a) Montage expérimental (b) Signal modulant présenté en même temps que la sortie modulée. }\vspace{0.5em}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Schematic-AM-photo.png}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Pic-AMcarr-and-sig400x20.png}
+\end{center}
+\end{figure}
+
+
+
+\begin{itemize}
+  \item Connecter les masses du boîtier analogique et d'expEYES
+  \item Relier UPV relié à AC de l'oscillateur $100\ Hz$
+  \item Relier la sortie $100\ Hz$ à A0 et à l'entrée de modulation d'amplitude AM
+  \item Relier la sortie modulée à A1
+  \item Sélectionner A0 et A1
+  \item Capturer $400$ échantillons avec un intervalle de $20\ ms$.
+  \item Sélectionner A1 seulement
+  \item Capturer $1800$ échantillons avec un intervalle de $40\ \mu s$
+  \item Cliquer sur  \fbox{Power Spectrum} pour faire une transformation de Fourier
+  \item Pour faire une modulation de fréquence (FM), connecter la sortie $100\ Hz$ à l'entrée FM de l'autre générateur.
+\end{itemize}
+
+\subsection{Observation}
+
+
+Une porteuse avec une fréquence proche de $4\ kHz$ est modulée par un signal sinusoïdal d'environ $100\ Hz$. Une petite portion du signal de sortie ($400$ points avec un intervalle de $20\ ms$) représentée en même temps que le signal modulant est montrée à la figure  \ref{fig:Amplitude-modulation}(b). Le spectre de puissance est calculé par transformation de Fourier. Pour obtenir de meilleurs résultats un échantillon plus long ($1800$ échantillons avec un intervalle de $40\ \mu s$) est é [...]
+\begin{figure}[h!]
+\begin{center}
+\caption{\label{fig:Amplitude-FT}(1) Spectre de puissance de la sortie AM. Générée avec 1800 mesures et un intervalle de temps de 40 µs entre elles. (2) La sortie FM. }\vspace{0.5em}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Schematic-AMfft-1800x40.png}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Pic-FMcarr-and-sig500x10-2V.png}
+\end{center}
+\end{figure}
+
+\subsection{Discussion}
+
+
+Les deux bandes latérales sont clairement obtenues de part et d'autre du pic de la porteuse, séparées par la fréquence de modulation.
+
+
+
+La sortie AM ressemble aux battements sonores que nous avons obtenus à la section  \ref{sec:Interference-of-sound}, mais si on prend le spectre de battements, il donne deux pics correspondant aux fréquences individuelles. Quelles sont leurs différences malgré les apparences semblables ?
+
+
+
+%% uicilibris: end 'Modulation d'amplitude et de fréquence' tables OK
+
+
+
+%% uicilibris: begin 'Mécanique, optique et thermophysique'
+
+\chapter{Mécanique, optique et thermophysique}
+
+
+On étudie un phénomène de résonance à l'aide d'un pendule excité. La valeur de l'accélération due à la gravité est mesurée à l'aide d'une méthode par temps de vol et aussi à l'aide d'un pendule.
+
+
+
+%% uicilibris: end 'Mécanique, optique et thermophysique' tables OK
+
+
+
+%% uicilibris: begin 'Résonance d'un pendule entretenu'
+
+\section{Résonance d'un pendule entretenu}
+
+
+
+\subsection{Objectif}
+
+
+Étudier la résonance d'un pendule entretenu
+
+
+
+
+\subsection{Matériel}
+
+
+\begin{itemize}
+  \item Un solénoïde et un pendule réalisé à l'aide d'aimants en boutons, identique à celui de la section  \ref{sec:Magnetic-Effect-of}
+  \item Un potentiomètre de $22\ k\Omega$
+\end{itemize}
+
+\subsection{Procédure}
+
+
+\begin{itemize}
+  \item Connecter le solénoïde entre SQR2 et la masse [Ground].
+  \item Connecter la résistance variable de $22\ k\Omega$  en tant que résistance variable pour SQR2.
+  \item Suspendre le pendule près du solénoïde solénoïde comme montré à la figure  \ref{fig:Solenoid-Magnetic-field}
+  \item Régler SQR2 à $10\ Hz$, et ajuster la résistance pour réduire la fréquence jusqu'à ce que l'amplitude du pendule augmente.
+\end{itemize}
+
+\subsection{Observation}
+
+
+Quand SQR2 arrive à la fréquence de résonance du pendule, l'amplitude augmente à cause du phénomène de résonance. Un pendule long de $5,2\ cm$ (du centre de l'aimant à l'axe de l'oscillation) a résonné à environ $2,3\ Hz$, en concordance presque parfaite avec sa fréquence naturelle calculée.
+
+\subsection{Discussion}
+
+
+La fréquence de résonance du pendule peut être calculée en l'assimilant à un pendule simple et à l'aide de la formule :
+$f=\frac{1}{T}$, où $T=2\pi\sqrt{\frac{\ell}{g} }$ et où $\ell$  est la distance du centre de l'aimant au point de suspension et $g$  est l'accélération due à la gravité.
+
+
+
+Recommencer l'expérience en changeant la longueur du pendule. SQR2 ne peux pas aller en deçà de $0,7\ Hz$
+
+
+
+%% uicilibris: end 'Résonance d'un pendule entretenu' tables OK
+
+
+
+%% uicilibris: begin 'Valeur de 'g', pendule pesant'
+
+\section{Valeur de 'g', pendule pesant}
+
+
+
+\subsection{Objectif}
+
+
+Mesurer la période des oscillations d'un pendule pesant en utilisant une barrière photo-électrique et calculer l'accélération due à la gravité.
+
+\subsection{Théorie}
+
+
+La période des oscillations d'un pendule pesant fait d'une barre de section constante suspendu à une extrémité est donné par :
+
+
+
+$T=2\pi\sqrt{\frac{2\ell}{3g} }$
+
+\subsection{Matériel}
+
+
+\begin{itemize}
+  \item Barrière photo-électrique réalisée avec une DEL et un photo-transistor
+  \item Pendule pesant
+\end{itemize}
+
+\subsection{Procédure}
+
+
+\begin{figure}[h!]
+\begin{center}
+\caption{\label{fig:Rod-Pendulum}Mesure de la période d'un pendule pesant à l'aide du barrière photo-électrique, pour calculer la valeur de 'g'. }\vspace{0.5em}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Schematic-rodpend-photo.png}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Pic-rodpend-screen.png}
+\end{center}
+\end{figure}
+
+
+
+\begin{figure}[h!]
+\begin{center}
+\caption{\label{fig:Rod-Pendulum2}Calculs la valeur de 'g', dispersion des résultats. }\vspace{0.5em}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Pic-rodpend-ghist.png}
+\end{center}
+\end{figure}
+
+
+
+\begin{itemize}
+  \item Connecter la DEL entre SQR2 et la masse [Ground]
+  \item Connecter le collecteur du photo-transistor à SEN et l'émetteur à la masse [Ground]
+  \item Faire un clic droit sur le tableau de bord et démarrer  \texttt{\textbf{Pendule pesant}\nop} depuis le menu contextuel
+  \item Mesurer et entrer la longueur du pendule
+  \item Mettre le pendule à osciller et cliquer sur  \fbox{LANCER}
+  \item On peut faire un histogramme à l'aide de XmGrace.
+\end{itemize}
+
+\subsection{Observation}
+
+
+La période (en milliseconde pour s'ajuster à l'intervalle vertical avec des valeurs de 'g') et la valeur calculée de 'g' sont représentées sur la courbe, comme montré à la figure  \ref{fig:Rod-Pendulum}. On voit aussi un histogramme des 20 dernières mesures à la figure  \ref{fig:Rod-Pendulum2}.
+
+\subsection{Discussion}
+
+
+La valeur calculée de 'g' est proche de $9,725\ m\cdot s^{-2}$  ($9,8$ avec une erreur systématique d'environ $0,1$). L'erreur aléatoire est de moins de 0,1\%{}. La raison de l'erreur systématique peut être due aux raisons suivantes : la longueur est mesurée du bord du couteau jusqu'en bas, et utilisée dans la formule. Mais il y a une petite masse au-dessus du bord du couteau qui n'est pas incluse dans le calcul ; une autre raison est que le pendule n'est pas exactement vertical dans la  [...]
+
+
+
+%% uicilibris: end 'Valeur de 'g', pendule pesant' tables OK
+
+
+
+%% uicilibris: begin 'Oscillations d'un pendule'
+
+\section{Oscillations d'un pendule}
+
+
+
+\subsection{Objectif}
+
+
+Étudier la nature des oscillations d'un pendule. Un encodeur angulaire est nécessaire pour mesure le déplacement angulaire en fonction du temps. Nous allons essayer de mesurer la vitesse angulaire en fonction du temps, puisque ça peut se faire à l'aide d'un moteur à courant continu bon marché.
+
+
+
+
+\subsection{Matériel}
+
+
+\begin{itemize}
+  \item Un petit moteur à courant continu avec un pendule fixé à son axe.
+\end{itemize}
+
+\subsection{Procédure}
+
+
+\begin{figure}[h!]
+\begin{center}
+\caption{\label{}Nature des oscillations d'un pendule }\vspace{0.5em}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Schematic-pendulum-photo.png}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Pic-pendulum-osc.png}
+\end{center}
+\end{figure}
+
+
+
+\begin{itemize}
+  \item Attacher un pendule rigide quelconque à l'axe su moteur.
+  \item Connecter les fils électriques du moteur entre la borne 17 et la masse [Ground]
+  \item Connecter la borne 18 à A0
+  \item Faire un clic droit sur le tableau de bord et démarrer  \texttt{\textbf{Signal du pendule}\nop} depuis le menu contextuel
+  \item Faire osciller la pendule et cliquez sur  \fbox{LANCER} pour numériser
+\end{itemize}
+
+\subsection{Observation}
+
+
+Le signal observé est montré dans la figure. La modélisation des données avec l'équation $A=A_{0}sin\left(\omega t+\theta\right)*\exp\left(-dt\right)+C$, à l'aide de XmGrace, a donné une fréquence angulaire de $10\ Hz$.
+
+\subsection{Discussion}
+
+
+Le pendule devrait être fait avec une masselotte lourde et une tige légère la reliant à l'axe du moteur. J'étais pressé de terminer ce texte et j'ai juste utilisé un tournevis et un aimant pour l'attacher à l'axe du moteur. Le moteur à courant continu se comporte comme un générateur dans ce cas.
+
+
+
+%% uicilibris: end 'Oscillations d'un pendule' tables OK
+
+
+
+%% uicilibris: begin 'Valeur de 'g' par temps de vol'
+
+\section{Valeur de 'g' par temps de vol}
+
+
+
+\subsection{Objectif}
+
+
+Mesurer le temps de vol d'un objet tombant sous gravité d'une hauteur connue et calculer la valeur de l'accélération due à la gravité.
+
+
+
+
+\subsection{Matériel}
+
+
+\begin{itemize}
+  \item Électro-aimant (bobine de 1000 tours avec un noyau de fer)
+  \item Haut-parleur $150\ \Omega$
+\end{itemize}
+
+\subsection{Procédure}
+
+
+\begin{figure}[h!]
+\begin{center}
+\caption{\label{fig:Gravity-by-TOF}Gravité par mesure de temps de vol. La bille de fer est tenue par l'électro-aimant. }\vspace{0.5em}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Pic-gravity-tof-photo.png}
+\end{center}
+\end{figure}
+
+
+
+\begin{itemize}
+  \item Fixer l'électro-aimant sur un support
+  \item Le connecter à OD0 et à la masse [Ground]
+  \item Connecter le haut-parleur entre les bornes 15 et 16
+  \item Faire un clic droit sur le tableau de bord et démarrer  \texttt{\textbf{Gravity TOF}\nop}
+  \item Cliquer sur  \fbox{Attach the Ball}
+  \item Entrer la hauteur du bas de la bille jusqu'au sol
+  \item Cliquer sur  \fbox{Measure TOF}
+\end{itemize}
+
+\subsection{Observation}
+
+
+\begin{tabular}{|l|l|l|}
+\hline
+\textbf{Hauteur (m)}&\textbf{Durée $t$}&\textbf{$g=\frac{2h}{t^{2} }\,(m\cdot s^{-2})$}
+\\ \hline
+0,35&0,269&9,674
+\\ \hline
+0,25&0,228&9,61.8
+\\ \hline
+\end{tabular}\\[0.5em]
+
+\subsection{Discussion}
+
+
+Les valeurs calculées sont moins que la valeur réelle. Pourquoi ?
+
+
+
+Nous mesurons la durée entre la transition $5\ V \rightarrow 0\ V$ à OD0 et l'apparition de quelques millivolts à la sortie du haut-parleur. L'effet magnétique ne disparaît pas instantanément et les délais du circuit doivent aussi être pris en compte.
+
+
+
+Si on applique une correction de 2 ms à la première lecture, le résultat est $0,70/0,267^{2}=9,819$. Cela montre la précision nécessaire requise pour les mesures de temps de vol.
+
+
+
+%% uicilibris: end 'Valeur de 'g' par temps de vol' tables OK
+
+
+
+%% uicilibris: begin 'Mesure de température, PT100'
+
+\section{Mesure de température, PT100}
+
+
+
+\subsection{Objectif}
+
+
+Enregistrer la température d'un liquide à l'aide d'un thermomètre à résistance de platine
+
+\subsection{Théorie}
+
+
+La résistance d'un élément PT100 est reliée à la température par l'équation :
+
+
+
+$R_{T}=R_{0}\left[1+AT+BT^{2}\right]$
+
+
+
+où $A=3,9083\cdot10^{-3}$  et $B=-5,775\cdot10^{-7}$.
+
+\subsection{Matériel}
+
+
+\begin{itemize}
+  \item Un capteur PT100
+  \item Une résistance de $330\ \Omega$
+\end{itemize}
+
+\subsection{Procédure}
+
+
+\begin{figure}[h!]
+\begin{center}
+\caption{\label{}Photo du montage }\vspace{0.5em}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Pic-cooling-water-photo.png}
+\end{center}
+\end{figure}
+
+
+
+\begin{figure}[h!]
+\begin{center}
+\caption{\label{fig:Cooling-curve-water}Courbe du refroidissement de l'eau mesurée à l'aide d'un capteur PT1OO. }\vspace{0.5em}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Schematic-pt100.png}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Pic-cooling-water-pt100.png}
+\end{center}
+\end{figure}
+
+
+
+\begin{itemize}
+  \item Connecter le capteur PT100 entre CS et la masse [Ground]
+  \item Connecter CS à la borne 21 (entrée de l'amplificateur non-inverseur)
+  \item Connecter la borne 22 (sortie de l'amplificateur) à A2
+  \item Connecter la résistance de contrôle du gain entre les bornes 19 et 20
+  \item Faire un clic droit sur le tableau de bord et démarrer  \texttt{\textbf{PT100}\nop}
+  \item Entrer la valeur de la résistance
+  \item Sélectionner la durée totale et l'intervalle de temps entre les mesures
+  \item Cliquez sur  \fbox{LANCER}
+\end{itemize}
+Une calibration est nécessaire pour une meilleur précision. Placez le capteur sur de la glace fondante et cliquer sur  \texttt{\textbf{point de fusion}\nop}. Plongez le capteur dans de l'eau bouillante et cliquer sur  \texttt{\textbf{Point d'ébullition}\nop}. Après ce clic, cliquer sur \texttt{\textbf{Calibrer}\nop}. Une fois que la calibration est faite, la température est déterminée en utilisant les constantes de calibration.
+
+\subsection{Observation}
+
+
+La courbe de refroidissement d'un échantillon d'eau est montrée à la figure  \ref{fig:Cooling-curve-water} .
+
+\subsection{Discussion}
+
+
+Un capteurPT100 est un élément en platine ayant une résistance de $100\ \Omega$  à 0° C. La résistance varie avec la température et des tables sont disponibles pour corréler la résistance et la température. Notre programme fixe un courant de $1\ mA$ à travers le capteur PT100 et mesure la chute de tension à ses bornes. La tension est amplifiée pour augmenter la résolution.
+
+
+
+Le programme lit la tension de sortie de l'amplificateur. La tension aux bornes de l'élément PT100 est calculé en divisant cette tension par le gain de l'amplificateur ($G=1+\frac{10000}{Rg}$). Comme le courant est connu, la résistance et donc la température peuvent être calculées.
+
+
+
+%% uicilibris: end 'Mesure de température, PT100' tables OK
+
+
+
+%% uicilibris: begin 'Contrôleur de température'
+
+\section{Contrôleur de température}
+
+
+
+\subsection{Objectif}
+
+
+Implémenter une contrôleur de température à l'aide d'un capteur LM35. Un transistor est utilisé en chauffage piloté. On maintient le LM35 pressé contre le corps du transistor avec un peu de pâte conductrice de chaleur.
+
+
+
+
+\subsection{Matériel}
+
+
+\begin{itemize}
+  \item Un capteur LM35
+  \item Un transistor 2N2222
+  \item Des résistances de $10\, k\Omega$  et $2,4\, k\Omega$
+\end{itemize}
+
+\subsection{Procédure}
+
+
+\begin{figure}[h!]
+\begin{center}
+\caption{\label{}Mesure de température à l'aide d'un LM35. }\vspace{0.5em}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Schematic-temp-control.png}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Pic-temp-con.png}
+\end{center}
+\end{figure}
+
+
+
+\begin{itemize}
+  \item Connecter le LM35 et le transistor comme montré sur la figure
+  \item Coupler les corps du LM35 et du 2N2222 en utilisant la pâte conductrice thermique.
+  \item Utiliser une alimentation continue 12 V externe.
+  \item Cliquer droit et démarrer  \texttt{\textbf{Temp Controller}\nop}
+  \item Régler la consigne de température et la tension de la base (UPV)
+  \item Cliquer sur  \fbox{START}. L'échelle verticale ira de 0 jusqu'à la température de consigne plus 10°.
+\end{itemize}
+
+\subsection{Observation}
+
+
+La température est tracée en fonction du temps.
+
+\subsection{Discussion}
+
+
+La température est maintenue à $\pm 0.5^{\circ}$ de la consigne. Le LM35 n'a que cette résolution-là. La variation temporelle dépend de la conductivité thermique des corps du LM35 et du 2N2222 et du courant de collecteur.
+
+
+
+%% uicilibris: end 'Contrôleur de température' tables OK
+
+
+
+%% uicilibris: begin 'Expériences diverses'
+
+\chapter{Expériences diverses}
+
+
+
+
+
+%% uicilibris: end 'Expériences diverses' tables OK
+
+
+
+%% uicilibris: begin 'Stroboscope'
+
+\section{Stroboscope}
+
+
+
+\subsection{Objectif}
+
+
+Un objet effectuant un mouvement périodique va apparaître stationnaire si on l'illumine avec des impulsions lumineuses de la même fréquence. La raison simple est que l'objet est illuminé à chaque fois qu'il arrive au même point.
+
+
+
+
+\subsection{Matériel}
+
+
+\begin{itemize}
+  \item Une DEL
+  \item Un moteur à courant continu
+  \item Un bobinage 3000 tours
+\end{itemize}
+
+\subsection{Procédure}
+
+
+\begin{figure}[h!]
+\begin{center}
+\caption{\label{fig:Stroboscope}Stroboscope utilisant une DEL comme flash. Le courant alternatif récupéré par la bobine à cause de l'aimant tournant est utilisé pour vérifier la vitesse de rotation. }\vspace{0.5em}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Schematic-strobo.png}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Pic-stroboscope-photo.png}
+\end{center}
+\end{figure}
+
+
+
+\begin{itemize}
+  \item Connecter le moteur à PULSE et à la masse [Ground]
+  \item Connecter la DEL entre SQR2 et la masse [Ground]
+  \item Connecter la résistance de $22\ k\Omega$  pour SQR2
+  \item Régler Pulse à 20 * Régler SQR2 à $20\ Hz$
+  \item Ajuster la résistance jusqu'à ce que l'axe du moteur apparaisse à peu près stationnaire
+\end{itemize}
+
+\subsection{Observation}
+
+
+Pendant qu'on ajuste SQR2, Le mouvement du disque sur l'axe du moteur semble ralentir puis à moment donné change de sens apparent de rotation. Prendre note de la fréquence au moment du renversement de sens.
+
+\subsection{Discussion}
+
+
+Comment ajuste-t-on le compte-tours (RPM) d'un moteur de voiture ?
+
+
+
+Quand on l'examine avec une lumière pulsée à une fréquence de $11\ Hz$, un moteur tournant dans le sens des aiguilles à $10\ Hz$ semblera tourner dans le sens anti-horaire à un tour par seconde.
+
+
+
+Pendant l'arrêt et le démarrage, les ventilateurs semblent quelquefois tourner à l'envers, dans la lumière des tubes fluorescents.
+
+
+
+%% uicilibris: end 'Stroboscope' tables OK
+
+
+
+%% uicilibris: begin 'Mesure de transparence'
+
+\section{Mesure de transparence}
+
+
+
+\subsection{Objectif}
+
+
+Comparer la transmission de la lumière entre différents matériaux semi transparents.
+
+
+
+
+\subsection{Matériel}
+
+
+\begin{itemize}
+  \item Photo-transistor, DEL et résistance de $10\ k\Omega$
+\end{itemize}
+
+\subsection{Procédure}
+
+
+\begin{figure}[h!]
+\begin{center}
+\caption{\label{fig:Light-transmission-measurement}Mesure de transmission de lumière à l'aide d'un photo-transistor }\vspace{0.5em}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Schematic-light-bar.png}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Pic-light-thru-paper-photo.png}
+\end{center}
+\end{figure}
+
+
+
+\begin{itemize}
+  \item Connecter le collecteur du photo-transistor à SEN.
+  \item Connecter l'émetteur à la masse [Ground].
+  \item Connecter la résistance entre les bornes 8 et 9 (pour SQR2).
+  \item Connecter la DEL entre SQR2 et la masse [Ground].
+  \item Régler SQR2 à 0 pour allumer la DEL
+  \item Faire un clic droit et démarrer le programme  \texttt{\textbf{CRO}\nop} et sélectionner le canal SEN.
+  \item Placer la DEL en face du transistor et prendre note de la tension.
+  \item Placer quelques matériaux semi-transparents entre la DEL et le photo-transistor et voyez la différence.
+\end{itemize}
+
+\subsection{Observation}
+
+
+La tension diminue quand l'intensité de la lumière décroît sur le photo-transistor. Essayer des DELs de différentes couleurs et comparer les résultats. Essayez les transmission de la lumière rouge à travers des feuilles transparentes Rouge et Verte de la même épaisseur.
+
+
+
+
+
+
+%% uicilibris: end 'Mesure de transparence' tables OK
+
+
+
+%% uicilibris: begin 'Ondes stationnaires dans une corde'
+
+\section{Ondes stationnaires dans une corde}
+
+
+
+\subsection{Objectif}
+
+
+Étudier des ondes stationnaires dans une corde tendue excitée par une bobine-relais. On peut varier la tension de la corde en changeant la masse suspendue.
+
+\subsection{Théorie}
+
+
+La vitesse de propagation du son dans une corde est données par
+$v=\sqrt{\frac{T}{\mu} }$, où $T$ est la tension et $\mu$  est la masse linéique de la corde. La vitesse vérifie $v=f\lambda$. Sur une corde vibrante, l'harmonique fondamentale a seulement deux nœuds, à chaque extrémité et la longueur de la corde est $L=\lambda/2$.
+
+
+
+$f_{0}=\frac{v}{2L}=\frac{1}{2L}\sqrt{\frac{T}{\mu} }$
+
+
+
+Le carré de la fréquence est proportionnel à la tension.
+
+\subsection{Matériel}
+
+
+\begin{itemize}
+  \item Une bobine de de relais avec amplificateur, alimentée par un adaptateur $12\ V$ (courant alternatif).
+  \item Un potentiomètre de $22\ k\Omega$
+\end{itemize}
+
+\subsection{Procédure}
+
+
+\begin{itemize}
+  \item Connecter à la terre la masse de expEYES et l'accessoire de corde vibrante.
+  \item Connecter SQR2 à l'entrée de l'amplificateur du relais.
+  \item Connecter le potentiomètre de $22\ k\Omega$
+  \item Régler SQR2 à 30
+  \item Choisir une masse à suspendre de quelques grammes
+  \item Ajuster le potentiomètre de $22\ k\Omega$  pour obtenir l'onde stationnaire fondamentale (un seul ventre)
+\end{itemize}
+
+\subsection{Observation}
+
+
+\begin{tabular}{|l|l|l|}
+\hline
+\textbf{$M$}&\textbf{$Freq$}&\textbf{$M^{2}/Freq$}
+\\ \hline
+
+&
+&
+
+\\ \hline
+
+&
+&
+
+\\ \hline
+
+&
+&
+
+\\ \hline
+
+&
+&
+
+\\ \hline
+\end{tabular}\\[0.5em]
+La fréquence est proportionnelle au carré de la tension appliquée, dans la limite des erreurs expérimentales.
+
+\subsection{Discussion}
+
+
+Faites en sorte que la corde ait une moitié avec une masse linéique double de celle de l'autre moitié. Observer les modes plus élevés.
+
+
+
+%% uicilibris: end 'Ondes stationnaires dans une corde' tables OK
+
+
+
+%% uicilibris: begin 'Accessoires'
+
+
+
+
+\chapter{Accessoires}
+
+
+Chaque expérience réclame que quelque chose soit connecté à l'interface ExpEYES. Ça pourrait juste être un bout de fil électrique ou au contraire un ensemble de capteurs. La collection standard d'accessoires est suffisante pour réaliser la plupart des expériences décrites dans ce manuel. Il y a quelques autres accessoires actuellement disponibles et leur nombre va probablement augmenter.
+
+
+
+
+\section{La collection d'accessoires standard}
+
+
+
+
+
+\begin{figure}[h!]
+\begin{center}
+\caption{\label{fig:Standard-Accessory-set}Collection d'accessoires standard. }\vspace{0.5em}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Pic-std-accessory-photo.png}
+\end{center}
+\end{figure}
+
+
+
+
+
+
+La collection d'accessoires standard disponible avec ExpEYES contient les composants suivants. Les valeurs des paramètres, comme l'inductance, sont spécifiées comme valeur nominale, mesurées à partir d'échantillons pris au hasard. Pour connaître les valeurs exactes, il vous faut les mesurer avec un équipement de test adéquat.
+Pinces crocodiles avec fils
+
+
+
+Si ion doit changer la connexion à n'importe quelle borne plusieurs fois pendant une expérience, c'est plus facile de faire la connexion à l'aide de la pince crocodile fournie.
+
+
+
+
+\subsection{Montage à microphone}
+
+
+
+
+
+Un microphone à condensateur avec une résistance de polarisation et un condensateur pour bloquer la composante continue. Il est censé être branché aux bornes 15 et 16, avec le fil électrique connecté en UPV. Le fil du condensateur va à la borne 15.
+
+
+
+
+\subsection{Bobinages 3000 tours (2)}
+
+
+
+
+
+Inductance $\approx  125\ mH$, résistance $\approx  560\ \Omega$, réalisées en fil de cuivre isolé 44 SWG. Ces bobinages sont utilisés pour étudier l'inductance, l'induction électromagnétique, etc.
+
+
+
+
+\subsection{Bobinage 1000 tours}
+
+
+
+
+
+Inductance $\approx  4\ mH$, résistance $\approx  45\ \Omega$, faite en fil de cuivre isolé 40 SWG. Avec le bâton de ferrite en place, l'inductance monte jusqu'à environ $25\ mH$.
+
+
+
+
+\subsection{Électro-aimant (avec un noyau en fer)}
+
+
+
+
+
+Inductance $\approx  20\ mH$, résistance $\approx  45\ \Omega$, faite en fil de cuivre isolé 40 SWG. Ce bobinage a un noyau de fer fixé à l'intérieur et peut être utilisé comme électro-aimant dans quelques expériences. des fils de $50\ cm$ de long sont fournis.
+
+
+
+
+\subsection{Disques piézo-électriques (2)}
+
+
+
+
+
+Leur fréquence de résonance est environ $4000\ Hz$. Ils peuvent être alimentés par les sorties SQR1, SQR2 ou PULSE. Les disques sont enfermés dans une coque en plastique qui forme une cavité, et qui augmente l'intensité du son produit.
+
+
+
+
+\subsection{Haut-parleur (grand)}
+
+
+
+
+
+La résistance du haut-parleur est $150\ \Omega$, différente de celle des hauts-parleurs ordinaires de $8\ \Omega$. Peut être alimenté par les sorties SQR1, SQR2 ou PULSE.
+
+
+
+
+\subsection{Haut-parleur (petit)}
+
+
+
+
+
+C'est un haut-parleur de basse impédance, mais plus robuste. On l'utilisera aussi comme microphone dans quelques expériences.
+
+
+
+
+\subsection{Moteur à courant continu}
+
+
+
+
+
+Fixé sur une base métallique. Doit être alimenté par une source de tension inférieure à $3\ V$. Dans quelques expériences, on alimente le moteur avec la sortie PULSE, avec un rapport cyclique inférieur à 40\%{}. Il est préférable de connecter une diode en série quand le moteur est connecté à la borne PULSE.
+
+
+
+
+\subsection{Support de transistor}
+
+
+
+
+
+Un connecteur à trois broches pour y insérer des transistors, afin d'en tracer la courbe caractéristique, résistance de $200\ k\Omega$  à la base.
+
+
+
+
+\subsection{Aimants permanents}
+
+
+
+
+
+\begin{itemize}
+  \item diamètre 10 mm et longueur 10 mm
+  \item diamètre 12 mm et longueur 1,5 mm
+  \item diamètre 5 mm et longueur 10 mm
+\end{itemize}
+
+
+
+
+\subsection{Autres objets}
+
+
+
+
+
+\begin{itemize}
+  \item potentiomètre de $22\ k\Omega$, utilisé pour SQR2.
+  \item Support pour deux piles électriques.
+  \item Disque d'aluminium avec un trou central.
+  \item Bille d'acier doux, D = 10 mm
+  \item Bâton de ferrite, D = 6 mm, L = 20 mm
+  \item Bâton de ferrite, D = 12 mm, L = 50 mm
+  \item DELs 5 mm  : Rouge, Bleue, Verte
+  \item DEL 10 mm blanche, équipée de fils
+  \item Condensateurs : 100 µF, 47 µF, 10 µF, 1 µF et 0,1 µF
+  \item Résistance : $100\ \Omega$, $200\ \Omega$, $330\ \Omega$, $560\ \Omega$, $1\ k\Omega$, $10\ k\Omega$  et $100\ k\Omega$
+  \item Diode : 1N4148
+  \item Diode Zéner, $3,3\ V$
+  \item Transistor : 2N2222
+  \item Fils électriques de 15 cm - 5 unités
+  \item Fils électriques de 8 cm - 5 unités
+  \item Tournevis
+  \item Photo-résistance
+  \item Thermistance
+\end{itemize}
+
+
+
+
+\section{Barrière photo-électrique et pendule pesant}
+
+
+
+
+
+La barrière photo-électrique peut être utilisée pour chronométrer des mouvements mécaniques. Un rayon de lumière arrivant sur le photo-transistor est intercepté et les intervalles de temps sont mesurés. On peut l'utiliser pour trouver la vitesse de rotation d'un moteur, les périodes d'oscillation d'un pendule, etc.
+
+
+
+\begin{figure}[h!]
+\begin{center}
+\caption{\label{fig:Light-Barrier-and1}Barrière photo-électrique et pendule pesant. }\vspace{0.5em}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Pic-light-bar-rodpend-photo.png}
+\end{center}
+\end{figure}
+
+
+
+
+
+
+
+\section{Émetteur-récepteur piézo ultra-sonores}
+
+
+
+
+
+L'émetteur et le récepteur piézo-électrique 40 kHz ultra-sonores peuvent être utilisés pour étudier le son. La vitesse du son peut être trouvée en mesurant le temps de vol d'un paquet sonore de l'émetteur au récepteur. Le signal à 40 kHz ne peut pas être visualisé correctement en utilisant la fonction oscilloscope d'expEYES, il faut un oscillographe à plus haute fréquence pour voir ces signaux correctement.
+
+
+
+\begin{figure}[h!]
+\begin{center}
+\caption{\label{fig:Light-Barrier-and2}Émetteur piézo 40 kHz et récepteur. }\vspace{0.5em}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Pic-40kHz-piezo-photo.png}
+\end{center}
+\end{figure}
+
+
+
+
+
+
+
+\section{Appareil à corde vibrante}
+
+
+
+
+
+Celui-ci est alimenté sous 12 V et piloté par un signal provenant de SQR2. Le signal de SQR2 amplifié pilote la bobine d'un relais et une corde fixée au contact du relais est mise en vibration. Des ondes stationnaires peuvent être formées en ajustant la tension et la fréquence de vibration.
+
+
+
+\begin{figure}[h!]
+\begin{center}
+\caption{\label{fig:Light-Barrier-and3}Appareil à corde vibrante. }\vspace{0.5em}
+\includegraphics[width=0.4\textwidth, height=0.3\textwidth, keepaspectratio]{Pic-standing-wave-app-photo.png}
+\end{center}
+\end{figure}
+
+
+
+
+
+
+%% uicilibris: end 'Accessoires' tables OK
+\end{document}
diff --git a/doc/fr/Docs/top-panelcolor.png b/doc/fr/Docs/top-panelcolor.png
new file mode 100644
index 0000000..09c555a
Binary files /dev/null and b/doc/fr/Docs/top-panelcolor.png differ
diff --git a/doc/fr/Progman-jr/Makefile b/doc/fr/Progman-jr/Makefile
new file mode 100644
index 0000000..934f711
--- /dev/null
+++ b/doc/fr/Progman-jr/Makefile
@@ -0,0 +1,34 @@
+DESTDIR =
+PODIR   = ../../../po
+LANG    = fr
+SOURCES = $(shell ls *.lyx)
+PDFS    = $(patsubst %.lyx, %.pdf, $(SOURCES))
+TEXS    = $(patsubst %.lyx, %.tex, $(SOURCES))
+WARNING=LaTeX Warning: Label(s) may have changed. Rerun
+
+all: $(PDFS)
+
+install: all
+	install -m 644 $(PDFS) $(DESTDIR)
+
+%.tex: %.lyx
+	@echo -n "Language=$(LANG), exporting $< to a LaTeX file ... "
+	@lyx -e latex $< >/dev/null 2>&1
+	@echo Done.
+
+%.pdf: %.tex
+	@echo -n "Running PDFLaTeX"
+	@ok=0; \
+	logfile=$$(echo $< | sed 's/\.tex/.log/'); \
+	while [ $$ok = 0 ]; do \
+	  echo -n " ... "; \
+	  pdflatex -interaction=nonstopmode $< >/dev/null 2>&1 || true; \
+	  if ! grep -q "$(WARNING)" $${logfile}; then \
+	    ok=1; \
+	  fi; \
+	done
+	@echo Done.
+
+clean:
+	rm -f *~ *.aux *.log *.toc *.lof *.out $(TEXS)
+	[ -f /tmp/keep-expeyes-docs ] || rm -f $(PDFS) $(TEXS)
diff --git a/doc/fr/Progman-jr/eyesj-progman-fr.tex.tmp b/doc/fr/Progman-jr/eyesj-progman-fr.tex.tmp
new file mode 100644
index 0000000..e69de29
diff --git a/doc/fr/Progman-jr/eyesj-progman.lyx b/doc/fr/Progman-jr/eyesj-progman.lyx
new file mode 100644
index 0000000..d93eabc
--- /dev/null
+++ b/doc/fr/Progman-jr/eyesj-progman.lyx
@@ -0,0 +1,4290 @@
+#LyX 2.0 created this file. For more info see http://www.lyx.org/
+\lyxformat 413
+\begin_document
+\begin_header
+\textclass report
+\use_default_options false
+\maintain_unincluded_children false
+\language french
+\language_package default
+\inputencoding auto
+\fontencoding global
+\font_roman default
+\font_sans default
+\font_typewriter default
+\font_default_family default
+\use_non_tex_fonts false
+\font_sc false
+\font_osf false
+\font_sf_scale 100
+\font_tt_scale 100
+
+\graphics default
+\default_output_format default
+\output_sync 0
+\bibtex_command default
+\index_command default
+\paperfontsize 12
+\spacing onehalf
+\use_hyperref false
+\papersize a4paper
+\use_geometry true
+\use_amsmath 1
+\use_esint 0
+\use_mhchem 1
+\use_mathdots 1
+\cite_engine basic
+\use_bibtopic false
+\use_indices false
+\paperorientation portrait
+\suppress_date false
+\use_refstyle 0
+\index Index
+\shortcut idx
+\color #008000
+\end_index
+\leftmargin 2cm
+\topmargin 2cm
+\rightmargin 2cm
+\bottommargin 2cm
+\secnumdepth 4
+\tocdepth 4
+\paragraph_separation indent
+\paragraph_indentation default
+\quotes_language french
+\papercolumns 1
+\papersides 2
+\paperpagestyle default
+\tracking_changes false
+\output_changes false
+\html_math_output 0
+\html_css_as_file 0
+\html_be_strict false
+\end_header
+
+\begin_body
+
+\begin_layout Standard
+\align center
+
+\size tiny
+.
+\size giant
+
+\begin_inset Newline newline
+\end_inset
+
+
+\begin_inset VSpace 1in
+\end_inset
+
+ExpEYES-Junior
+\end_layout
+
+\begin_layout Standard
+\align center
+
+\size giant
+Manuel du programmeur
+\end_layout
+
+\begin_layout Standard
+\begin_inset VSpace 1in
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+\align center
+
+\size larger
+Ajith Kumar B.P
+\end_layout
+
+\begin_layout Standard
+\align center
+
+\size larger
+Inter-University Accelerator Centre
+\end_layout
+
+\begin_layout Standard
+\align center
+
+\size larger
+New Delhi 110 067
+\end_layout
+
+\begin_layout Standard
+\begin_inset VSpace 1in
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+\begin_inset VSpace 1in
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+\align center
+Version 1.1 (26-Oct-2013) - traduction Georges Khaznadar
+\end_layout
+
+\begin_layout Standard
+\align center
+http://expeyes.in
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+\begin_inset CommandInset toc
+LatexCommand tableofcontents
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Chapter
+Introduction
+\end_layout
+
+\begin_layout Standard
+La conception d'expEYES est schématisée à la figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:expEYES-Junior-Top"
+
+\end_inset
+
+, à côté de la sérigraphie du boîtier et du marquage des connexions d'entrée/sor
+tie expliqué à la table 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "tab:Description-of-Input/Output"
+
+\end_inset
+
+.
+ Les fonctions pour piloter expEYES, comme mesurer une tension ou une fréquence,
+ régler une tension ou une fréquence, mesurer des intervalles de temps,
+ etc.
+ sont disponibles dans les langages Python et C.
+ L'analyse de données et les fonctions graphiques viennent dans deux modules
+ Python séparés.
+ Les programmes d'application sont développés à l'aide de ces modules.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/top-panel.png
+	lyxscale 30
+	width 5cm
+
+\end_inset
+
+ 
+\begin_inset Graphics
+	filename pics/eyesjun-block.png
+	lyxscale 50
+	width 6cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Sérigraphie du boîtier expEYES Junior et diagramme en blocs
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:expEYES-Junior-Top"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float table
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\begin_inset Tabular
+<lyxtabular version="3" rows="19" columns="3">
+<features tabularvalignment="middle">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Borne N°
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Nom
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Description
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+GND
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Masse (Ground)
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+2
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+IN1
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Entrée analogique/numérique 0 à 
+\begin_inset Formula $5\ V$
+\end_inset
+
+, source de courant
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+3
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+IN2
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Entrée analogique/numérique 0 à 
+\begin_inset Formula $5\ V$
+\end_inset
+
+, source de courant
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+4
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+SEN
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Entrée analogique/numérique 0 à 5V, polarisée avec 
+\begin_inset Formula $5k\Omega$
+\end_inset
+
+, pour capteurs résistifs
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+5
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+SQR1
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Sortie de signal carré de 
+\begin_inset Formula $0,7\ Hz$
+\end_inset
+
+ à 
+\begin_inset Formula $200\ kHz$
+\end_inset
+
+, résistance série 
+\begin_inset Formula $100\ \Omega$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+6
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+SQR2
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Sortie de signal carré de 
+\begin_inset Formula $0,7\ Hz$
+\end_inset
+
+ à 
+\begin_inset Formula $200\ kHz$
+\end_inset
+
+, sans résistance série
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+7
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+OD1
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Sortie numérique, sans résistance série
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+8
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+CCS
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Source de courant constant 
+\begin_inset Formula $1\ mA$
+\end_inset
+
+ avec contrôle ON/OFF
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+9
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+GND
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Masse (Ground)
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+10
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+GND
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Masse (Ground)
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+11
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+SINE
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Sortie sinusoïdale, 
+\begin_inset Formula $150\ Hz$
+\end_inset
+
+ environ, 
+\begin_inset Formula $4\ V$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+12
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+MIC
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Sortie du microphone, amplifiée 51 fois
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+13
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+IN
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Entrée de l'amplificateur inverseur, gain maximum 51
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+14
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+OUT
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Sortie de l'amplificateur (entrée en borne 13)
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+15
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+PVS
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Sortie de tension programmable de 0 à 
+\begin_inset Formula $5\ V$
+\end_inset
+
+
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+16
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+A2
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Entrée analogique 
+\begin_inset Formula $\pm5V$
+\end_inset
+
+ 
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+17
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+A1
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Entrée analogique 
+\begin_inset Formula $\pm5V$
+\end_inset
+
+ 
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+18
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+GND
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Masse (Ground)
+\end_layout
+
+\end_inset
+</cell>
+</row>
+</lyxtabular>
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Description des connexions d'entrée/sortie
+\begin_inset CommandInset label
+LatexCommand label
+name "tab:Description-of-Input/Output"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Le logiciel
+\end_layout
+
+\begin_layout Standard
+Voici les modules principaux du paquetage expEYES :
+\end_layout
+
+\begin_layout Itemize
+
+\family typewriter
+eyesj.py
+\family default
+ : communication avec le matériel
+\end_layout
+
+\begin_layout Itemize
+
+\family typewriter
+eyeplot.py
+\family default
+ : fonctions graphiques basées sur le module 
+\family typewriter
+Tkinter
+\end_layout
+
+\begin_layout Itemize
+
+\family typewriter
+eyemath.py
+\family default
+ : analyse de données utilisant les modules 
+\family typewriter
+numpy
+\family default
+ et 
+\family typewriter
+scipy
+\end_layout
+
+\begin_layout Itemize
+
+\family typewriter
+ejlib.c
+\family default
+ & 
+\family typewriter
+ejlib.h
+\family default
+ : bibliothèque C et fichier d'en-tête
+\end_layout
+
+\begin_layout Standard
+On peut les installer à l'aide des fichiers 
+\family typewriter
+.tgz
+\family default
+ ou des paquetages 
+\family typewriter
+.deb
+\family default
+ disponibles à 
+\begin_inset CommandInset href
+LatexCommand href
+target "http://expeyes.in"
+
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Chapter
+Communication avec le matériel
+\end_layout
+
+\begin_layout Standard
+Le module 
+\family typewriter
+expeyes.py
+\family default
+ contient toutes les fonctions nécessaires pour communiquer avec le matériel,
+ plus quelques fonctions utilitaires.
+ Les fonctions sont à l'intérieur d'une classe, et la fonction statique
+ 
+\family typewriter
+open()
+\family default
+ renvoie une instance de cette classe si un boîtier expEYES est détecté.
+ Ensuite, les appels de fonctions pour accéder à expEYES sont faits à l'aide
+ cette instance, comme le montre l'exemple ci-dessous.
+\end_layout
+
+\begin_layout LyX-Code
+\align block
+import expeyes.eyesj      # importe la bibliothèque eyes
+\end_layout
+
+\begin_layout LyX-Code
+\align block
+p = expeyes.eyesj.open()  # renvoie une instance si un boîtier est détecté
+\end_layout
+
+\begin_layout LyX-Code
+print p.get_voltage(1)    # affiche la tension à l'entrée A1
+\end_layout
+
+\begin_layout Standard
+Un programme d'exemple en langage C est donné ci-dessous.
+ Il convient de le compiler et de l'exécuter.
+\end_layout
+
+\begin_layout LyX-Code
+#include "ejlib.c"
+\end_layout
+
+\begin_layout LyX-Code
+int fd;
+\end_layout
+
+\begin_layout LyX-Code
+int main() 
+\end_layout
+
+\begin_layout LyX-Code
+   {
+\end_layout
+
+\begin_layout LyX-Code
+   byte ss[10]; 
+\end_layout
+
+\begin_layout LyX-Code
+   fd = open_eyesj(); 
+\end_layout
+
+\begin_layout LyX-Code
+   if(fd < 0) 
+\end_layout
+
+\begin_layout LyX-Code
+     {
+\end_layout
+
+\begin_layout LyX-Code
+     fprintf(stderr,"Échec à l'ouverture d'EYES
+\backslash
+n");
+\end_layout
+
+\begin_layout LyX-Code
+     exit(0);
+\end_layout
+
+\begin_layout LyX-Code
+     }
+\end_layout
+
+\begin_layout LyX-Code
+   if(get_version(ss) != 0) exit(1);
+\end_layout
+
+\begin_layout LyX-Code
+   printf(
+\begin_inset Quotes eld
+\end_inset
+
+%s
+\backslash
+n
+\begin_inset Quotes erd
+\end_inset
+
+,ss);
+\end_layout
+
+\begin_layout LyX-Code
+}
+\end_layout
+
+\begin_layout Standard
+En cas d'erreur, les fonctions Python renvoient 
+\family typewriter
+None
+\family default
+ (-1 dans le cas de mesures d'intervalles de temps).
+ En cas de succès la donnée est renvoyée.
+ Les fonctions C renvoient zéro en cas de succès, et un code d'erreur dans
+ le cas contraire.
+ La donnée est toujours renvoyée en utilisant l'adresse passée à la fonction
+ par le programme appelant.
+ En Python comme en C, on a donné les mêmes noms aux fonctions.
+ La différence principale est dans les résultats renvoyés.
+ En C, il faut passer une adresse pour ça.
+ La fonction ne renvoie que le statut de l'opération.
+ Quelques-unes des fonctions C sont mentionnées ci-dessous.
+ Le plus simple est d'examiner le fichier d'en-tête 
+\shape italic
+ejlib.h
+\shape default
+.
+\end_layout
+
+\begin_layout Standard
+Pour chaque fonction, les versions Python et C sont décrites, mais il n'y
+ a pas de code donné en exemple pour C.
+ Chaque fonction communique avec le programme qui tourne sur le micro-contrôleur
+ de la carte expEYES Junior.
+ Les fonctions de communication avec le matériel peuvent être regroupées
+ en gros en entrées analogiques, sorties analogiques, entrées numériques,
+ sorties numériques, mesures d'intervalles de temps, génération de signaux,
+ etc.
+ Pour tracer des graphiques à partit de données d'expEYES, le paquet 
+\family typewriter
+python-matplotlib
+\family default
+ est utilisé.
+\end_layout
+
+\begin_layout Standard
+Dans les sections suivantes on introduira les fonctionnalités d'expEYES
+ à l'aide d'exemples.
+ Il est OBLIGATOIRE que les tensions appliquées restent dans les limites
+ spécifiées.
+ 
+\emph on
+Un numéro de canal est assigné pour identifier chaque signal analogique/numériqu
+e.
+ Les appels de fonctions utilisent ce numéro pour y accéder.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float table
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Tabular
+<lyxtabular version="3" rows="14" columns="2">
+<features tabularvalignment="middle">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Canal N°
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Nom
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+0
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Sortie du comparateur analogique
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+A1
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+2
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+A2
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+3
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+IN1
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+4
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+IN2
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+5
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+SEN
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+6
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+retour de SQR1
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+7
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+retour de SQR2
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+8
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+sortie de SQR1
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+9
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+sortie de SQR2
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+10
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+sortie de OD1
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+11
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+contrôle de la sortie CCS
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+12
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+retour de PVS
+\end_layout
+
+\end_inset
+</cell>
+</row>
+</lyxtabular>
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Signaux et numéros de canal
+\begin_inset CommandInset label
+LatexCommand label
+name "tab:Signals-and-Channel"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Sortie analogique
+\end_layout
+
+\begin_layout Standard
+La source de tension programmable (Programmable Voltage Source, PVS) peut
+ être réglée à volonté entre 0 et 
+\begin_inset Formula $5\ V$
+\end_inset
+
+.
+ La résolution est de 12 bits, ce qui signifie des échelons de 5000/4096,
+ environ 
+\begin_inset Formula $1,25\ mV$
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Subsection
+set_voltage(V)
+\end_layout
+
+\begin_layout Standard
+Règle la tension de sortie à la borne PVS.
+ La valeur du paramètre de tension 
+\emph on
+V
+\emph default
+ doit être dans l'intervalle de 0 à 5.
+ La fonction renvoie la valeur réglée en réalité, en la lisant en retour
+ grâce à une entrée analogique (canal numéro 12).
+\end_layout
+
+\begin_layout LyX-Code
+print p.set_voltage(2.5)      # règle PVS à 2,5 V et affiche la valeur obtenue
+\end_layout
+
+\begin_layout Paragraph*
+fonction C :
+\end_layout
+
+\begin_layout Standard
+byte set_voltage(float v, float* vset); // vset reçoit le retour de PVS
+\end_layout
+
+\begin_layout Section
+Entrées numériques (IN1, IN2 et SEN)
+\end_layout
+
+\begin_layout Standard
+On peut les connecter extérieurement à 0 (GND) ou à 
+\begin_inset Formula $5\ V$
+\end_inset
+
+, pour fixer le niveau de tension à HAUT ou BAS.
+ Tout ce qui est inférieur à 
+\begin_inset Formula $1\ V$
+\end_inset
+
+ est considéré comme BAS ou 0.
+ Tout ce qui dépasse 
+\begin_inset Formula $2,5\ V$
+\end_inset
+
+ est considéré comme HAUT ou 1.
+ Ces bornes peuvent aussi être configurées comme entrées analogiques.
+\end_layout
+
+\begin_layout Subsection
+get_state(num_canal)
+\end_layout
+
+\begin_layout Standard
+Renvoie 0 ou 1, selon le niveau de tension à la borne d'entrée
+\end_layout
+
+\begin_layout LyX-Code
+print p.get_state(3)    # affiche le niveau logique de IN1.
+  IN2 = 4, SEN = 5
+\end_layout
+
+\begin_layout LyX-Code
+print p.get_state(0)    # Renvoie « 1 » si SEN > 1,25 V
+\end_layout
+
+\begin_layout Standard
+Le canal 0 représente la sortie du comparateur analogique.
+ L'entrée non-inverseuse de ce comparateur doit être connectée à SEN, l'entrée
+ inverseuse est connectée à 
+\begin_inset Formula $1,25\ V$
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Standard
+Une fonctionnalité puissante des entrées numériques est la possibilité de
+ mesurer des intervalles de temps entre des changements de niveaux avec
+ une résolution de l'ordre de la microseconde.
+ On en reparlera plus loin.
+\end_layout
+
+\begin_layout Paragraph*
+fonction C :
+\end_layout
+
+\begin_layout Standard
+byte get_state(byte pin, byte *st); // la variable st renvoie 0 ou 1.
+\end_layout
+
+\begin_layout Section
+Sortie numérique (OD1)
+\end_layout
+
+\begin_layout Standard
+On peut en régler le niveau de tension à HAUT ou BAS par programme.
+ Si on y connecte une DEL, utiliser une résistance série de 
+\begin_inset Formula $1\ k\Omega$
+\end_inset
+
+ pour limiter le courant.
+\end_layout
+
+\begin_layout Subsection
+set_state(num_canal, etat)
+\end_layout
+
+\begin_layout Standard
+Cette fonction règle le canal spécifié à l'état « 0 » ou « 1 ».
+\end_layout
+
+\begin_layout LyX-Code
+\align block
+p.set_state(10,1)    # Règle OD1 à HAUT.
+ Le numéro de canal pour OD1 est 10.
+\end_layout
+
+\begin_layout Standard
+Les sorties SQR1 (8) et SQR2 (9) peuvent aussi se comporter comme des sorties
+ numériques, pour autant qu'elles ne soient pas utilisées pour générer une
+ signal carré ou un signal PWM.
+\end_layout
+
+\begin_layout Paragraph*
+fonction C :
+\end_layout
+
+\begin_layout Standard
+byte set_state(byte pin, byte state); // la borne pin est réglée à 0 ou
+ 1, selon la valeur de state.
+\end_layout
+
+\begin_layout Section
+Entrées analogiques (A1,A2,IN1,IN2 & SEN)
+\end_layout
+
+\begin_layout Standard
+Les entrées analogiques A1 et A2 acceptent des tensions comprises entre
+ 
+\begin_inset Formula $-5\ V$
+\end_inset
+
+ et 
+\begin_inset Formula $+5\ V$
+\end_inset
+
+.
+ Les entrées IN1, IN2 et SEN acceptent des tensions dans l'intervalle 0
+ à 
+\begin_inset Formula $5\ V$
+\end_inset
+
+.
+ On peut lire la tension à chacune de ces entrées, par une lecture simple
+ ou par une lecture multiple en un seul appel de fonction, normalement pour
+ capturer un signal.
+ L'intervalle de temps entre lectures consécutives lors d'une capture peut
+ se régler à la microseconde près.
+\end_layout
+
+\begin_layout Subsection
+get_voltage(num_canal)
+\end_layout
+
+\begin_layout LyX-Code
+print p.get_voltage(1)  # tension en A1
+\end_layout
+
+\begin_layout LyX-Code
+print p.get_voltage(2)  # tension en A2
+\end_layout
+
+\begin_layout LyX-Code
+print p.get_voltage(3)  # tension en IN1
+\end_layout
+
+\begin_layout LyX-Code
+print p.get_voltage(4)  # tension en IN2
+\end_layout
+
+\begin_layout LyX-Code
+print p.get_voltage(5)  # tension en SEN
+\end_layout
+
+\begin_layout LyX-Code
+print p.get_voltage(6)  # tension à la sortie SQR1
+\end_layout
+
+\begin_layout LyX-Code
+print p.get_voltage(7)  # tension à la sortie SQR2
+\end_layout
+
+\begin_layout LyX-Code
+print p.get_voltage(12) # tension à la sortie PVS 
+\end_layout
+
+\begin_layout Standard
+Connecter PVS à A1 à l'aide d'un fil électrique et lancer le programme suivant
+ plusieurs fois.
+\end_layout
+
+\begin_layout LyX-Code
+\align block
+import expeyes.eyesj    
+\end_layout
+
+\begin_layout LyX-Code
+\align block
+p = expeyes.eyesj.open()
+\end_layout
+
+\begin_layout LyX-Code
+v = input('Entrer la tension (0 à 5)')
+\end_layout
+
+\begin_layout LyX-Code
+print p.set_voltage(v)   # affiche la tension affectée à PVS
+\end_layout
+
+\begin_layout LyX-Code
+\align block
+print p.get_voltage(1)   # tension en A1
+\end_layout
+
+\begin_layout Standard
+Si la tension est dans l'intervalle de 0 à 
+\begin_inset Formula $5\ V$
+\end_inset
+
+, utiliser IN1 ou IN2 pour de meilleurs résultats.
+ L'intervalle de tension 
+\begin_inset Formula $\pm5\ V$
+\end_inset
+
+ pour les entrées A1 & A2 est converti en un intervalle de tension de 0
+ à 
+\begin_inset Formula $5\ V$
+\end_inset
+
+ à l'aide d'un calcul analogique.
+ Les amplificateurs utilisés à cet effet souffrent de petites erreurs de
+ gain et de décalage.
+ De plus la résolution est divisée par deux quand l'intervalle de tension
+ est doublé.
+ L'entrée SEN possède une résistance de polarisation de 
+\begin_inset Formula $5\ k\Omega$
+\end_inset
+
+ connectée à 
+\begin_inset Formula $5\ V$
+\end_inset
+
+, pour y brancher des photo-transistors ou des capteurs résistifs.
+\end_layout
+
+\begin_layout Paragraph*
+fonction C :
+\end_layout
+
+\begin_layout Standard
+byte get_voltage(byte ch, float* v)
+\end_layout
+
+\begin_layout Subsection
+get_voltage_time(num_canal)
+\end_layout
+
+\begin_layout Standard
+Cette fonction renvoie un timbre à date, issu de l'horloge du PC, et la
+ tension dans un tuple.
+ Elle est utile pour les applications de suivi de tension.
+\end_layout
+
+\begin_layout Paragraph*
+fonction C :
+\end_layout
+
+\begin_layout Standard
+byte get_voltage(byte ch, int* t, float* v)
+\end_layout
+
+\begin_layout Subsection
+get_voltageNS(num_canal)
+\end_layout
+
+\begin_layout Standard
+La fonction get_voltage() mentionnée plus haut mesure le tension après avoir
+ placé le micro-contrôleur en mode SLEEP, pour une meilleure précision.
+ Ça a pour conséquence d'arrêter les signaux émis sur les sorties SQR1 et
+ SQR2.
+ Si on peut accepter ça pour une expérience particulière, on peut utiliser
+ cette fonction.
+\end_layout
+
+\begin_layout LyX-Code
+print p.get_voltageNS(1)  # tension en A1
+\end_layout
+
+\begin_layout Paragraph*
+fonction C :
+\end_layout
+
+\begin_layout Standard
+byte get_voltageNS(byte ch, float* v)
+\end_layout
+
+\begin_layout Subsection
+capture(ch, NP, tg)
+\end_layout
+
+\begin_layout Standard
+L'argument 
+\shape italic
+ch
+\shape default
+ est le numéro de canal de l'entrée, 
+\shape italic
+NP
+\shape default
+ est le nombre de mesures et 
+\shape italic
+tg
+\shape default
+ est la durée entre deux mesures en microseconde.
+ Deux listes sont renvoyées par cette fonction, qui contiennent le temps
+ (en 
+\begin_inset Formula $ms$
+\end_inset
+
+) et la tension (en 
+\begin_inset Formula $V$
+\end_inset
+
+).
+ Les appels à 
+\emph on
+capture
+\emph default
+ renvoient des données analogiques avec une résolution de 8 bit.
+ La valeur maximale de NP est 1800, limitée par la RAM du micro-contrôleur.
+\end_layout
+
+\begin_layout Standard
+La plus petite valeur de 
+\emph on
+tg
+\emph default
+ est 
+\begin_inset Formula $4\ \mu s$
+\end_inset
+
+.
+ Il faut choisir la valeur de 
+\emph on
+tg
+\emph default
+ selon le signal à capturer.
+ Par exemple, une période d'un signal sinusoïdal dure 
+\begin_inset Formula $1000\ \mu s$
+\end_inset
+
+.
+ Une valeur de 
+\emph on
+tg
+\emph default
+ de 20 donnera 50 points de donnée par période.
+\end_layout
+
+\begin_layout Standard
+Connecter SINE à A1 et lancer le programme suivant :
+\end_layout
+
+\begin_layout LyX-Code
+from pylab import *
+\end_layout
+
+\begin_layout LyX-Code
+\align block
+import expeyes.eyesj
+\end_layout
+
+\begin_layout LyX-Code
+\align block
+p = expeyes.eyesj.open()
+\end_layout
+
+\begin_layout LyX-Code
+t,v = p.capture(1,300,100)
+\end_layout
+
+\begin_layout LyX-Code
+plot(t,v)     # à l'aide de pylab
+\end_layout
+
+\begin_layout LyX-Code
+show()        # à l'aide de pylab
+\end_layout
+
+\begin_layout LyX-Code
+
+\end_layout
+
+\begin_layout LyX-Code
+\begin_inset Tabular
+<lyxtabular version="3" rows="8" columns="3">
+<features tabularvalignment="middle">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Borne
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+num_canal
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Intervalle (V)
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+A1
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+-5 à +5
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+A2
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+2
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+-5 à +5
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+IN1
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+3
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+0 à 5
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+IN2
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+4
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+0 à 5
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+SEN
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+5
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+0 à 5
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+SQR1(lecture)
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+6
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+0 à 5
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+SQR2(lecture)
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+7
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+0 à 5
+\end_layout
+
+\end_inset
+</cell>
+</row>
+</lyxtabular>
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+Si la tension à mesurer est dans l'intervalle de 0 à 
+\begin_inset Formula $5\ V$
+\end_inset
+
+, utiliser IN1 ou IN2 pour une meilleure résolution.
+ L'entrée SEN possède une résistance de polarisation de 
+\begin_inset Formula $5\ k\Omega$
+\end_inset
+
+ connectée à 
+\begin_inset Formula $5\ V$
+\end_inset
+
+.
+ On peut calculer la valeur d'une résistance connectée entre SEN et GND,
+ à partir de la tension mesurée, grâce à la loi d'Ohm.
+\end_layout
+
+\begin_layout Paragraph*
+fonction C :
+\end_layout
+
+\begin_layout Standard
+byte capture(int ch, int ns, int tg, float* data); 
+\end_layout
+
+\begin_layout Standard
+La variable 
+\emph on
+data
+\emph default
+ renvoie une table de 2*
+\emph on
+ns
+\emph default
+ éléments de type float, d'abord 
+\emph on
+ns
+\emph default
+ coordonnées de temps, puis 
+\emph on
+ns
+\emph default
+ coordonnées de tension.
+ C'est de la responsabilité du programme appelant d'allouer une table de
+ taille suffisante.
+ 
+\emph on
+capture_hr()
+\emph default
+ renvoie aussi les données dans ce même format.
+\end_layout
+
+\begin_layout Subsection
+capture2, capture3 & capture4
+\end_layout
+
+\begin_layout Standard
+Ces fonctions capturent plusieurs canaux en même temps, avec corrélation
+ temporelle.
+ La valeur maximale de 
+\emph on
+NP
+\emph default
+ pour 
+\emph on
+capture4
+\emph default
+ = 1800/4 = 450.
+ La plus petite valeur de 
+\emph on
+tg
+\emph default
+ est de 
+\begin_inset Formula $4\ \mu s$
+\end_inset
+
+ par canal, 
+\emph on
+capture4
+\emph default
+ aura donc une valeur minimale de 
+\emph on
+tg
+\emph default
+ égale à 16.
+\end_layout
+
+\begin_layout LyX-Code
+t1,v1,t2,v2 = capture2(ch1, ch2, NP, tg)
+\end_layout
+
+\begin_layout LyX-Code
+t1,v1,t2,v2 = capture2_hr(ch1, ch2, NP, tg)
+\end_layout
+
+\begin_layout LyX-Code
+t1,v1,t2,v2,t3,v3 = capture3(ch1, ch2, ch3, NP, tg)
+\end_layout
+
+\begin_layout LyX-Code
+t1,v1,t2,v2,t3,v3,t4,v4 = capture4(ch1, ch2, ch3, ch4, NP, tg)
+\end_layout
+
+\begin_layout Paragraph*
+fonction C :
+\end_layout
+
+\begin_layout Standard
+byte capture2(int ch1, int ch2, int ns, int tg, float* data); 
+\end_layout
+
+\begin_layout Standard
+La variable 
+\emph on
+data
+\emph default
+ renvoie une table de 2(2*
+\emph on
+ns
+\emph default
+) éléments de type float.
+ Les premiers (2*
+\emph on
+ns
+\emph default
+) sont le temps et la tension pour le canal 
+\emph on
+ch1
+\emph default
+ et les suivants (2*
+\emph on
+ns
+\emph default
+) pour le canal 
+\emph on
+ch2
+\emph default
+.
+ Les fonctions 
+\emph on
+capture3
+\emph default
+ et 
+\emph on
+capture4
+\emph default
+ renvoient les données d'une façon similaire.
+\end_layout
+
+\begin_layout Subsection
+capture_hr(ch, NP, tg), capture2_hr(ch1, ch2, NP, tg)
+\end_layout
+
+\begin_layout Standard
+Ces deux fonctions capturent les données avec un résolution supérieure (12
+ bit).
+ Dans ce cas chaque valeur occupe deux octets et la valeur maximale de 
+\emph on
+NP
+\emph default
+ est 900 pour 
+\emph on
+capture_hr
+\emph default
+, et 450 pour 
+\emph on
+capture2_ht
+\emph default
+.
+ Les versions en haute résolution ne sont PAS disponibles pour 
+\emph on
+capture3
+\emph default
+ et 
+\emph on
+capture4
+\emph default
+.
+\end_layout
+
+\begin_layout LyX-Code
+t1,v1 = capture_hr(ch1, 900, 10)
+\end_layout
+
+\begin_layout LyX-Code
+t1,v1,t2,v2 = capture2_hr(ch1, ch2, 450, 20)
+\end_layout
+
+\begin_layout LyX-Code
+plot(t1,v1, t2,v2)
+\end_layout
+
+\begin_layout LyX-Code
+show()
+\end_layout
+
+\begin_layout Standard
+On peut déterminer l'amplitude et la fréquence du signal d'entrée en réalisant
+ un « fit » mathématique des données capturées à l'aide de l'équation d'un
+ signal sinusoïdal 
+\begin_inset Formula $V=V_{0}\sin\left(2\pi ft+\theta\right)+C$
+\end_inset
+
+ .
+ En capturant 4 à 5 périodes, on peut aller jusqu'à obtenir la fréquences
+ avec une incertitude de 0,1%.
+\end_layout
+
+\begin_layout Section
+Modificateurs de capture
+\end_layout
+
+\begin_layout Standard
+Quand un signal périodique est capturé, le point de départ pourrait être
+ à n'importe quelle tension, entre les valeurs extrémales.
+ Pour implémenter une oscilloscope, il faut s'assurer que le point de départ
+ est prévisible, sans quoi la trace sera erratique.
+ Ceci est un exemple simple de modificateur de capture.
+ ExpEYES implémente plusieurs autres types de modificateurs de capture pour
+ augmenter les fonctionnalités des fonctions de capture.
+ L'idée de base est de réaliser une certaine action juste avant de démarrer
+ la capture du signal.
+ Les types importants de modificateurs (ou actions) sont :
+\end_layout
+
+\begin_layout Itemize
+Seuil de déclenchement (trigger) analogique sur n'importe quel canal d'entrée,
+ le seuil peut être choisi par l'utilisateur.
+\end_layout
+
+\begin_layout Itemize
+Attente d'état HAUT, BAS, de front descendant ou montant sur les entrées
+ IN1, IN2, SEN, SQR1 ou SQR2
+\end_layout
+
+\begin_layout Itemize
+Mettre à HAUT, BAS, ou envoyer une impulsion sur une des sorties numériques,
+ le plus souvent OD1.
+ SQR1 & SQR2 peuvent aussi être utilisées comme sorties numériques si leur
+ fréquence est réglée à zéro.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float table
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Tabular
+<lyxtabular version="3" rows="10" columns="3">
+<features tabularvalignment="middle">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Action
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Code
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Description
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+AANATRIG
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+0
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Seuil (trigger) pour une entrée analogique
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+ASET
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+1
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Force la sortie spécifiée à HAUT
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+ACLR
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+2
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Force la sortie spécifiée à BAS
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+APULSEHT
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+3
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Envoie une impulsion High True à une sortie 
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+APULSELT
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+4
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Envoie une impulsion Low True à une sortie
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+AWAITHI
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+5
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Attend un niveau HAUT sur l'entrée spécifiée
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell multicolumn="1" alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+AWAITLO
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+6
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Attend un niveau BAS sur l'entrée spécifiée
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+AWAITRISE
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+7
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Attend un front montant sur l'entrée spécifiée
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+AWAITFALL
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+8
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Attend un front descendant sur l'entrée spécifiée
+\end_layout
+
+\end_inset
+</cell>
+</row>
+</lyxtabular>
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Modificateurs de capture
+\begin_inset CommandInset label
+LatexCommand label
+name "tab:Capture-Modifiers"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+
+\emph on
+enable_action(<action>, <entrée/sortie spécifiée>)
+\emph default
+ est l'appel de fonction à utiliser pour enregistrer des actions.
+ L'appel de 
+\emph on
+disable_actions()
+\emph default
+ efface toutes les actions enregistrées et la capture revient à son fonctionneme
+nt par défaut (seuil analogique sur le canal capturé).
+ Par commodité, on a défini des fonctions supplémentaires qui appellent
+ en interne la fonction 
+\emph on
+enable_action()
+\emph default
+.
+\end_layout
+
+\begin_layout Subsection
+set_trigger(trigval)
+\end_layout
+
+\begin_layout Standard
+Règle le niveau du seuil (trigger) analogique, pour la fonction de capture.
+ Si la valeur spécifiée de tension n'est pas trouvée à l'entrée durant la
+ période d'attente, la capture est faite en ignorant la condition de seuil.
+\end_layout
+
+\begin_layout LyX-Code
+p.set_trigger(2048)   # 0 à 4095 est l'intervalle analogique
+\end_layout
+
+\begin_layout Subsection
+set_trigsource(num_canal)
+\end_layout
+
+\begin_layout Standard
+La source d'entrée à utiliser pour le déclenchement par un seuil analogique.
+ Ce n'est pas forcément l'entrée qui est capturée.
+ Le code donné en exemple ci-dessous démontre l'effet de cette fonction.
+ Connecter SINE à A1 avant de lancer le programme.
+\end_layout
+
+\begin_layout LyX-Code
+from pylab import *
+\end_layout
+
+\begin_layout LyX-Code
+\align block
+import expeyes.eyesj
+\end_layout
+
+\begin_layout LyX-Code
+\align block
+p = expeyes.eyesj.open()
+\end_layout
+
+\begin_layout LyX-Code
+ts = 1      # relancer le programme après avoir remplacé ça par 2
+\end_layout
+
+\begin_layout LyX-Code
+p.set_trig_source(ts)
+\end_layout
+
+\begin_layout LyX-Code
+t,v = p.capture(1,300,50)
+\end_layout
+
+\begin_layout LyX-Code
+plot(t,v)
+\end_layout
+
+\begin_layout LyX-Code
+t,v = p.capture(1,300,50)
+\end_layout
+
+\begin_layout LyX-Code
+plot(t,v)
+\end_layout
+
+\begin_layout LyX-Code
+show()
+\end_layout
+
+\begin_layout Standard
+Les traces ne se recouvriront pas si la source retenue pour le seuil est
+ un autre canal quelconque, s'il n'y a pas de corrélation temporelle entre
+ les deux entrées.
+\end_layout
+
+\begin_layout Paragraph*
+fonction C :
+\end_layout
+
+\begin_layout Standard
+byte set_trig_source(byte ch);
+\end_layout
+
+\begin_layout Subsection
+enable_wait_high(num_canal), ..._low(...), ..._falling(...), ..._rising(...)
+\end_layout
+
+\begin_layout Standard
+Quand on appelle cette fonction, tous les appels suivants de capture provoquent
+ l'attente d'un état HAUT/BAS ou d'un front montant/descendant avant le
+ début de la numérisation.
+\end_layout
+
+\begin_layout LyX-Code
+p.enable_action(1, 11)    # Démarrer CCS avant la capture
+\end_layout
+
+\begin_layout LyX-Code
+p.enable_wait_rising(3)   # Attendre un front montant sur IN1
+\end_layout
+
+\begin_layout LyX-Code
+p.disable_actions()       # effacer tous les modificateurs
+\end_layout
+
+\begin_layout Paragraph*
+fonction C :
+\end_layout
+
+\begin_layout Standard
+byte enable_wait_high(byte ch);
+\end_layout
+
+\begin_layout Subsection
+enable_set_high(num_canal), ..._low(...), ..._pulse_high(...), ..._low(...)
+\end_layout
+
+\begin_layout Standard
+Dans certaines applications, il peut être nécessaire de forcer une sortie
+ numérique à l'état HAUT ou BAS, ou émettre une impulsion, de largeur réglée
+ par une autre fonction, avant que la numérisation commence.
+ Capturer la tension aux bornes d'un condensateur durant sa charge / sa
+ décharge est une application typique de cette fonctionnalité.
+ Connecter un condensateur de 
+\begin_inset Formula $1\ \mu F$
+\end_inset
+
+ entre A1 et GND.
+ Connecter une résistance de 
+\begin_inset Formula $1\ k\Omega$
+\end_inset
+
+ entre OD1 et A1 puis lancer le programme suivant :
+\end_layout
+
+\begin_layout LyX-Code
+from pylab import *
+\end_layout
+
+\begin_layout LyX-Code
+\align block
+import expeyes.eyesj
+\end_layout
+
+\begin_layout LyX-Code
+\align block
+p = expeyes.eyesj.open()
+\end_layout
+
+\begin_layout LyX-Code
+p.set_state(10,1)       # Force OD1 à HAUT
+\end_layout
+
+\begin_layout LyX-Code
+p.enable_set_low(10)    # OD1 deviendra BAS avant la capture
+\end_layout
+
+\begin_layout LyX-Code
+t,v = p.capture(1,200,20)
+\end_layout
+
+\begin_layout LyX-Code
+plot(t,v)
+\end_layout
+
+\begin_layout LyX-Code
+show()
+\end_layout
+
+\begin_layout Paragraph*
+fonction C :
+\end_layout
+
+\begin_layout Standard
+byte enable_set_high(byte ch);
+\end_layout
+
+\begin_layout Subsection
+set_pulsewidth(duree)
+\end_layout
+
+\begin_layout Standard
+Règle la 
+\emph on
+duree
+\emph default
+ de l'impulsion qui est envoyée sur la sortie numérique avant la capture,
+ en microseconde, jusqu'à 250.
+\end_layout
+
+\begin_layout LyX-Code
+p.set_pulsewidth(100)  # règle la durée d'impulsion
+\end_layout
+
+\begin_layout Paragraph*
+fonction C :
+\end_layout
+
+\begin_layout Standard
+byte set_pulsewidth(u16 width);
+\end_layout
+
+\begin_layout Section
+Génération de signal
+\end_layout
+
+\begin_layout Standard
+ExpEYES peut générer des signaux sur SQE1 et SQR2.
+ La fréquence peut varier de 
+\begin_inset Formula $0,7\ Hz$
+\end_inset
+
+ à 
+\begin_inset Formula $100\ kHz$
+\end_inset
+
+.
+ Toutes les valeurs intermédiaires ne sont PAS possibles comme le signal
+ de sortie est généré par des temporisateurs et des comparateurs.
+ La fonction renvoie la valeur effectivement réglée, au plus près de la
+ valeur demandée.
+ La sortie SQR1 a une résistance série de 
+\begin_inset Formula $100\ \Omega$
+\end_inset
+
+ pour limiter le courant, mais SQR2 est connecté directement à la borne.
+\end_layout
+
+\begin_layout Subsection
+set_sqr1(freq), set_sqr2(freq)
+\end_layout
+
+\begin_layout Standard
+Génère un signal carré, de rapport cyclique 50 %, sur SQR1/SQR2.
+ La sortie SQR1 a une résistance série de 
+\begin_inset Formula $100\ \Omega$
+\end_inset
+
+.
+ Régler 
+\emph on
+freq
+\emph default
+ = 0 force la sortie à HAUT et régler 
+\emph on
+freq
+\emph default
+ = -1 la force à BAS.
+ Dans ces deux cas, le temporisateur/compteur est désactivé et la sortie
+ est configurée comme une sortie numérique ordinaire.
+\end_layout
+
+\begin_layout LyX-Code
+\align block
+import expeyes.eyesj    
+\end_layout
+
+\begin_layout LyX-Code
+\align block
+p = expeyes.eyesj.open()
+\end_layout
+
+\begin_layout LyX-Code
+\align block
+print p.set_sqr1(1000) 
+\end_layout
+
+\begin_layout Paragraph*
+fonction C :
+\end_layout
+
+\begin_layout Standard
+byte set_sqr1(float freq, float *fset);
+\end_layout
+
+\begin_layout Standard
+La valeur désirée est passée par 
+\emph on
+freq
+\emph default
+, et après l'appel 
+\emph on
+fset
+\emph default
+ contiendra la valeur réelle du réglage de fréquence.
+\end_layout
+
+\begin_layout Subsection
+set_sqrs(freq, <déphasage en pourcentage>)
+\end_layout
+
+\begin_layout Standard
+Génère des signaux carrés de même fréquence sur SQR1 et SQR2.
+ Le déphasage entre les deux signaux peut être réglé en pourcentage de la
+ période.
+\end_layout
+
+\begin_layout LyX-Code
+\align block
+p.set_sqrs(1000,50)    # Deux signaux en opposition de phase
+\end_layout
+
+\begin_layout Paragraph*
+fonction C :
+\end_layout
+
+\begin_layout Standard
+byte set_sqrs(float freq, float diff, float *fset);
+\end_layout
+
+\begin_layout Subsection
+set_sqr1_pwm(rc [, idx]), set_sqr2_pwm(rc[, idx])
+\end_layout
+
+\begin_layout Standard
+SQR1 et SQR2 peuvent être configurés pour faire un signal carré modulé en
+ largeur d'impulsion (Pulse Width Modulation, PWM).
+ Le rapport cyclique est spécifié en pourcentage.
+ La fréquence est 
+\begin_inset Formula $488\ Hz$
+\end_inset
+
+ par défaut, parce que le second argument est réglé à 14 par défaut.
+ C'est l'index du bit du compteur qui est utilisé pour piloter le PWM.
+ En spécifiant le deuxième argument, on peut changer la fréquence.
+ Le diminuer de 1 double la fréquence et l'augmenter de 1 divise la fréquence
+ par deux.
+\end_layout
+
+\begin_layout LyX-Code
+\align block
+print p.set_sqr1_pwm(20)      # 488Hz, rapport cyclique 20%
+\end_layout
+
+\begin_layout LyX-Code
+\align block
+print p.set_sqr1_pwm(50, 15)  # 244Hz, rapport cyclique 50%
+\end_layout
+
+\begin_layout LyX-Code
+\align block
+print p.set_sqr1_pwm(50, 13)  # 976Hz, rapport cyclique 50%
+\end_layout
+
+\begin_layout Paragraph*
+fonction C :
+\end_layout
+
+\begin_layout Standard
+byte set_sqr1_pwm(byte dc);
+\end_layout
+
+\begin_layout Subsection
+set_sqr1_dc(voltage), set_sqr2_dc(voltage)
+\end_layout
+
+\begin_layout Standard
+SQR1 et SQR2 peuvent être configurés pour générer une tension continue,
+ grâce à un filtrage externe, depuis un signal PWM.
+ La tension, entre 0 et 
+\begin_inset Formula $5\ V$
+\end_inset
+
+, est spécifiée dans l'argument.
+\end_layout
+
+\begin_layout LyX-Code
+\align block
+print p.set_sqr1_dc(2)   # 7.8 kHz, rapport cyclique 40%
+\end_layout
+
+\begin_layout Standard
+\align block
+Un filtrage du signal produira une tension continue.
+ Connecter 
+\begin_inset Formula $10\ k\Omega$
+\end_inset
+
+ entre SQR1 et IN1 et 
+\begin_inset Formula $100\ \mu F$
+\end_inset
+
+ entre IN1 et GND.
+\end_layout
+
+\begin_layout LyX-Code
+print p.get_voltage(3)   # tension en IN1
+\end_layout
+
+\begin_layout Standard
+La tension de sortie dépend de la tension d'alimentation fournie par le
+ câble USB.
+ Régler cette tension à 
+\begin_inset Formula $3\ V$
+\end_inset
+
+ signifie en fait 60 % de la tension d'alimentation.
+ La relecture par IN1 permet de connaître la valeur correcte.
+\end_layout
+
+\begin_layout Paragraph*
+fonction C :
+\end_layout
+
+\begin_layout Standard
+byte set_sqr1_dc(float volt)
+\end_layout
+
+\begin_layout Subsection
+get_frequency(pin)
+\end_layout
+
+\begin_layout Standard
+Mesure la fréquence d'un signal carré entre 0 et 
+\begin_inset Formula $5\ V$
+\end_inset
+
+ connecté à IN1, IN2 ou SEN.
+ On peut aussi mesurer la fréquence des sorties SQR1 et SQR2 en utilisant
+ les canaux de numéros 6 et 7 respectivement.
+ Connecter SQR1 à IN1 et lancer le programme suivant :
+\end_layout
+
+\begin_layout LyX-Code
+import expeyes.eyesj    
+\end_layout
+
+\begin_layout LyX-Code
+\align block
+p = expeyes.eyesj.open()
+\end_layout
+
+\begin_layout LyX-Code
+p.set_sqr1(1000)
+\end_layout
+
+\begin_layout LyX-Code
+print p.get_frequency(3)      # fréquence du signal carré en IN1
+\end_layout
+
+\begin_layout LyX-Code
+print p.get_frequency(6)      # fréquence en SQR1, identique à l'autre
+\end_layout
+
+\begin_layout Paragraph*
+fonction C :
+\end_layout
+
+\begin_layout Standard
+byte get_frequency(byte pin, float *fr)
+\end_layout
+
+\begin_layout Section
+Transmission infrarouge
+\end_layout
+
+\begin_layout Standard
+La sortie SQR1 supporte deux protocoles de transmission infrarouge à 
+\begin_inset Formula $38\ kHz$
+\end_inset
+
+.
+ L'un d'entre eux est une transmission non-standard à 1 octet, qui peut
+ être reçu par un autre programme fonctionnant sur un micro-contrôleur ATmega32.
+ On peut l'utiliser pour contrôler un appareil depuis expEYES Junior.
+\end_layout
+
+\begin_layout Subsection
+irsend1(octet)
+\end_layout
+
+\begin_layout Standard
+Envoie l'
+\emph on
+octet
+\emph default
+ par SQR1.
+ Connecter simplement une DEL IR entre SQR1 et GND et lancer la commande.
+\end_layout
+
+\begin_layout Standard
+Pour signifier un 
+\emph on
+start
+\emph default
+, le signal normalement à 
+\begin_inset Formula $38\ kHz$
+\end_inset
+
+ est bloqué à l'état HAUT durant 
+\begin_inset Formula $9000\ \mu s$
+\end_inset
+
+, puis à l'état BAS durant 
+\begin_inset Formula $440\ \mu s$
+\end_inset
+
+.
+ Ensuite, c'est un état HAUT durant 
+\begin_inset Formula $680\ \mu s$
+\end_inset
+
+ suivi d'un état BAS durant 
+\begin_inset Formula $1560\ \mu s$
+\end_inset
+
+ pour transmettre un 1, sinon un état BAS durant 
+\begin_inset Formula $440\ \mu s$
+\end_inset
+
+ pour transmettre un 0.
+ Ce processus se répète 8 fois, en commençant par le bit le plus significatif
+ (Most Significant Bit, MSB) à transmettre.
+ La séquence se termine par une impulsion longue de 
+\begin_inset Formula $340\ \mu s$
+\end_inset
+
+ pour signifier un 
+\emph on
+end
+\emph default
+.
+ Voici ce que reçoit un programme
+\begin_inset Foot
+status collapsed
+
+\begin_layout Plain Layout
+http://expeyes.in/sites/default/files/debs/recv.c
+\end_layout
+
+\end_inset
+
+ disponible sur le site web.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename schematics/ir-code.png
+	height 1.5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection
+irsend4(byte, byte, byte, byte)
+\end_layout
+
+\begin_layout Standard
+Les séquences 
+\emph on
+start
+\emph default
+ et 
+\emph on
+end
+\emph default
+ sont identiques à 
+\emph on
+irsend1()
+\emph default
+ mais au lieu d'un octet, quatre octets sont envoyés lors d'une seule transmissi
+on.
+ En choisissant les nombres correctement, on peut télécommander des TV ou
+ d'autres appareils ainsi.
+\end_layout
+
+\begin_layout Section
+Mesures passives d'intervalle de temps
+\end_layout
+
+\begin_layout Standard
+Les entrées numériques peuvent être utilisées pour mesurer des intervalles
+ de temps entre des transitions d'un niveau à l'autre avec une résolution
+ temporelle de l'ordre de la microseconde.
+ Les transitions qui définissent le début et la fin peuvent être sur la
+ même borne ou sur des bornes différentes.
+\end_layout
+
+\begin_layout Subsection
+r2ftime(pin1, pin2) , f2rtime(pin1, pin2)
+\end_layout
+
+\begin_layout Standard
+
+\emph on
+r2ftime
+\emph default
+ renvoie le délai en microseconde entre un front montant sur la borne 
+\emph on
+pin1
+\emph default
+ et un front descendant sur la borne 
+\emph on
+pin2
+\emph default
+, les numéros de canal correspondants étant donnés comme arguments.
+ Les bornes peuvent être identiques ou distinctes.
+ De la même façon, 
+\emph on
+f2rtime()
+\emph default
+ mesure le délai entre un front descendant et un front ascendant.
+\end_layout
+
+\begin_layout Standard
+Connecter SQR1 à IN1 lancer le programme suivant, qui devrait afficher environ
+ 
+\begin_inset Formula $500\ \mu s$
+\end_inset
+
+.
+\end_layout
+
+\begin_layout LyX-Code
+import expeyes.eyesj    
+\end_layout
+
+\begin_layout LyX-Code
+\align block
+p = expeyes.eyesj.open()
+\end_layout
+
+\begin_layout LyX-Code
+p.set_sqr1(1000)        # 1kHz, T=1 ms.
+ demi-période = 500 µs.
+\end_layout
+
+\begin_layout LyX-Code
+print p.r2ftime(3,3)
+\end_layout
+
+\begin_layout Paragraph*
+fonction C :
+\end_layout
+
+\begin_layout Standard
+byte r2ftime(byte pin1, byte pin2, float *ti)
+\end_layout
+
+\begin_layout Subsection
+r2rtime(pin1, pin2), f2ftime(pin1, pin2)
+\end_layout
+
+\begin_layout Standard
+
+\emph on
+r2rtime
+\emph default
+ renvoie le délai en microseconde entre un front montant et un autre front
+ montant.
+ Les bornes ne doivent PAS être identiques.
+ Le programme qui suit illustre comme utiliser ça pour mesurer un délai
+ entre deux transitions.
+\end_layout
+
+\begin_layout LyX-Code
+import expeyes.eyesj    
+\end_layout
+
+\begin_layout LyX-Code
+\align block
+p = expeyes.eyesj.open()
+\end_layout
+
+\begin_layout LyX-Code
+p.set_sqrs(1000, 25)      # 1000Hz sur SQR1 & SQR2.
+ Délai de 25%, soit 250 µs
+\end_layout
+
+\begin_layout LyX-Code
+print p.r2rtime(6,7)      # Les canaux 6 & 7 sont les retours de SQR1 et
+ SQR2
+\end_layout
+
+\begin_layout Subsection
+multi_r2rtime(num_canal, a_laisser)
+\end_layout
+
+\begin_layout Standard
+Mesure l'intervalle de temps entre deux fronts montants d'un signal appliqué
+ à une entrée numérique.
+ Le deuxième argument est le nombre de fronts montants à laisser passer
+ entre les deux fronts montants pris en compte.
+ De cette façon on peut décider du nombre de périodes à mesurer.
+\end_layout
+
+\begin_layout Standard
+Connecter SQR1 à IN1 et lancer le programme suivant :
+\end_layout
+
+\begin_layout LyX-Code
+import expeyes.eyesj    
+\end_layout
+
+\begin_layout LyX-Code
+\align block
+p = expeyes.eyesj.open()
+\end_layout
+
+\begin_layout LyX-Code
+p.set_sqr1(1000)
+\end_layout
+
+\begin_layout LyX-Code
+a = p.multi_r2rtime(3)   # durée pour 1 période, en µs
+\end_layout
+
+\begin_layout LyX-Code
+b = p.multi_r2rtime(3,9) # durée pour 10 périodes, en µs
+\end_layout
+
+\begin_layout LyX-Code
+print 10.0e6/a           # fréquence en Hz
+\end_layout
+
+\begin_layout LyX-Code
+
+\end_layout
+
+\begin_layout Standard
+Pour un signal d'entrée périodique 
+\emph on
+multi_r2rtime
+\emph default
+ renvoie le temps pour dix périodes (9 fronts montants intermédiaires sont
+ laissés de côté).
+ On peut utiliser ça pour des mesures de fréquence.
+ On peut augmenter la précision en mesurant un nombre de périodes plus grand.
+ 
+\end_layout
+
+\begin_layout Paragraph*
+fonction C :
+\end_layout
+
+\begin_layout Standard
+byte multi_r2rtime(byte pin, byte skip, float *ti)
+\end_layout
+
+\begin_layout Section
+Mesures d'intervalles de temps actives
+\end_layout
+
+\begin_layout Standard
+Pendant certaines expériences, on veut initier une action et mesurer l'intervall
+e de temps jusqu'au résultat de cette action.
+ Ces fonctions sont utiles dans des expériences comme la détermination de
+ la gravité par temps de vol et la mesure de la vitesse du son à l'aide
+ de disques pézoélectriques ultrasonores.
+\end_layout
+
+\begin_layout Subsubsection
+set2rtime (<sortie numérique>, <entrée numérique>)
+\end_layout
+
+\begin_layout Standard
+Ça force la sortie numérique spécifiée à HAUT et attend l'arrivée d'un état
+ HAUT sur l'entrée numérique.
+ Connectez une résistance de 
+\begin_inset Formula $1\ k\Omega$
+\end_inset
+
+ de OD1 à IN1 et un condensateur de 
+\begin_inset Formula $1\ \mu F$
+\end_inset
+
+ de IN1 à GND.
+\end_layout
+
+\begin_layout Quotation
+\align left
+p.set2rtime(10, 3)
+\end_layout
+
+\begin_layout Subsubsection
+htpulse2rtime(<sortie numérique>, <entrée numérique>)
+\end_layout
+
+\begin_layout LyX-Code
+int htpulse2rtime(out, in)
+\end_layout
+
+\begin_layout Standard
+Envoie une impulsion High True sur 
+\emph on
+out
+\emph default
+ (SQR1, SQR2 ou OD1) et attend un front montant/descendant sur 
+\emph on
+in
+\emph default
+ (IN1, IN2 ou SEN).
+ La durée de l'impulsion est réglée par 
+\emph on
+set_pulsewidth()
+\emph default
+.
+ À l'allumage cette durée est de 
+\begin_inset Formula $13\ \mu s$
+\end_inset
+
+.
+ Le niveau logique initial de 
+\emph on
+out
+\emph default
+ devrait être réglé selon la catégorie d'impulsion.
+\end_layout
+
+\begin_layout Standard
+De même, il existe 
+\emph on
+htpulse2ftime()
+\emph default
+, 
+\emph on
+ltpulse2rtime()
+\emph default
+ et 
+\emph on
+ltpulse2ftime()
+\emph default
+.
+\end_layout
+
+\begin_layout LyX-Code
+p.set_pulse_width(1)
+\end_layout
+
+\begin_layout LyX-Code
+print p.htpulse2rtime(10, 3) 
+\end_layout
+
+\begin_layout Standard
+mesure l'intervalle de temps depuis une impulsion High True de 
+\begin_inset Formula $1\ \mu s$
+\end_inset
+
+ jusqu'au front montant sur IN1.
+\end_layout
+
+\begin_layout Subsubsection
+set_pulse_width(duree)
+\end_layout
+
+\begin_layout Standard
+Règle la largeur de l'impulsion, en microseconde, à utiliser avec les fonctions
+ 
+\emph on
+htpulse2rtime()
+\emph default
+, 
+\emph on
+htpulse2ftime()
+\emph default
+, 
+\emph on
+ltpulse2rtime()
+\emph default
+, 
+\emph on
+ltpulse2ftime()
+\emph default
+.
+\end_layout
+
+\begin_layout LyX-Code
+p.set_pulse_width(10)
+\end_layout
+
+\begin_layout Section
+Source de courant de 1mA
+\end_layout
+
+\begin_layout Standard
+La source de courant de 
+\begin_inset Formula $1\ mA$
+\end_inset
+
+ peut être mise dans l'état ON ou OFF par le canal de numéro 11, comme montré
+ ci-dessous :
+\end_layout
+
+\begin_layout LyX-Code
+p.set_state(11, 1)    # met CCS dans l'état ON (allumé)
+\end_layout
+
+\begin_layout Standard
+On peut tracer le graphique de la charge linéaire d'un condensateur de 
+\begin_inset Formula $1\ \mu F$
+\end_inset
+
+ en le connectant entre CSS et GND, et en lançant le programme suivant.
+ Connecter aussi CSS à IN1 pour mesurer la tension.
+\end_layout
+
+\begin_layout LyX-Code
+from pylab import * 
+\end_layout
+
+\begin_layout LyX-Code
+import expeyes.eyesj, time 
+\end_layout
+
+\begin_layout LyX-Code
+p = expeyes.eyesj.open()
+\end_layout
+
+\begin_layout LyX-Code
+p.set_state(11,0)      # force CCS à l'état OFF (éteint)
+\end_layout
+
+\begin_layout LyX-Code
+time.sleep(1)          # attend la décharge complète du condensateur
+\end_layout
+
+\begin_layout LyX-Code
+p.enable_set_high(11)  # met en route CSS juste avant la capture
+\end_layout
+
+\begin_layout LyX-Code
+t1,v1= p.capture_hr(3,500,10) 
+\end_layout
+
+\begin_layout LyX-Code
+plot(t1,v1) 
+\end_layout
+
+\begin_layout LyX-Code
+show()
+\end_layout
+
+\begin_layout Section
+Mesures de capacité
+\end_layout
+
+\begin_layout Standard
+La borne IN1 peut être utilisée pour mesurer la capacité d'un condensateur,
+ dans un intervalle de cent à plusieurs millier de picofarad.
+ C'est fait en utilisant une source de courant constant interne programmable.
+\end_layout
+
+\begin_layout Subsection
+measure_cap()
+\end_layout
+
+\begin_layout Standard
+Connecter le condensateur entre IN1 et GND et lancer la fonction 
+\emph on
+measure_cap()
+\emph default
+.
+\end_layout
+
+\begin_layout LyX-Code
+import expeyes.eyesj
+\end_layout
+
+\begin_layout LyX-Code
+p = expeyes.eyesj.open()
+\end_layout
+
+\begin_layout LyX-Code
+print p.measure_cap()
+\end_layout
+
+\begin_layout Standard
+La capacité est mesurée en chargeant le condensateur à l'aide d'une source
+ de courant constant de 
+\begin_inset Formula $5,5\ \mu A$
+\end_inset
+
+ pendant une durée fixe.
+ La charge totale est donnée par 
+\begin_inset Formula $Q=It=CU$
+\end_inset
+
+.
+ Si U,I et t dont connus, C peut être calculé.
+ La valeur de la source de courant peut être légèrement différente de 
+\begin_inset Formula $5,5\ \mu A$
+\end_inset
+
+, et la borne nue, avec ses connexions, possède aussi un peu de capacité
+ propre.
+ On tient compte de ces erreurs en faisant une calibration à l'aide d'un
+ condensateur de capacité connue.
+ Les facteurs d'erreur sont enregistrés dans la mémoire EEPROM du micro-contrôle
+ur.
+\end_layout
+
+\begin_layout Subsection
+measure_cv(num_canal, duree, courant)
+\end_layout
+
+\begin_layout Standard
+C'est une version plus souple de 
+\emph on
+measure_cap
+\emph default
+, qui autorise à ajuster la source de courant branchée à IN1 ou IN2.
+ La source de courant est activée pour 
+\emph on
+duree
+\emph default
+ microseconde.
+ Le dernier argument peut être au choix 
+\begin_inset Formula $0,55;\ 5,5;\ 55;\ 550\ (\mu A)$
+\end_inset
+
+.
+ Cette fonction renvoie la tension à l'entrée sélectionnée après avoir appliqué
+ le courant pendant la durée spécifiée.
+\end_layout
+
+\begin_layout Standard
+Selon la valeur du condensateur branché, on doit sélectionner la durée et
+ le courant de telle façon que la tension développée soit comprise entre
+ 2 et 
+\begin_inset Formula $4\ V$
+\end_inset
+
+, pour de bons résultats.
+ Connecter un condensateur de 
+\begin_inset Formula $330\ pF$
+\end_inset
+
+ entre IN1 et GND puis lancer le programme suivant :
+\end_layout
+
+\begin_layout LyX-Code
+import expeyes.eyesj
+\end_layout
+
+\begin_layout LyX-Code
+p = expeyes.eyesj.open()
+\end_layout
+
+\begin_layout LyX-Code
+print p.measure_cv(3, 200, 5.5)  # on a trouvé 3,017 V
+\end_layout
+
+\begin_layout Standard
+La capacité peut être calculée à l'aide de l'expression 
+\begin_inset Formula $Q=CU$
+\end_inset
+
+ et 
+\begin_inset Formula $Q=I\times t$
+\end_inset
+
+.
+ 
+\end_layout
+
+\begin_layout Standard
+\begin_inset Formula 
+\[
+C=\frac{I\times t}{U}=\frac{5,5\times10^{-6}\times200\times10^{-6}}{3,017}=364\times10^{-12}=364\ pF
+\]
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+Quand on soustrait la capacité à vide (
+\begin_inset Formula $32\ pF$
+\end_inset
+
+), il reste 
+\begin_inset Formula $332\ pF$
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Subsection
+set_current(num_canal, courant)
+\end_layout
+
+\begin_layout Standard
+Cette fonction active la source de courant interne sur IN1 ou IN2.
+ Cette source de courant constant peut être utilisée pour pour mesurer le
+ courant avec un autre appareil.
+ Le retour de tension ne permet pas cette mesure directement.
+ En utilisant un ampèremètre connecté entre IN1 et GND, on peut trouver
+ par exemple des valeurs de courant de 
+\begin_inset Formula $5,5\ \mu A$
+\end_inset
+
+, 
+\begin_inset Formula $47\ \mu A$
+\end_inset
+
+ et 
+\begin_inset Formula $470\ \mu A$
+\end_inset
+
+, soit un peu moins que la spécification, dans les valeurs élevées.
+\end_layout
+
+\begin_layout Section
+Mesures de résistance
+\end_layout
+
+\begin_layout Standard
+L'entrée SEN est connectée en interne à 
+\begin_inset Formula $5\ V$
+\end_inset
+
+ à travers une résistance de 
+\begin_inset Formula $5100\ \Omega$
+\end_inset
+
+.
+ On peut calculer la valeur d'une résistance connectée entre SEN et GND
+ en utilisant la loi d'Ohm.
+ Cependant, la valeur de la résistance interne peut être légèrement différente
+ de 
+\begin_inset Formula $5100\ \Omega$
+\end_inset
+
+ à cause de la tolérance des composants.
+\end_layout
+
+\begin_layout Subsection
+measure_res()
+\end_layout
+
+\begin_layout Standard
+L'entrée SEN est connectée en interne à 
+\begin_inset Formula $5\ V$
+\end_inset
+
+ à travers une résistance de 
+\begin_inset Formula $5100\ \Omega$
+\end_inset
+
+.
+ Si on connecte une résistance externe entre SEN et GND on réalise un diviseur
+ de tension.
+ On peut calculer la valeur d'une résistance connectée entre SEN et GND
+ en utilisant la loi d'Ohm.
+\end_layout
+
+\begin_layout Standard
+Cette fonction renvoie la valeur d'une résistance connectée entre SEN et
+ GND, à l'aide de l'équation :
+\end_layout
+
+\begin_layout Standard
+\begin_inset Formula $R_{ext}=R_{int}*U{}_{SEN}/(5.0-U_{SEN})$
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Écriture sur le disque
+\end_layout
+
+\begin_layout Subsection
+save_data
+\end_layout
+
+\begin_layout Standard
+Les données mesurées peuvent être mises sous la forme [ [x1,y1], [x2,y2],....]
+ où x et y sont des vecteurs, pour les enregistrer dans un fichier texte.
+\end_layout
+
+\begin_layout Standard
+Cette fonction enregistre les données renvoyées par les fonctions de capture
+ dans un fichier texte.
+ Le nom de fichier par défaut est `plot.dat', on peut le modifier en utilisant
+ un deuxième argument.
+ Connecter SINE à A1 et lancer le programme suivant :
+\end_layout
+
+\begin_layout LyX-Code
+import expeyes.eyesj    
+\end_layout
+
+\begin_layout LyX-Code
+\align block
+p = expeyes.eyesj.open()
+\end_layout
+
+\begin_layout LyX-Code
+t,v = p.capture(1, 200, 100)
+\end_layout
+
+\begin_layout LyX-Code
+p.save([[t,v]], 'sine.dat')
+\end_layout
+
+\begin_layout Standard
+ouvrir le fichier en utilisant la commande
+\end_layout
+
+\begin_layout Standard
+
+\family typewriter
+$ xmgrace sine.dat
+\end_layout
+
+\begin_layout Chapter
+Traitement des données
+\end_layout
+
+\begin_layout Standard
+Les données acquises à l'aide d'expEYES sont analysées en utilisant diverses
+ techniques mathématiques comme l'ajustement de modèle par la méthode des
+ moindres carrés (
+\begin_inset Quotes fld
+\end_inset
+
+fit
+\begin_inset Quotes frd
+\end_inset
+
+), la transformation de Fourier, etc.
+ Le module nommé 
+\family typewriter
+eyemath.py
+\family default
+ le fait à l'aide de fonctions du paquet 
+\family typewriter
+scipy
+\family default
+.
+ La plupart des fonctions acceptent les formats de données renvoyés par
+ les fonctions de capture.
+\end_layout
+
+\begin_layout Subsection
+fit_sine
+\end_layout
+
+\begin_layout Standard
+Modèle sinusoïdal.
+\end_layout
+
+\begin_layout Standard
+Accepte deux vecteurs [x] et [y] et essaie de réaliser une modélisation
+ des données avec l'équation 
+\begin_inset Formula $A\sin\left(2\pi ft+\theta\right)+C$
+\end_inset
+
+, en ajustant par la méthode des moindres carrés.
+ Renvoie les données modélisées et la liste de paramètres
+\begin_inset Formula $[A,f,\theta,C]$
+\end_inset
+
+.
+ Connecter SINE à A1 lancer le programme suivant :
+\end_layout
+
+\begin_layout LyX-Code
+from pylab import *
+\end_layout
+
+\begin_layout LyX-Code
+import expeyes.eyesj, expeyes.eyemath as em
+\end_layout
+
+\begin_layout LyX-Code
+\align block
+p = expeyes.eyesj.open()
+\end_layout
+
+\begin_layout LyX-Code
+t,v= p.capture(1,400,100)
+\end_layout
+
+\begin_layout LyX-Code
+vfit, par = em.fit_sine(t,v)
+\end_layout
+
+\begin_layout LyX-Code
+print par        # 
+\begin_inset Formula $A,f,\theta,C$
+\end_inset
+
+
+\end_layout
+
+\begin_layout LyX-Code
+plot(t,v)        # les données brutes
+\end_layout
+
+\begin_layout LyX-Code
+plot(t,vfit)     # les données modélisées
+\end_layout
+
+\begin_layout LyX-Code
+show()
+\end_layout
+
+\begin_layout Standard
+la fréquence par[1] est en kHz, comme le temps est donné en milliseconde.
+\end_layout
+
+\begin_layout Subsection
+fit_dsine
+\end_layout
+
+\begin_layout Standard
+Modèle sinusoïdal amorti.
+\end_layout
+
+\begin_layout Standard
+Accepte deux vecteurs [x] et [y] et essaie de modéliser les données par
+ l'équation 
+\begin_inset Formula $A=A_{0}\sin\left(2\pi ft+\theta\right)\times exp(-dt)+C$
+\end_inset
+
+, en ajustant par la méthode des moindres carrés.
+ Renvoie les données modélisées et la liste de paramètres
+\begin_inset Formula $[A,f,\theta,C,d]$
+\end_inset
+
+.
+ 
+\family typewriter
+par[1]
+\family default
+ est la fréquence en kHz, comme le temps est donné en milliseconde, et 
+\family typewriter
+d
+\family default
+ est le facteur d'amortissement.
+\end_layout
+
+\begin_layout Subsection
+fit_exp
+\end_layout
+
+\begin_layout Standard
+Modèle exponentiel.
+\end_layout
+
+\begin_layout Standard
+Accepte deux vecteurs [x] et [y] et essaie de modéliser les données par
+ l'équation 
+\begin_inset Formula $A=A_{0}\exp\left(kt\right)+C$
+\end_inset
+
+, en ajustant par la méthode des moindres carrés.
+ Renvoie les données modélisées et la liste de paramètres 
+\begin_inset Formula $[A,k,C]$
+\end_inset
+
+.
+ Connecter un condensateur de 
+\begin_inset Formula $1\ \mu F$
+\end_inset
+
+ entre A1 et GND, une résistance de 
+\begin_inset Formula $1\ k\Omega$
+\end_inset
+
+ entre OD1 et A1 et lancer le programme suivant :
+\end_layout
+
+\begin_layout LyX-Code
+from pylab import *
+\end_layout
+
+\begin_layout LyX-Code
+\align block
+import expeyes.eyesj, expeyes.eyemath as em
+\end_layout
+
+\begin_layout LyX-Code
+\align block
+p = expeyes.eyesj.open()
+\end_layout
+
+\begin_layout LyX-Code
+p.set_state(10,1)           # Force OD1 à HAUT
+\end_layout
+
+\begin_layout LyX-Code
+p.enable_set_low(1)         # OD1 deviendra BAS avant capture
+\end_layout
+
+\begin_layout LyX-Code
+t,v = p.capture(1,200,20)
+\end_layout
+
+\begin_layout LyX-Code
+plot(t,v)
+\end_layout
+
+\begin_layout LyX-Code
+vfit, par = em.fit_exp(t,v)
+\end_layout
+
+\begin_layout LyX-Code
+print par
+\end_layout
+
+\begin_layout LyX-Code
+plot(t,v)                   # les données brutes
+\end_layout
+
+\begin_layout LyX-Code
+plot(t,vfit)                # les données modélisées
+\end_layout
+
+\begin_layout LyX-Code
+show()
+\end_layout
+
+\begin_layout Standard
+
+\family typewriter
+\begin_inset Formula $\frac{-1}{par[1]}$
+\end_inset
+
+
+\family default
+ est la constante de temps 
+\begin_inset Formula $RC$
+\end_inset
+
+ en seconde.
+\end_layout
+
+\begin_layout Subsubsection
+fft
+\end_layout
+
+\begin_layout Standard
+Réalise une transformée de Fourier sur un ensemble de données.
+ L'intervalle d'échantillonage en milliseconde est le deuxième argument.
+ Renvoie le spectre de fréquence, c'est à dire l'intensité relative de chaque
+ composante fréquentielle.
+ Connecter SINE à A1 et lancer le programme suivant :
+\end_layout
+
+\begin_layout LyX-Code
+from pylab import *
+\end_layout
+
+\begin_layout LyX-Code
+import expeyes.eyesj, expeyes.eyemath as em
+\end_layout
+
+\begin_layout LyX-Code
+ns = 1000                    # nombre de points à capturer
+\end_layout
+
+\begin_layout LyX-Code
+tg = 100                     # durée entre échantillons en µs
+\end_layout
+
+\begin_layout LyX-Code
+\align block
+p = expeyes.eyesj.open()
+\end_layout
+
+\begin_layout LyX-Code
+t,v= p.capture(1, ns, tg)
+\end_layout
+
+\begin_layout LyX-Code
+x,y = em.fft(v, tg * 0.001)  # tg en ms
+\end_layout
+
+\begin_layout LyX-Code
+plot(t,v)                    # Donnée brutes
+\end_layout
+
+\begin_layout LyX-Code
+plot(x,y)                    # spectre de fréquence
+\end_layout
+
+\begin_layout LyX-Code
+show()
+\end_layout
+
+\begin_layout Standard
+Le spectre de fréquence devrait avoir un pic à la fréquence 
+\begin_inset Formula $f\simeq150\ Hz$
+\end_inset
+
+.
+ Un petit pic à la fréquence double peut être visible.
+\end_layout
+
+\begin_layout Standard
+Modifiez le montage pour montrer le spectre de fréquence d'un signal carré.
+\end_layout
+
+\begin_layout Chapter
+Expériences
+\end_layout
+
+\begin_layout Standard
+La plupart des expériences décrites dans le manuel de l'utilisateur peuvent
+ être faites en écrivant quelques lignes de code Python.
+\end_layout
+
+\begin_layout Section
+Réponse transitoire d'un circuit LC
+\end_layout
+
+\begin_layout Standard
+Connecter un solénoïde entre OD1 et A1, un condensateur entre A1 et GND.
+\end_layout
+
+\begin_layout LyX-Code
+NP = 200                      # nombre d'échantillons
+\end_layout
+
+\begin_layout LyX-Code
+tg = 10                       # délai entre ceux-ci, faire en sorte que
+ NP*tg soit proche de 3*RC
+\end_layout
+
+\begin_layout LyX-Code
+from pylab import *
+\end_layout
+
+\begin_layout LyX-Code
+import expeyes.eyesj, expeyes.eyemath as em
+\end_layout
+
+\begin_layout LyX-Code
+p = expeyes.eyesj.open()
+\end_layout
+
+\begin_layout LyX-Code
+p.set_state(10,1)
+\end_layout
+
+\begin_layout LyX-Code
+p.enable_set_low(10)           # OD1 deviendra BAS avant capture
+\end_layout
+
+\begin_layout LyX-Code
+t,v = p.capture_hr(1,NP,tg)    # choisir NP*tg selon la constante de temps
+ RC
+\end_layout
+
+\begin_layout LyX-Code
+plot(t,v)
+\end_layout
+
+\begin_layout LyX-Code
+vf, par = em.fit_exp(t,v)      # modèle exponentiel
+\end_layout
+
+\begin_layout LyX-Code
+plot(t, vf,'r')
+\end_layout
+
+\begin_layout LyX-Code
+print abs(1./par[1])           # affiche la valeur de RC
+\end_layout
+
+\begin_layout LyX-Code
+show()
+\end_layout
+
+\begin_layout LyX-Code
+
+\end_layout
+
+\end_body
+\end_document
diff --git a/doc/fr/Progman-jr/eyesjun-block.odp b/doc/fr/Progman-jr/eyesjun-block.odp
new file mode 100644
index 0000000..3c352e7
Binary files /dev/null and b/doc/fr/Progman-jr/eyesjun-block.odp differ
diff --git a/doc/fr/Progman-jr/pics/eyesjun-block.png b/doc/fr/Progman-jr/pics/eyesjun-block.png
new file mode 100644
index 0000000..eb5f5e3
Binary files /dev/null and b/doc/fr/Progman-jr/pics/eyesjun-block.png differ
diff --git a/doc/fr/Progman-jr/pics/top-panel.png b/doc/fr/Progman-jr/pics/top-panel.png
new file mode 100644
index 0000000..4b1883d
Binary files /dev/null and b/doc/fr/Progman-jr/pics/top-panel.png differ
diff --git a/doc/fr/Progman-jr/schematics/ir-code.png b/doc/fr/Progman-jr/schematics/ir-code.png
new file mode 100644
index 0000000..710ceec
Binary files /dev/null and b/doc/fr/Progman-jr/schematics/ir-code.png differ
diff --git a/doc/fr/Progman-jr/schematics/ir-code.ps b/doc/fr/Progman-jr/schematics/ir-code.ps
new file mode 100644
index 0000000..a818afa
--- /dev/null
+++ b/doc/fr/Progman-jr/schematics/ir-code.ps
@@ -0,0 +1,213 @@
+%!PS-Adobe-3.0 EPSF-3.0
+%%Title: ir-code.ps
+%%Creator: XCircuit v3.7 rev26
+%%CreationDate: Fri Aug 10 11:41:21 2012
+%%Pages: 1
+%%BoundingBox: 68 68 460 179
+%%DocumentNeededResources: font Helvetica 
+%%EndComments
+%%BeginProlog
+%
+%  PostScript prolog for output from xcircuit
+%  Version: 3.7
+%
+%  Electrical circuit (and otherwise general) drawing program
+%
+%  Written by Tim Edwards 8/5/93--11/1/10  (tim.edwards at multigig.com)
+%  The Johns Hopkins University (1993-2004)
+%  MultiGiG, Inc. (2004-present)
+%
+%%BeginResource: procset XCIRCproc 3.7 2
+%
+% supporting definitions --- these are the primary xcircuit types.
+
+/XCIRCsave save def
+/topmat matrix currentmatrix def
+
+/fontslant { /slant exch def [1 0 slant 1 0 0] 
+    exch findfont exch makefont dup length dict /ndict exch def
+    { 1 index /FID ne { ndict 3 1 roll put } { pop pop } ifelse } forall
+    ndict definefont pop} def
+/ul { dup type /stringtype eq showflag 1 eq and { gsave 
+   currentpoint topmat setmatrix 0 0 moveto 2 index stringwidth pop (_)
+   false charpath flattenpath pathbbox grestore exch pop 1 index
+   sub setlinewidth exch pop currentpoint 3 -1 roll add moveto 0
+   rlineto stroke moveto } if } def
+/ol { dup type /stringtype eq showflag 1 eq and { gsave gsave
+   currentpoint topmat setmatrix 2 index stringwidth pop 3 index
+   true charpath flattenpath pathbbox grestore exch pop
+   exch pop topmat setmatrix (_) true charpath pathbbox grestore
+   exch pop 1 index sub setlinewidth exch pop currentpoint
+   exch 4 1 roll exch sub add moveto pop 0 rlineto stroke
+   moveto } if } def
+/stW { gsave currentpoint newpath moveto true charpath flattenpath
+	pathbbox pop exch pop sub grestore } def
+/Ts {mark Tabs aload pop counttomark 1 add array astore /Tabs exch def Tabs
+	0 currentpoint pop put} def
+/Tbn {mark Tabs aload pop counttomark dup 2 add 1 roll cleartomark 1 sub} def
+/Tb { 0 1 Tbn {Tabs exch get dup currentpoint pop lt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/Tf { Tbn -1 0 {Tabs exch get dup currentpoint pop gt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/qS { (aa) stW (a a) stW sub 4 div 0 Kn } def
+/hS { qS qS } def
+/pspc 0 def
+/cf0 { scalefont setfont } bind def
+/Kn { dup kY add /kY exch def rmoveto } bind def
+/ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.33 mul neg Kn} def
+/Ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.67 mul Kn } def
+/ns { 0 kY neg Kn /kY 0 def /fscale 1.0 def xfont0 1.0 cf0 } def
+/CR { ns 0 /Bline Bline fscale0 neg add def Bline moveto } def
+/cf { dup type /realtype ne {1.0} if exch findfont exch kY 0 eq
+	{ 40 mul dup /fscale0 exch def cf0 /xfont0 currentfont def}
+	{fscale0 mul fscale mul cf0} ifelse } def
+/ctmk { counttomark dup 2 add -1 roll pop } bind def
+/label { gsave translate 0 0 moveto dup scale neg /rotval exch def
+	/just exch def just 384 and 0 gt {/mshow {pop} def} {/mshow {show}
+	def} ifelse just 16 and 0 gt {gsave rotval rotate 0 1 dtransform
+	gsave pagemat setmatrix idtransform exch grestore 1 0 dtransform
+	gsave pagemat setmatrix idtransform exch grestore dup abs 1e-9 lt
+	{pop mul 0 gt} {3 1 roll pop pop 0 lt} ifelse grestore {-1 /rotval
+	rotval neg def /just just dup 3 and 1 ne {3 xor} if def} {1} ifelse
+	exch -1e-9 lt {-1 /rotval rotval neg def /just just dup 12 and
+	4 ne {12 xor} if def} {1} ifelse scale } if /showflag 0 def
+	/fspc pspc def /Bline 0 def /Tabs 0 array def /fscale 1.0 def
+	/kY 0 def gsave dup 1 add copy 0 exch 1 0 dtransform exch atan rotate
+	{exch dup type /stringtype eq {true charpath flattenpath} {dup type
+	/arraytype eq {exec} {12 string cvs true charpath flattenpath} ifelse}
+	ifelse} repeat pop pathbbox grestore 3 -1 roll pop 3 1 roll just
+	1 and 0 gt {just 2 and 0 gt {exch pop neg fspc sub} {exch sub 0.5
+	mul neg} ifelse} {pop neg fspc add} ifelse exch Bline exch just 4
+	and 0 gt {just 8 and 0 gt {exch pop neg fspc sub} {add 0.5 mul neg}
+	ifelse} {pop neg fspc add} ifelse rotval rotate Kn currentpoint
+	translate /showflag 1 def /Bline 0 def /Tabs 0 array def /fscale
+	1.0 def /kY 0 def {dup type /stringtype eq {mshow} {dup type
+	/arraytype eq {exec} {12 string cvs mshow} ifelse} ifelse} repeat
+	grestore endclip} def
+/pinlabel { 4 index 32 and 0 ne hlevel 0 eq or { /pspc 10 def label
+	/pspc 0 def } { pop pop pop pop pop {pop} repeat } ifelse } def
+/pinglobal { pinlabel } def
+/infolabel { pinlabel } def
+/graphic { gsave 4 index cvx exec /DataSource get resetfile translate 0 0
+	moveto neg rotate dup scale cvx exec image grestore endclip} def
+
+/scb { setrgbcolor } bind def  /sce { defColor aload pop scb } bind def
+/cRedef {/defColor currentcolor 3 array astore def} def
+/begingate {dup type /dicttype ne {1 dict} if begin	% default params
+	dup type /dicttype ne {1 dict} if begin		% instanced params
+	/hlevel hlevel 1 add def /defColor currentcolor sce 3 array astore
+	def gsave sce translate 0 0 moveto neg rotate dup type /nametype
+	eq not { dup abs currentlinewidth exch div setlinewidth } { pop }
+	ifelse dup abs scale clipped 1 and 1 eq {/clipped clipped 1 add def}
+	if} bind def
+/endgate { /hlevel hlevel 1 sub def grestore defColor aload pop cRedef
+	scb end end endclip} bind def
+
+/hlevel 0 def
+/endclip {clipped 1 and 1 eq {grestore /clipped clipped 1 sub def} if} def
+/tmpa [1 0 0 1 0 0] def
+/gar {8 8 true tmpa {<c0 c0 00 00 0c 0c 00 00>} imagemask} bind
+{8 8 true tmpa {<30 70 60 02 03 07 06 20>} imagemask} bind
+{8 8 true tmpa {<0c 1e 1e 0c c0 e1 e1 c0>} imagemask} bind
+{8 8 true tmpa {<0f 0f 0f 0f f0 f0 f0 f0>} imagemask} bind
+{8 8 true tmpa {<3f f3 e1 e1 f3 3f 1e 1e>} imagemask} bind
+{8 8 true tmpa {<df cf 8f 9f fd fc f8 f9>} imagemask} bind
+{8 8 true tmpa {<ff 3f 3f ff ff f3 f3 ff>} imagemask} bind 7 array astore def
+/ppaint { gsave clip tmpa dup setmatrix pathbbox neg exch neg 4 2 roll
+  neg 4 -1 roll 2 copy gt {exch} if 8 div ceiling 8 mul 4 2 roll neg 2 copy
+  gt {exch} if 8 div ceiling 8 mul 3 -1 roll -8 5 -1 roll
+  { 3 index exch 5 exch put dup -8 3 index { 3 index
+  exch 4 exch put 3 index exec } for } for pop pop pop pop grestore } bind def
+/setstyles {
+  currentlinewidth mul setlinewidth /style exch def style 2048 and 0 gt
+  clipped 1 and 0 eq and {clip newpath /clipped clipped 1 add def} {
+  style 1 and 0 gt not {closepath} if
+  style 1024 and 0 gt {2 setlinecap} if
+  style 2 and 0 gt {currentlinewidth 4 mul dup 2 array astore 0 setdash} if
+  style 4 and 0 gt {0.5 currentlinewidth 4 mul 2 array astore 0 setdash} if
+  gsave style 16 and 0 gt { style 224 and -5 bitshift style 256 and 0 gt {
+  7 exch sub 8 div dup 1 exch sub currentrgbcolor 3 array astore
+  {3 copy mul add 4 1 roll pop} forall pop pop setrgbcolor eofill}
+  {dup 7 lt {gar exch get ppaint} {pop eofill} ifelse} ifelse}
+  {style 256 and 0 gt {1 setgray eofill} if} ifelse grestore style 8 and 0 gt 
+  style 512 eq or {newpath} {stroke} ifelse grestore endclip} ifelse} def     
+
+/addtoy {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll add
+	4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtoy1 {1 exch addtoy pop} def
+/addtoy3 {3 exch addtoy pop} def
+/addtoy4 {4 exch addtoy pop} def
+/addtox {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll 3 -1 roll
+	add exch 4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtox1 {1 exch addtox pop} def
+/addtox3 {3 exch addtox pop} def
+/addtox4 {4 exch addtox pop} def
+/polygon { gsave /num exch def moveto num 1 sub {lineto} repeat setstyles } def
+/xcarc   { gsave newpath arc setstyles } def
+/elb { matrix currentmatrix 7 -1 roll 7 -1 roll translate 5 1 roll 4 -1 roll
+	3 index div 1 scale } def
+/ele { 0 4 1 roll 0 4 1 roll } bind def
+/ellipse { gsave elb newpath ele arc setmatrix setstyles } def
+/pellip  { elb ele arc setmatrix } def
+/nellip  { elb ele arcn setmatrix } def
+/spline  { gsave moveto curveto setstyles } def
+/polyc   { {lineto} repeat } bind def
+/beginpath { gsave moveto } bind def
+/endpath { setstyles } bind def
+/bop	 { 1 setlinecap 0 setlinejoin 6 setmiterlimit 0 0 0 scb cRedef
+	/clipped 0 def} def
+/psinsertion {/PSobj save def /showpage {} def /setpagedevice {pop} def bop
+	rotate translate dup scale} def
+/end_insert {PSobj restore} def
+/setpagemat {/pagemat matrix currentmatrix def} def
+/inchscale  {setpagemat 0.375 mul dup scale} def
+/cmscale    {setpagemat 0.35433071 mul dup scale} def
+
+%%EndResource
+%%EndProlog
+
+% XCircuit output starts here.
+
+%%BeginSetup
+
+
+%%EndSetup
+
+%%Page: ir-code 1
+%%PageOrientation: Portrait
+/pgsave save def bop
+1.0000 inchscale
+2.6000 setlinewidth 1168 224 translate
+
+1 1.000 -976 0 -960 0 -960 160 -672 160 -672 0 5 polygon
+1 1.000 -672 0 -528 0 2 polygon
+1 1.000 -528 0 -528 160 2 polygon
+1 1.000 -528 160 -496 160 2 polygon
+(Start Detect) {/Helvetica cf} 2 16 0 1.000 -944 64 label
+(9000 usec) {/Helvetica cf} 2 16 0 1.000 -912 16 label
+{/Helvetica cf} 1 16 0 1.000 -640 32 label
+(4400) {/Helvetica cf} 2 16 0 1.000 -640 48 label
+(0) {/Helvetica cf} 2 16 0 1.000 -416 -32 label
+(1) {/Helvetica cf} 2 16 0 1.000 -336 -32 label
+(1) {/Helvetica cf} 2 16 0 1.000 -464 -32 label
+(680) {/Helvetica cf} 2 16 270 1.000 -496 176 label
+(1560) {/Helvetica cf} 2 16 270 1.000 -464 16 label
+(440) {/Helvetica cf} 2 16 270 1.000 -400 160 label
+(0) {/Helvetica cf} 2 16 0 1.000 -208 -32 label
+(0) {/Helvetica cf} 2 16 0 1.000 -160 -32 label
+(1) {/Helvetica cf} 2 16 0 1.000 -256 -32 label
+(1) {/Helvetica cf} 2 16 0 1.000 -80 -32 label
+(1) {/Helvetica cf} 2 16 0 1.000 0 -32 label
+1 1.000 -496 160 -496 0 -448 0 -448 160 -416 160 -416 0 -400 0 -400 160 -368 
+160 -368 0 -320 0 -320 160 -288 160 -288 0 -240 0 -240 160 -208 160 -208 0 -192 
+0 -192 160 -160 160 -160 0 -144 0 -144 160 -112 160 -112 0 -64 0 -64 160 28 
+polygon
+1 1.000 -64 160 -32 160 -32 0 16 0 16 160 5 polygon
+1 1.000 16 160 32 160 32 0 48 0 4 polygon
+pgsave restore showpage
+
+%%Trailer
+XCIRCsave restore
+%%EOF
diff --git a/doc/fr/Progman-jr/schematics/res-measure.png b/doc/fr/Progman-jr/schematics/res-measure.png
new file mode 100644
index 0000000..2fc3bbd
Binary files /dev/null and b/doc/fr/Progman-jr/schematics/res-measure.png differ
diff --git a/doc/fr/Progman-jr/schematics/rlc-tran.png b/doc/fr/Progman-jr/schematics/rlc-tran.png
new file mode 100644
index 0000000..ad8c0cb
Binary files /dev/null and b/doc/fr/Progman-jr/schematics/rlc-tran.png differ
diff --git a/expeyes-progman-jr-doc b/expeyes-progman-jr-doc
new file mode 100644
index 0000000..8ad23ca
--- /dev/null
+++ b/expeyes-progman-jr-doc
@@ -0,0 +1,24 @@
+#! /usr/bin/python
+
+import sys, os, os.path
+from subprocess import call
+
+lang     = os.environ.get('LANG')
+language = os.environ.get('LANGUAGE')
+lc_all   = os.environ.get('LC_ALL')
+
+preferred='en'
+if language and language[0:2] != preferred:
+    preferred=language[0:2]
+elif lang and lang[0:2] != preferred:
+    preferred=lang[0:2]
+elif lc_all and lc_all[0:2] != preferred:
+    preferred=lc_all[0:2]
+if len(sys.argv)>1:
+    preferred=sys.argv[1]
+
+pdfTail='eyesj-progman.pdf'
+filename=os.path.join('/usr/share/expeyes/doc', preferred+'-'+pdfTail)
+if not os.path.exists(filename):
+    filename=os.path.join('/usr/share/expeyes/doc', 'en-'+pdfTail)
+call('evince '+filename, shell=True)
diff --git a/expeyes-progman-jr-doc.xml b/expeyes-progman-jr-doc.xml
new file mode 100644
index 0000000..dfb4c59
--- /dev/null
+++ b/expeyes-progman-jr-doc.xml
@@ -0,0 +1,165 @@
+<?xml version='1.0' encoding='UTF-8'?>
+<!DOCTYPE refentry PUBLIC "-//OASIS//DTD DocBook XML V4.5//EN"
+"http://www.oasis-open.org/docbook/xml/4.5/docbookx.dtd" [
+
+<!--
+
+`xsltproc -''-nonet \
+          -''-param man.charmap.use.subset "0" \
+          -''-param make.year.ranges "1" \
+          -''-param make.single.year.ranges "1" \
+          /usr/share/xml/docbook/stylesheet/docbook-xsl/manpages/docbook.xsl \
+          manpage.xml'
+
+A manual page <package>.<section> will be generated. You may view the
+manual page with: nroff -man <package>.<section> | less'. A typical entry
+in a Makefile or Makefile.am is:
+
+DB2MAN = /usr/share/sgml/docbook/stylesheet/xsl/docbook-xsl/manpages/docbook.xsl
+XP     = xsltproc -''-nonet -''-param man.charmap.use.subset "0"
+
+manpage.1: manpage.xml
+        $(XP) $(DB2MAN) $<
+
+The xsltproc binary is found in the xsltproc package. The XSL files are in
+docbook-xsl. A description of the parameters you can use can be found in the
+docbook-xsl-doc-* packages. Please remember that if you create the nroff
+version in one of the debian/rules file targets (such as build), you will need
+to include xsltproc and docbook-xsl in your Build-Depends control field.
+Alternatively use the xmlto command/package. That will also automatically
+pull in xsltproc and docbook-xsl.
+
+Notes for using docbook2x: docbook2x-man does not automatically create the
+AUTHOR(S) and COPYRIGHT sections. In this case, please add them manually as
+<refsect1> ... </refsect1>.
+
+To disable the automatic creation of the AUTHOR(S) and COPYRIGHT sections
+read /usr/share/doc/docbook-xsl/doc/manpages/authors.html. This file can be
+found in the docbook-xsl-doc-html package.
+
+Validation can be done using: `xmllint -''-noout -''-valid manpage.xml`
+
+General documentation about man-pages and man-page-formatting:
+man(1), man(7), http://www.tldp.org/HOWTO/Man-Page/
+
+-->
+
+  <!-- Fill in your name for FIRSTNAME and SURNAME. -->
+  <!ENTITY dhfirstname "Georges">
+  <!ENTITY dhsurname   "Khaznadar">
+  <!-- dhusername could also be set to "&dhfirstname; &dhsurname;". -->
+  <!ENTITY dhusername  "Georges Khaznadar">
+  <!ENTITY dhemail     "georgesk at ofset.org">
+  <!-- SECTION should be 1-8, maybe w/ subsection other parameters are
+       allowed: see man(7), man(1) and
+       http://www.tldp.org/HOWTO/Man-Page/q2.html. -->
+  <!ENTITY dhsection   "1">
+  <!-- TITLE should be something like "User commands" or similar (see
+       http://www.tldp.org/HOWTO/Man-Page/q2.html). -->
+  <!ENTITY dhtitle     "expEYES Jr Programmer's Manual">
+  <!ENTITY dhucpackage "EXPEYES-PROGMAN-JR-DOC">
+  <!ENTITY dhpackage   "expeyes-progman-jr-doc">
+]>
+
+<refentry>
+  <refentryinfo>
+    <title>&dhtitle;</title>
+    <productname>&dhpackage;</productname>
+    <authorgroup>
+      <author>
+       <firstname>&dhfirstname;</firstname>
+        <surname>&dhsurname;</surname>
+        <contrib>Wrote this manpage for the Debian system.</contrib>
+        <address>
+          <email>&dhemail;</email>
+        </address>
+      </author>
+    </authorgroup>
+    <copyright>
+      <year>2013</year>
+      <holder>&dhusername;</holder>
+    </copyright>
+    <legalnotice>
+      <para>This manual page was written for the Debian system
+        (and may be used by others).</para>
+      <para>Permission is granted to copy, distribute and/or modify this
+        document under the terms of the GNU General Public License,
+        Version 2 or (at your option) any later version published by
+        the Free Software Foundation.</para>
+      <para>On Debian systems, the complete text of the GNU General Public
+        License can be found in
+        <filename>/usr/share/common-licenses/GPL</filename>.</para>
+    </legalnotice>
+  </refentryinfo>
+  <refmeta>
+    <refentrytitle>&dhucpackage;</refentrytitle>
+    <manvolnum>&dhsection;</manvolnum>
+  </refmeta>
+  <refnamediv>
+    <refname>&dhpackage;</refname>
+    <refpurpose>program to display the user manual of expeyes and
+    expeyes-junior.</refpurpose>
+  </refnamediv>
+  <refsynopsisdiv>
+    <cmdsynopsis>
+      <command>&dhpackage;</command>
+      <arg choice="opt">
+        <replaceable class="option">lang</replaceable>
+      </arg>
+    </cmdsynopsis>
+    <cmdsynopsis>
+      <command>expeyes-junior-doc</command>
+      <arg choice="opt">
+        <replaceable class="option">lang</replaceable>
+      </arg>
+    </cmdsynopsis>
+  </refsynopsisdiv>
+  <refsect1 id="description">
+    <title>DESCRIPTION</title>
+    <para><command>&dhpackage;</command> launches a viewer for the
+    programmer's manual of expEYES Jr.</para>
+    <para> The preferred language is detected automatically.</para>
+  </refsect1>
+  <refsect1 id="options">
+    <title>OPTIONS</title>
+    <variablelist>
+      <varlistentry>
+        <term><option><replaceable>lang</replaceable></option></term>
+        <listitem>
+          <para>Enforces the language <replaceable>lang</replaceable> as
+	  preferred. For example, <replaceable>lang</replaceable> may be
+	  'en' or 'fr'.</para>
+        </listitem>
+      </varlistentry>
+    </variablelist>
+  </refsect1>
+  <refsect1 id="environment">
+    <title>ENVIRONMENT</title>
+    <variablelist>
+      <varlistentry>
+        <term><envar>LANGUAGE</envar></term>
+        <term><envar>LANG</envar></term>
+        <term><envar>LC_ALL</envar></term>
+        <listitem>
+          <para>If defined, these variables are taken in account to
+	  find automatically the preferred language. These variables are
+	  taken in account only when the optional argument
+	  <replaceable>lang</replaceable> is not given.</para>
+        </listitem>
+      </varlistentry>
+    </variablelist>
+  </refsect1>
+  <refsect1 id="see_also">
+    <title>SEE ALSO</title>
+    <!-- In alpabetical order. -->
+    <para><citerefentry>
+        <refentrytitle>expeyes</refentrytitle>
+        <manvolnum>1</manvolnum>
+      </citerefentry>, <citerefentry>
+        <refentrytitle>expeyes-junior</refentrytitle>
+        <manvolnum>1</manvolnum>
+      </citerefentry>
+    </para>
+  </refsect1>
+</refentry>
+
diff --git a/expeyes/__init__.py b/expeyes/__init__.py
new file mode 100644
index 0000000..e69de29
diff --git a/expeyes/eyelib.c b/expeyes/eyelib.c
new file mode 100644
index 0000000..29a932a
--- /dev/null
+++ b/expeyes/eyelib.c
@@ -0,0 +1,148 @@
+#include <sys/types.h>
+#include <sys/stat.h>
+#include <fcntl.h>
+#include <termios.h>
+#include <stdio.h>
+#include <stdlib.h>
+#include <string.h>
+#include <unistd.h>
+
+#include "eyelib.h"
+
+
+#define GNULINUX
+//#define WINDOWS
+
+
+#define VERSION  "ey1.0"			// Version number, we check only first 2 bytes
+#define BAUDRATE B38400
+#define _POSIX_SOURCE 1				// POSIX compliant source
+#define MAXWAIT	30					// 30 deciseconds (3 seconds)
+
+struct 	termios oldtio, newtio;
+int	fd;						// File handle, global variable
+
+
+char swrite(char data)		// Writes a single character. Function returns -1 on error
+{
+if(write(fd, &data, 1) != 1)
+  return -1;
+return 0;
+}
+
+
+byte read_bytes(int nb, char* data)	// Returns o or RS232ERR. Result returned in 'data'
+{
+if(read(fd, data, nb) != nb)
+	{
+	printf("Read ERR %x\n",fd);
+	return RS232ERR;
+	}
+return 0;
+}
+
+int search_eyes(char *device)			// Returns handle on success, else -1
+{
+  char ss[10];
+  fd = open (device, O_RDWR | O_NOCTTY);
+  if (fd < 0)
+	{
+	fprintf(stderr,"ERROR opening %s\n",device); 
+    return -1;
+	}
+
+  printf("Opened Device %s\n", device);
+  tcgetattr (fd, &oldtio);	/* save current port settings */
+  memset (&newtio, 0, sizeof (newtio));
+  newtio.c_cflag = BAUDRATE | CS8 | CLOCAL | CREAD | PARENB;
+  newtio.c_iflag = INPCK;
+  newtio.c_oflag = 0;
+  newtio.c_lflag = 0;				// non-canonical mode
+  newtio.c_cc[VTIME] = MAXWAIT;		// Timeout for read in deciseconds
+  newtio.c_cc[VMIN] = 0;			// read will return after VTIME for sure
+  tcflush (fd, TCIOFLUSH);
+  tcsetattr (fd, TCSANOW, &newtio);
+
+  swrite(GETVERSION);
+  read_bytes(1,ss);
+  if(*ss == 'D')					// Found Something like Phoenix or expEYES
+	{
+    read_bytes(5,ss);
+    if(!strncmp(ss,"ey",2))			// found proper version of hardware
+		{
+		ss[6] = '\0';
+		fprintf(stderr,"Found EYES Version : %s\n",ss);
+		return fd;
+		}
+	}
+  else
+	{
+	fprintf(stderr,"Response = %c\n",ss[0]);
+	}
+  tcflush (fd, TCIOFLUSH);			// Not EYES on this port, rcover settings
+  tcsetattr (fd, TCSANOW, &oldtio);
+  return -1;
+}
+
+void close_eyes(void)
+{
+  tcflush (fd, TCIOFLUSH);
+  tcsetattr (fd, TCSANOW, &oldtio);
+}
+
+int open_eyes(void)
+{
+int k, fd;
+#ifdef GNULINUX
+#define MAXPORT		4
+char *devlist[MAXPORT] = {"/dev/ttyUSB0","/dev/ttyUSB1","/dev/ttyACM0","/dev/ttyACM1",};
+for(k= 0; k < MAXPORT; ++k)
+	{
+	fd = search_eyes(devlist[k]);
+	if(fd > 0)
+		return fd;
+	}
+#endif
+
+#ifdef WINDOWS
+#define MAXPORT		255
+for(k= 0; k < MAXPORT; ++k)		// to be tested
+	{
+	char ss[10];
+	sprintf(ss,"COM%d",k);
+	fd = search_eyes(ss);
+	if(fd > 0)
+		return fd;
+	}
+#endif
+
+
+return -1;		
+}
+
+
+int main()
+{
+char s[10];
+char c;
+int k;
+
+fd = open_eyes();
+if(fd < 0)
+	{
+	fprintf(stderr,"EYES Open Failed");
+	exit(0);
+	}
+
+for(k=0; k < 256; ++k)
+	{
+	c = k & 255;
+	swrite('A');
+	read_bytes(1, s);
+	printf("res = %c\n",s[0]);
+	}
+
+close_eyes();
+return 0;
+}
+
diff --git a/expeyes/eyelib.h b/expeyes/eyelib.h
new file mode 100644
index 0000000..c88ab33
--- /dev/null
+++ b/expeyes/eyelib.h
@@ -0,0 +1,71 @@
+#define FALSE 0
+#define TRUE 1
+
+typedef unsigned char byte;
+
+// commands without any arguments (1 to 40)
+#define GETVERSION	1	// Get the Eyes firmware version
+#define DIGIN		2	// Digital Input (4 bits)
+
+// Commands with One byte argument (41 to 80) 
+#define SETSAMTIME	41	// MCP3208 sampling duration
+#define SETADCSIZE	42	// ADC data size (1 or 2)
+#define READADC		43	// Read the specified ADC channel
+#define R2FTIME		44	// Rise to Fall of signal on input pins
+#define R2RTIME		45	// Rise to Fall of signal on input pins
+#define F2RTIME		46	// Fall to Rise of signal on input pins
+#define F2FTIME		47	// Fall to Rise of signal on input pins
+#define SET2RTIME	48	// Setting of bit to rising edge
+#define SET2FTIME	49	// to falling time
+#define CLR2RTIME	50	// Setting of bit to rising edge
+#define CLR2FTIME	51	// to falling time
+#define PULSE2RTIME	52	// Pulse to rising edge
+#define PULSE2FTIME	53	// Pulse to rising edge
+#define SETPULSEWID	54	// width for PULSE2 functions (0 to 250)
+#define SETPULSEPOL	55	// PULSE polarity (0 for HIGH true)
+#define	DIGOUT 		56	// Digital output (4 bits)
+#define ADC2CMP		57	// Route ADC input to ACOMP-
+#define SETPWM		58	// Set 488 Hz PWM wave on TC0
+#define SETPWMDAC	59	// Set 31.25 kHz PWM wave on TC0
+#define GETPORT		60	// PINX data from port X
+#define IRSEND		61  // IR transmission using SQR1 output
+
+// Commands with Two bytes argument (81 to 120)
+#define	SETPWM0		81	// PWM on on OSC0
+#define	SETCOUNTER0	82	// Square wave on OSC2
+#define	SETCOUNTER2	83	// Square wave on OSC2
+#define	SETACTION	84	// Capture Actions of SET/CLR/PULSE & WAIT type
+#define MULTIR2R	85	// Rising edge to a rising edge after N cycles
+#define ADCTRIGS	86	// Trigger levels for read_block functions
+#define SETWAVEFORM	87	// ISR Wavegen. OCR0 and which DAC from the caller
+#define PULSE_D0D1	88	// Interrupt driven square wave on D0 and D1
+#define SETDDR		90	// DDRX = dirmask (arg1 = X, arg2 = mask)
+#define SETPORT		91	// PORTX = DATA (arg1 = X, arg2 = DATA)
+
+// Commands with Three bytes argument (121 to 160)
+#define SETDAC		121	// Serial DAC: send ch, dlo & dhi
+#define	QCAPTURE01	122	// 2 bytes N, 1 byte dt. captures channel 0 and 1
+#define WREEPROM	123	// Write EEPROM , 2 byte addr & 1 byte data
+#define RDEEPROM	124	// Read EEPROM , 2 byte addr , 1 byte number of bytes 
+
+// Commands with Four bytes argument (161 to 200)
+#define	CAPTURE01	161	// 2 bytes N, 2 bytes dt. Capture channel 0 and 1
+#define	QCAPTURE	162	// Ch, 2 byte N, 1 byte dt. 
+
+// Commands with Five bytes argument (201 to 220)
+#define	CAPTURE		201		// Ch, 2 byte N, 2 byte dt. Capture single channel MCP3208
+#define CAPTURE_M32	202     // Ch, 2 byte N, 2 byte dt. Capture from, uC internal ADC
+
+// Reply from ATmega8 to the PC
+#define DONE		'D'	// Command executed successfully
+#define	INVCMD		'C'	// Invalid Command
+#define INVARG		'A'	// Invalid input data
+#define INVBUFSIZE	'B'	// Resulting data exceeds buffersize
+#define TIMEOUT		'T'	// Time measurement timed out
+#define RS232ERR	'R'	// RS232  read error
+
+int open_phm(void);		// Opens Phoenix on RS232
+void close_phm(void);	// Close RS232 connection
+char swrite(char data);	// write one byte to serial port, returns -1 on error
+char sread(char* data);	// read one byte .returns -1 on error.
+
diff --git a/expeyes/eyemath.py b/expeyes/eyemath.py
new file mode 100644
index 0000000..a9ed0a1
--- /dev/null
+++ b/expeyes/eyemath.py
@@ -0,0 +1,216 @@
+'''
+expEYES data analysis library using numpy and scipy
+Author  : Ajith Kumar B.P, bpajith at gmail.com
+License : GNU GPL version 3
+'''
+
+import sys, time, math
+from numpy import *
+import numpy.fft
+from scipy import optimize 
+from scipy.optimize import leastsq
+
+
+def find_peak(va):
+	vmax = 0.0
+	size = len(va)
+	index = 0
+	for i in range(1,size):		# skip first 2 channels, DC
+		if va[i] > vmax:
+			vmax = va[i]
+			index = i
+	return index
+
+#-------------------------- Fourier Transform ------------------------------------
+def fft(ya, si):
+	'''
+	Returns positive half of the Fourier transform of the signal ya. 
+	Sampling interval 'si', in milliseconds
+	'''
+	np = len(ya)
+	if np %2 == 1:  # odd values of np give exceptions
+		np=np-1 # make it even
+		ya=ya[:-1]
+	v = array(ya)
+	tr = abs(numpy.fft.fft(v))/np
+	frq = numpy.fft.fftfreq(np, si * 1.0e-3)
+	x = frq.reshape(2,np/2)
+	y = tr.reshape(2,np/2)
+	return x[0], y[0]    
+
+def find_frequency(x,y):		# Returns the fundamental frequency using FFT
+	tx,ty = fft(y, x[1]-x[0])
+	index = find_peak(ty)
+	if index == 0:
+		return None
+	else:
+		return tx[index]
+	'''
+	m = mean(ty)
+	mx = max(ty)
+	for i in range(1,len(ty)):
+		if ty[i] != 0:
+			print 'FF', tx[i], ty[i]
+		if ty[i] > 5*m:
+			return tx[i]
+	return None					# Could not find FFT Peak
+	'''
+#-------------------------- Sine Fit ------------------------------------------------
+def sine_erf(p,y,x):					
+	return y - p[0] * sin(2*pi*p[1]*x+p[2])+p[3]
+
+def sine_eval(x,p):			# y = a * sin(2*pi*f*x + phi)+ offset
+	return p[0] * sin(2*pi*p[1]*x+p[2])-p[3]
+
+def fit_sine(xlist,ylist, freq = 0):	# Time in mS, V in volts, freq in Hz
+	size = len(xlist)
+	xa = array(xlist, dtype=float)
+	ya = array(ylist, dtype=float)
+	amp = (max(ya)-min(ya))/2
+	if freq == 0:						# Guess frequency not given
+		freq = find_frequency(xa,ya)
+	if freq == None:
+		return None
+	#print 'guess a & freq = ', amp, freq
+	par = [abs(amp), freq*0.001, 0.0, 0.0] # Amp, freq, phase , offset
+	plsq = leastsq(sine_erf, par,args=(ya,xa))
+	if plsq[0][0] < 0:
+		par = [abs(amp), freq*0.001, 3.14, 0.0] # Amp, freq, phase , offset
+		plsq = leastsq(sine_erf, par,args=(ya,xa))
+	if plsq[1] > 4:
+		return None
+	yfit = sine_eval(xa, plsq[0])
+	#if plsq[0][0] < 0:
+	    #print plsq[0]
+	    #plsq[0][0] *= -1
+	    #plsq[0][2] += pi
+	    #print plsq[0]
+	return yfit,plsq[0]
+
+#--------------------------Damped Sine Fit ------------------------------------------------
+def dsine_erf(p,y,x):
+	return y - p[0] * sin(2*pi*p[1]*x+p[2]) * exp(-p[4]*x) + p[3]
+
+def dsine_eval(x,p):
+	return     p[0] * sin(2*pi*p[1]*x+p[2]) * exp(-p[4]*x) - p[3]
+
+def fit_dsine(xlist, ylist, freq = 0, mode="kHz"):
+	"""
+	Fits a damped sinusoidal signal
+	@param xlist the time series
+	@param ylist the signal series
+	@param freq the frequency to use for the fit. If zero, a FFT will be
+	called to find a suitable frequency
+	@param mode "kHz" (default) or "Hz". When the data in xlist are
+	milliseconds, you may let mode to be "kHz", which is the default.
+	However when the data in xlist are seconds, you must choose the
+	mode "Hz".
+	@return a vector of fitted data, and a quality value. If the quality
+	is too bad, returns None.
+	"""
+	size = len(xlist)
+	xa = array(xlist, dtype=float)
+	ya = array(ylist, dtype=float)
+	amp = (max(ya)-min(ya))/2
+	if freq == 0:
+		freq = find_frequency(xa,ya)
+		if mode=="Hz":
+			freq=freq/1000
+	print freq
+	par = [amp, freq, 0.0, 0.0, 0.1] # Amp, freq, phase , offset, decay constant
+	plsq = leastsq(dsine_erf, par,args=(ya,xa))
+	if plsq[1] > 4:
+		return None
+	yfit = dsine_eval(xa, plsq[0])
+	return yfit,plsq[0]
+
+#-------------------------- Exponential Fit ----------------------------------------
+def exp_erf(p,y,x):
+	return y - p[0] * exp(p[1]*x) + p[2]
+
+def exp_eval(x,p):
+	return p[0] * exp(p[1]*x)  -p[2]
+
+def fit_exp(xlist, ylist):
+	size = len(xlist)
+	xa = array(xlist, dtype=float)
+	ya = array(ylist, dtype=float)
+	maxy = max(ya)
+	halfmaxy = maxy / 2.0
+	halftime = 1.0
+	for k in range(size):
+		if abs(ya[k] - halfmaxy) < halfmaxy/100:
+			halftime = xa[k]
+			break 
+	par = [maxy, -halftime,0] 					# Amp, decay, offset
+	plsq = leastsq(exp_erf, par,args=(ya,xa))
+	if plsq[1] > 4:
+		return None
+	yfit = exp_eval(xa, plsq[0])
+	return yfit,plsq[0]
+
+#-------------------------- Gauss Fit ----------------------------------------
+def gauss_erf(p,y,x):#height, mean, sigma
+	return y - p[0] * exp(-(x-p[1])**2 /(2.0 * p[2]**2))
+
+def gauss_eval(x,p):
+	return p[0] * exp(-(x-p[1])**2 /(2.0 * p[2]**2))
+
+def fit_gauss(xlist, ylist):
+	size = len(xlist)
+	xa = array(xlist, dtype=float)
+	ya = array(ylist, dtype=float) 
+	maxy = max(ya)
+	halfmaxy = maxy / 2.0
+	for k in range(size):
+		if abs(ya[k] - maxy) < maxy/100:
+			mean = xa[k]
+			break
+	for k in range(size):
+		if abs(ya[k] - halfmaxy) < halfmaxy/10:
+			halfmaxima = xa[k]
+			break                      
+	sigma = mean - halfmaxima
+	par = [maxy, halfmaxima, sigma] # Amplitude, mean, sigma
+	plsq = leastsq(gauss_erf, par,args=(ya,xa))
+	if plsq[1] > 4:
+		return None
+	yfit = gauss_eval(xa, plsq[0])
+	return yfit,plsq[0]
+
+#-------------------------- liniar Fit ------------------------------------------------
+def line_erf(p,y,x):					
+	return y - p[0] * x - p[1]
+
+def line_eval(x,p):			# y = a * x + b
+	return p[0] * x + p[1]
+
+def fit_line(xlist,ylist):	# Time in mS, V in volts
+	size = len(xlist)
+	xa = array(xlist, dtype=float)
+	ya = array(ylist, dtype=float)
+	par = [1,1] # m, c
+	plsq = leastsq(line_erf, par,args=(ya,xa))
+	if plsq[1] > 4:
+		return None
+	yfit = line_eval(xa, plsq[0])
+	return yfit,plsq[0]
+
+#-------------------------- Quadratic Fit ----------------------------------------
+def qdr_erf(p,y,x):
+	return y - (p[0] * x**2 +p[1]*x + p[2]) # ax^2 + bx + c
+
+def qdr_eval(x,p):
+	return p[0] * x**2 +p[1]*x + p[2]
+
+def fit_qdr(xlist, ylist):
+	size = len(xlist)
+	xa = array(xlist, dtype=float)
+	ya = array(ylist, dtype=float)
+	par = [1, 1, 1] 					# a,b,c
+	plsq = leastsq(qdr_erf, par,args=(ya,xa))
+	if plsq[1] > 4:
+		return None
+	yfit = qdr_eval(xa, plsq[0])
+	return yfit,plsq[0]
+
diff --git a/expeyes/eyeplot.py b/expeyes/eyeplot.py
new file mode 100644
index 0000000..f54277c
--- /dev/null
+++ b/expeyes/eyeplot.py
@@ -0,0 +1,357 @@
+'''
+Plotting libray, using Tkinter for expEYES
+Author  : Ajith Kumar B.P, bpajith at gmail.com
+License : GNU GPL version 3
+'''
+
+import gettext
+gettext.bindtextdomain("expeyes")
+gettext.textdomain('expeyes')
+_ = gettext.gettext
+
+
+from Tkinter import *
+import os, sys
+
+AXWIDTH = 30   # width of the axis display canvas
+AYWIDTH = 50   # width of the axis display canvas
+NUMDIV  =5
+NGRID1	= 10
+NGRID2  = 10
+BGCOL	  = 'white'
+PLOTBGCOL = 'white'
+LINEWIDTH = 1.5
+LINECOL   = ['black', 'red', 'blue', 'magenta', 'cyan', 'green', 'yellow', 'orange','gray', 'gray2']
+LABELCOL  = 'blue'
+TEXTCOL   = 'black'
+
+GRIDCOL   = 'gray'
+NGRID1	 = 10
+NGRID2   = 5
+
+class graph:
+	'''
+	Class for displaying items in a canvas using a world coordinate system. The range of the
+	world coordinate system is specified by calling the setWorld method.
+	'''
+	border = 2
+	pad = 0
+	bordcol = 'grey'     # Border color
+	gridcol = 'grey'     # Grid color
+	bgcolor = '#dbdbdb'  # background color for all 
+	plotbg  = 'ivory'    # Plot window background color
+	textcolor = 'blue'
+	traces = []
+	xtext = []
+	ytext = []
+	legendtext = []
+	scaletext = []
+	markerval = []
+	markertext = None
+	xlabel = _('mSec')			# Default axis lables
+	ylabel = 'V'
+	markers = []
+       
+	def __init__(self, parent, width=400., height=300.,color = 'white', labels = True, bip=True):
+		self.parent = parent
+		self.labels = labels
+		self.SCX = width 
+		self.SCY = height
+		self.plotbg = color
+		self.bipolar = bip
+
+		if labels == False:
+			f = Frame(self.parent, bg = 'black', borderwidth = self.border, relief = FLAT)
+			f.pack(side=TOP, anchor = S)
+			self.canvas = Canvas(f, bg = self.plotbg, width = width, height = height)
+			self.canvas.pack(side = TOP, anchor = S)
+		else:
+			f = Frame(parent, bg = self.bgcolor)
+			f.pack(side=TOP)
+			self.yaxis = Canvas(f, width = AYWIDTH, height = height, bg = self.bgcolor)
+			self.yaxis.pack(side = LEFT, anchor = N, pady = self.border)
+			f1 = Frame(f)
+			f1.pack(side=LEFT)
+			self.canvas = Canvas(f1, bg = self.plotbg, width = width, height = height, bd =0, relief=FLAT)
+			self.canvas.pack(side = TOP)
+			self.canvas.bind("<Button-1>", self.show_xy)
+			self.xaxis = Canvas(f1, width = width, height = AXWIDTH, bg = self.bgcolor)
+			self.xaxis.pack(side = LEFT, anchor = N, padx = self.border)
+			self.canvas.create_rectangle ([(1,1),(width,height)], outline = self.bordcol)
+			Canvas(f, width = 4, height = height, bg = self.bgcolor).pack(side=LEFT) # spacer only
+		self.setWorld(0 , 0, self.SCX, self.SCY, self.xlabel, self.ylabel)   # initialize scale factors 
+		self.grid()
+
+#----------------------- Another window ---------------------
+	def clear_fm(self):
+		try:
+			self.canvas.delete(self.msg_window)
+		except:
+			pass
+
+	def disp(self, msg):
+		self.clear_fm()
+		win = Button(text = msg, bg = 'yellow', fg='blue', font=("Helvetica", 30), command=self.clear_fm)
+		self.msg_window = self.canvas.create_window(self.SCX/4, self.SCY/10, window=win, anchor=NW)
+#--------------------------------- Manage the Marker's --------------------------------------
+	def enable_marker(self, marker_max = 3):
+		self.canvas.bind("<Button-3>", self.show_marker)
+		self.CURMAX = marker_max
+
+	def clear_markers(self):
+		for k in self.markers:
+			self.canvas.delete(k[2])  #third item is the text on the canvas
+		self.markers = []
+
+	def show_marker(self, event):
+		if len(self.markers) >= self.CURMAX:
+			self.clear_markers()
+			return
+		ix = self.canvas.canvasx(event.x) - self.border
+		iy = self.SCY - self.canvas.canvasy(event.y) #- self.border
+		x = ix * self.xscale + self.xmin
+		y = iy * self.yscale + self.ymin
+		m = self.canvas.create_text(ix, self.SCY-iy, text = 'x', fill = 'red')
+		self.markers.append((x,y,m))
+		print x,y
+
+	def get_markers(self):
+		x = []
+		y = []
+		for k in self.markers:
+			x.append(k[0])
+			y.append(k[1])
+		return x,y
+#--------------------------------------------------------------------------------
+
+
+	def setWorld(self, x1, y1, x2, y2, xlabel, ylabel):
+		'''
+		Calculates the scale factors for world to screen coordinate transformation. 
+		'''
+		self.xlabel = xlabel
+		self.ylabel = ylabel
+		self.xmin = float(x1)
+		self.ymin = float(y1)
+		self.xmax = float(x2)
+		self.ymax = float(y2)
+		self.xscale = (self.xmax - self.xmin) / (self.SCX)
+		self.yscale = (self.ymax - self.ymin) / (self.SCY)   
+		self.mark_labels()
+		if self.labels == True:
+			return
+		try:
+			for txt in self.scaletext:
+				self.canvas.delete(txt)
+			self.scaletext = []
+		except:
+			pass
+		s = _('%3.2f %s/div')%( (self.xmax-self.xmin)/NGRID1, xlabel)
+		t =  self.canvas.create_text(2, self.SCY*11/20, anchor = SW, justify = LEFT, \
+			fill = LABELCOL, text = s)
+		self.scaletext.append(t)
+		s = _('%3.2f %s/div')%( (self.ymax-self.ymin)/NGRID1, ylabel)
+		t =  self.canvas.create_text(self.SCX/2, self.SCY-10,anchor = SW, justify = LEFT, \
+			fill = LABELCOL, text = s)
+		self.scaletext.append(t)
+
+	def mark_labels(self):
+		'''
+		Draws the X and Y axis divisions and labels. Only used internally.
+		'''
+		if self.labels == False:
+			return
+
+		for t in self.xtext:	# display after dividing by scale factors
+			self.xaxis.delete(t)
+		for t in self.ytext:
+			self.yaxis.delete(t)
+		self.xtext = []
+		self.ytext = []
+		self.xtext.append(self.xaxis.create_text(int(self.SCX/2), AXWIDTH-2, \
+				text = self.xlabel, anchor=S, fill = self.textcolor))
+		dx = float(self.SCX)/NUMDIV
+		for x in range(0,NUMDIV+1):
+			a = x *(self.xmax - self.xmin)/NUMDIV + self.xmin
+			s = '%4.1f'%(a)
+			adjust = 0
+			if x == 0: adjust = 6
+			if x == NUMDIV: adjust = -10
+			t = self.xaxis.create_text(int(x*dx)+adjust,1,text = s, anchor=N, fill = self.textcolor)
+			self.xtext.append(t)
+
+		self.ytext.append(self.yaxis.create_text(2,self.SCY/2,\
+				text = self.ylabel, anchor=W, fill = self.textcolor))           
+		dy = float(self.SCY)/NUMDIV
+		for y in range(0,NUMDIV+1):
+			a = y*(self.ymax - self.ymin)/5	# + self.ymin
+			if self.ymax > 99:
+				s = '%4.0f'%(self.ymax-a)
+			else:
+				s = '%4.1f'%(self.ymax-a)
+			adjust = 0
+			if y == 0: adjust = 6
+			if y == NUMDIV: adjust = -5
+			t = self.yaxis.create_text(AYWIDTH, int(y*dy)+adjust, \
+					text = s,anchor = E, fill = self.textcolor)
+			self.ytext.append(t)
+
+
+	def show_xy(self,event):   #Prints the XY coordinates of the current cursor position
+		ix = self.canvas.canvasx(event.x) - self.border
+		iy = self.SCY - self.canvas.canvasy(event.y) #- self.border
+		x = ix * self.xscale + self.xmin
+		y = iy * self.yscale + self.ymin
+		s = 'x = %5.3f\ny = %5.3f' % (x,y)
+		try:
+			self.canvas.delete(self.markertext)
+		except:
+			pass
+		self.markertext = self.canvas.create_text(self.border + 1,\
+		self.SCY-1, anchor = SW, justify = LEFT, text = s)
+		self.markerval = [x,y]
+
+	def grid(self):
+		dx = (self.xmax - self.xmin) / NGRID1
+		dy = (self.ymax - self.ymin) / NGRID1
+		x = self.xmin + dx
+		print self.ymin
+		if self.bipolar == True:
+			ip = self.w2s((self.xmax/2,self.xmax/2),(self.ymin,self.ymax))  
+			self.canvas.create_line(ip, fill=self.gridcol, width=LINEWIDTH)
+			ip = self.w2s((self.xmin,self.xmax),(self.ymax/2,self.ymax/2))  
+			self.canvas.create_line(ip, fill=self.gridcol, width=LINEWIDTH)
+		
+		while x < self.xmax:
+			ip = self.w2s((x,x),(self.ymin,self.ymax))  
+			self.canvas.create_line(ip, fill=self.gridcol, dash= (1,int(dy/NGRID2)-1), width=LINEWIDTH)
+			x = x +dx
+		y = self.ymin + dy
+		while y < self.ymax:
+			ip = self.w2s( (self.xmin,self.xmax), (y,y) )
+			self.canvas.create_line(ip, fill=GRIDCOL, dash= (1,int(dx/NGRID2)-1), width=LINEWIDTH)
+			y = y +dy
+
+	def w2s(self, x,y):	      # World to Screen xy conversion before plotting anything
+		ip = []
+		for i in range(len(x)):
+			ix = self.border + int( (x[i] - self.xmin) / self.xscale)
+			iy = self.border + int( (y[i] - self.ymin) / self.yscale)
+			iy = self.SCY - iy
+			ip.append((ix,iy))
+		return ip
+
+	def round4axis(self,n):
+		if n == 0:
+			return n
+		sign = 1
+		if n < 0:
+			sign = -1
+			n = -1 * n
+		div = 0
+		if n > 10:
+			while n > 10:
+				n = n/10
+				div = div + 1
+			res = (int(n)+1)* 10**div		
+			return sign * float(res)
+		elif n <= 10:
+			while n < 1:
+				n = n*10
+				div = div + 1
+			res = (int(n)+1)	
+			return sign * float(res) / 10**div
+
+	def auto_scale(self, x,y):
+		'''
+		Sets the range of the world co-ordinate system from two lists of x and y.
+		The range of y-coordinates are rounded. (for ymin=5 and ymax=95 will set the 
+		limits from 0 to 100)
+		'''
+		xmin = x[0]
+		xmax = x[-1]
+		ymin = 1.0e10
+		ymax = 1.0e-10
+		for k in y:
+			if k > ymax: ymax = k
+			if k < ymin: ymin = k
+		ymin = self.round4axis(ymin)
+		ymax = self.round4axis(ymax)
+		if ymin == ymax:			# avoid a divide by zero error
+			return
+		print xmin,ymin,xmax,ymax
+ 		self.setWorld(xmin,ymin,xmax,ymax,self.xlabel,self.ylabel)
+
+	def box(self, x1,  y1,  x2,  y2, col):
+		ip = self.w2s((x1,y1),(x2,y2))
+		self.canvas.create_rectangle(ip, outline=col)
+
+	def text(self, x,  y, text, col=0):
+		ip = self.w2s( [float(x)],[float(y)])
+		x = ip[0][0]
+		t = self.canvas.create_text(ip[0][0],ip[0][1], text = text,\
+				anchor = W, fill = LINECOL[col%len(LINECOL)])
+		self.legendtext.append(t)
+
+	def delete_text(self):
+		for t in self.legendtext:
+			self.canvas.delete(t)
+		self.legendtext = []
+
+	def line(self, x,y, col=0, smooth = True):
+		ip = self.w2s(x,y)
+		t = self.canvas.create_line(ip, fill=LINECOL[col%len(LINECOL)], width=LINEWIDTH, smooth = smooth)
+		self.traces.append(t)
+
+	def delete_lines(self):
+		for t in self.traces:
+			self.canvas.delete(t)
+		self.traces = []
+#------------------------------- graph class end ---------------------------
+
+def plot(x,y,title = None, xl = None, yl = None):
+	# plot the x,y coordinate list to a new , non-blocking, window.
+	if title==None:
+		title=_('EYES plot')
+	if xl==None:
+		xl=_('mS')
+	if yl==None:
+		yl=_('V')
+	w = Tk()
+	w.title(title)
+	g = graph(w, width=600, height=400)
+	g.xlabel = xl
+	g.ylabel = yl
+	g.auto_scale(x,y)
+	g.line(x,y)
+	return g
+
+
+#------------- popup window to displaying image -----------------
+def abs_path():		   # Returns the absolute path of the python program
+	name = sys.argv[0]
+	dirname = os.path.dirname(name)
+	print dirname
+	if dirname != '':
+		return os.path.dirname(name) + os.sep 
+	else:
+		return '.' + os.sep
+
+img = None
+def pop_image(sch, title = _('Schematic')):
+	global img   	
+	try:
+		import Image, ImageTk, tkFont
+		top = Toplevel()
+		Label(top,text=title,fg='blue').pack(side=TOP)
+		top.title(_('Schematic'))
+		im = Image.open(abs_path() + sch)
+		w,h= im.size
+		img = ImageTk.PhotoImage(im)
+		panel = Canvas(top, bg='white', width = w, height = h)
+		panel.create_image(0,0,image = img, anchor = NW)
+		panel.pack()
+
+	except:
+		pass
+
diff --git a/expeyes/eyes.c b/expeyes/eyes.c
new file mode 100644
index 0000000..66e6387
--- /dev/null
+++ b/expeyes/eyes.c
@@ -0,0 +1,100 @@
+#include <sys/types.h>
+#include <sys/stat.h>
+#include <fcntl.h>
+#include <termios.h>
+#include <stdio.h>
+#include <stdlib.h>
+#include <string.h>
+#include <unistd.h>
+
+#include "eyes.h"
+
+
+/*
+Functions 'swrite' and 'sread' does single character Output & Input to the
+Serial port using the file handle 'fd'. Routines need to rewritten
+for porting to a different platform are open_eyes(), close_eyes(), swrite()
+and sread().
+*/
+
+#define BAUDRATE B38400
+#define DEVICE 	"/dev/ttyACM0"
+#define _POSIX_SOURCE 1				// POSIX compliant source
+#define MAXWAIT	30					// 30 deciseconds (3 seconds)
+
+struct 	termios oldtio, newtio;
+
+int	fd;
+byte	ss[10];		// temporary buffer used for rs232 read/write
+byte	tmpb;
+
+
+char swrite(byte data)	// Function returns -1 on error
+{
+if(write(fd, &data, 1) != 1)
+  return -1;
+return 0;
+}
+
+char sread(byte* data)	// returns -1 on error. Result returned in 'data'
+{
+*data = RS232ERR;
+if(read(fd, data, 1) != 1)
+  return -1;
+return 0;
+}
+
+byte read_bytes(int nb, byte* data)	// returns -1 on error. Result returned in 'data'
+{
+if(read(fd, data, nb) != nb)
+  return RS232ERR;
+return 0;
+}
+
+int open_eyes(void)
+{
+  int k;
+  fd = open (DEVICE, O_RDWR | O_NOCTTY);
+  if (fd < 0)
+	{
+	fprintf(stderr,"ERROR opening %s\n",DEVICE); 
+    return -1;
+	}
+
+  tcgetattr (fd, &oldtio);	/* save current port settings */
+  memset (&newtio, 0, sizeof (newtio));
+  newtio.c_cflag = BAUDRATE | CS8 | CLOCAL | CREAD | PARENB;
+  newtio.c_iflag = INPCK;
+  newtio.c_oflag = 0;
+  newtio.c_lflag = 0;		// non-canonical mode
+  newtio.c_cc[VTIME] = MAXWAIT;	// Timeout for read in deciseconds
+  newtio.c_cc[VMIN] = 0;	// read will return after VTIME for sure
+  tcflush (fd, TCIOFLUSH);
+  tcsetattr (fd, TCSANOW, &newtio);
+
+  return 0;
+}
+
+void close_eyes(void)
+{
+  tcflush (fd, TCIOFLUSH);
+  tcsetattr (fd, TCSANOW, &oldtio);
+}
+
+main()
+{
+int k;
+byte   ss[100];
+open_eyes();
+swrite(1);
+read_bytes(1,ss);
+read_bytes(5,ss);
+for(k=0; k <7; ++k)
+	{
+	printf("%c", ss[k]);
+	}
+printf("\n");
+
+close_eyes();
+}
+
diff --git a/expeyes/eyes.h b/expeyes/eyes.h
new file mode 100644
index 0000000..57bca18
--- /dev/null
+++ b/expeyes/eyes.h
@@ -0,0 +1,22 @@
+#define FALSE 0
+#define TRUE 1
+
+typedef unsigned char byte;
+
+// commands without any arguments (1 to 40)
+#define GETVERSION	1	// Get the Eyes firmware version
+#define DIGIN		2	// Digital Input (4 bits)
+
+// Reply from ATmega8 to the PC
+#define DONE		'D'	// Command executed successfully
+#define	INVCMD		'C'	// Invalid Command
+#define INVARG		'A'	// Invalid input data
+#define INVBUFSIZE	'B'	// Resulting data exceeds buffersize
+#define TIMEOUT		'T'	// Time measurement timed out
+#define RS232ERR	'R'	// RS232  read error
+
+int open_phm(void);		// Opens Phoenix on RS232
+void close_phm(void);	// Close RS232 connection
+char swrite(byte data);	// write one byte to serial port, returns -1 on error
+char sread(byte* data);	// read one byte .returns -1 on error.
+
diff --git a/expeyes/eyes.py b/expeyes/eyes.py
new file mode 100644
index 0000000..778f44e
--- /dev/null
+++ b/expeyes/eyes.py
@@ -0,0 +1,1129 @@
+'''
+EYES for Young Engineers and Scientists (EYES 1.0)
+Python library to communicate to the AtMega32 uC running 'eyes.c'
+Author  : Ajith Kumar B.P, bpajith at gmail.com
+License : GNU GPL version 3
+Started on 1-Nov-2010
+Last Edit : 13-Oct-2011   : Added MCP2200 support (for version 2)
+Last Edit : 4-Nov-2011    : DAC maximum set to 5.000 volts
+
+
+The hardware consisists of :
+1) 2 Digital Inputs
+2) 2 Digital Outputs
+3) 2 DAC channels
+4) 8 ADC channels (only 6 used)
+       0,1 : -5V to 5V inputs
+		 2 : 0 to 5V input
+
+5) 1 Square wave generator using ATmega32
+6) 1 Square wave generator using IC555 (frequency range selectable through Atmega32)
+7) 1 Pulse Width Modulator Output using ATmega32
+8) A 100 Hz sine wave generator, bipolar
+9) 1 Current source controlled by DAC channel 1
+10)1 Non-Inverting Amplifier using OP27, gain can be set by an external resistor
+11)1 Inverting amplifier, gain can be selected using a series resistance at the input
+12)2 Inverting amplifiers with gain = 47 , mainly used for microphones. 
+'''
+
+import serial, struct, math, time, commands, sys, os, glob, fnmatch
+
+import gettext
+gettext.bindtextdomain("expeyes")
+gettext.textdomain('expeyes')
+_ = gettext.gettext
+
+
+#Commands with One byte argument (41 to 80) 
+GETVERSION  =   1
+DIGIN		= 	2	# Digital Input (4 bits)
+USOUND	    =   3   # Pulse OD1 to get rising edge on ID2(internal)
+
+#Commands with One byte argument (41 to 80) 
+SETSAMTIME	=  41	# MCP3208 sampling duration
+SETADCSIZE	=  42
+READADC		=  43	#Read the specified ADC channel
+R2FTIME		=  44	# Rise to Fall of signal on input pins
+R2RTIME		=  45	# Rise to Fall of signal on input pins
+F2RTIME		=  46	# Fall to Rise of signal on input pins
+F2FTIME		=  47	# Fall to Rise of signal on input pins
+SET2RTIME	=  48	# Setting of bit to rising edge
+SET2FTIME	=  49	# to falling time
+CLR2RTIME	=  50	# Setting of bit to rising edge
+CLR2FTIME	=  51	# to falling time
+PULSE2RTIME	=  52	# Pulse to rising edge
+PULSE2FTIME	=  53	# Pulse to rising edge
+SETPULSEWID	=  54	# width for PULSE2 functions (0 to 250)
+SETPULSEPOL	=  55	# PULSE polarity (0 for HIGH true)
+DIGOUT 		=  56	# Digital output (4 bits)
+ADC2CMP		=  57	# Route ADC input to ACOMP-
+SETPWM		=  58	# Set 488 Hz PWM wave on TC0
+SETPWMDAC	=  59	# Set 31.25 kHz PWM wave on TC0
+GETPORT		=  60	# PINX data from port X
+IRSEND      =  61   # Send 8 bit data on SQR1, using infrared LED
+
+# Commands with Two bytes argument (81 to 120)
+SETPWM0		=  81	# PWM on on OSC0
+SETCOUNTER0	=  82	# Square wave on OSC0
+SETCOUNTER2	=  83	# Square wave on OSC2
+SETACTION	=  84	# Capture Actions of SET/CLR type
+MULTIR2R	=  85	# Rising edge to a rising edge after N cycles
+ADCTRIGS	=  86	# Trigger levels for read_block functions
+SETWAVEFORM	=  87	# ISR Wavegen. OCR0 and which DAC from the caller
+PULSE_D0D1	=  88	# Interrupt driven square wave on D0 and D1
+SETDDR		=  90	# DDRX = dirmask (arg1 = X, arg2 = mask)
+SETPORT		=  91	# PORTX = DATA (arg1 = X, arg2 = DATA)
+
+# Commands with Three bytes argument (121 to 160)    
+SETDAC		= 121   # Serial DAC: send ch, dlo & dhi 
+QCAPTURE01	= 122	# 2 bytes N, 1 byte dt. captures channel 0 and 1
+WREEPROM	= 123	# Write EEPROM , 2 byte addr & 1 byte data
+RDEEPROM	= 124	# Read EEPROM , 2 byte addr , 1 byte nb
+
+#Commands with Four bytes argument (161 to 200)
+CAPTURE01	= 161	 # 2 bytes N, 2 bytes dt. Capture channel 0 and 1
+QCAPTURE 	= 162	 # Ch, 2 byte N, 1 byte dt. 
+
+#Commands with Five bytes argument (201 to 240)
+CAPTURE  	= 201	 # Ch, 2 byte N, 2 byte dt. Capture from MCP3208 ADC
+CAPTURE_M32	= 202	 # Ch, 2 byte N, 2 byte dt. Capture from ATmega32 ADC
+
+# Actions before capturing waveforms
+ASET		= 1
+ACLR		= 2
+APULSEHI	= 3
+APULSELO	= 4
+AWAITHI		= 5
+AWAITLO		= 6
+AWAITRISE	= 7
+AWAITFALL	= 8
+
+BUFSIZE     = 1800       # status + adcinfo + 1800 data
+
+#Serial devices to search for EYES hardware.  
+linux_list = ['/dev/ttyUSB0', '/dev/ttyUSB1', '/dev/ttyUSB2',
+	      '/dev/ttyACM0','/dev/ttyACM1','/dev/ttyACM2',
+	      '/dev/cu.usbserial']
+
+
+def open(dev = None):
+	'''
+	If EYES hardware in found, returns an instance of 'Eyes', else returns None.
+	'''
+	obj = Eyes()
+	if obj.fd != None:
+		obj.disable_actions()
+		return obj
+	print _('Could not find Phoenix-EYES hardware')
+	print _('Check the connections.')
+
+DACMAX = 5.000				# MCP4922 DAC goes only up to 4.933 volts, in version 1
+BAUDRATE = 38400			# Serial communication
+
+class Eyes:
+	fd = None								# init should fill this
+	adcsize = 1
+	m = [10.0/4095]*2 + [5.0/4095]*6 + [4095./DACMAX/2, 4095.0/DACMAX] # 8th and 9th are for DAC
+	c = [-5.0]*2 + [0.0]*6 + [4095.0/2, 0]
+	msg = '.'
+
+	def __init__(self, dev = None):
+		"""
+		Searches for EYES hardware on RS232 ports and the USB-to-Serial adapters. Presence of the
+		device is done by reading the version string.
+		The timeout at Python end is set to 3.2 milliseconds, twice the minimum 555 output time period.
+		TODO : Supporting more than one EYES on a PC to be done. The question is how to find out 
+		whether a port is already open or not, without doing any transactions to it.
+		"""
+		self.adcsize = 2;
+		
+		if os.name == 'nt':	
+			device_list = []
+			for k in range(1,255):
+				s = 'COM%d'%k
+				device_list.append(s)
+			for k in range(1,11):
+				device_list.append(k)
+		elif (os.uname()[0] == 'Darwin'):
+			device_list = []
+			device_list = glob.glob('/dev/cu.usbserial*')
+		else:
+			device_list = []    # gather unused ones from the linux_list
+			for dev in linux_list:
+				res = commands.getoutput('lsof -t '+ str(dev))	
+				if res == '': 
+					device_list.append(dev)
+		
+		for dev in device_list:
+			try:
+				handle = serial.Serial(dev, BAUDRATE, stopbits=1, timeout = 0.3, parity=serial.PARITY_EVEN)
+			except:
+				continue
+			print _('Port %s is existing ')%dev,
+			if handle.isOpen() != True:
+				print _('but could not open')
+				continue
+			print _('and opened. '),
+			handle.flush()
+			time.sleep(.5)
+			while handle.inWaiting() > 0 :
+				handle.flushInput()
+			handle.write(chr(GETVERSION))
+			res = handle.read(1)
+			ver = handle.read(5)		# 5 character version number
+			if ver[:2] == 'ey':
+				self.device = dev
+				self.fd = handle
+				self.version = ver
+				handle.timeout = 4.0	# r2rtime on .7 Hz require this
+				print _('Found EYES version '),ver
+				return 
+			else:
+				print _('No EYES hardware detected')
+				self.fd = None
+#------------------------------------------------------------------------------------
+
+	def dwrite(self,ch):
+		self.fd.write(ch)
+		time.sleep(0.01)		#MCP2200 to ATmega transfer has no handshake
+
+#-------------------- Pulse Width Modulated Waveform on TC0 and TC2 ------------------
+	def set_pwmdac(self, vout):        # Value in 0 to 5V
+		'''
+		Sets the PULSE output (T10) to 31.25 kHz and sets the duty cycle to make the
+		average voltage = vout. Need External RC filter to use this as a DC output.
+		0 to 5V range is covered in 255 steps and the function returns the value set.
+		'''
+		if 0 <= vout <= 5.0:
+			val = int(vout*255.0/5.0)
+			self.dwrite(chr(SETPWMDAC))
+			self.dwrite(chr(val))
+			self.fd.read(1)
+			return val * 5.0 / 255
+
+	def set_pulse(self, ds):        # Dutycycle in percentage
+		'''
+		Sets the frequency on PULSE to 488.3 Hz. Dutycycle is set to 'ds'. 
+		Returns the actual value set.
+		'''
+		if 0 <= ds <= 100:
+			val = int(ds*255.0/100)
+			self.dwrite(chr(SETPWM))
+			self.dwrite(chr(val))
+			self.fd.read(1)
+			return val * 100.0 / 255
+
+#---------------- Square Wave Generation & Measuring the Frequency ------------------
+	def irsend(self, dat):				# Infrared transmission
+			self.dwrite(chr(IRSEND))
+			self.dwrite(chr(dat))
+			self.fd.read(1)
+
+	def set_sqr0(self, freq):        # Sets Squarewave on the PULSE output
+		'''
+		Sets a square wave on the PULSE output. Frequency from 15Hz to 40000000 Hz (4 MHz), but 
+		it is not possible to set all intermediate values. 
+		The function sets the nearest possible value and returns it.
+		'''
+		if freq < 1:        # Disable squarewave on PULSE
+			self.dwrite(chr(SETCOUNTER0))
+			self.dwrite(chr(0))
+			self.dwrite(chr(0))
+			self.fd.read(1)
+			return 0
+
+		div = [4000000.0, 500000.0, 125000.0, 62500.0, 31250.0,15625.0,3906.25]
+		for i in range(7):
+			clock_sel = i+1
+			freq0 = div[i]
+			if ( freq0/ freq) <= 256:
+				break
+		setpoint = freq0/freq
+		if setpoint > 255:
+			setpoint = 255
+		OCR0 = int(setpoint)-1
+		#print clock_sel, OCR2
+		self.dwrite(chr(SETCOUNTER0))
+		self.dwrite(chr(clock_sel))
+		self.dwrite(chr(OCR0))
+		res = self.fd.read(1)
+		if res != 'D':
+			return None
+		if setpoint == 0:
+			return freq0
+		else:
+			return freq0/(OCR0+1)
+
+	def set_sqr1(self, freq):        # Freq in Hertz
+		'''
+		Sets the output frequency of the SQR1. Ranges from 15Hz to 40000000 Hz (4 MHz), but 
+		it is not possible to set all intermediate values. 
+		The function sets the nearest possible value and returns it.
+		'''
+		if freq < 1:        # Disable PWG
+			self.dwrite(chr(SETCOUNTER2))
+			self.dwrite(chr(0))
+			self.dwrite(chr(0))
+			self.fd.read(1)
+			return 0
+
+		div = [4000000.0, 500000.0, 125000.0, 62500.0, 31250.0,15625.0,3906.25]
+		for i in range(7):
+			clock_sel = i+1
+			freq0 = div[i]
+			if ( freq0/ freq) <= 256:
+				break
+		setpoint = freq0/freq
+		if setpoint > 255:
+			setpoint = 255
+		OCR2 = int(setpoint)-1
+		#print clock_sel, OCR2
+		self.dwrite(chr(SETCOUNTER2))
+		self.dwrite(chr(clock_sel))
+		self.dwrite(chr(OCR2))
+		res = self.fd.read(1)
+		if res != 'D':
+			return None
+		if setpoint == 0:
+			return freq0
+		else:
+			return freq0/(OCR2+1)
+
+	def get_sqr1(self):
+		'''
+		This function measures the frequency of SQR1. There is no need of this
+		since set_sqr1 returns the frequency actually set.
+		'''
+		self.adc2cmp(6)
+		t = self.multi_r2rtime(4)
+		if t < 10000:
+			t = self.multi_r2rtime(4,9)
+			return 1.0e7/t
+		return 1.0e6 / t
+
+	def set_sqr2(self, fmax):
+		'''
+		This function sets the frequency range of SQR2.
+		The ranges are : 0.7 to 25, 25 to 1000, 1000 to 10000 and 10000 to 90000.
+		You need to adjust the 22 KOhm variable resistor to get the desired frequency
+		within the selected range. Software allows you to measure the frequency while 
+		adjusting the resistor. Frequency can be set from .7 Hz to 90 KHz in different ranges.
+		'''
+		if fmax < 0:					#PA0 to LOW, makes 555 output LOW
+			self.set_ddr(0,1)
+			self.set_port(0,1)
+		elif fmax == 0:					#PA0 to LOW, makes 555 output HIGH
+			self.set_ddr(0,1)
+			self.set_port(0,0)
+		elif fmax<= 25:
+			self.set_ddr(0, 2+4+8+16)	# connect (47 + 1 + 0.1 + 0.01) uF 
+			self.set_port(0,0)
+		elif fmax<= 1000:
+			self.set_ddr(0, 2+4+8)		# connect (1 + 0.1 + 0.01) uF 
+			self.set_port(0,0)
+		elif fmax<= 10000:
+			self.set_ddr(0, 2+4)		# connect (0.1 + 0.01) uF 
+			self.set_port(0,0)
+		elif fmax <= 90000:				# connect 0.01 uF
+			self.set_ddr(0, 2)
+			self.set_port(0,0)
+		elif fmax > 300000:				# Oscllate with stray capacitance only
+			self.set_ddr(0, 0)
+			self.set_port(0,0)
+
+	def get_sqr2(self):
+		'''
+		This function measures the frequency of SQR2 (555 oscillator).
+		Call this while adjusting the frequency using the variable resistor.
+		'''
+		self.adc2cmp(6)
+		t = self.multi_r2rtime(4)
+		if t < 0:
+			return t
+		if 0 < t < 10000:
+			t = self.multi_r2rtime(4,9)
+			return 1.0e7/t
+		return 1.0e6 / t
+
+	def sensor_frequency(self):
+		'''
+		This function measures the frequency on the signal on SENS (T23) input.
+		'''
+		self.adc2cmp(5)
+		t = self.multi_r2rtime(4)
+		if t < 0:
+			return t
+		if 0 < t < 10000:
+			t = self.multi_r2rtime(4,9)
+			return 1.0e7/t
+		return 1.0e6 / t
+
+	def ampin_frequency(self):
+		'''
+		This function measures the frequency of an external BIPOLAR signal connected to Terminal 15.
+		If your signal is unipolar , connect it through a 1uF series 
+		The amplitude must be more than 100 mV
+		'''
+		return self.digin_frequency(2)	# Amplifier output is connected to PC2
+
+	def digin_frequency(self, pin):
+		'''
+		This function measures the frequency of an external 0 to 5V PULSE on digital inputs.
+		'''
+		t = self.multi_r2rtime(pin)
+		if t < 0:
+			return t
+		if 0 < t < 10000:
+			t = self.multi_r2rtime(pin,9)
+			return 1.0e7/t
+		return 1.0e6 / t
+
+#-------------------------------------- ADC & DAC Calibrations -----------------------------
+	def eeprom_write_char(self,addr, dat):
+		'''
+		Writes one byte to the specified address of the EEPROM memory of ATmega32.
+		Used for storing the calibration constants of ADC and DAC.
+		WARNING: Using this function may destroy the Calibration Data.
+		'''
+		self.dwrite(chr(WREEPROM))
+		self.dwrite(chr(addr&255))
+		self.dwrite(chr(addr>>8))
+		self.dwrite(dat)
+		res = self.fd.read(1)
+		if res != 'D':
+			print _('eeprom write byte error = '), res
+
+	def eeprom_read_block(self, addr, nb):	# get nb bytes starting from addr
+		'''
+		Reads 'nb' bytes starting from the specified address of the EEPROM memory of ATmega32.
+		Used for restoring the calibration constants of ADC and DAC.
+		'''
+		self.dwrite(chr(RDEEPROM))
+		self.dwrite(chr(addr&255))
+		self.dwrite(chr(addr>>8))
+		self.dwrite(chr(nb))
+		res = self.fd.read(1)
+		if res != 'D':
+			print _('eeprom read block error = '), res
+		dat = self.fd.read(nb)
+		return dat
+
+	def save_calib(self, ch, m, c):	# Saves m & c (8 bytes) to addr ch*8
+		'''
+		It is possible to reduce the offset and gain errors of the ADC, DAC and the op-amps
+		used in the circuit by doing a calibration. The -5V to 5V output is connected to both
+		the -5V to +5V inputs before running the calibrate.py program. The output is measured
+		with a >= 4.5 digit voltmeter and the calibration constants are stored to the EEPROM.
+		WARNING: Using this function may destroy the Calibration Data.
+		'''
+		addr = ch*8
+		s = struct.pack('f'*2, m, c)	# pack to floats
+		for i in range(2*4):	
+			self.eeprom_write_char(addr+i, s[i])
+			print ord(s[i]),
+		print
+		self.m[ch] = m
+		self.c[ch] = c
+		print _('SC: ch = %d m=%10.6f  c=%10.6f')%(ch, self.m[ch], self.c[ch])
+
+	def load_calib(self, ch):	# Load m & c from EEPROM
+		'''
+		Loads the calibration constants from the EEPROM and assigns them to the slope & intercept.	
+		'''
+		res = self.eeprom_read_block(ch*8,8)
+		if ord(res[0]) == 255 and ord(res[1]) == 255:
+			print _('BAD Calibration data. EEPROM does not have any data ')
+			return
+		raw = struct.unpack('f'*2, res)
+		self.m[ch] = raw[0]
+		self.c[ch] = raw[1]
+		for c in res: print ord(c),
+		print
+		print _('LC: ch = %d m=%10.6f  c=%10.6f')%(ch, self.m[ch], self.c[ch])
+
+	def loadall_calib(self):
+		self.load_calib(0)
+		self.load_calib(1)
+		self.load_calib(8)
+
+#------------------------------------ ADC & DAC transactions -----------------------------
+
+	def set_current(self, i):
+		'''
+		Sets the current of the Programmable Current Source.
+		Possible to set it from .020 mA to 2 mA, provided the IR drop across the load resistor < 2V
+		Returns the voltage at the Current Source Output.
+		'''
+		if (i < 0.020) or (i > 2.0):
+			print _('ERR:Current must be from 0.02 to 2.0 mA')
+			return None
+		i += 0.005				# 5 uA correction is applied. NEED TO SOLVE THIS PROBLEM !!!
+		Rc = 1000.0					  # Collector Resistance from 5V reference
+		v = 5.0 - Rc * i * 1.0e-3  	  # mA to A
+		#print _('DAC0 to set current = '), v
+		self.set_voltage(1,v)
+		return self.get_voltage(6)
+
+	def write_dac(self, ch, data):
+		'''
+		Writes binary data to DAC. Low level routine, generally not used.
+		'''
+		if (data > 4095): 		# DAC linearity problem
+			data = 4095
+		self.dwrite(chr(SETDAC))
+		self.dwrite(chr(ch))
+		self.dwrite(chr(data&255))
+		self.dwrite(chr(data>>8))
+		res = self.fd.read(1)
+		if res != 'D':
+			print _('WRITEDAC error '), res
+			return
+		return data
+
+	def set_voltage(self, ch, val):		# returns the interger send to DAC
+		'''
+		Sets the voltage outputs. Channel 0 is -5V to +5V and channel 1 is 0V to 5V.
+		The DAC output goes only upto 4.990 volts.
+		'''
+		if val > DACMAX: val = DACMAX		# Patch for the MCP4922 Problem 
+		if val < -DACMAX: val = -DACMAX
+		iv = int(round(self.m[8+ch]*val + self.c[8+ch]))
+		return self.write_dac(ch,iv)
+
+	def set_bpv(self, val):		# returns the interger send to DAC
+		'''
+		Sets the Bipolar Voltage Output (T30) from -4.99 to + 4.99 volts
+		'''
+		return self.set_voltage(0,val)
+
+	def set_upv(self, val):		# returns the interger send to DAC
+		'''
+		Sets the Unipolar Voltage Output (T31) from 0 to + 4.99 volts
+		'''
+		if val < 0: return
+		return self.set_voltage(1,val)
+
+	def read_adc(self, ch):
+		'''
+		Reads the specified ADC channel, returns a number from 0 to 4095. Low level routine.
+		'''
+		if (ch > 7):
+			print _('Argument error')
+			return
+		self.dwrite(chr(READADC))
+		self.dwrite(chr(ch))
+		res = self.fd.read(1)
+		if res != 'D':
+			print _('READADC error '), res
+			return
+		res = self.fd.read(2)
+		iv = ord(res[0]) | (ord(res[1]) << 8)
+		return iv
+
+	def get_voltage(self, ch):
+		'''
+		Reads the specified channel of the ADC. Returns -5V to 5V for channels 0 and 1
+		0V to 5V for other channels.
+		'''
+		if (ch > 7):
+			print _('Argument error')
+			return
+		self.dwrite(chr(READADC))
+		self.dwrite(chr(ch))
+		res = self.fd.read(1)
+		if res != 'D':
+			print _('WRITEDAC error '), res
+			return
+		res = self.fd.read(2)
+		iv = ord(res[0]) | (ord(res[1]) << 8)
+		v = self.m[ch] * iv + self.c[ch]
+		return v
+
+	def get_voltage_time(self, ch):
+		'''
+		Reads the specified channel of the ADC. Returns -5V to 5V for channels 0 and 1
+		0V to 5V for other channels. Adds the PC time info
+		'''
+		if (ch > 7):
+			print _('Argument error')
+			return
+		self.dwrite(chr(READADC))
+		self.dwrite(chr(ch))
+		tm = time.time()				# Job is sent. Now mark the time
+		res = self.fd.read(1)
+		if res != 'D':
+			print _('WRITEDAC error '), res
+			return
+		res = self.fd.read(2)
+		iv = ord(res[0]) | (ord(res[1]) << 8)
+		v = self.m[ch] * iv + self.c[ch]
+		return tm, v
+
+	def set_samtime(self, sam):
+		'''
+		Sets the sampling time of MCP3208 ADC, minimum required is 2 uSec. Give more for high input
+		impedance signals.
+		'''		
+		if sam > 250:
+			print _('Sampling time MUST NOT exceed 250 microseconds')
+			return
+		self.dwrite(chr(SETSAMTIME))
+		self.dwrite(chr(sam))
+		res = self.fd.read(1)
+		if res != 'D':
+			print _('SETSAMTIME ERROR '), res
+
+	def set_adcsize(self, size):
+		'''
+		The ADC output is 12 bits (2 bytes space). Capture functions gives the option to discard
+		4 LSBs and return the data in 1 byte, saving space and time.
+		'''
+		if size > 2:
+			print _('ADC datasize MUST be 1 or 2 bytes')
+			return
+		self.dwrite(chr(SETADCSIZE))
+		self.dwrite(chr(size))
+		res = self.fd.read(1)
+		if res != 'D':
+			print _('SETADCSIZE ERROR '), res
+		else:
+			self.adcsize = size
+
+
+	def capture(self, ch, np, delay):
+		'''
+		Arguments : channel number , number of samples and timegap between consecutive
+		digitizations. Returns two lists of size 'np'; time and volatge.
+		'''
+		if delay < 10:
+			return
+		if delay < 20:
+			self.dwrite(chr(QCAPTURE))
+			self.dwrite(chr(ch))
+			self.dwrite(chr(np&255))
+			self.dwrite(chr(np>>8))
+			self.dwrite(chr(delay))
+			st = time.time()
+			res = self.fd.read(1)
+			if res != 'D':
+				print _('QCAPTURE Error '), res, time.time()-st
+				return 0,0
+			asize = 1					# adc datasize = 1 for QCAPTURE
+		else:
+			self.dwrite(chr(CAPTURE))
+			self.dwrite(chr(ch))
+			self.dwrite(chr(np&255))
+			self.dwrite(chr(np>>8))
+			self.dwrite(chr(delay&255))
+			self.dwrite(chr(delay>>8))
+			res = self.fd.read(1)
+			if res != 'D':
+				print _('CAPTURE error '), res
+				return
+			res = self.fd.read(1)		# adc_size info from other end
+			asize = ord(res)
+		nc = asize * np 	
+		data = self.fd.read(nc)
+		dl = len(data)
+		if dl != nc:
+			print _('CAPTURE: size mismatch '), nc, dl
+			return
+		
+		ta = []
+		va = []
+		if ch <= 1:									# Channel 0 or 1 (-5V to +5V)
+			if asize == 2:							# 2 byte dataword
+				raw = struct.unpack('H'* np, data)  # 2 byte words in the structure
+				for i in range(np):
+					ta.append(0.001 * i * delay)	# microseconds to milliseconds
+					va.append(self.m[ch] * (raw[i]>>4) + self.c[ch])
+			else:
+				raw = struct.unpack('B'* np, data)  # 1 byte words in the structure
+				for i in range(np):
+					ta.append(0.001 * i * delay)		# microseconds to milliseconds
+					va.append(raw[i]*10.0/255 - 5.0)
+		else:
+			if asize == 2:							# 2 byte dataword
+				raw = struct.unpack('H'* np, data)  # 16 bit data in uint16 array
+				for i in range(np):
+					ta.append(0.001 * i * delay)	# microseconds to milliseconds
+					va.append((raw[i]>>4) * 5.0 / 4095)
+			else:
+				raw = struct.unpack('B'* np, data)  # 8 bit data in byte array
+				for i in range(np):
+					ta.append(0.001 * i * delay)	# microseconds to milliseconds
+					va.append(raw[i] * 5.0 / 255)
+		return ta,va
+
+
+	def capture01(self,np, delay):
+		'''
+		Samples the first two channels 'np' times. 
+		Time gap between samples is 'delay' usecs.
+		If delay < 20, 9 usecs offset between CH0 & CH1, else 17 usecs.
+		'''
+		if delay < 10:
+			return
+		if delay < 20:				# Fast Capture, datasize = 1 byte
+			self.dwrite(chr(QCAPTURE01))
+			self.dwrite(chr(np&255))
+			self.dwrite(chr(np>>8))
+			self.dwrite(chr(delay))
+			res = self.fd.read(1)
+			if res != 'D':
+				print _('CAPTURE01 error '), res
+				return		
+			asize = 1
+			tg01 =  0.009			# 0.009 milliseconds between CH0 and CH1
+		else:						# A slow capture
+			self.dwrite(chr(CAPTURE01))
+			self.dwrite(chr(np&255))
+			self.dwrite(chr(np>>8))
+			self.dwrite(chr(delay&255))
+			self.dwrite(chr(delay>>8))
+			res = self.fd.read(1)
+			if res != 'D':
+				print _('CAPTURE01 error '), res
+				return
+			res = self.fd.read(1)	# adc_size info from other end
+			asize = ord(res)
+			tg01 = 0.017			# 0.017 milliseconds between Ch0 & Ch1 digitizations
+
+		nb = asize *np * 2		# data from two channels 
+		data = self.fd.read(nb)
+		dl = len(data)
+		if dl != nb:
+			print _('CAPTURE01: size mismatch '), nb, dl
+			return
+
+		taa = []	# time & voltage arrays for CH0
+		vaa = []	
+		tba = []	# time & voltage arrays for CH1
+		vba = []	
+		if asize == 1:							# 1 byte dataword
+			raw = struct.unpack('B'* 2*np, data)  # 8 bit data in byte array
+			for i in range(np):
+				taa.append(0.001 * 2 * i * delay)
+				vaa.append(raw[2*i] * 10.0 / 255.0 - 5.0)
+				tba.append(0.001 * 2 * i * delay + tg01)
+				vba.append(raw[2*i +1] * 10.0 / 255.0 - 5.0)
+		else:                					
+			raw = struct.unpack('H'* 2*np, data)  # 16 bit data in uint16 array
+			for i in range(np):
+				taa.append(0.001 * 2 * i * delay)
+				vaa.append((raw[2*i]>>4) * 10.0 / 4095.0 - 5.0)
+				tba.append(0.001 * 2 * i * delay + tg01)
+				vba.append((raw[2*i +1]>>4) * 10.0 / 4095.0 - 5.0)
+		return taa,vaa,tba,vba
+
+
+	def capture_m32(self, ch, np, delay):   # Not working properly
+		'''
+		Capture 'np' samples from the ATmega32 ADC.
+		Arguments : channel number , number of samples and timegap between consecutive
+		digitizations. Returns a list of [time, volatge] coordinates.
+		'''
+		if delay < 10:
+			return
+		self.dwrite(chr(CAPTURE_M32))
+		self.dwrite(chr(ch))
+		self.dwrite(chr(np&255))
+		self.dwrite(chr(np>>8))
+		self.dwrite(chr(delay&255))
+		self.dwrite(chr(delay>>8))
+		res = self.fd.read(1)
+		if res != 'D':
+			print _('CAPTURE_M32 error '), res
+			return
+		asize = 1			# datasize = 1 for CAPTURE_M32
+		nc = asize * np 	
+		data = self.fd.read(nc)
+		dl = len(data)
+		if dl != nc:
+			print _('CAPTURE_M32: size mismatch '), nc, dl
+			return
+		
+		ta = []
+		va = []
+		raw = struct.unpack('B'* np, data)  # 8 bit data in byte array
+		for i in range(np):
+			ta.append(0.001 * i * delay)	# microseconds to milliseconds
+			va.append(raw[i] * 5.0 / 255)
+		return ta,va
+
+#------------------- Modifiers for Capture ------------------------------
+	def disable_actions(self):
+		'''
+		Disable all modifiers to the capture call. The capture will try to
+		do a self triggering on the ADC input.
+		'''
+		self.dwrite(chr(SETACTION))
+		self.dwrite(chr(0))
+		self.dwrite(chr(0))
+		self.fd.read(1)
+
+	def enable_wait_high(self, pin):
+		'''
+		Wait for a HIGH on the speciied 'pin' just before every Capture.
+		'''
+		if pin == 4:
+			mask = 0
+		else:
+			mask = 1 << pin          
+		self.dwrite(chr(SETACTION))
+		self.dwrite(chr(AWAITHI))
+		self.dwrite(chr(mask))
+		self.fd.read(1)
+
+	def enable_wait_rising(self, pin):
+		'''
+		Wait for a rising EDGE on the speciied 'pin' just before every Capture.
+		'''
+		if pin == 4:
+			mask = 0
+		else:
+			mask = 1 << pin          
+		print _('wait_rising '), AWAITRISE
+		self.dwrite(chr(SETACTION))
+		self.dwrite(chr(AWAITRISE))
+		self.dwrite(chr(mask))
+		self.fd.read(1)
+
+	def enable_wait_low(self, pin):
+		'''
+		Wait for a LOW on the speciied 'pin' just before every Capture.
+		'''
+		if pin == 4:
+			mask = 0
+		else:
+			mask = 1 << pin          
+		self.dwrite(chr(SETACTION))
+		self.dwrite(chr(AWAITLO))
+		self.dwrite(chr(mask))
+		self.fd.read(1)
+
+	def enable_wait_falling(self, pin):
+		'''
+		Wait for a falling EDGE on the speciied 'pin' just before every Capture.
+		'''
+		if pin == 4:
+			mask = 0
+		else:
+			mask = 1 << pin          
+		print _('wait_rising '), AWAITRISE
+		self.dwrite(chr(SETACTION))
+		self.dwrite(chr(AWAITFALL))
+		self.dwrite(chr(mask))
+		self.fd.read(1)
+
+	def enable_set_high(self, pin):
+		'''
+		Sets the speciied 'pin' HIGH, just before every Capture.
+		'''
+		mask = 1 << pin
+		self.dwrite(chr(SETACTION))
+		self.dwrite(chr(ASET))
+		self.dwrite(chr(mask))
+		self.fd.read(1)
+
+	def enable_set_low(self, pin):
+		'''
+		Sets the speciied 'pin' LOW, just before every Capture.
+		'''
+		mask = 1 << pin
+		self.dwrite(chr(SETACTION))
+		self.dwrite(chr(ACLR))
+		self.dwrite(chr(mask))
+		self.fd.read(1)
+
+	def enable_pulse_high(self, pin):
+		'''
+		Generate a HIGH TRUE Pulse on the speciied 'pin', just before every Capture.
+		width is specified by the set_pulsewidth() function.
+		'''
+		mask = 1 << pin
+		self.dwrite(chr(SETACTION))
+		self.dwrite(chr(APULSEHI))
+		self.dwrite(chr(mask))
+		self.fd.read(1)
+
+	def enable_pulse_low(self, pin):
+		'''
+		Generate a LOW TRUE Pulse on the speciied 'pin', just before every Capture.
+		'''
+		mask = 1 << pin
+		self.dwrite(chr(SETACTION))
+		self.dwrite(chr(APULSELO))
+		self.dwrite(chr(mask))
+		self.fd.read(1)
+
+		
+
+#------------------------Time Interval Measurement routines-------------
+	def set_pulsepol(self, pol):
+		'''
+		Sets the 'pulse_polarity' parameter for pulse2rtime()
+		pol = 0 means HIGH TRUE pulse 
+		'''
+		self.dwrite(chr(SETPULSEPOL))
+		self.dwrite(chr(pol))
+		res = self.fd.read(1)
+		if res == 'D':
+			self.pulse_pol = pol
+
+	def set_pulsewidth(self, width):
+		'''
+		Sets the 'pulse_width' parameter for pulse2rtime() command. 
+		Also used by usound_time() and the elable_pulse_high/low() functions
+		'''
+		self.dwrite(chr(SETPULSEWID))
+		self.dwrite(chr(width))
+		res = self.fd.read(1)
+		if res == 'D':
+			self.pulse_width = width
+
+	def usound_time(self):
+		'''
+		Used for measuring the velocity of sound. Connect the Transmitter Piezo to OD1 (T4).
+		The Receiver is connected to the amplifier input T15. This function measures the time
+		from a Pulse on ID1 to a signal on T15, in microseconds. 
+		Use set_pulsewidth() to set the width to 13 microseconds.
+		'''
+		self.dwrite(chr(USOUND))
+		res = self.fd.read(1)
+		if res != 'D':
+			print _('Echo error = '),res
+			return -1.0
+		res = self.fd.read(3)
+		low = (ord(res[1]) << 8) | ord(res[0])
+		return low + 50000 * ord(res[2])
+
+	def __helper(self, cmd, pin1, pin2):    # pins 0 to 3
+		'''
+        Used by time measurement functions below.
+        Make an 8 bit mask from pin1 and pin2.
+		First argument (pin1) is encoded in the HIGH half.
+        for example pin1 = 2 , pin2 = 0, mask = 0010:0001
+		'''
+		if pin1 > 4 or pin2 > 4:
+			return -1.0
+		if pin1 == 4:        	# Analog Comparator
+			hi = 0
+		else:
+			hi = 1 << (pin1+4)  # digin pins
+           
+		if pin2 == 4:        	# wait on Analog comparator
+			low = 0
+		else:
+			low  = 1 << pin2
+		mask = hi | low;
+		self.dwrite(chr(cmd))
+		self.dwrite(chr(mask))
+		res = self.fd.read(1)
+		if res != 'D':
+			print _('Time Measurement call Error. CMD = '), cmd, res
+			return -1.0
+		res = self.fd.read(3)
+		low = (ord(res[1]) << 8) | ord(res[0])
+		return float(low + 50000 * ord(res[2]))
+    
+	def r2ftime(self, pin1, pin2):
+		'''
+		Measures time from a rising edge of pin1 to a falling edge on pin2.
+		Pins could be same or distinct.
+		'''
+		return self.__helper(R2FTIME, pin1, pin2)
+
+	def f2rtime(self, pin1, pin2):
+		'''
+		Measures time from a falling edge of pin1 to a rising edge on pin2.
+		Pins could be same or distinct.
+		'''
+		return self.__helper(F2RTIME, pin1, pin2)
+
+	def r2rtime(self, pin1, pin2):
+		'''
+		Measures time from a rising edge of pin1 to a rising edge on pin2.
+		Pins could be same or distinct.
+		'''
+		return self.__helper(R2RTIME, pin1, pin2)
+
+	def f2ftime(self, pin1, pin2):
+		'''
+		Measures time from a falling edge of pin1 to a falling edge on pin2.
+		Pins could be same or distinct.
+		'''
+		return self.__helper(F2FTIME, pin1, pin2)
+
+	def set2ftime(self, op, ip):
+		'''
+		Measures time from Setting output pin 'op' to a LOW on input pin 'ip'
+		'''
+		return self.__helper(SET2FTIME, op, ip)
+
+	def set2rtime(self, op, ip):
+		'''
+		Measures time from Setting output pin 'op' to a HIGH on input pin 'ip'
+		'''
+		return self.__helper(SET2RTIME, op, ip)
+
+	def clr2rtime(self, op, ip):
+		'''
+		Measures time from Clearing output pin 'op' to a HIGH on input pin 'ip'
+		'''
+		return self.__helper(CLR2RTIME, op, ip)
+
+	def clr2ftime(self, op, ip):
+		'''
+		Measures time from Clearing output pin 'op' to a LOW on input pin 'ip'
+		'''
+		return self.__helper(CLR2FTIME, op, ip)
+
+	def pulse2rtime(self, op, ip):
+		'''
+		Measures time from a Pulse on pin 'op' to a HIGH on input pin 'ip'
+		'''
+		return self.__helper(PULSE2RTIME, op, ip)
+
+	def pulse2ftime(self, op, ip):
+		'''
+		Measures time from a Pulse on pin 'op' to a LOW on input pin 'ip'
+		'''
+		return self.__helper(PULSE2FTIME, op, ip)
+
+	def multi_r2rtime(self, pin , skipcycles=0):
+		'''
+		Time between two rising edges on the same input pin.
+		separated by 'skipcycles' number of cycles.
+		If skipcycles is zero the period of the waveform is returned.
+		'''
+		if pin > 4:			# ADC inputs
+			mask = pin << 4
+		elif pin == 4:
+			mask = 0
+		else:
+			mask = 1 << pin
+		self.dwrite(chr(MULTIR2R))
+		self.dwrite(chr(mask))
+		self.dwrite(chr(skipcycles))
+		if self.fd.read(1) != 'D':
+			return -1.0
+		res = self.fd.read(3)
+		low = (ord(res[1]) << 8) | ord(res[0])
+		return float(low + 50000 * ord(res[2]))
+
+
+	def adc2cmp(self, ch):			# Route ADC input to comparator (AIN-)
+		'''
+		Route the specified ADC channel to the Analog Comparator Input (AIN-)
+		'''
+		self.dwrite(chr(ADC2CMP))
+		self.dwrite(chr(ch))
+		self.fd.read(1)
+
+#----------------------------- Simple Digital I/O functions ----------------------------
+	def write_outputs(self, val):
+		'''
+		Writes  a 2 bit number to the Digital Outputs
+		'''
+		self.dwrite(chr(DIGOUT))
+		self.dwrite(chr(val))
+		self.fd.read(1)
+
+	def read_inputs(self):
+		'''
+		Gets a 4 bit number representing the Digital Input voltage Levels
+		'''
+		self.dwrite(chr(DIGIN))
+		res = self.fd.read(1)
+		if res != 'D':
+			print _('DIGIN error')
+			return
+		res = self.fd.read(1)
+		return ord(res) & 15		# 4 LSBs
+
+#-----------DIRECT PORT ACCESS FUNCTIONS (Use only if you know what you are doing)---------
+	def set_ddr(self, port, direc):
+		self.dwrite(chr(SETDDR))           
+		self.dwrite(chr(port))	 # 0 to 3 for A,B,C and D
+		self.dwrite(chr(direc))
+		self.fd.read(1)
+		return
+
+	def set_port(self, port, val):
+		self.dwrite(chr(SETPORT))           
+		self.dwrite(chr(port))	 # 0 to 3 for A,B,C and D
+		self.dwrite(chr(val))
+		self.fd.read(1)
+		return
+
+	def get_port(self, port):
+		self.dwrite(chr(SETPORT))           
+		self.dwrite(chr(port))	 # 0 to 3 for A,B,C and D
+		self.fd.read(1)
+		data = self.fd.read(1)     	 # get the status byte only
+		return ord(data)
+
+#--------------------------------- may go to eyeutils.py ------------------------------
+	def minimum(self,va):
+		vmin = 1.0e10		# need to change
+		for v in va:
+			if v < vmin:
+				vmin = v
+		return vmin
+
+	def maximum(self,va):
+		vmax = 1.0e-10		# need to change
+		for v in va:
+			if v > vmax:
+				vmax = v
+		return vmax
+
+	def rms(self,va):
+		vsum = 0.0
+		for v in va:
+			vsum += v**2
+		v = vsum / len(va)
+		return math.sqrt(v)
+
+	def mean(self,va):
+		vsum = 0.0
+		for v in va:
+			vsum += v
+		v = vsum / len(va)
+		return v
+
+	def save(self, data, filename = 'plot.dat'):
+		'''
+		Input data is of the form, [ [x1,y1], [x2,y2],....] where x and y are vectors
+		'''
+		if data == None: return
+		import __builtin__					# Need to do this since 'eyes.py' redefines 'open'
+		f = __builtin__.open(filename,'w')
+		for xy in data:
+			for k in range(len(xy[0])):
+				f.write('%5.3f  %5.3f\n'%(xy[0][k], xy[1][k]))
+			f.write('\n')
+		f.close()
+
+	def grace(self, data, xlab = '', ylab = '', title = ''):
+		'''
+		Input data is of the form, [ [x1,y1], [x2,y2],....] where x and y are vectors
+		'''
+		try:
+			import pygrace
+			pg = pygrace.grace()
+			for xy in data:
+				pg.plot(xy[0],xy[1])
+				pg.hold(1)				# Do not erase the old data
+			pg.xlabel(xlab)
+			pg.ylabel(ylab)
+			pg.title(title)
+			return True
+		except:
+			return False
+
+
+
+
+
diff --git a/expeyes/eyesj.py b/expeyes/eyesj.py
new file mode 100644
index 0000000..0eb7e77
--- /dev/null
+++ b/expeyes/eyesj.py
@@ -0,0 +1,1422 @@
+'''
+EYES for Young Engineers and Scientists -Junior (EYES Junior 1.0)
+Python library to communicate to the PIC24FV32KA302 uC running 'eyesj.c'
+Author  : Ajith Kumar B.P, bpajith at gmail.com, ajith at iuac.res.in
+License : GNU GPL version 3
+Started on 25-Mar-2012
+Last edit : 25-Oct-2012, added storing calibration to EEPROM
+*
+The micro-controller pins used are mapped into 13 I/O channels (numbered 0 to 12)
+and act like a kind of logical channels.  The Python function calls refer to them
+using the corresponding number, ie 0 => A0. 
+
+ * 0 : A0, Analog Comaparator(A5) output.
+ * 1 : A1, -5V to +5V range Analog Input 
+ * 2 : A2, -5V to +5V range Analog Input 
+ * 3 : IN1 , Can function as Digital or 0 to 5V Analog Input
+ * 4 : IN2, Can function as Digital or 0 to 5V Analog Input
+ * 5 : SEN, Simial to A3 & A4, but has a 5K external pullup resistor (Comp input)
+ * 6 : SQR1-read, Input wired to SQR1 output
+ * 7 : SQR2-read,  Input wired to SQR2 output
+ * 8 : SQR1 control, 0 to 5V programmable Squarewave. Setting Freq = 0 means 5V, Freq = -1 means 0V
+ * 9 : SQR2 control, 0 to 5V programmable Squarewave
+ * 10: Digital output OD1, 
+ * 11: CCS, Controls the 1mA constant current source. 
+ * A12: Analog Input  AN0 / RA0  (dummy entry for RA0), special case
+'''
+
+import serial, struct, math, time, commands, sys, os, os.path
+import __builtin__		# Need to do this since 'eyes.py' redefines 'open'
+
+import gettext # For localization, inputs from Georges (georges.khaznadar at free.fr)
+gettext.bindtextdomain('expeyes')
+gettext.textdomain('expeyes')
+_ = gettext.gettext
+
+
+#Commands with One byte argument (41 to 80) 
+GETVERSION  =   1
+READCMP     =   2	# Status of comparator output 
+READTEMP    =   3	# IC Temperature
+GETPORTB	=   4
+
+#Commands with One byte argument (41 to 80) 
+READADC		=	41	# Read the ADC channel
+GETSTATE    =   42  # Digital Input Status
+NANODELAY   =   43  # from IN2 to SEN, using CTMU, send current range
+SETADCREF   =   44  # non-zero value selects external +Vref option
+READADCSM   =	45	# Read the ADC channel, in Sleep Mode
+IRSEND1     =   46  # Sends one byte over IR on SQR1
+RDEEPROM	=   47	# Read nwords starting from addr
+
+# Commands with Two bytes argument (81 to 120)
+R2RTIME     =   81  # Time from rising edge to rising edge,arguments pin1 & pin2
+R2FTIME     =   82      
+F2RTIME     =   83      
+F2FTIME     =   84      
+MULTIR2R    =   85  # Time between rising edges, arguments pin & skipcycles    
+SET2RTIME   =   86  # From a Dout transition to the Din transition
+SET2FTIME   =   87  #
+CLR2RTIME   =   88  #   
+CLR2FTIME   =   89  #    
+HTPUL2RTIME =   90  # High True Pulse to HIGH
+HTPUL2FTIME =   91  # High True Pulse to LOW
+LTPUL2RTIME =   92  #
+LTPUL2FTIME =   93  #
+SETPULWIDTH =   94  # Width setting for PULSE2* functions    
+SETSTATE    =   95  # SQR1, SQR2, OD & CCS only
+SETDAC		=   96	# 12 bit DAC setting
+SETCURRENT	=   97	# ADC channel, CTMU Irange
+SETACTION   =   98  # capture modifiers, action, target pin
+SETTRIGVAL  =   99  # Analog trigger level, 2 bytes
+
+
+# Commands with Three bytes argument (121 to 160)    
+SETSQR1		=  121	# Square wave on OSC2
+SETSQR2		=  122	# Square wave on OSC3
+WREEPROM	=  123  # write 1 word to the address
+
+#Commands with Four bytes argument (161 to 200)
+MEASURECV   = 163    # ch, irange, duration
+SETPWM1     = 164    # PWM on SQR1 output. Send ocxrx and ocx
+SETPWM2     = 165    # PWM on SQR1 output.
+IRSEND4     = 166    # 4 byte  IR
+
+#Commands with Five bytes argument (201 to 240)
+CAPTURE  	= 201	 # Ch, 2 byte NS, 2 byte TG 
+CAPTURE_HR	= 202	 # Ch, 2 byte NS, 2 byte TG
+SETSQRS		= 203    # Set both square waves, with specified phase difference. scale, ocr, diff
+
+#Commands with Six bytes argument (241 to 255)
+CAPTURE2  	= 241	 # ch1, ch2, NS, TG (1, 1, 2, 2)bytes
+CAPTURE2_HR	= 242	 # ch1, ch2, NS, TG (1, 1, 2, 2)bytes
+CAPTURE3	= 243	 # ch1&ch2, ch3, ns , tg
+CAPTURE4	= 244	 # ch1&ch2, ch3&ch4, ns , tg
+
+# Actions before capturing waveforms
+AANATRIG    = 0      # Trigger on analog input level, set by SETRIGVAL
+AWAITHI		= 1
+AWAITLO		= 2
+AWAITRISE	= 3
+AWAITFALL	= 4
+ASET		= 5
+ACLR		= 6
+APULSEHT	= 7
+APULSELT	= 8
+
+BUFSIZE     = 1800       # status + adcinfo + 1800 data
+
+#Serial devices to search for EYES hardware.  
+linux_list = ['/dev/ttyACM0','/dev/ttyACM1','/dev/ttyACM2', '/dev/ttyACM3', '/dev/ttyAMA0']  
+
+def open(dev = None):
+	'''
+	If EYES hardware in found, returns an instance of 'Eyes', else returns None.
+	'''
+	obj = Eyesjun()
+	if obj.fd != None:
+		obj.disable_actions()			# Disable capture modifiers
+		obj.load_calibration()
+		return obj
+	print _('Could not find EYES Junior hardware')
+	print _('Check the connections.')
+
+BAUDRATE = 115200			# Serial communication
+
+class Eyesjun:
+	fd = None						# init should fill this
+	DACMAX = 5.000					# DAC upper limit
+	DACM = 4095.0/5
+	tgap =  0.004					# 0.004 ms shift between two channels of capture2
+	m12 = [5.0/4095] + [10.0/4095]*2 + [5.0/4095]*10
+	m8 =  [5.0/255]  + [10.0/255] *2 + [5.0/255] *10
+	c = [0.0] + [-5.0]*2 + [0.0]*10
+	sen_pullup = 5100.0
+	cap_calib = 1.0				# Default values, to be loaded from file.
+	socket_cap = 30.0			# Set by calibrate.py
+	msg = ''
+
+	def __init__(self, dev = None):
+		"""
+		Searches for EYES hardware on USB-to-Serial adapters. Presence of the
+		device is done by reading the version string. Timeout set to 4 sec
+		TODO : Supporting more than one EYES on a PC to be done. The question is how to find out 
+		whether a port is already open or not, without doing any transactions to it.
+		"""
+		
+		if os.name == 'nt':				# for Windows machines, search COM1 to COM255
+			device_list = []
+			for k in range(1,255):
+				s = 'COM%d'%k
+				device_list.append(s)
+			for k in range(1,11):
+				device_list.append(k)
+		else:
+			device_list = []		# Gather unused devices from linux_list
+			for dev in linux_list:
+				res = commands.getoutput('lsof -t '+ str(dev))
+				if res == '':
+					device_list.append(dev)
+		
+		for dev in device_list:
+			try:
+				handle = serial.Serial(dev, BAUDRATE, stopbits=1, timeout = 0.3) #8,1,no parity
+			except:
+				continue
+
+			self.msg = _('Port %s is existing ') %dev
+			if handle.isOpen() != True:
+				print _('but could not open')
+				continue
+			self.msg += _('and opened. ')
+			handle.flush()
+			time.sleep(.5)
+			while handle.inWaiting() > 0 :
+				handle.flushInput()
+			handle.write(chr(GETVERSION))
+			res = handle.read(1)
+			ver = handle.read(5)		# 5 character version number
+			if ver[:2] == 'ej':
+				self.device = dev
+				self.fd = handle
+				self.version = ver
+				handle.timeout = 4.0	# r2rtime on .7 Hz require this
+				self.msg += 'Found EYES Junior version ' + ver
+				return 		# Successful return
+			else:			# If it is not our device close the file
+				handle.close()
+		print self.msg
+		print _('No EYES Junior hardware detected')
+		self.fd = None
+#------------------------------------------------------------------------------------
+	def sendByte(self,bval):
+		self.fd.write(chr(bval))
+		time.sleep(0.005)				# This delay is for MCP2200 + uC
+
+	def sendInt(self,ival):
+		self.fd.write(chr(ival & 255))
+		time.sleep(0.005)				# This delay is for MCP2200 + uC
+		self.fd.write(chr(ival >> 8))
+		time.sleep(0.005)				# This delay is for MCP2200 + uC
+
+	def get_version(self):
+		self.sendByte(GETVERSION)
+		res = self.fd.read(1)
+		if res != 'D':
+			p.msg = _('GETVERSION ERROR') + res
+			return
+		ver = self.fd.read(5)
+		return ver
+
+#-----------------------------------EEPROM----------------------------------
+	def eeprom_write(self, addr, data):
+		self.sendByte(WREEPROM)
+		self.sendByte(addr)
+		self.sendInt(data)
+		res = self.fd.read(1)
+		if res != 'D':
+			self.msg = _('WREEPROM ERROR ') + res
+			print _('WREEPROM ERROR'), res
+			return None
+		return 1			# number of words written
+
+	def eeprom_read(self, addr):
+		self.sendByte(RDEEPROM)
+		self.sendByte(addr)
+		res = self.fd.read(1)
+		if res != 'D':
+			self.msg = _('RDEEPROM ERROR ') + res
+			return None
+		res = self.fd.read(2)
+		return ord(res[0]) | (ord(res[1]) << 8)
+
+	def store_float(self, addr, data):	# store a floating point number to EEPROM
+		ss = struct.pack('f', data)
+		lo = ord(ss[0]) | (ord(ss[1]) << 8)
+		hi  = ord(ss[2]) | (ord(ss[3]) << 8)
+		if self.eeprom_write(addr, lo) == None:
+			return None
+		if self.eeprom_write(addr+1, hi) == None:
+			return None
+		return 1
+
+	def restore_float(self, addr):		# restore a floating point number from EEPROM
+		lo = self.eeprom_read(addr)
+		hi = self.eeprom_read(addr+1)
+		data = (hi << 16) | lo
+		ss = struct.pack('I', data)
+		res = struct.unpack('f', ss)
+		return res[0]					# return the float
+
+	AM1 = 0		# EEPROM location of the parameters, y = mx + c, for A1 and A2
+	AC1 = 2
+	AM2 = 4
+	AC2 = 6
+	ASOC = 8      # Socket cap IN1
+	ACCF = 10     # Capacitance error factor
+	ARP  = 12     # Pullup Resistance 
+
+	def storeCF_a1a2(self, m1,c1,m2,c2): # slope & intercept for A1 and A2		
+		if self.store_float(self.AM1, m1) == None:
+			return None
+		self.store_float(self.AC1, c1)
+		self.store_float(self.AM2, m2)
+		self.store_float(self.AC2, c2)
+		return 4		# Number of items written
+
+	def storeCF_cap(self, soc, ccf):  	#Socket capacitance and error factor
+		if self.store_float(self.ASOC, soc) == None:
+			return None
+		self.store_float(self.ACCF, ccf)
+		return 2
+
+	def storeCF_sen(self, r):			# pullup resistor value
+		if self.store_float(self.ARP, r) == None:
+			return None
+		return 1
+
+	def load_calibration(self):
+		try:
+			m1 = self.restore_float(self.AM1)
+			c1 = self.restore_float(self.AC1)
+			m2 = self.restore_float(self.AM2)
+			c2 = self.restore_float(self.AC2)
+			m = 10.0/4095
+			c = -5.0
+			dm = m * 0.02			# maximum 2% deviation
+			dc = 5 * 0.02
+			#print m1,c1,m2,c2, dm, dc
+			if abs(m1-m) < dm and abs(m2-m) < dm and abs(c1-c) < dc and abs(c2-c) < dc:
+				self.m12[1] = m1
+				self.c[1] = c1
+				self.m12[2] = m2
+				self.c[2] = c2
+				self.m8[1] = m1 * 4095./255		# Scale factors for 8 bit read
+				self.m8[2] = m2 * 4095./255
+				#print _('Calibration Factors :'), m1,c1,m2,c2
+			else:
+				print _('Invalid Calibration factors for A1,A2'), m1,c1,m2,c2
+		except:
+			print _('Could not load A1 & A2 Calibration')
+
+		try:
+			soc = self.restore_float(self.ASOC)
+			ccf = self.restore_float(self.ACCF)
+			if (.8 < ccf < 1.2) and (20 < soc < 50):
+				self.cap_calib = ccf
+				self.socket_cap = soc
+				#print _('IN1 Calibration :'), ccf, soc
+			else:
+				print _('Invalid Calibration factors for IN1'), soc, ccf
+		except:
+			print _('Could not load IN1 Capacitor Calibration')
+
+		try:
+			r = self.restore_float(self.ARP)
+			if 4950 < r < 5250:
+				self.sen_pullup = r
+				#print _('SEN Pullup :'), r
+			else:
+				print _('Invalid Pullup resistor value'), r
+		except:
+			print _('Could not load SEN Pullup calibration')
+
+#------------------------- Infrared comm. ----------------
+	def irsend1(self, d1):
+		self.sendByte(IRSEND1)
+		self.sendByte(d1)
+		res = self.fd.read(1)
+		if res != 'D':
+			self.msg = _('IRSEND1 ERROR ') + res
+			print _('IRSEND1 ERROR'), res
+			return
+		return 1
+
+	def irsend4(self, d1,d2,d3,d4):
+		self.sendByte(IRSEND4)
+		self.sendByte(d1)
+		self.sendByte(d2)
+		self.sendByte(d3)
+		self.sendByte(d4)
+		res = self.fd.read(1)
+		if res != 'D':
+			self.msg = _('IRSEND4 ERROR ')+ res
+			print _('IRSEND4 ERROR'), res
+			return
+		return 1
+
+#--------------------------------------CTMU -------------
+	ctmui = [550, 0.55, 5.5, 55.0]
+	def nano_delay(self, i):
+		'''
+		Using the CTMU of PIC, measure r2r from IN2 or SEN. uses cap of IN1. Incomplete
+		ch = 3
+		self.sendByte(NANODELAY)
+		self.sendByte(self.rval[i])
+		res = self.fd.read(1)
+		if res != 'D':
+			print _('MEASUREDELAY ERROR'), res
+			return
+		res = self.fd.read(2)
+		iv = ord(res[0]) | (ord(res[1]) << 8)
+		print iv
+		v = self.m12[ch] * iv + self.c[ch]
+		return v
+		'''		
+		return
+
+	def measure_cv(self, ch, ctime, i = 5.5):  
+		'''
+		Using the CTMU of PIC, charges a capacitor connected to IN1, IN2 or SEN, 
+		for 'ctime' microseconds and then mesures the voltage across it.
+		The value of current can be set to .55uA, 5.5 uA, 55uA or 550 uA
+		'''
+		if i > 500:		# 550 uA
+			irange = 0
+		elif i > 50:	#55 uA
+			irange = 3
+		elif i > 5:		#5.5 uA,  default value
+			irange = 2
+		else:			# 0.55 uA
+			irange = 1
+
+		if ch not in [3,4]:
+			self.msg = _('Current to be set only on IN1(3) or IN2(4)')
+			print _('Current to be set only on IN1 or IN2')
+			return
+		self.sendByte(MEASURECV)
+		self.sendByte(ch)
+		self.sendByte(irange)
+		self.sendInt(ctime)
+		res = self.fd.read(1)
+		if res != 'D':
+			self.msg = _('MEASURECV ERROR ') + res
+			print _('MEASURECV ERROR'), res
+			return 
+		res = self.fd.read(2)
+		iv = ord(res[0]) | (ord(res[1]) << 8)
+		v = self.m12[ch] * iv + self.c[ch]
+		return v
+
+	def measure_cap_raw(self, ctmin = 10):
+		'''
+		Measures the capacitance connected between IN1 and GND. Stray capacitance 
+		should be subtracted from the measured value. Measurement is done by charging 
+		the capacitor with 5.5 uA for a given time interval. Any error in the value of
+		current is corrected by calibrating.
+		'''
+		for ctime in range(ctmin, 1000, 10):
+			v = self.measure_cv(3, ctime, 5.5)   # 5.5 uA range is chosen
+			if v > 2.0: break
+		if (v > 4) or (v == 0):
+			self.msg = _('Error measuring capacitance %5.3f') %v
+			print _('Error measuring capacitance'), v
+			return None
+		return 5.5 * ctime / v    # returns value in pF 
+
+	def measure_cap(self, ctmin = 10):
+		'''
+		Measures the capacitance connected between IN1 and GND.
+		Returns the value after applying corrections.
+		'''
+		cap = self.measure_cap_raw()
+		if cap != None:
+			return (cap - self.socket_cap) * self.cap_calib
+		else:
+			return None
+
+	def measure_res(self):
+		'''
+		Measures the resistance connected between SEN and GND.
+		'''
+		v = self.get_voltage(5)
+		if .1 < v < 4.9:
+			return self.sen_pullup * v /(5-v)
+		else:
+			self.msg = _('Resistance NOT in 100 Ohm to 100 kOhm range')
+			print _('Resistance NOT in 100 Ohm to 100 kOhm range')
+			return
+
+	def set_current(self, ch, i): # channel 3 or 4, 0 means stop CTMU
+		'''
+		Sets CTMU current 'i' on a channel 'ch' and returns the voltage measured 
+		across the load. Allowed values of current are .55, 5.5, 55 and 550 uAmps.
+		'''
+		if i > 500:		# 550 uA
+			irange = 0
+		elif i > 50:	#55 uA
+			irange = 3
+		elif i > 5:		#5.5 uA,  default value
+			irange = 2
+		else:			# 0.55 uA
+			irange = 1
+		if i == 0 : 		# indication to stop CTMU
+			ch = 0 
+		if ch not in [0,3,4]:  # 0 means stopping CTMU
+			self.msg = _('Current to be set only on IN1 or IN2')
+			print _('Current to be set only on IN1 or IN2')
+			return
+		self.sendByte(SETCURRENT)
+		self.sendByte(ch)
+		self.sendByte(irange)
+		res = self.fd.read(1)
+		if res != 'D':
+			self.msg = _('SETCURRENT ERROR') + res
+			print _('SETCURRENT ERROR'), res
+			return 
+		res = self.fd.read(2)
+		iv = ord(res[0]) | (ord(res[1]) << 8)
+		v = self.m12[ch] * iv + self.c[ch]
+		return v
+
+	def read_temp(self):
+		'''
+		Reads the temperature of uC, currently of no use. Have to see whether this can be used for correcting
+		the drift of the 5V regulator with temeperature.
+		'''
+		self.sendByte(READTEMP)
+		res = self.fd.read(1)
+		if res != 'D':
+			print _('READTEMP error '), res
+			self.msg = _('READTEMP error') + res
+			return
+		res = self.fd.read(2)
+		iv = ord(res[0]) | (ord(res[1]) << 8)
+		return iv
+
+#---------- Time Interval Measurements ----------------------
+
+	def tim_helper(self, cmd, src, dst):
+		'''
+		Helper function for all Time measurement calls. Command, Source and destination pins are imputs.
+		Returns time in microseconds, -1 on error.
+		'''
+		if cmd == MULTIR2R:
+			if src not in [0,3,4,5,6,7]:
+				print _('Pin should be digital input capable: 0,3,4,5,6 or 7')
+				self.msg = _('Pin should be digital input capable: 0,3,4,5,6 or 7')
+				return -1
+			if dst > 249:
+				self.msg = _('skip exceeded 249 edges')
+				print _('skip exceeded 249 edges')
+				return -1
+		if cmd in [R2RTIME, R2FTIME, F2RTIME, F2FTIME]:
+			if src not in [0,3,4,5,6,7] or dst not in [0,3,4,5,6,7]:
+				self.msg = _('Both pins should be digital input capable: 0,3,4,5,6 or 7')
+				print _('Both pins should be digital input capable: 0,3,4,5,6 or 7')
+				return -1
+		if cmd in [SET2RTIME, SET2FTIME, CLR2RTIME, CLR2FTIME, HTPUL2RTIME, HTPUL2FTIME, LTPUL2RTIME, LTPUL2FTIME]:
+			if src not in [8,9,10,11]:
+				self.msg = _('Starting pin should be digital output capable: 8,9,10 or 11')
+				print _('Starting pin should be digital output capable: 8,9,10 or 11')
+				return -1
+			if dst not in [0,3,4,5,6,7]:
+				self.msg = _('Destination pin should be digital input capable: 0,3,4,5,6 or 7')
+				print _('Destination pin should be digital input capable: 0,3,4,5,6 or 7')
+				return -1
+		self.sendByte(cmd)	
+		self.sendByte(src)	
+		self.sendByte(dst)	
+		res = self.fd.read(1)
+		if res != 'D':
+			self.msg = _('Time measurement command error')
+			print _('Time measurement command %d error ') %cmd, res
+			return -1.0
+		res = self.fd.read(1)
+		data = self.fd.read(4)
+		raw = struct.unpack('I'* 1, data)		  # 32 bit data from T4/T5 counter, 0.125us cycles
+		ncycle = raw[0] + 0				 		  # .25 usec correction
+		return round(float(ncycle)*0.125)		  # returns in microseconds
+
+#-------------------- Passive Time Interval Measurements ----------------------------------
+	def r2rtime(self, pin1, pin2):
+		'''
+		Time between two rising edges. The pins must be distinct. For same pin, use multi_r2rtime
+		'''
+		return self.tim_helper(R2RTIME, pin1, pin2)
+
+	def f2ftime(self, pin1, pin2):
+		'''
+		Time between two falling edges. The pins must be distinct. For same pin, use multi_r2rtime
+		'''
+		return self.tim_helper(F2FTIME, pin1, pin2)
+
+	def r2ftime(self, pin1, pin2):
+		'''
+		Time between a rising edge to a falling edge. The pins could be same or distinct.
+		'''
+		return self.tim_helper(R2FTIME, pin1, pin2)
+
+	def f2rtime(self, pin1, pin2):
+		'''
+		Time between a falling edge to a rising edge. The pins could be same or distinct.
+		'''
+		return self.tim_helper(F2RTIME, pin1, pin2)
+
+	def multi_r2rtime(self, pin, skip=0):
+		'''
+		Time between rising edges, could skip desired number of edges in between. (pin, 9) will give time required for
+		10 cycles of a squarewave, increases resolution.
+		'''
+		return self.tim_helper(MULTIR2R, pin, skip)
+
+	def get_frequency(self, pin):
+		'''
+		This function measures the frequency of an external 0 to 5V PULSE on digital inputs, by calling multi_r2rtime().
+		'''
+		t = self.multi_r2rtime(pin)
+		if t < 0:
+			return t
+		if 0 < t < 10000:
+			t = self.multi_r2rtime(pin,9)
+			return 1.0e7/t
+		return 1.0e6 / t
+
+# Active time interval measurements		
+	def set2rtime(self, pin1, pin2):
+		'''
+		Time from setting pin1 to a rising edge on pin2.
+		'''
+		return self.tim_helper(SET2RTIME, pin1, pin2)
+
+	def set2ftime(self, pin1, pin2):
+		'''
+		Time from setting pin1 to a falling edge on pin2.
+		'''
+		return self.tim_helper(SET2FTIME, pin1, pin2)
+
+	def clr2rtime(self, pin1, pin2):
+		'''
+		Time from clearin pin1 to a rising edge on pin2.
+		'''
+		return self.tim_helper(CLR2RTIME, pin1, pin2)
+
+	def clr2ftime(self, pin1, pin2):
+		'''
+		Time from clearing pin1 to a falling edge on pin2.
+		'''
+		return self.tim_helper(CLR2FTIME, pin1, pin2)
+
+	def htpulse2rtime(self, pin1, pin2):
+		'''
+		Time from a HIGH True pulse on pin1 to a rising edge on pin2.
+		'''
+		return self.tim_helper(HTPUL2RTIME, pin1, pin2)
+
+	def htpulse2ftime(self, pin1, pin2):
+		'''
+		Time from HIGH True pulse on pin1 to a falling edge on pin2.
+		'''
+		return self.tim_helper(HTPUL2FTIME, pin1, pin2)
+
+	def ltpulse2rtime(self, pin1, pin2):
+		'''
+		Time from a LOW True pulse on pin1 to a rising edge on pin2.
+		'''
+		return self.tim_helper(LTPUL2RTIME, pin1, pin2)
+
+	def ltpulse2ftime(self, pin1, pin2):
+		'''
+		Time from LOW True pulse on pin1 to a falling edge on pin2.
+		'''
+		return self.tim_helper(LTPUL2FTIME, pin1, pin2)
+
+#------------------------- Digital I/O-----------------------------
+	def set_state(self, pin, state):
+		'''
+		Sets the status of Digital outputs SQR1, SQR2, OD1 or CCS. It will work on SQR1 & SQR2 only if the frequency
+		is set to zero.
+		'''
+		self.sendByte(SETSTATE)	
+		self.sendByte(pin)	
+		self.sendByte(state)	
+		res = self.fd.read(1)
+		if res != 'D':
+			self.msg = _('SETSTATE error ')
+			print _('SETSTATE error '), res
+			return
+		return state
+
+	def get_state(self, pin):
+		'''
+		gets the status of the digital input pin. IN1, IN2 & SEN are set to digital mode before sensing input level.
+		'''
+		self.sendByte(GETSTATE)	
+		self.sendByte(pin)	
+		res = self.fd.read(1)
+		if res != 'D':
+			self.msg = _('GETSTATE error ')
+			print _('GETSTATE error '), res
+			return 
+		res = self.fd.read(1)
+		return ord(res)
+
+	def get_portb(self):
+		'''
+		Reads portB, returns 16 bits of data.
+		'''
+		self.sendByte(GETPORTB)	
+		res = self.fd.read(1)
+		if res != 'D':
+			self.msg = _('GETPORTB error ')
+			print _('GETPORTB error '), res
+			return 
+		res = self.fd.read(2)
+		raw = struct.unpack('H', res) 		 # 16 bit data in byte array
+		print '%x'%raw
+		return raw[0]
+
+#---------------- Square Wave Generation & Measuring the Frequency ------------------
+	def set_pwm(self, osc, ds, resol=14):        # osc and duty cycle, resolution 14 bits byn default
+		'''
+		Sets PWM on SQR1 / SQR2. The frequency is decided by the resolution in bits.
+		'''
+		if resol < 4 or resol > 16 or ds < 0 or ds > 100:
+			return
+		ocxrs = 2**resol
+		ocx = int(0.01 * ds * ocxrs + 0.5)
+		#print ocxrs, ocx
+		
+		if osc == 0:
+			self.sendByte(SETPWM1)
+		else:
+			self.sendByte(SETPWM2)
+		self.sendInt(ocxrs-1)					# ocxrs
+		self.sendInt(ocx)					# ocx
+		res = self.fd.read(1)
+		if res != 'D':
+			self.msg = _('SETPWM error ')
+			print _('SETPWM error '), res
+			return 
+		return ds
+
+	def set_sqr1_pwm(self, dc, resol=14):		# Duty cycle, resolution 14 bits (f = 488Hz) by default
+		'''
+		Sets 488 Hz PWM on SQR1. Duty cycle is specified in percentage. The third argument, PWM resolution, is 
+		14 bits by default. Decreasing this by one doubles the frequency.
+		'''
+		return self.set_pwm(0,dc,resol)
+
+	def set_sqr2_pwm(self, dc, resol = 14):    
+		'''
+		Sets 488 Hz PWM on SQR2. Duty cycle is specified in percentage. The third argument, PWM resolution, is 
+		14 bits by default. Decreasing this by one doubles the frequency.
+		'''
+		return self.set_pwm(1,dc,resol)
+
+	def set_sqr1_dc(self, volt):			
+		'''
+		PWM DAC on SQR1. Resolution is 10 bits (f = 7.8 kHz) by default. External Filter is required to get the DC
+		The voltage can be set from 0 to 5 volts.
+		'''
+		return self.set_pwm(0, volt * 20.0, 10)/20  # 100% means 5 volts., 10 bit resolution, 8kHz 
+
+	def set_sqr2_dc(self, volt):    
+		'''
+		PWM DAC on SQR2. Resolution is 10 bits (f = 7.8 kHz) by default. External Filter is required to get the DC
+		The voltage can be set from 0 to 5 volts.
+		'''
+		return self.set_pwm(1, volt * 20.0, 10)/20   #5V correspods to 100%
+
+	def set_osc(self, chan, freq):        # Freq in Hertz, osc 1 or 2
+		'''
+		Sets the output frequency of the SQR1 (chan=8) or SQR2 (chan = 9). The function returns actual freqency set.
+		'''
+		if chan != 8 and chan != 9:
+			self.msg = _('Invalid channel number')
+			print _('Invalid Channel')
+			return 
+		OCRS = 0
+		TCKPS = 0
+		if freq < 0:				        # Disable Timer and Set Output LOW
+			TCKPS = 254
+		elif freq == 0:
+			TCKPS = 255
+		else:
+			T = 0.125e-6					# Fosc = 16MHz
+			mtvals = [T, T*8, T*64, T*256]	# Possible Timer period values
+			per = 1.0/freq					# T requested
+			for k in range(4):				# Find the optimum scaling, OCR value
+				if per < mtvals[k]*50000:
+					TCKPS = k
+					OCRS = per/mtvals[k]
+					OCRS = int(OCRS+0.5)
+					freq = 1./(mtvals[k]*OCRS)
+					#print freq,'--', k, OCRS, 1./(mtvals[k]*OCRS), TCKPS
+					break
+		if TCKPS < 4 and OCRS == 0:
+			print _('Invalid Freqency')
+			return 
+		if chan == 8:
+			self.sendByte(SETSQR1)
+		elif chan == 9:
+			self.sendByte(SETSQR2)
+		self.sendByte(TCKPS)				# prescaling for timer
+		self.sendInt(OCRS)					# OCRS value
+		res = self.fd.read(1)
+		if res != 'D':
+			print _('SETSQR error '), res
+			return 'Error: '+res
+		return freq
+
+	def set_sqr1(self, freq):
+		'''
+		Sets the frequency of SQR1 (between .7Hz and 200kHz). All intermediate values are not possible.
+		Returns the actual value set.
+		'''
+		return self.set_osc(8, freq)
+
+	def set_sqr2(self, freq):
+		'''
+		Sets the frequency of SQR2 (between .7Hz and 200kHz). All intermediate values are not possible.
+		Returns the actual value set.
+		'''
+		return self.set_osc(9, freq)
+
+	def set_sqrs(self, freq, diff=0):        # Freq in Hertz, phase difference in % of T
+		'''
+		Sets the output frequency of both SQR1 & SQR2. The function returns actual value set. The second argument is the
+		phase difference between them  in percentage.
+		'''
+		if freq == 0:		# Disable both Square waves
+			self.set_sqr1(0)
+			self.set_sqr2(0)
+			return 0
+		elif freq < 0:		# Disable both Square waves
+			self.set_sqr1(-1)
+			self.set_sqr2(-1)
+			return 0
+		if diff < 0 or diff >= 100.0:
+			self.msg = _('Invalid phase difference')
+			print _('Invalid phase difference')
+			return
+		OCRS = 0
+		TCKPS = 0
+		T = 0.125e-6					# Fosc = 16MHz
+		mtvals = [T, T*8, T*64, T*256]	# Possible Timer period values
+		per = 1.0/freq					# T requested
+		for k in range(4):				# Find the optimum scaling, OCR value
+			if per < mtvals[k]*50000:
+				TCKPS = k
+				OCRS = per/mtvals[k]
+				OCRS = int(OCRS+0.5)
+				freq = 1./(mtvals[k]*OCRS)
+				#print freq,'--', k, OCRS, 1./(mtvals[k]*OCRS)
+				break
+		if TCKPS < 4 and OCRS == 0:
+			self.msg = _('Invalid Freqency')
+			print _('Invalid Freqency')
+			return 
+		TG = int(diff*OCRS/100 +0.5)
+		if TG == 0: TG = 1		# Need to examine this
+		#print 'TCKPS ', TCKPS, 'ocrs ', OCRS, TG
+
+		self.sendByte(SETSQRS)
+		self.sendByte(TCKPS)				# prescaling for timer
+		self.sendInt(OCRS)					# OCRS value
+		self.sendInt(TG)					# time difference
+		res = self.fd.read(1)
+		if res != 'D':
+			self.msg = _('SETSQRS error ')
+			print _('SETSQRS error '), res
+			return 
+		return freq
+
+#--------------------------------- ADC & DAC ----------------------------------------------
+
+	def write_dac(self, iv):
+		'''
+		Writes the 12 bit I2C DAC to the desired value.
+		'''
+		if iv < 0: iv = 0			# Force within limits
+		if iv > 4095: iv = 4095
+
+		self.sendByte(SETDAC)
+		self.sendInt(iv)
+		res = self.fd.read(1)
+		if res != 'D':
+			self.msg = _('SETDAC error ')
+			print _('SETDAC error '), res
+			return
+
+	def read_adc(self, ch):   # Sleep mode conversion
+		'''
+		Reads the specified ADC channel, returns a number from 0 to 4095. Low level routine.
+		'''
+		if ch < 0 or ch > 31:
+			print _('Argument error')
+			return
+		self.sendByte(READADCSM)
+		self.sendByte(ch)
+		res = self.fd.read(1)
+		if res != 'D':
+			self.msg = _('READADC error ')
+			print _('READADC error '), res
+			return 
+		res = self.fd.read(2)
+		iv = ord(res[0]) | (ord(res[1]) << 8)
+		return iv
+
+	def set_voltage(self, v):
+		'''
+		Sets the PVS output. range is from -5 to + 5 volts. Reads the actual value to apply correction. 
+		Returns the voltage readback of the voltage at PVS.
+		'''
+		if v < 0 or v > 5.0:
+			self.msg = _('invalid voltage')
+			print _('invalid voltage')
+			return
+		goal = int(v * self.DACM + 0.5)
+		iv = goal
+		for k in range(10):
+			self.write_dac(iv)
+			isv = self.read_adc(12)			# actual value
+			err = goal - isv
+			#print 'iv & isv err', iv, isv, err	, k
+			if abs(err) <= 1: break
+			iv = iv + err/2				# Even if it exceeds 4095, write_dac() will fix it
+		sv = self.get_voltage(12)		# The voltage actually set
+		return sv
+
+	def set_adcref(self, option):  # 0 => Vdd, else external +Vref option
+		'''
+		Sets the ADC reference option. Vdd ot external +Vref
+		'''
+		self.sendByte(SETADCREF)
+		self.sendByte(option)
+		res = self.fd.read(1)
+		if res != 'D':
+			self.msg = _('SETADCREF error ')
+			print _('SETADCREF error '), res
+			return 
+		return option
+
+	def read_adcNS(self, ch):   # No Sleep mode conversion
+		'''
+		Reads the specified ADC channel, returns a number from 0 to 4095. Low level routine.
+		'''
+		if ch < 0 or ch > 31:
+			self.msg = _('READADC: Argument error')
+			print _('Argument error')
+			return
+		self.sendByte(READADC)
+		self.sendByte(ch)
+		res = self.fd.read(1)
+		if res != 'D':
+			self.msg = _('READADC error')
+			print _('READADC error'), res
+			return 
+		res = self.fd.read(2)
+		iv = ord(res[0]) | (ord(res[1]) << 8)
+		return iv
+
+	def get_voltage(self, ch):  # Sleep mode
+		'''
+		Reads the specified channel of the ADC. Returns -5V to 5V for channels 0 and 1
+		0V to 5V for other channels.
+		'''
+		if (ch > 31):
+			self.msg = _('get_voltage: Argument error')
+			print _('Argument error')
+			return
+		iv = self.read_adc(ch)
+		#print 'get_v: iv = ', iv
+		v = self.m12[ch] * iv + self.c[ch]
+		return v
+
+	def get_voltageNS(self, ch):   # No Sleep Mode
+		'''
+		Reads the specified channel of the ADC. Returns -5V to 5V for channels 0 and 1
+		0V to 5V for other channels.
+		'''
+		if (ch > 31):
+			self.msg = _('get_voltageNS: Argument error')
+			print _('Argument error')
+			return
+		iv = self.read_adcNS(ch)
+		#print 'get_v: iv = ', iv
+		v = self.m12[ch] * iv + self.c[ch]
+		return v
+
+	def get_voltage_within(self, ch, vmax):		# Channel and the expected maximum value, < 5V
+		'''
+		Sets the DAC to vmax and uses it as external +Vref, to increase resolution
+		'''
+		if ch > 31 or vmax > 5.0:
+			self.msg = _('Argument error')
+			print _('Argument error')
+			return
+		VM = self.set_voltage(vmax)
+		self.set_adcref(1)			# External +Vref, from DAC
+		res = self.get_voltage(ch)
+		self.set_adcref(0)			# Back to Vref+ = Vdd
+		return res * VM/5.0
+
+	def get_voltage_time(self, ch):
+		'''
+		Reads the specified channel of the ADC. Returns -5V to 5V for channels 0 and 1
+		0V to 5V for other channels. Adds the PC time info
+		'''
+		if (ch > 31):
+			self.msg = _('get_voltage_time: Argument error')
+			print _('Argument error')
+			return
+		return (time.time(), self.get_voltage(ch))
+
+	def get_voltageNS_time(self, ch):  # No Sleep mode conversion
+		'''
+		Reads the specified channel of the ADC. Returns -5V to 5V for channels 0 and 1
+		0V to 5V for other channels. Adds the PC time info
+		'''
+		if (ch > 31):
+			self.msg = _('Argument error')
+			print _('Argument error')
+			return 'Error '
+		return (time.time(), self.get_voltageNS(ch))
+
+
+	def capture(self, ch, ns, tg):		# datasize is 1 byte
+		'''
+		Arguments : channel number , number of samples and timegap between consecutive
+		digitizations. Returns two lists of size 'ns': time and volatge.
+		Each item is 1 bytes size, truncated ADC data.
+		'''
+		if tg < 4:		# Minimum time required
+			self.msg = _('Minimum Timegap is 4 us')
+			return
+		self.sendByte(CAPTURE)
+		self.sendByte(ch)
+		self.sendInt(ns)
+		self.sendInt(tg)
+		res = self.fd.read(1)
+		if res != 'D':
+			self.msg = _('CAPTURE error')
+			print _('CAPTURE error '), res
+			return
+		res = self.fd.read(1)		# adc_size info from other end, ignored
+		data = self.fd.read(ns)
+		dl = len(data)
+		if dl != ns:
+			self.msg = _('CAPTURE: size mismatch %d %d') %(ns,dl)
+			print _('CAPTURE: size mismatch '), ns, dl
+			return 
+		
+		ta = []
+		va = []
+		raw = struct.unpack('B'* ns, data)  # 1 byte words in the structure
+		for i in range(ns):
+			ta.append(0.001 * i * tg)		# microseconds to milliseconds
+			va.append(raw[i] * self.m8[ch] + self.c[ch])
+		return ta,va
+
+
+	def capture_hr(self, ch, ns, tg):		# datasize is 2 byte
+		'''
+		Arguments : channel number , number of samples and timegap between consecutive
+		digitizations. Returns two lists of size 'ns': time and volatge.
+		Each item is 2 bytes size, holding 12 bit ADC data.
+		'''
+		if tg < 4:
+			self.msg = _('Minimum Timegap is 4 us')
+			return
+		self.sendByte(CAPTURE_HR)
+		self.sendByte(ch)
+		self.sendInt(ns)
+		self.sendInt(tg)
+		res = self.fd.read(1)
+		if res != 'D':
+			self.msg =  _('CAPTURE error ')
+			print _('CAPTURE error '), res
+			return
+		res = self.fd.read(1)		# adc_size info from other end, ignored
+		data = self.fd.read(ns*2)
+		dl = len(data)
+		if dl != ns*2:
+			self.msg = _('CAPTURE: size mismatch %d %d') %(ns, dl)
+			print _('CAPTURE: size mismatch '), ns, dl
+			return
+		
+		ta = []
+		va = []
+		raw = struct.unpack('H'* ns, data)  # 1 byte words in the structure
+		for i in range(ns):
+			ta.append(0.001 * i * tg)		# microseconds to milliseconds
+			va.append(raw[i] * self.m12[ch] + self.c[ch])
+		return ta,va
+
+
+	def capture2(self, cha, chb, ns, tg):		# 2 channels, datasize is 1 byte
+		'''
+		Arguments : 2 channel numbers , number of samples and timegap between consecutive
+		digitizations. Returns two lists of size 'ns': time and volatge.
+		returns 4 lists. Time & voltages, 1 byte ADC data
+		'''
+		if tg < 8:
+			self.msg = _('Minimum Timegap is (4*number of channels)usec')
+			return
+		self.sendByte(CAPTURE2)
+		self.sendByte(cha)
+		self.sendByte(chb)
+		self.sendInt(ns)
+		self.sendInt(tg)
+		res = self.fd.read(1)
+		if res != 'D':
+			self.msg =_('CAPTURE2 error ')
+			print _('CAPTURE2 error '), res
+			return
+		res = self.fd.read(1)		# adc_size info from other end, ignored
+		data = self.fd.read(ns*2)
+		dl = len(data)
+		if dl != ns*2:
+			self.msg = _('CAPTURE2: size mismatch')
+			print _('CAPTURE2: size mismatch'), ns*2, dl
+			return
+		taa = []	# time & voltage arrays for CH0
+		vaa = []	
+		tba = []	# time & voltage arrays for CH1
+		vba = []	
+		raw = struct.unpack('B'* 2*ns, data)  # 8 bit data in byte array
+		for i in range(ns):
+			taa.append(0.001 * i * tg)
+			vaa.append(raw[2*i] * self.m8[cha] + self.c[cha])
+			tba.append(0.001 * i * tg + self.tgap)
+			vba.append(raw[2*i +1] * self.m8[chb] + self.c[chb])
+		return taa,vaa,tba,vba
+
+	def capture2_hr(self, cha, chb, ns, tg):		# 2 channels, datasize is 2 byte
+		'''
+		Arguments : 2 channel numbers , number of samples and timegap between consecutive
+		digitizations. Returns 4 lists of size 'ns': times and volatges.
+		Each item is 2 bytes size, holding 12 bit ADC data.
+		'''
+		if tg < 8:
+			self.msg = _('Minimum Timegap is (4*number of channels)usec')
+			return
+		self.sendByte(CAPTURE2_HR)
+		self.sendByte(cha)
+		self.sendByte(chb)
+		self.sendInt(ns)
+		self.sendInt(tg)
+		res = self.fd.read(1)
+		if res != 'D':
+			self.msg = _('CAPTURE2_HR error ')
+			print _('CAPTURE2_HR error '), res
+			return
+		res = self.fd.read(1)		# adc_size info from other end, ignored
+		data = self.fd.read(ns*2*2)
+		dl = len(data)
+		if dl != ns*2*2:
+			self.msg = _('CAPTURE2_HR: size mismatch')
+			print _('CAPTURE2_HR: size mismatch'), ns*2*2, dl
+			return
+		taa = []	# time & voltage arrays for CH0
+		vaa = []	
+		tba = []	# time & voltage arrays for CH1
+		vba = []	
+		raw = struct.unpack('H'* 2*ns, data)  # 16 bit data in byte array
+		for i in range(ns):
+			taa.append(0.001 * i * tg)
+			vaa.append(raw[2*i] * self.m12[cha] + self.c[cha])
+			tba.append(0.001 * i * tg + self.tgap)
+			vba.append(raw[2*i +1] * self.m12[chb] + self.c[chb])
+		return taa,vaa,tba,vba
+
+	def capture3(self, ch1, ch2, ch3, ns, tg):		# 3 channels, datasize is 2 byte
+		'''
+		Arguments : 3 channel numbers , number of samples and timegap between consecutive
+		digitizations. Returns two lists of size 'ns': time and volatge.
+		'''
+		if tg < 12:
+			self.msg = _('Minimum Timegap is (4*number of channels)usec')
+			return
+		ch12 = (ch2 << 4) | ch1		# first two channels packed in 1 byte
+		self.sendByte(CAPTURE3)
+		self.sendByte(ch12)
+		self.sendByte(ch3)
+		self.sendInt(ns)
+		self.sendInt(tg)
+		res = self.fd.read(1)
+		if res != 'D':
+			self.msg = _('CAPTURE3 error ')
+			print _('CAPTURE3 error '), res
+			return
+		res = self.fd.read(1)		# adc_size info from other end, ignored
+		data = self.fd.read(ns*3)
+		dl = len(data)
+		if dl != ns*3:
+			self.msg = _('CAPTURE3: size mismatch ')
+			print _('CAPTURE3: size mismatch '), ns*3, dl
+			return
+		taa = []	# time & voltage arrays for CH0
+		vaa = []	
+		tba = []	# time & voltage arrays for CH1
+		vba = []	
+		tca = []	# time & voltage arrays for CH2
+		vca = []	
+		raw = struct.unpack('B'* 3*ns, data)  # 8 bit data in byte array
+		#print raw
+		for i in range(ns):
+			taa.append(0.001 * i * tg)
+			vaa.append(raw[3*i] * self.m8[ch1] + self.c[ch1])
+			tba.append(0.001 * i * tg + self.tgap)
+			vba.append(raw[3*i +1] * self.m8[ch2] + self.c[ch2])
+			tca.append(0.001 * i * tg + 2*self.tgap)
+			vca.append(raw[3*i +2] * self.m8[ch3] + self.c[ch3])
+		return taa,vaa, tba,vba, tca,vca
+
+
+	def capture4(self, ch1, ch2, ch3, ch4, ns, tg):		# 4 channels, datasize is 1 byte
+		'''
+		Arguments : 4 channel numbers , number of samples and timegap between consecutive
+		digitizations. Returns two lists of size 'ns': time and volatge.
+		'''
+		if tg < 16:
+			self.msg = _('Minimum Timegap is (4*number of channels)usec')
+			return
+		ch12 = (ch2 << 4) | ch1		# first two channels packed in 1 byte
+		ch34 = (ch4 << 4) | ch3		# other two channels packed in 1 byte
+		self.sendByte(CAPTURE4)
+		self.sendByte(ch12)
+		self.sendByte(ch34)
+		self.sendInt(ns)
+		self.sendInt(tg)
+		res = self.fd.read(1)
+		if res != 'D':
+			self.msg = _('CAPTURE4 error =')
+			print _('CAPTURE4 error ='), ord(res)
+			return
+		res = self.fd.read(1)		# adc_size info from other end, ignored
+		data = self.fd.read(ns*4)
+		dl = len(data)
+		if dl != ns*4:
+			self.msg = _('CAPTURE4: size mismatch ')
+			print _('CAPTURE4: size mismatch '), ns*4, dl
+			return
+		taa = []	# time & voltage arrays for CH0
+		vaa = []	
+		tba = []	# time & voltage arrays for CH1
+		vba = []	
+		tca = []	# time & voltage arrays for CH3
+		vca = []	
+		tda = []	# time & voltage arrays for CH4
+		vda = []	
+		raw = struct.unpack('B'* 4*ns, data)  # 8 bit data in byte array
+		#print raw
+		for i in range(ns):
+			taa.append(0.001 * i * tg)
+			vaa.append(raw[4*i] * self.m8[ch1] + self.c[ch1])
+			tba.append(0.001 * i * tg + self.tgap)
+			vba.append(raw[4*i +1] * self.m8[ch2] + self.c[ch2])
+			tca.append(0.001 * i * tg + 2*self.tgap)
+			vca.append(raw[4*i +2] * self.m8[ch3] + self.c[ch3])
+			tda.append(0.001 * i * tg + 3*self.tgap)
+			vda.append(raw[4*i +3] * self.m8[ch4] + self.c[ch4])
+		return taa,vaa, tba,vba, tca,vca, tda, vda
+
+	def capture01(self, np, tg):
+		'''
+		captures channels A0 and A1 simultaneously, with 8 bit resolution
+		'''
+		return self.capture2(1,2,np,tg)
+
+	def capture01_hr(self, np, tg):
+		'''
+		captures channels A0 and A1 simultaneously, with 12 bit resolution
+		'''
+		return self.capture2_hr(1,2,np,tg)
+
+	def set_trigger(self, tval):
+		self.sendByte(SETTRIGVAL)
+		self.sendInt(tval)
+		res = self.fd.read(1)
+		if res != 'D':
+			self.msg = _('SETTRIGVAL error ')
+			print _('SETTRIGVAL error '), res
+			return
+		return tval
+
+#------------------- Modifiers for Capture ------------------------------
+	def disable_actions(self):
+		'''
+		Disable all modifiers to the capture call. The capture calls will be set to 
+		do analog triggering on the first channel captured.
+		'''
+		self.sendByte(SETACTION)
+		self.sendByte(AANATRIG)
+		self.sendByte(0)		# Self trigger on channel zero means the first channel captured
+		res = self.fd.read(1)
+		if res != 'D':
+			self.msg = _('ERROR: SETACTION')
+			print _('ERROR: SETACTION'), res
+			return
+		return 0
+
+	def enable_action(self, action, ch):
+		if  action < 0 or action > 8 or ch < 1  or ch > 11:	
+			self.msg = 'Invalid actions or source specified'
+			return
+		self.sendByte(SETACTION)
+		self.sendByte(action)
+		self.sendByte(ch)
+		res = self.fd.read(1)
+		if res != 'D':
+			self.msg = _('SETACTION ERR')
+			print _('SETACTION ERR: action = %d ch = %d') %(action,ch), res
+			return
+		return action
+		
+	def set_trig_source(self, ch):
+		'''
+		Analog Trigger of the desired channel
+		'''
+		return self.enable_action(AANATRIG, ch)
+		
+	def enable_wait_high(self, ch):
+		'''
+		Wait for a HIGH on the specified 'pin' just before every Capture.
+		'''      
+		return self.enable_action(AWAITHI, ch)
+
+	def enable_wait_low(self, ch):
+		'''
+		Wait for a LOW on the specified 'pin' just before every Capture.
+		'''
+		return self.enable_action(AWAITLO, ch)
+
+	def enable_wait_rising(self, ch):
+		'''
+		Wait for a rising EDGE on the specified 'pin' just before every Capture.
+		'''
+		return self.enable_action(AWAITRISE, ch)
+
+	def enable_wait_falling(self, ch):
+		'''
+		Wait for a falling EDGE on the specified 'pin' just before every Capture.
+		'''
+		return self.enable_action(AWAITFALL, ch)
+
+	def enable_set_high(self, ch):
+		'''
+		Sets the specified 'pin' HIGH, just before every Capture.
+		'''
+		return self.enable_action(ASET, ch)
+
+	def enable_set_low(self, ch):
+		'''
+		Sets the specified 'pin' LOW, just before every Capture.
+		'''
+		return self.enable_action(ACLR, ch)
+
+	def enable_pulse_high(self, ch):
+		'''
+		Generate a HIGH TRUE Pulse on the specified 'pin', just before every Capture.
+		width is specified by the set_pulsewidth() function.
+		'''
+		return self.enable_action(APULSEHT, ch)
+
+	def enable_pulse_low(self, ch):
+		'''
+		Generate a LOW TRUE Pulse on the specified 'pin', just before every Capture.
+		'''
+		return self.enable_action(APULSELT, ch)
+
+	def set_pulsewidth(self, width):
+		'''
+		Sets the 'pulse_width' parameter for pulse2rtime() command. 
+		Also used by usound_time() and the elable_pulse_high/low() functions
+		'''
+		if width < 1 or width > 500:
+			self.msg = _('Invalid pulse width')
+			return
+		self.sendByte(SETPULWIDTH)
+		self.sendInt(width)
+		res = self.fd.read(1)
+		if res != 'D':
+			self.msg = _('ERROR: SETPULWIDTH')
+			print _('ERROR: SETPULWIDTH'), res
+			return
+		return width
+
+#-----------DIRECT PORT ACCESS FUNCTIONS (Use only if you know what you are doing)---------
+	def set_ddr(self, port, direc):
+		self.dwrite(chr(SETDDR))           
+		self.dwrite(chr(port))	 # 0 to 3 for A,B,C and D
+		self.dwrite(chr(direc))
+		self.fd.read(1)
+		return
+
+	def set_port(self, port, val):
+		self.dwrite(chr(SETPORT))           
+		self.dwrite(chr(port))	 # 0 to 3 for A,B,C and D
+		self.dwrite(chr(val))
+		self.fd.read(1)
+		return
+
+	def get_port(self, port):
+		self.dwrite(chr(SETPORT))           
+		self.dwrite(chr(port))	 # 0 to 3 for A,B,C and D
+		self.fd.read(1)
+		data = self.fd.read(1)     	 # get the status byte only
+		return ord(data)
+
+#--------------------------------- may go to eyeutils.py ------------------------------
+	def minimum(self,va):
+		vmin = 1.0e10		# need to change
+		for v in va:
+			if v < vmin:
+				vmin = v
+		return vmin
+
+	def maximum(self,va):
+		vmax = 1.0e-10		# need to change
+		for v in va:
+			if v > vmax:
+				vmax = v
+		return vmax
+
+	def rms(self,va):
+		vsum = 0.0
+		for v in va:
+			vsum += v**2
+		v = vsum / len(va)
+		return math.sqrt(v)
+
+	def mean(self,va):
+		vsum = 0.0
+		for v in va:
+			vsum += v
+		v = vsum / len(va)
+		return v
+
+	def save(self, data, filename = 'plot.dat'):
+		'''
+		Input data is of the form, [ [x1,y1], [x2,y2],....] where x and y are vectors
+		'''
+		if data == None: return
+		import __builtin__					# Need to do this since 'eyes.py' redefines 'open'
+		f = __builtin__.open(filename,'w')
+		for xy in data:
+			for k in range(len(xy[0])):
+				f.write('%5.3f  %5.3f\n'%(xy[0][k], xy[1][k]))
+			f.write('\n')
+		f.close()
+
+	def grace(self, data, xlab = '', ylab = '', title = ''):
+		'''
+		Input data is of the form, [ [x1,y1], [x2,y2],....] where x and y are vectors
+		'''
+		try:
+			import pygrace
+			pg = pygrace.grace()
+			for xy in data:
+				pg.plot(xy[0],xy[1])
+				pg.hold(1)				# Do not erase the old data
+			pg.xlabel(xlab)
+			pg.ylabel(ylab)
+			pg.title(title)
+			return True
+		except:
+			return False
+
+
+
diff --git a/expeyes/fit-data.py b/expeyes/fit-data.py
new file mode 100644
index 0000000..eb1c6aa
--- /dev/null
+++ b/expeyes/fit-data.py
@@ -0,0 +1,17 @@
+fi = open('bi-decay.dat','r')
+x = []
+y = []
+
+while(1):
+	s = fi.readline()
+	if s == '': break
+	ss = s.split()
+	time = float(ss[0])
+	cnt = float(ss[1])
+	x.append(time)
+	y.append(cnt)
+
+print x,y
+
+y1, par = em.fitexp(x,y)
+print par
diff --git a/expeyes/mca.py b/expeyes/mca.py
new file mode 100644
index 0000000..12eb625
--- /dev/null
+++ b/expeyes/mca.py
@@ -0,0 +1,198 @@
+'''
+EYES MCA
+Python library to communicate to the AtMega32 uC running 'eyes.c'
+Author  : Ajith Kumar B.P, bpajith at gmail.com
+License : GNU GPL version 3
+Last Edit : 20-Nov-2011
+'''
+
+import serial, struct, math, time, commands, sys, os
+
+import gettext
+gettext.bindtextdomain("expeyes")
+gettext.textdomain('expeyes')
+_ = gettext.gettext
+
+#Commands with One byte argument (41 to 80) 
+GETVERSION  =   1
+READCH0     =   2
+STARTHIST	=  10	# Start histogramming
+READHIST	=  11	# Send the histogram to PC, 2 x 256 bytes data
+CLEARHIST	=  12	# Send the histogram to PC, 2 x 256 bytes data
+STOPHIST	=  13	# Stop histogramming
+
+NUMCHANS    = 512   # 512 channels, of 2 bytes
+WORDSIZE	= 2
+
+#Serial devices to search for EYES hardware.  
+linux_list = ['/dev/ttyACM0', '/dev/ttyACM1', '/dev/ttyUSB0', '/dev/ttyUSB1']
+BAUDRATE = 38400
+
+def open(dev = None):
+	'''
+	If EYES hardware in found, returns an instance of 'Eyes', else returns None.
+	'''
+	obj = MCA()
+	if obj.fd != None:
+		return obj
+	print _('Could not find Phoenix-MCA hardware')
+	print _('Check the connections.')
+
+class MCA:
+	fd = None								# init should fill this
+	adcsize = 1
+
+	def __init__(self, dev = None):
+		"""
+		Searches for MCA hardware on the USB-to-Serial adapters.Presence of the
+		device is done by reading the version string.
+		"""
+	
+		if os.name == 'nt':	
+			device_list = []
+			for k in range(1,100):
+				s = 'COM%1d'%k
+				device_list.append(s)
+			for k in range(1,11):
+				device_list.append(k)
+		else:
+			device_list = linux_list
+		
+
+		for dev in device_list:
+			print dev
+			#handle = serial.Serial(dev, BAUDRATE, stopbits=1, timeout = 0.3, parity=serial.PARITY_EVEN)
+			try:
+				handle = serial.Serial(dev, BAUDRATE, stopbits=1, timeout = 0.3, \
+					parity=serial.PARITY_EVEN)
+			except:
+				continue
+			print _('Port %s is existing ') %dev,
+			if handle.isOpen() != True:
+				print _('but could not open')
+				continue
+			print _('and opened. '),
+			handle.flush()
+			time.sleep(.5)
+			while handle.inWaiting() > 0 :
+				print _('inWaiting')
+				handle.flushInput()
+			handle.write(chr(GETVERSION))
+			res = handle.read(1)
+			print _('res = '), res
+			ver = handle.read(5)		# 5 character version number
+			print ver
+			if ver[:2] == 'mc':
+				self.device = dev
+				self.fd = handle
+				self.version = ver
+				handle.timeout = 3.0	# 
+				print _('Found MCA version '),ver
+				return 
+			else:
+				print _('No MCA hardware detected')
+				self.fd = None
+
+#------------------------------------------Histogram-----------------------------------
+	def start_hist(self):
+		'''
+		Enables the Interrupt that handles the
+		Pulse processing plug-in.
+		'''
+		self.fd.write(chr(STARTHIST))
+		self.fd.read(1)
+
+	def stop_hist(self):
+		'''
+		Disables the Analog Comparator Interrupt
+		'''
+		self.fd.write(chr(STOPHIST))
+		self.fd.read(1)
+
+	def clear_hist(self):
+		'''
+		Clear the Histogram memory at ATmega32
+		'''
+		self.fd.write(chr(CLEARHIST))
+		self.fd.read(1)
+
+	def read_hist(self):
+		'''
+		Reads the Histogram memory to PC. 
+		1 byte status + 1 byte header + 256 x 2 bytes of data
+		'''
+		self.fd.write(chr(READHIST))
+		res = self.fd.read(1)
+		if res != 'D':
+			return None
+		self.fd.read(1)           # The pad byte
+		data = self.fd.read(NUMCHANS*WORDSIZE)
+		dl = len(data)
+		#for k in data: print ord(k),
+		if dl != NUMCHANS*WORDSIZE:
+			print _('HIST read data error')
+			return None
+		raw = struct.unpack('H'* (NUMCHANS), data)  	# 16 bit data in uint16 array
+		ch = []
+		nn = []
+		for i in range(NUMCHANS):
+			ch.append(i)
+			nn.append(raw[i])
+		return ch,nn
+
+	def read_adc(self, ch):
+		'''
+		Reads the specified ADC channel, returns a number from 0 to 4095. Low level routine.
+		'''
+		if (ch > 7):
+			print _('Argument error')
+			return
+		self.fd.write(chr(READADC))
+		self.fd.write(chr(ch))
+		res = self.fd.read(1)
+		if res != 'D':
+			print _('READADC error '), res
+			return
+		res = self.fd.read(2)
+		iv = ord(res[0]) | (ord(res[1]) << 8)
+		return iv
+
+#----------------------------------analysis------------------------------------
+	def maximum(self,va):
+		vmax = 1.0e-10		# need to change
+		for v in va:
+			if v > vmax:
+				vmax = v
+		return vmax
+
+	def save(self, data, filename = 'plot.dat'):
+		'''
+		Input data is of the form, [ [x1,y1], [x2,y2],....] where x and y are vectors
+		'''
+		if data == None: return
+		import __builtin__					# Need to do this since 'eyes.py' redefines 'open'
+		f = __builtin__.open(filename,'w')
+		for xy in data:
+			for k in range(len(xy[0])):
+				f.write('%5.3f  %5.3f\n'%(xy[0][k], xy[1][k]))
+			f.write('\n')
+		f.close()
+
+	def grace(self, data, xlab = '', ylab = '', title = ''):
+		'''
+		Input data is of the form, [ [x1,y1], [x2,y2],....] where x and y are vectors
+		'''
+		try:
+			import pygrace
+			pg = pygrace.grace()
+			for xy in data:
+				pg.plot(xy[0],xy[1])
+				pg.hold(1)				# Do not erase the old data
+			pg.xlabel(xlab)
+			pg.ylabel(ylab)
+			pg.title(title)
+			return True
+		except:
+			return False
+
+
diff --git a/eyes-junior/RCcircuit.py b/eyes-junior/RCcircuit.py
new file mode 100644
index 0000000..79a305b
--- /dev/null
+++ b/eyes-junior/RCcircuit.py
@@ -0,0 +1,156 @@
+'''
+expEYES program
+Author  : Ajith Kumar B.P, bpajith at gmail.com
+License : GNU GPL version 3
+'''
+import gettext
+gettext.bindtextdomain("expeyes")
+gettext.textdomain('expeyes')
+_ = gettext.gettext
+
+from Tkinter import *
+import expeyes.eyesj as eyes, expeyes.eyeplot as eyeplot, expeyes.eyemath as eyemath, time, sys, math
+
+TIMER = 100
+WIDTH  = 500   # width of drawing canvas
+HEIGHT = 350   # height 
+VPERDIV = 1.0		# Volts per division, vertical scale
+delay = 20			# Time interval between samples
+NP = 100			# Number of samples
+data = [ [], [] ]
+history = []		# Data store
+trial = 0			# trial number
+data = [ [], [] ]	# Current & Voltage
+
+
+def capture(i):
+	global data, history, trial
+	s = ''
+	if i == 0:  # Take OD1 LOW. To HIGH before capture
+		p.set_state(10,0)		# OD1 to LOW
+		p.enable_set_high(10)	# enable HI on OD1
+	elif i == 1:  # Take OD1 HI. To HIGH before capture
+		p.set_state(10,1)		# OD1 to HI
+		p.enable_set_low(10)	# enable LO on OD1
+	else:
+		p.set_state(11,0)		# CCS OFF
+		time.sleep(0.5)
+		p.enable_set_high(11)	# enable HI on CCS	
+	time.sleep(0.5)
+	t, v = p.capture_hr(1,NP,delay)
+	g.line(t,v, trial)
+	data = t,v
+	history.append(data)
+	trial += 1
+	msgwin.config(text = _('Done'))
+
+def view_all():
+	global history
+	g.delete_lines()
+	c = 0
+	for t,v in history:
+		g.line(t,v,c)
+		c += 1
+
+def fit_curve():
+	global data
+	fa = eyemath.fit_exp(data[0], data[1])
+	if fa != None:
+		pa = fa[1]
+		rc = abs(1.0 / pa[1])
+		g.line(data[0],fa[0],1)
+		dispmsg(_('RC = %5.2f mSec')%rc)
+	else:
+		dispmsg(_('Failed to fit the curve with V=Vo*exp(-t/RC)'))
+
+def dispmsg(s):
+	msgwin.config(text=s)
+
+def clear():
+	global history, trial
+	g.delete_lines()
+	history = []
+	trial = 0
+
+def save():
+	global history
+	s = fn.get()
+	if s == '':
+		return
+	p.save(history, s)
+	msgwin.config(text = _('Data saved to file ')+s)
+
+def xmgrace():		# Send the data to Xmgrace
+	global history
+	p.grace(history, _('milliSeconds'), _('Volts'))
+
+def set_timebase(w):
+	global delay, NP, NC, VPERDIV
+	divs = [0.050, 0.100, 0.200, 0.500, 1.0, 2.0, 5.0, 10.0, 20.0, 50.0]
+	msperdiv = divs[int(timebase.get())]
+	totalusec = int(msperdiv * 1000 * 10)
+	NP = 100								# Assume 100 samples to start with
+	delay = int(totalusec/100)				# Calculate delay
+	if delay < 10:
+		sf = 10/delay
+		delay = 10
+		NP = NP/sf
+	elif delay > 1000:
+		sf = delay/1000
+		delay = 1000
+		NP = NP * sf
+	g.setWorld(0, 0*VPERDIV, NP * delay * 0.001, 5*VPERDIV,_('mS'),_('V'))
+
+p = eyes.open()
+root = Tk()
+Canvas(root, width = WIDTH, height = 5).pack(side=TOP)  # Some space at the top
+g = eyeplot.graph(root, width=WIDTH, height=HEIGHT, bip=False)	# make plot objects using draw.disp
+g.setWorld(0, 0, 20,5,_('V'),_('mA'))
+if p == None:
+	g.text(0, 0,_('EYES Hardware Not Found. Check Connections and restart the program'),1)
+	root.mainloop()
+	sys.exit()
+
+cf = Frame(root, width = WIDTH, height = 10)
+cf.pack(side=TOP,  fill = BOTH, expand = 1)
+
+l = Label(cf, text=_('mS/div'))
+l.pack(side=LEFT, anchor = SW )
+timebase = Scale(cf,command = set_timebase, orient=HORIZONTAL, length=50, showvalue=False,\
+	from_ = 0, to=9, resolution=1)
+timebase.pack(side=LEFT, anchor = SW)
+timebase.set(3)
+b = Button(cf,text =_('0 to 5V STEP'), command= lambda i=0:capture(i))
+b.pack(side=LEFT, anchor = SW)
+b = Button(cf,text =_('5 to 0V STEP'), command= lambda i=1:capture(i))
+b.pack(side=LEFT, anchor = SW)
+b = Button(cf,text =_('CC Charge'), command= lambda i=2:capture(i))
+b.pack(side=LEFT, anchor = SW)
+b = Button(cf,text =_('Calculate RC'), command=fit_curve)
+b.pack(side=LEFT, anchor = SW)
+b = Button(cf,text =_('QUIT'), command=sys.exit)
+b.pack(side=RIGHT, anchor = SW)
+
+cf = Frame(root, width = WIDTH, height = 10)
+cf.pack(side=TOP,  fill = BOTH, expand = 1)
+b = Button(cf,text =_('ViewAll'), command=view_all)
+b.pack(side=LEFT, anchor = SW)
+b = Button(cf,text =_('Xmgrace'), command=xmgrace)
+b.pack(side=LEFT, anchor = SW)
+b4 = Button(cf, text = _('CLEAR'), command = clear)
+b4.pack(side = LEFT, anchor = N)
+b = Button(cf,text =_('Save to'), command=save)
+b.pack(side=LEFT, anchor = SW)
+fn = Entry(cf,width = 10, bg = 'white')
+fn.pack(side=LEFT, anchor = SW)
+fn.insert(END,'rc.dat')
+
+mf = Frame(root)				# Message Frame below command frame.
+mf.pack(side=TOP)
+msgwin = Label(mf,text = '', fg = 'blue')
+msgwin.pack(side=LEFT, anchor = S, fill=BOTH)
+
+eyeplot.pop_image('pics/RCcircuit.png', _('RC Circuit, Transient'))
+root.title(_('Transient response of RC Circuit'))
+root.mainloop()
+
diff --git a/eyes-junior/RLCdischarge.py b/eyes-junior/RLCdischarge.py
new file mode 100644
index 0000000..6bcb0b3
--- /dev/null
+++ b/eyes-junior/RLCdischarge.py
@@ -0,0 +1,128 @@
+'''
+expEYES program
+Author  : Ajith Kumar B.P, bpajith at gmail.com
+License : GNU GPL version 3
+'''
+import gettext
+gettext.bindtextdomain("expeyes")
+gettext.textdomain('expeyes')
+_ = gettext.gettext
+
+from Tkinter import *
+import expeyes.eyesj as eyes, expeyes.eyeplot as eyeplot, expeyes.eyemath as eyemath, time, sys, math
+
+
+TIMER = 100
+WIDTH  = 500   # width of drawing canvas
+HEIGHT = 350   # height 
+VPERDIV = 1.0		# Volts per division, vertical scale
+delay = 10			# Time interval between samples
+NP = 100			# Number of samples
+data = [ [], [] ]
+history = []		# Data store
+trial = 0			# trial number
+data = [ [], [] ]	# Current & Voltage
+
+
+def discharge():
+	global data, history, trial
+	p.set_state(10,1)			# OD1 to HIGH
+	p.enable_set_low(10)		# enable LOW for OD1
+	time.sleep(0.5)
+	t, v = p.capture_hr(1,NP,delay)
+	g.delete_lines()
+	g.line(t,v)
+	data = t,v
+	history.append(data)
+	trial += 1
+	msgwin.config(text = _('Discharge Done'))
+
+def fit_curve():
+	global data, trial
+	s = _('Fit Failed')
+	fa = eyemath.fit_dsine(data[0], data[1],1)
+	if fa != None:
+		#print fa[1]
+		pa = fa[1]
+		rc = 1.0 / pa[1]
+		damping = pa[4] / (2*math.pi*pa[1]) # unitless damping factor
+		s = _('Resonant Frequency = %5.2f kHz Damping = %5.3f')%(pa[1], damping)
+		g.line(data[0],fa[0],trial)
+	msgwin.config(text = s)
+
+def clear():
+	global history, trial
+	g.delete_lines()
+	history = []
+	trial = 0
+
+def save():
+	global history
+	s = fn.get()
+	if s == '':
+		return
+	p.save(history, s)
+	msgwin.config(text = _('Data saved to file ')+s)
+
+def xmgrace():		# Send the data to Xmgrace
+	global history
+	p.grace(history, _('milliSeconds'), _('Volts'))
+
+def set_timebase(w):
+	global delay, NP, NC, VPERDIV
+	divs = [0.050, 0.100, 0.200, 0.500, 1.0, 2.0, 5.0, 10.0, 20.0, 50.0]
+	msperdiv = divs[int(timebase.get())]
+	totalusec = int(msperdiv * 1000 * 10)
+	NP = 100								# Assume 100 samples to start with
+	delay = int(totalusec/100)				# Calculate delay
+	if delay < 10:
+		sf = 10/delay
+		delay = 10
+		NP = NP/sf
+	elif delay > 1000:
+		sf = delay/1000
+		delay = 1000
+		NP = NP * sf
+	g.setWorld(0,-5*VPERDIV, NP * delay * 0.001, 5*VPERDIV,_('mS'),_('V'))
+
+p = eyes.open()
+root = Tk()
+Canvas(root, width = WIDTH, height = 5).pack(side=TOP)  # Some space at the top
+g = eyeplot.graph(root, width=WIDTH, height=HEIGHT)	# make plot objects using draw.disp
+g.setWorld(0, -5, 20,5,_('V'),_('mA'))
+
+cf = Frame(root, width = WIDTH, height = 10)
+cf.pack(side=TOP,  fill = BOTH, expand = 1)
+
+l = Label(cf, text=_('mS/div'))
+l.pack(side=LEFT, anchor = SW )
+timebase = Scale(cf,command = set_timebase, orient=HORIZONTAL, length=50, showvalue=False,\
+	from_ = 0, to=9, resolution=1)
+timebase.pack(side=LEFT, anchor = SW)
+timebase.set(0)
+
+b = Button(cf,text =_('5->0V STEP'), command = discharge)
+b.pack(side=LEFT, anchor = SW)
+
+b = Button(cf,text =_('Save to'), command=save)
+b.pack(side=LEFT, anchor = SW)
+fn = Entry(cf,width = 10, bg = 'white')
+fn.pack(side=LEFT, anchor = SW)
+fn.insert(END,'rlc.dat')
+b = Button(cf,text =_('QUIT'), command=sys.exit)
+b.pack(side=RIGHT, anchor = SW)
+b = Button(cf,text =_('Xmgrace'), command=xmgrace)
+b.pack(side=RIGHT, anchor = SW)
+b4 = Button(cf, text = _('CLEAR'), command = clear)
+b4.pack(side = RIGHT, anchor = N)
+b4 = Button(cf, text = _('FIT'), command = fit_curve)
+b4.pack(side = RIGHT, anchor = N)
+
+mf = Frame(root)				# Message Frame below command frame.
+mf.pack(side=TOP, anchor = S)
+msgwin = Label(mf,text = _('Messages'), fg = 'blue')
+msgwin.pack(side=LEFT, anchor = S, fill=BOTH)
+eyeplot.pop_image('pics/LCRcircuit.png', _('RLC Circuit, Transient'))
+root.title(_('EYES Junior: RLC Discharge'))
+root.mainloop()
+
diff --git a/eyes-junior/RLcircuit.py b/eyes-junior/RLcircuit.py
new file mode 100644
index 0000000..7630c81
--- /dev/null
+++ b/eyes-junior/RLcircuit.py
@@ -0,0 +1,159 @@
+'''
+expEYES program
+Author  : Ajith Kumar B.P, bpajith at gmail.com
+License : GNU GPL version 3
+'''
+import gettext
+gettext.bindtextdomain("expeyes")
+gettext.textdomain('expeyes')
+_ = gettext.gettext
+
+from Tkinter import *
+import expeyes.eyesj as eyes, expeyes.eyeplot as eyeplot, expeyes.eyemath as eyemath, time, math, sys
+
+TIMER = 100
+WIDTH  = 500   # width of drawing canvas
+HEIGHT = 350   # height 
+VPERDIV = 1.0		# Volts per division, vertical scale
+delay = 10			# Time interval between samples
+NP = 100			# Number of samples
+data = [ [], [] ]
+history = []		# Data store
+trial = 0			# trial number
+data = [ [], [] ]	# Current & Voltage
+
+
+def capture(i):
+	global data, history, trial
+	s = ''
+	if i == 0:  # Take OD1 LOW. To HIGH before capture
+		p.set_state(10,0)		# OD1 to LOW
+		p.enable_set_high(10)	# enable HI on OD1
+	else:  # Take OD1 HI. To HIGH before capture
+		p.set_state(10,1)		# OD1 to HI
+		p.enable_set_low(10)	# enable LO on OD1
+	time.sleep(0.5)
+	t, v = p.capture_hr(1,NP+1,delay)	# take one extra point 
+	t = t[:-1]		# discard the first point, work around for LM324 low band width.
+	v = v[1:]
+	g.line(t,v, trial)
+	data = t,v
+	history.append(data)
+	trial += 1
+	msgwin.config(text = _('Done'))
+
+def fit_curve():
+	global data
+	p.set_state(10,1)			# Do some DC work to find the resistance of the Inductor
+	time.sleep(.5)
+	Rext = 	float(Res.get())
+	vtotal = 5.0				# Assume OD1 = 5 volts
+	v = p.get_voltage(3)
+	if v > 4.8:					# Means user has connected OD1 to A2
+		vtotal = v
+	Vind = p.get_voltage(1)     # voltage across the Inductor
+	i = (vtotal - Vind)/Rext
+	Rind = Vind/i
+	print v,Rind
+	fa = eyemath.fit_exp(data[0], data[1])
+	if fa != None:
+		pa = fa[1]
+		print pa
+		par1 = abs(1.0 / pa[1])
+		g.line(data[0],fa[0],1)
+		dispmsg(_('L/R = %5.3f mSec : Rind = %5.0f Ohm : L = %5.1f mH')%(par1, Rind, (Rext+Rind)*par1))
+	else:
+		dispmsg(_('Failed to Fit. Try fitting V=Vo*exp(-tR/L) with Xmgrace'))
+
+def dispmsg(s):
+	msgwin.config(text=s)
+
+def clear():
+	global history, trial
+	g.delete_lines()
+	history = []
+	trial = 0
+
+def save():
+	global history
+	s = fn.get()
+	if s == '':
+		return
+	p.save(history, s)
+	msgwin.config(text = _('Data saved to file ')+s)
+
+def xmgrace():		# Send the data to Xmgrace
+	global history
+	p.grace(history, _('milliSeconds'), _('Volts'))
+
+def set_timebase(w):
+	global delay, NP, NC, VPERDIV
+	divs = [0.050, 0.100, 0.200, 0.500, 1.0, 2.0, 5.0, 10.0, 20.0, 50.0]
+	msperdiv = divs[int(timebase.get())]
+	totalusec = int(msperdiv * 1000 * 10)
+	NP = 100								# Assume 100 samples to start with
+	delay = int(totalusec/100)				# Calculate delay
+	if delay < 10:
+		sf = 10/delay
+		delay = 10
+		NP = NP/sf
+	elif delay > 1000:
+		sf = delay/1000
+		delay = 1000
+		NP = NP * sf
+	g.setWorld(0,-5*VPERDIV, NP * delay * 0.001, 5*VPERDIV,_('mS'),_('V'))
+
+p = eyes.open()
+root = Tk()
+Canvas(root, width = WIDTH, height = 5).pack(side=TOP)  # Some space at the top
+g = eyeplot.graph(root, width=WIDTH, height=HEIGHT)	# make plot objects using draw.disp
+g.setWorld(0, -5, 20,5,_('V'),_('mA'))
+if p == None:
+	g.text(0, 0,_('EYES Hardware Not Found. Check Connections and restart the program'),1)
+	root.mainloop()
+	sys.exit()
+
+cf = Frame(root, width = WIDTH, height = 10)
+cf.pack(side=TOP,  fill = BOTH, expand = 1)
+
+l = Label(cf, text=_('mS/div'))
+l.pack(side=LEFT, anchor = SW )
+timebase = Scale(cf,command = set_timebase, orient=HORIZONTAL, length=50, showvalue=False,\
+	from_ = 0, to=9, resolution=1)
+timebase.pack(side=LEFT, anchor = SW)
+timebase.set(0)
+b = Button(cf,text =_('0 to 5V STEP'), command= lambda i=0:capture(i))
+b.pack(side=LEFT, anchor = SW)
+b = Button(cf,text =_('5 to 0V STEP'), command= lambda i=1:capture(i))
+b.pack(side=LEFT, anchor = SW)
+b4 = Button(cf, text = _('CLEAR'), command = clear)
+b4.pack(side = LEFT, anchor = N)
+b = Button(cf,text =_('Save to'), command=save)
+b.pack(side=LEFT, anchor = SW)
+fn = Entry(cf,width = 10, bg = 'white')
+fn.pack(side=LEFT, anchor = SW)
+fn.insert(END,'rl.dat')
+
+cf = Frame(root, width = WIDTH, height = 10)
+cf.pack(side=TOP,  fill = BOTH, expand = 1)
+b = Button(cf,text =_('Xmgrace'), command=xmgrace)
+b.pack(side=LEFT, anchor = SW)
+l = Label(cf, text=_('Rext='))
+l.pack(side=LEFT, anchor = SW)
+Res = Entry(cf,width = 10, bg = 'white')
+Res.pack(side=LEFT, anchor = SW)
+Res.insert(END,'1000')
+b = Button(cf,text =_('Calculate R/L'), command=fit_curve)
+b.pack(side=LEFT, anchor = SW)
+
+b = Button(cf,text =_('QUIT'), command=sys.exit)
+b.pack(side=RIGHT, anchor = SW)
+
+mf = Frame(root)				# Message Frame below command frame.
+mf.pack(side=TOP)
+msgwin = Label(mf,text = '', fg = 'blue')
+msgwin.pack(side=LEFT, anchor = S, fill=BOTH)
+eyeplot.pop_image('pics/RLcircuit.png', _('RL Circuit, Transient'))
+root.title(_('Transient response of RL Circuit'))
+root.mainloop()
+
diff --git a/eyes-junior/ac-circuit.py b/eyes-junior/ac-circuit.py
new file mode 100644
index 0000000..1cc87a7
--- /dev/null
+++ b/eyes-junior/ac-circuit.py
@@ -0,0 +1,263 @@
+'''
+expEYES Junior CRO+ program
+Author  : Ajith Kumar B.P, bpajith at gmail.com
+License : GNU GPL version 3
+Date : Apr-2012
+'''
+
+import gettext
+gettext.bindtextdomain("expeyes")
+gettext.textdomain('expeyes')
+_ = gettext.gettext
+
+from Tkinter import *
+import expeyes.eyesj as eyes, expeyes.eyeplot as eyeplot, expeyes.eyemath as eyemath, time, os, commands, math
+
+bgcol = 'ivory'
+
+BUFSIZE = 1800		# uC buffer size in bytes
+TIMER = 100
+LPWIDTH = 75
+WIDTH  = 600   		# width of drawing canvas
+HEIGHT = 400   		# height 
+VPERDIV = 1.0		# Volts per division, vertical scale
+NP = 400			# Number of samples
+NC = 1				# Number of channels
+MINDEL = 8		
+delay = MINDEL		# Time interval between samples
+CMERR = False
+data = [ [[],[]],[[],[]],[[],[]] ]  # 3 [t,v] lists
+
+def msg(s, col='blue'):
+	msgwin.config(text=s, fg=col)
+
+def set_timebase(w):
+	global delay, NP, NC, VPERDIV, chan4
+	divs = [0.100, 0.200, 0.500, 1.0, 2.0, 5.0, 10.0, 20.0, 50.0,100.0]
+	msperdiv = divs[int(timebase.get())]
+	totalusec = int(msperdiv * 1000 * 10)
+	NC = 2
+	if totalusec == 1000:
+		NP = 250
+		delay = 4*NC
+	else:
+		NP = 400
+		delay = (totalusec/NP)*NC
+
+	if delay < MINDEL*NC:
+		delay = MINDEL*NC
+	elif delay > 1000:
+		delay = 1000
+
+	totalmsec = round(0.001 * NP * NC *delay)
+	tms = int(totalmsec)
+	NP = tms * 1000/NC/delay
+	if NP%2 == 1 : NP += 1		# Must be an even number, for fitting
+	if NP > 450: NP = 450
+	g.setWorld(0,-5*VPERDIV, NP * delay * 0.001, 5*VPERDIV,_('mS'),_('V'))
+	msg(_('X-scale changed to %d mS/div.Capturing %d samples with %d usec spacing') %(msperdiv,NP,delay))
+
+
+def update():
+	global delay, NP, delay, VPERDIV,data, CMERR, Freq
+	if CMERR == True:
+		CMERR = False 
+		msg('')
+	try:
+		p.set_trig_source(1)
+		t0,v0,t1,v1 = p.capture2_hr(1,2,NP,delay)
+		g.delete_lines()
+		vp.delete_lines()
+		g.line(t0,v0,0)
+		g.line(t1,v1,1)
+		data[0][0] = t0
+		data[0][1] = v0
+		data[1][0] = t1
+		data[1][1] = v1
+
+		t2 = [0]*NP		# Calculate voltages A1 - A2
+		v2 = [0]*NP
+		for k in range(NP):
+			t2[k] = t1[k]
+			v2[k] = v0[k] - v1[k]
+		g.line(t2,v2,2)
+		data[2][0] = t2
+		data[2][1] = v2
+		# fitting
+		fa = eyemath.fit_sine(t0,v0)
+		fb = eyemath.fit_sine(t1,v1)
+		fc = eyemath.fit_sine(t2,v2)
+		if fa != None and fb != None and fc != None:
+			rmsv0 = p.rms(v0)
+			dv0 = 100 * abs( (rmsv0-p.rms(fa[0])) /rmsv0 )
+			rmsv1 = p.rms(v1)
+			dv1 = 100 * abs( (rmsv1-p.rms(fb[0])) /rmsv1 )
+			a0 = fa[1][0]
+			a1 = fb[1][0]
+			a2 = fc[1][0]
+			pd01 = math.atan(a2/a1)
+			pd01_fit = fb[1][2]-fa[1][2]
+			sign = pd01_fit / abs(pd01)
+			pherr = abs(pd01) - abs(pd01_fit)
+			pherr = abs(pherr/pd01) * 100
+			#print 180./math.pi*pd01, 180./math.pi*pd01_fit, pherr
+			if dv0 > 2.0 or dv1 > 2.0 or pherr > 100.0:		# Check for error in FIT
+				g.line(t0, fa[0], col = 6)
+				g.line(t1, fb[0], col = 5)
+				msg(_('Error in Fit (A0: Black &Yellow, A1-Red & Green). Try Changing X-scale'))
+			# Display even if there is an error in fitted results
+			fr = fa[1][1]*1000		
+			Fit0.config(text = _('Frequency = %5.1f Hz') %fr)
+			Fit1.config(text = _('A1:Total voltage = %5.2f V') %(a0))
+			Fit2.config(text = _('A2:Voltage across R = %5.2f V') %(a1))
+			Fit3.config(text = _('A1-A2:Voltage across LC = %5.2f V') %(a2))
+			Fit4.config(text = _('Phase Shift = %5.1f deg') %(pd01_fit*180./math.pi))
+			#Fit5.config(text = _('arc tan(Vx/Vr)= %5.1f deg') %(pd01*180./math.pi))
+			vp.line((0,0),(0, a1), col =1)
+			rx = a0 * math.sin(pd01_fit)
+			ry = a0 * math.cos(pd01_fit) 
+			vp.line((0,rx),(0,ry))
+			vp.line((0,sign*a2),(0, 0), col=2)
+		else:
+			msg(_('Curve Fitfing failed. Try changing X scale'))
+	except:
+		msg(_('Capture Error. Check input voltage levels.'),'red')
+		CMERR = True
+	root.after(10,update)
+
+def set_vertical(w):
+	global delay, NP, NC, VPERDIV
+	divs = [5.0, 1.0, 0.5, 0.2]
+	VPERDIV = divs[int(Vpd.get())]
+	g.setWorld(0,-5*VPERDIV, NP * delay * 0.001, 5*VPERDIV,_('mS'),_('V'))
+
+def save_data():	
+	global data
+	fn = Fname.get()
+	p.save(data,fn)
+	msg(_('Traces saved to %s') %fn)
+
+def xmgrace():
+	global data
+	if p.grace(data) == False:
+		msg(_('Could not find Xmgrace or Pygrace. Install them'),'red')
+	else:
+		msg(_('Traces send to Xmgrace'))
+
+
+def calc():
+	try:
+		f = float(Freq.get())
+		C = float(Cap.get())
+		L = float(Ind.get())
+		R = float(Res.get())
+		Xl = 2*math.pi*f*L*1.e-3
+		if C != 0:
+			Xc = 1./(2*math.pi*f*C*1.e-6)
+		else:
+			Xc = 0.0
+		dphi = math.atan( (Xc-Xl)/R)*180./math.pi
+		s = _('XC = %5.1f   XL = %5.1f\nDphi = %5.1f degree') %(Xc, Xl, dphi)
+		Calc2.config(text = s, fg='blue')
+	except:
+		Calc2.config(text = _('Wrong Input'), fg='red')
+
+#=============================== main program starts here ===================================
+p = eyes.open()
+if p == None: sys.exit()
+
+p.set_trigger(2048)
+root = Tk()    
+f = Frame(root)
+f.pack(side=LEFT)
+g = eyeplot.graph(f, width=WIDTH, height=HEIGHT)	# make plot objects using draw.disp
+g.setWorld(0, -5, 20, 5,_('mS'),_('V'))
+
+f1 = Frame(f)
+f1.pack(side=TOP,  fill = BOTH, expand = 1)
+Label(f1,text = _('mSec/div')).pack(side=LEFT, anchor = SW)		# Sliders for Adjusting Axes
+timebase = Scale(f1,command = set_timebase, orient=HORIZONTAL, length=LPWIDTH, showvalue=False,\
+	from_ = 0, to=9, resolution=1)
+timebase.pack(side=LEFT, anchor = SW)
+timebase.set(3)
+
+Label(f1,text = _('Volt/div')).pack(side=LEFT, anchor = SW)
+Vpd = Scale(f1,command = set_vertical, orient=HORIZONTAL, length=LPWIDTH, showvalue=False,\
+	from_ = 0, to=3, resolution=1)
+Vpd.pack(side=LEFT, anchor = SW)
+Vpd.set(1)
+
+Save = Button(f1,text=_('Save Traces to'), command = save_data)
+Save.pack(side=LEFT, anchor=N)
+Fname = Entry(f1, width=8)
+Fname.pack(side=LEFT)
+Fname.insert(0,'cro.txt')
+
+Save = Button(f1,text=_('XmGrace'), command = xmgrace)
+Save.pack(side=LEFT, anchor=N)
+Quit = Button(f1,text=_('QUIT'), command = sys.exit)
+Quit.pack(side=LEFT, anchor=N)
+
+mf = Frame(f)
+mf.pack(side=TOP,  fill = BOTH, expand = 1)
+msgwin = Label(mf,text = _('Connect SINE to A1, R from A2 to GND. Inductor and/or Capacitor from A1 to A2.'), fg = 'blue')
+msgwin.pack(side=LEFT)#, anchor = SW)
+
+#========================= Right Side panel ===========================================
+RFWIDTH = 150
+rf = Frame(root, width = RFWIDTH, height = HEIGHT)
+rf.pack(side=LEFT,  fill = BOTH, expand = 1)
+vp = eyeplot.graph(rf, width=RFWIDTH, height=RFWIDTH, labels=True)	# make plot objects using draw.disp
+vp.setWorld(-5, -5, 5, 5,'','')
+Label(rf,text = _('Phasor Plot')).pack(side=TOP)
+
+Fit0 = Label(rf, text = '', fg='magenta')
+Fit0.pack(side = TOP, anchor = W)
+Fit1 = Label(rf, text = '', fg='black')
+Fit1.pack(side = TOP, anchor = W)
+Fit2 = Label(rf, text = '', fg='red')
+Fit2.pack(side = TOP, anchor = W)
+Fit3 = Label(rf, text = '', fg='blue')
+Fit3.pack(side = TOP, anchor = W)
+Fit4 = Label(rf, text = '', fg='magenta')
+Fit4.pack(side = TOP, anchor = W)
+Fit5 = Label(rf, text = '', fg='magenta')
+Fit5.pack(side = TOP, anchor = W)
+
+Label(rf,text = _('Calculator')).pack(side=TOP, anchor=N)
+f = Frame(rf)
+f.pack(side=TOP, anchor = W)
+Label(f,text = _('Freq=')).pack(side=LEFT)
+Freq = Entry(f, width = 4)
+Freq.pack(side=LEFT, anchor = N)
+Freq.insert(0,'150')
+Label(f,text = _('Hz R=')).pack(side=LEFT)
+Res = Entry(f, width = 4)
+Res.pack(side=LEFT, anchor = N)
+Res.insert(0,'1000')
+Label(f,text = _('Ohm')).pack(side=LEFT)
+
+f = Frame(rf)
+f.pack(side=TOP, anchor = W)
+Label(f,text = _('C=')).pack(side=LEFT)
+Cap = Entry(f, width = 4)
+Cap.pack(side=LEFT, anchor = N)
+Cap.insert(0,'1')
+Label(f,text = _('uF. L=')).pack(side=LEFT)
+Ind = Entry(f, width = 4)
+Ind.pack(side=LEFT, anchor = N)
+Ind.insert(0,'100')
+Label(f,text = _('mH')).pack(side=LEFT)
+
+Calc = Button(rf,text=_('Calculate XL, XC and Angle'),command = calc)
+Calc.pack(side=TOP)
+Calc2 = Label(rf,text = '')
+Calc2.pack(side=TOP)
+#---------------
+
+eyeplot.pop_image('pics/ac-circuits.png', _('Study of AC Circuit'))
+root.title(_('Study of AC Circuits'))
+root.after(10,update)
+root.mainloop()
+
+
diff --git a/eyes-junior/amfm.py b/eyes-junior/amfm.py
new file mode 100644
index 0000000..4dfc438
--- /dev/null
+++ b/eyes-junior/amfm.py
@@ -0,0 +1,126 @@
+'''
+expEYES program
+Author  : Ajith Kumar B.P, bpajith at gmail.com
+License : GNU GPL version 3
+'''
+
+import gettext
+gettext.bindtextdomain("expeyes")
+gettext.textdomain('expeyes')
+_ = gettext.gettext
+
+from Tkinter import *
+import expeyes.eyesj as eyes, expeyes.eyeplot as eyeplot, expeyes.eyemath as eyemath, time
+
+WIDTH  = 600   # width of drawing canvas
+HEIGHT = 400   # height 
+
+class amfm:
+	delay = 20			# Time interval between samples
+	NP = 1000			# Number of samples
+	NC = 1				# Number of channels
+
+	def do_fft(self):
+		if self.trace == None: return
+		transform = []
+		for xy in self.trace:
+			fr,tr = eyemath.fft(xy[1], self.delay * self.NC * 0.001)
+			transform.append([fr,tr])
+		p.grace(transform, _('freq'), _('power'))
+		#eyeplot.plot(fr,tr)
+		#p.save(transform, 'power-spec.dat')
+		#msgwin.config(text=_('Fourier Power Spectrum Saved to power-spec.dat.'))
+
+	def capture(self):
+		self.chanmask = A0.get() | (A1.get() << 1)
+		if self.chanmask == 3:
+			self.NC = 2
+		else:
+			self.NC = 1
+		self.trace = []
+		v = float(PVS.get())
+		p.set_voltage(v)
+		s = '%5.3f'%v
+		PVS.set(s)
+		self.NP = int(Npoints.get())
+		self.delay = int(Delay.get())
+		#g.setWorld(0,-5, self.NC*self.NP * self.delay * 0.001, 5, _('mS'),'V')
+		g.setWorld(0,-5, self.NP * self.delay * 0.001, 5, _('mS'),'V')
+		s = ''
+		if self.chanmask == 1 or self.chanmask == 2:
+			t,v = p.capture(self.chanmask, self.NP, self.delay)
+			g.delete_lines()
+			g.line(t,v,self.chanmask-1)
+			self.trace.append([t,v])
+		elif self.chanmask == 3:
+			t,v,tt,vv = p.capture2(1,2,self.NP, self.delay)
+			g.delete_lines()
+			g.line(t,v)
+			g.line(tt,vv,1)
+			self.trace.append([t,v])
+			self.trace.append([tt,vv])
+
+p = eyes.open()
+a = amfm()
+root = Tk()
+Canvas(root, width = WIDTH, height = 5).pack(side=TOP)  # Some space at the top
+g = eyeplot.graph(root, width=WIDTH, height=HEIGHT)		# make plot objects using draw.disp
+g.setWorld(0, -5, 20, 5,_('mS'),'V')
+g.setWorld(0,-5, a.NP * a.delay * 0.001, 5, _('mS'),'V')
+
+cf = Frame(root, width = WIDTH, height = 10)
+cf.pack(side=TOP,  fill = BOTH, expand = 1)
+
+A0 = IntVar()
+b=Checkbutton(cf,text='A0', variable=A0, fg= 'black')
+b.pack(side=LEFT, anchor = SW)
+A0.set(1)
+A1 = IntVar()
+b=Checkbutton(cf,text='A1', variable=A1, fg= 'black')
+b.pack(side=LEFT, anchor = SW)
+
+
+b=Button(cf,text=_('Capture'), command = a.capture, fg= 'black')
+b.pack(side=LEFT, anchor = SW)
+
+b=Button(cf,text=_('Power Spectrum'), command = a.do_fft, fg= 'black')
+b.pack(side=LEFT, anchor = SW)
+
+b5 = Button(cf, text = _('QUIT'), command = sys.exit)
+b5.pack(side = RIGHT, anchor = N)
+
+cf = Frame(root, width = WIDTH, height = 10)
+cf.pack(side=TOP,  fill = BOTH, expand = 1)
+l = Label(cf, text = _('Number of Samples ='))
+l.pack(side=LEFT)
+Npoints = StringVar()
+t=Entry(cf, width=5, bg = 'white', textvariable = Npoints)
+t.pack(side=LEFT, anchor = S)
+Npoints.set('900')
+
+l = Label(cf, text = _('Delay between samples='))
+l.pack(side=LEFT)
+Delay = StringVar()
+t=Entry(cf, width=3, bg = 'white', textvariable = Delay)
+t.pack(side=LEFT, anchor = S)
+Delay.set('20')
+l = Label(cf, text = _('uS.'))
+l.pack(side=LEFT)
+
+l = Label(cf, text = _('PVS ='))
+l.pack(side=LEFT)
+PVS = StringVar()
+t=Entry(cf, width=5, bg = 'white', textvariable = PVS)
+t.pack(side=LEFT, anchor = S)
+PVS.set('3')
+l = Label(cf, text = 'V')
+l.pack(side=LEFT)
+
+mf = Frame(root)				# Message Frame below command frame.
+mf.pack(side=TOP, anchor = SW)
+msgwin = Label(mf,text = _('Messages'), fg = 'blue')
+msgwin.pack(side=LEFT, anchor = SW)
+eyeplot.pop_image('pics/am.png', _('Amplitude Modulation'))
+root.title(_('Amplitude Modulation'))
+root.mainloop()
+
diff --git a/eyes-junior/calibrate.py b/eyes-junior/calibrate.py
new file mode 100644
index 0000000..5c2ec98
--- /dev/null
+++ b/eyes-junior/calibrate.py
@@ -0,0 +1,190 @@
+'''
+expEYES Junior calibration program
+Author  : Ajith Kumar B.P, bpajith at gmail.com
+License : GNU GPL version 3
+'''
+
+import gettext, sys, os, os.path
+
+gettext.bindtextdomain("expeyes")
+gettext.textdomain('expeyes')
+_ = gettext.gettext
+
+from numpy import mean, zeros
+from Tkinter import *
+import expeyes.eyesj, time, expeyes.eyeplot as eyeplot
+
+def msg(s, col='blue'):
+	msgwin.config(text=s, fg=col)
+
+def save_calib():	# Saves scale factors of A1 & A2 to calibrationFile
+	v = p.set_voltage(2.0)
+	v1 = p.get_voltage(1)
+	if abs(v1-v) > 0.1:
+		msg(_('PVS is NOT connected to A1'),'red')
+		return
+	v2 = p.get_voltage(2)
+	if(abs(v2-v) > 0.1):
+		msg(_('PVS is NOT connected to A2'), 'red')
+		return
+
+	np = 10
+	x = zeros(np)				# Calibrate A1 
+	y  = zeros(np)
+	iv = 50						# DAC binary value
+	for k in range(np):
+		p.write_dac(iv)
+		time.sleep(0.01)
+		x[k] = p.read_adc(1)				# binary from A1, after level shifting
+		y[k] = p.read_adc(12)*5.0/4095		# voltage at PVS (connected to A1)
+		iv += 350
+	xbar = mean(x)							# Calculate m & c for A1  , 12 bit
+	ybar = mean(y)
+	m1 = sum(y*(x-xbar)) / sum(x*(x-xbar))
+	m1 = float(m1)
+	c1 = ybar - xbar * m1
+	c1 = float(c1)
+	# Do some sanity check here
+	ucm = 10.0/4095		# Uncalibrated values of m and c
+	ucc = -5.0
+	dm = ucm * 0.02			# maximum 2% deviation
+	dc = 5 * 0.02
+	if abs(m1 - ucm) > dm or abs(c1 - ucc) > dc:
+		msg(_('Too much error in A1: m = %f  c=%f')%(m1,c1),'red')
+		return
+
+	iv = 10						# Calibrate A2
+	for k in range(np):
+		p.write_dac(iv)
+		time.sleep(0.01)
+		x[k] = p.read_adc(2)				# binary from A2, after level shifting
+		y[k] = p.read_adc(12)*5.0/4095	# voltage at PVS (connected to A2)			
+		iv += 400
+	xbar = mean(x)							# Calculate m & c for A1  , 12 bit
+	ybar = mean(y)
+	m2 = sum(y*(x-xbar)) / sum(x*(x-xbar))
+	m2 = float(m2)
+	c2 = ybar - xbar * m2
+	c2 = float(c2)
+	if abs(m2 - ucm) > dm or abs(c2 - ucc) > dc:	# Error check
+		msg(_('Too much error in A2: m = %f  c=%f')%(m2,c2),'red')
+		return
+	print m1,c1,m2,c2
+	if p.storeCF_a1a2(m1, c1, m2, c2) == None:	# Store to EEPROM
+		msg(_('EEPROM write failed. Old Firmware ?'),'red')
+		return	
+	ss =_('m1 = %f   c1 = %6.3f\nm2 = %f   c2 = %6.3f')%(m1, c1, m2, c2)
+	msg(_('A1&A2 Calibration Saved to EEPROM\n')+ss)
+	print ss
+
+#------------------------------------------------------------------------------
+def save_calibsen():	# Saves scale factors of A1 & A2 to file 'eyesj.cal'
+	v = p.get_voltage(5)
+	try:
+		r = float(Rsen.get())
+		R = r * (5.0 - v) / v
+	except:
+		msg(_('Enter the R connected to SEN'), 'red')
+		return
+	print R
+	if (R < 4950) or (R > 5250):
+		msg(_('Wrong Resistor ??. Calculated Rp =%5.1f Ohm')%R, 'red')
+		return
+	if p.storeCF_sen(R) == None:					# Store to EEPROM
+		msg(_('EEPROM write failed. Old Firmware ?'),'red')
+		return
+	else:
+		msg(_('Calibrated SEN. Rp =%5.0f')%R )
+
+#------------------------- Capacitance calibration ------------------------
+socket_cap = 0
+
+def measure_socketcap():
+	global socket_cap
+	sc = p.measure_cap_raw()
+	if 20 < sc < 50:
+		socket_cap = sc
+		msg(_('Empty Socket is %5.1f pF')%socket_cap)
+	else:
+		msg(_('IN1 not empty'), 'red')
+
+def save_calibcap():
+	global socket_cap
+	if socket_cap == 0:
+		msg(_('Measure Socket capacitance first'), 'red')
+		return
+	try:
+		creal = float(Cin.get())
+	except:
+		msg(_('Enter the C connected to IN1'), 'red')
+		return
+	try:
+		cm = p.measure_cap_raw() - socket_cap
+		error = creal/cm
+	except:
+		msg(_('Mesuring capacitor failed'),'red')
+		return
+
+	print creal, cm, error, cm*error
+	if error < 0.85 or error > 1.15 or socket_cap > 50:	# Error check
+		msg(_('Too much error: Socket C= %f CF=%f')%(socket_cap, error),'red')
+		return
+	if p.storeCF_cap(socket_cap, error) == None:		# Store to EEPROM
+		msg(_('Write to EEPROM failed'),'red')
+	else:
+		msg(_('Saved: Socket C = %5.1f pF. CF = %5f %%')%(socket_cap, error))
+
+#---------------------------------------------------------------------------------
+root = Tk()
+Label(root, text = _('Calibration of Inputs A1 & A2'), fg='blue',\
+			font=('Helvetica', 14)).pack(side=TOP)
+Label(root, text = _('Connect PVS to both A1 and A2')).pack(side=TOP)
+Button(root, text = _("Calibrate A1 & A2"), command = save_calib).pack(side = TOP)
+separator = Frame(height=2, bd=1, relief=SUNKEN)
+separator.pack(fill=X, padx=5, pady=5)
+
+Label(root, text = _('Calibration of Resistor on SEN'),font=('Helvetica', 14),\
+		fg='blue').pack(side=TOP)
+f = Frame(root, relief = SUNKEN)
+f.pack(side=TOP)
+Label(f, text = _('Enter the Resistance connected from SEN to GND=')).pack(side=LEFT)
+Rval = StringVar()
+Rsen = Entry(f, width=6, textvariable=Rval)
+Rsen.pack(side=LEFT)
+#Rval.set('4984')
+Label(f, text = _('Ohm')).pack(side=LEFT)
+Button(root,text = _("and Click here to Calibrate SEN"), \
+	command = save_calibsen).pack(side = TOP)
+separator = Frame(height=2, bd=1, relief=SUNKEN)
+separator.pack(fill=X, padx=5, pady=5)
+
+Label(root, text = _('Calibration of IN1 for Capacitance'),font=('Helvetica', 14),\
+		fg='blue').pack(side=TOP)
+Button(root,text = _("First, Click Here without Capacitor on IN1"), \
+	command = measure_socketcap).pack(side = TOP)
+f = Frame(root)
+f.pack(side=TOP)
+Label(f, text = _('Enter the Capacitance connected to IN1')).pack(side=LEFT)
+Cval = StringVar()
+Cin = Entry(f, width=6, textvariable = Cval)
+Cin.pack(side=LEFT)
+#Cval.set('980')
+Label(f, text = _('pF')).pack(side=LEFT)
+Button(root,text = _("and Click Here to Calibrate IN1"), command = save_calibcap).pack(side = TOP)
+separator = Frame(height=2, bd=1, relief=SUNKEN)
+separator.pack(fill=X, padx=5, pady=5)
+
+msgwin = Label(root, text = _('calibration program'))
+msgwin.pack(side=TOP)
+separator = Frame(height=2, bd=1, relief=SUNKEN)
+separator.pack(fill=X, padx=5, pady=5)
+
+Button(text = _("Exit"), command = sys.exit).pack(side = TOP)
+
+p = expeyes.eyesj.open()
+if p == None:
+	root.title(_('EYES Junior Hardware not found'))
+eyeplot.pop_image('pics/calibrate.png', _('Calibrate A1 & A2'))
+root.title(_('EYES Junior Calibration'))
+root.mainloop()
+
diff --git a/eyes-junior/change-pvs.py b/eyes-junior/change-pvs.py
new file mode 100644
index 0000000..eca05f2
--- /dev/null
+++ b/eyes-junior/change-pvs.py
@@ -0,0 +1,25 @@
+from Tkinter import *
+import expeyes.eyesj, expeyes.eyeplot as eyeplot
+p=expeyes.eyesj.open()
+
+import gettext
+gettext.bindtextdomain("expeyes")
+gettext.textdomain('expeyes')
+_ = gettext.gettext
+
+def set_pvs(w):
+	state = int(Pvs.get())
+	iv = int(Pvs.get())
+	p.write_dac(iv)
+	v = p.get_voltage(12)
+	Res.config(text=_('PVS = %5.3f volts')%v)
+w = Tk()
+Label(text=_('To change PVS drag the slider. For fine adjustment, click on its left or right')).pack(side=TOP)
+Pvs = Scale(w,command = set_pvs, orient=HORIZONTAL, length=500, showvalue=False, from_ = 0, to=4095, resolution=1)
+Pvs.pack(side=TOP)
+Res = Label(text = '', fg = 'blue')
+Res.pack(side=TOP)
+Button(text=_('QUIT'), command=sys.exit).pack(side=TOP)
+w.title(_('EYES Junior: Adjust PVS'))
+w.mainloop()
+
diff --git a/eyes-junior/croplus.py b/eyes-junior/croplus.py
new file mode 100644
index 0000000..6e43f29
--- /dev/null
+++ b/eyes-junior/croplus.py
@@ -0,0 +1,770 @@
+'''
+expEYES Junior CRO+ program
+Author  : Ajith Kumar B.P, bpajith at gmail.com
+License : GNU GPL version 3
+Date : Apr-2012
+'''
+
+import gettext
+gettext.bindtextdomain("expeyes")
+gettext.textdomain('expeyes')
+_ = gettext.gettext
+
+from Tkinter import *
+import expeyes.eyesj as eyes, expeyes.eyeplot as eyeplot, expeyes.eyemath as eyemath, time, os, commands
+
+bgcol = 'ivory'
+
+BUFSIZE = 1800		# uC buffer size in bytes
+TIMER = 100
+WIDTH  = 500   		# width of drawing canvas  (make 550)
+HEIGHT = 400   		# height 
+VPERDIV = 1.0		# Volts per division, vertical scale
+NP = 400			# Number of samples
+NC = 1				# Number of channels
+MINDEL = 4		
+delay = MINDEL		# Time interval between samples
+CMERR = False
+
+MAXCHAN = 4
+chan4 = [ [1, [], [],0,[],0,0,0,None,None,0.0 ],\
+		  [0, [], [],0,[],0,0,0,None,None,0.0 ],\
+		  [0, [], [],0,[],0,0,0,None,None,0.0 ],\
+		  [0, [], [],0,[],0,0,0,None,None,0.0 ]\
+		] # Source, t, v, fitflag, vfit, amp, freq, phase, widget1, widget2, display offset in volts
+CHSRC   = 0		# index of each item in the list above.
+TDATA   = 1
+VDATA   = 2
+FITFLAG = 3
+VFDAT   = 4
+AMP     = 5
+FREQ    = 6
+PHASE   = 7
+WINFO   = 8
+WFIT    = 9
+DOFFSET = 10
+
+# Data Sources and their names
+sources = ['A1','A2','IN1', 'IN2', 'SEN', 'SQ1', 'SQ2', 'OD1', 'CCS']
+channels = ['CH1', 'CH2', 'CH3', 'CH4']
+chancols = ['black', 'red', 'blue','magenta']
+# Actions before capturing waveforms
+actions = ['ATR', 'WHI', 'WLO', 'WRE', 'WFE','SHI', 'SLO', 'HTP', 'LTP']
+acthelp = [_('Analog Trigger'),_('Wait for HIGH'), _('Wait for LOW'), \
+	   _('Wait for Rising Edge'), _('Wait for Falling Edge'),\
+	   _('Set HIGH'), _('Set LOW'), _('High True Pulse'), \
+	   _('Low True Pulse')]
+
+srchelp = [_('Analog Input -5 to +5 volts. Drag this to CH1 .. CH4 to Display it'),\
+	   _('Analog Input -5 to +5 volts. Drag this to CH1 .. CH4 to Display it'),\
+	   _('Analog Input  0 to +5 volts. Drag this to CH1 .. CH4 to Display it'),\
+	   _('Analog Input  0 to +5 volts. Drag this to CH1 .. CH4 to Display it'),\
+	   _('Analog Input  0 to +5 volts. Drag this to CH1 .. CH4 to Display it'),\
+	   _('Analog Input  0 to +5 volts. Drag this to CH1 .. CH4 to Display it'),\
+	   _('Analog Input  0 to +5 volts. Drag this to CH1 .. CH4 to Display it'),\
+	   _('Digital Output 0 to +5 volts. SHI, SLO,HTP or LTP can be assigned to this'),\
+	   _('Constant Current Source Output. SHI, SLO,HTP or LTP can be assigned to this')\
+	   ]
+
+# Geometry of the left panel, selection of triggers  & channels
+LPWIDTH  = 80
+LPHEIGHT = 320
+VSTEP = 25
+VBORD = 10
+OFFSET   = VSTEP * len(sources)
+SELSRC  = 1
+SETACT  = 2
+WAITACT = 3
+SELCHAN = 4
+NORMAL = 100		# Status of Display channel
+FIT = 101			# Fit to Sinusoid
+DEL = 102		    # Remove entry
+FTR = 103			# Fourier transform
+selection  = 0
+seltag = ''		# selected tag
+
+def set_ch1_offset(val):
+	chan4[0][DOFFSET] = int(val) * VPERDIV
+
+def set_ch2_offset(val):
+	chan4[1][DOFFSET] = int(val) * VPERDIV
+
+def set_ch3_offset(val):
+	chan4[2][DOFFSET] = int(val) * VPERDIV
+
+def set_ch4_offset(val):
+	chan4[3][DOFFSET] = int(val) * VPERDIV
+
+def show_ftr(ch):
+	fa = eyemath.fit_sine(chan4[ch][TDATA],chan4[ch][VDATA])	# get frequency to decide suitable 'dt'
+	if fa != None:
+		fr = fa[1][1]*1000			# frequency in Hz
+		dt = int(1.e6/ (20 * fr))	# dt in usecs, 20 samples per cycle
+		t,v = p.capture(chan4[ch][CHSRC], 1800, dt)
+		xa,ya = eyemath.fft(v,dt)
+		eyeplot.plot(xa*1000,ya, title = _('Fourier Transform,power spectrum'), xl = _('Freq'), yl = _('Amp'))
+		msg(_('%s Fourier transform done, Data saved to "fft.dat"') %(channels[seltag]))
+		p.save([[xa,ya]],'fft.dat')
+
+def msg(s, col='blue'):
+	msgwin.config(text=s, fg=col)
+
+def set_timebase(w):
+	global delay, NP, NC, VPERDIV, chan4
+	divs = [0.100, 0.200, 0.500, 1.0, 2.0, 5.0, 10.0, 20.0, 50.0,100.0]
+	msperdiv = divs[int(timebase.get())]
+	totalusec = int(msperdiv * 1000 * 10)
+	chans = []				# Update channel & color information
+	for m in range(len(chan4)):
+		if chan4[m][0] > 0:
+			chans.append(chan4[m][0])		# channel number
+	NC = len(chans)
+	if NC < 1:
+		return
+	if totalusec == 1000:
+		NP = 250
+		delay = 4*NC
+	else:
+		NP = 400
+		delay = (totalusec/NP)*NC
+
+	if delay < MINDEL*NC:
+		delay = MINDEL*NC
+	elif delay > 1000:
+		delay = 1000
+
+	totalmsec = round(0.001 * NP * NC *delay)
+	tms = int(totalmsec)
+	NP = tms * 1000/NC/delay
+	if NP%2 == 1 : NP += 1		# Must be an even number, for fitting
+	if NP > 450: NP = 450
+	g.setWorld(0,-5*VPERDIV, NP * delay * 0.001, 5*VPERDIV,_('mS'),'V')
+	#print _('NP delay = '),NP, delay, 0.0001 * NP*delay, msperdiv
+
+def measure_freq(e):
+	w = e.widget
+	tag =w.find_closest(e.x, e.y)
+	item = w.itemcget(tag,'tag')
+	target = int(item.split()[0])
+	if e.x < LPWIDTH/2 and e.y < OFFSET and 2 < target < 8:	 # Selected IN1, IN2, SEN, SQR1 or SQR2
+		freq = p.get_frequency(target)
+		if freq > 0.5:
+			r2f = p.r2ftime(target, target)*1.0e-6
+			msg(_('%4s : Freq = %5.3f. Duty Cycle = %5.1f %%') %(sources[target-1], freq, r2f*freq*100))
+			s=_('%4s\n%5.3f Hz\n%5.1f %%')%(sources[target-1], freq, r2f*freq*100)
+			g.disp(s)
+		else:
+			msg(_('No squarewave detected on %4s') %(sources[target-1]))
+	elif e.x > LPWIDTH/2 and e.y > OFFSET and target < 3:	 # Selected CH1, CH2 or CH3
+		if chan4[target][CHSRC] != 0 and chan4[target+1][CHSRC] != 0:  # both channels active
+			fa = eyemath.fit_sine(chan4[target][TDATA],chan4[target][VDATA])
+			fb = eyemath.fit_sine(chan4[target+1][TDATA],chan4[target+1][VDATA])
+			if fa != None and fb != None:
+				v1 = fa[1][0]
+				v2 = fb[1][0]
+				f1 = fa[1][1]*1000	# millisecond x-axis gives frequency in kHz, convert it
+				f2 = fb[1][1]*1000
+				p1 = fa[1][2]
+				p2 = fb[1][2]
+				s = _('%s: %5.3f V, %5.2f Hz | %s: %5.2f V, %5.3f Hz | Phase difference = %5.1f degree') \
+				    % (channels[target], v1, f1, channels[target+1],v2, f2, (p2-p1)*180/3.1416)
+				msg(s)
+				s=_('%4s\n%5.3f Hz\n%5.1f %%')%(sources[target-1], freq, r2f*freq*100)
+				g.disp(s)
+			else:
+				msg(_('Fitting of data failed. Try with Xmgrace'))
+		else:
+			msg(_('Selected channel and the next one should have data'), 'red')
+
+def release(e):
+	global selection, seltag, chan4, NC
+	w = e.widget
+	w.configure(cursor = 'arrow')
+	if selection == 0:
+		msg(_('Invalid Action'), 'red')
+		return
+	tag =w.find_closest(e.x, e.y)
+	item = w.itemcget(tag,'tag')
+	target = int(item.split()[0])
+	if e.x > LPWIDTH/2 and e.y > OFFSET and selection == SELSRC:		# Assign source to channel
+		msg(_('Assigned Data Input %4s to Channel %s') %(sources[seltag-1],channels[target]))
+		s = '%s:' %(sources[seltag-1])
+		chan4[target][WINFO].config(text = s, fg=chancols[target])
+		chan4[target][0] = seltag
+		set_timebase(0)				# Adding  a channel require recalculation
+	elif e.x < LPWIDTH/2 and e.y < OFFSET and selection == SETACT and target > 6:   
+		msg(_('%4s effective on Output %s') %(acthelp[seltag], sources[target-1]))
+		p.enable_action(seltag, target+2)		# There is an offset of 2 for OD1 & CCS
+		#print 'SET ', seltag,target+2
+	elif e.x < LPWIDTH/2 and e.y < OFFSET and selection == WAITACT and target <= 7:   
+		msg(_('%4s effective on Input %s') %(acthelp[seltag], sources[target-1]))
+		p.enable_action(seltag, target)
+	elif e.x < LPWIDTH/2 and e.y > OFFSET and selection == SELCHAN:    # Selected channel    
+		if target == DEL:
+			chan4[seltag][CHSRC] = 0 
+			chan4[seltag][FITFLAG] = 0 
+			chan4[seltag][WINFO].config(text = '')
+			chan4[seltag][WFIT].config(text = '')
+			msg('Disabled Display channel %s'%(channels[seltag]))
+			set_timebase(0)				# Deleting a channel require recalculation
+		elif target == FIT:
+			if chan4[seltag][CHSRC] != 0:
+				chan4[seltag][FITFLAG] = True
+				msg('Selected %s for fitting'%(channels[seltag]))
+			else:
+				msg(_('Channel %s is Empty') %(channels[seltag]), 'red')
+		elif target == FTR:
+			if chan4[seltag][CHSRC] != 0:
+				show_ftr(seltag)			# Channel for FT
+			else:
+				msg(_('Channel %s is Empty') %(channels[seltag]), 'red')
+		elif target == NORMAL:
+			if chan4[seltag][CHSRC] != 0:
+				chan4[seltag][FITFLAG] = False
+				chan4[seltag][WFIT].config(text = '')
+				msg(_('Disabled fitting %s') %(channels[seltag]))
+			else:
+				msg(_('Channel %s is Empty') %(channels[seltag]), 'red')
+	elif e.x < LPWIDTH/2 and e.y < OFFSET and selection == SELSRC:    # Selected Source
+		src = sources[target-1]
+		val = p.get_voltage(target)
+		ss = _('Voltage at %s = %5.3f V') %(src,val)
+		sm = _('%3s: %5.3f V')%(src, val)
+		if 2 < target < 8:
+			level = p.get_state(target)
+			ss += _(' (Logic Level = %d)') %level
+		msg(ss)
+		g.disp(sm)
+	else:
+		msg(_('Invalid selection'), 'red')		
+
+def press(e):
+	global selection, seltag
+	selection = 0
+	w = e.widget
+	tag =w.find_closest(e.x, e.y)
+	item = w.itemcget(tag,'tag')
+	if item == '' or item[0] == 'c': return		# clicked on borders
+	seltag = int(item.split()[0])
+	if e.x < LPWIDTH/2 and e.y < OFFSET:		# Source selection
+		if seltag > 7: 
+			msg(_('%4s is an Output') %sources[seltag-1], 'red')
+			return
+		selection = SELSRC
+		msg(_('Selected Data Input %4s. For Trace, Drag this to CH1 .. CH4. To print value release the button.')\
+				%sources[seltag-1])
+		w.configure(cursor = 'pencil')
+	elif e.x > LPWIDTH/2 and e.y < OFFSET:		# Trigger selection
+		if seltag >= 5:
+			selection = SETACT
+			msg(_('Selected %4s. Drag cursor to the OD1 or CSS Output') %acthelp[seltag])
+			w.configure(cursor = 'hand1')
+		else:
+			selection = WAITACT
+			msg(_('Selected %4s. Drag cursor to desired Data Input') %acthelp[seltag],)
+			w.configure(cursor = 'hand2')
+	elif e.x > LPWIDTH/2 and e.y > OFFSET:		# Channel selection
+			selection = SELCHAN
+			msg(_('Selected %4s. Drag cursor to NML FIT or DEL') %channels[seltag],)
+			w.configure(cursor = 'pencil')
+
+def update():
+	global delay, NP, NC, VPERDIV, chan4, CMERR
+	global NP, NC, delay,chan4
+	chans = []						# Update channel & color information
+	index = []
+	for m in range(len(chan4)):
+		if chan4[m][0] > 0:
+			 chans.append(chan4[m][0])		# channel number
+			 index.append(m)				# Store the used indices, for storing & fitting
+	NC = len( chans)
+	try:
+		if NC == 1:
+			chan4[index[0]][TDATA],chan4[index[0]][VDATA] = p.capture_hr(chans[0],NP,delay)
+			v1 = []
+			for k in range(NP): v1.append( chan4[index[0]][VDATA][k] + chan4[index[0]][DOFFSET])
+			g.delete_lines()
+			g.line(chan4[index[0]][TDATA],v1, index[0])
+		elif NC == 2:
+			chan4[index[0]][TDATA],chan4[index[0]][VDATA], \
+			chan4[index[1]][TDATA],chan4[index[1]][VDATA] = p.capture2_hr( chans[0],  chans[1],NP,delay)
+			v1 = []
+			v2 = []
+			for k in range(NP): 
+				v1.append( chan4[index[0]][VDATA][k] + chan4[index[0]][DOFFSET])
+				v2.append( chan4[index[1]][VDATA][k] + chan4[index[1]][DOFFSET])
+			g.delete_lines()
+			g.line(chan4[index[0]][TDATA], v1, index[0])
+			g.line(chan4[index[1]][TDATA], v2, index[1])
+		elif NC == 3:
+			chan4[index[0]][TDATA],chan4[index[0]][VDATA], chan4[index[1]][TDATA],chan4[index[1]][VDATA], \
+			chan4[index[2]][TDATA],chan4[index[2]][VDATA] = p.capture3( chans[0], chans[1], chans[2],NP,delay)
+			v1 = []
+			v2 = []
+			v3 = []
+			for k in range(NP): 
+				v1.append( chan4[index[0]][VDATA][k] + chan4[index[0]][DOFFSET])
+				v2.append( chan4[index[1]][VDATA][k] + chan4[index[1]][DOFFSET])
+				v3.append( chan4[index[2]][VDATA][k] + chan4[index[2]][DOFFSET])
+			g.delete_lines()
+			g.line(chan4[index[0]][TDATA], v1, index[0])
+			g.line(chan4[index[1]][TDATA], v2, index[1])
+			g.line(chan4[index[2]][TDATA], v3, index[2])
+		elif NC == 4:
+			chan4[index[0]][TDATA],chan4[index[0]][VDATA], chan4[index[1]][TDATA],chan4[index[1]][VDATA], \
+			chan4[index[2]][TDATA],chan4[index[2]][VDATA], chan4[index[3]][TDATA],chan4[index[3]][VDATA] \
+				 = p.capture4( chans[0],  chans[1], chans[2], chans[3],NP,delay)
+			v1 = []
+			v2 = []
+			v3 = []
+			v4 = []
+			for k in range(NP): 
+				v1.append( chan4[index[0]][VDATA][k] + chan4[index[0]][DOFFSET])
+				v2.append( chan4[index[1]][VDATA][k] + chan4[index[1]][DOFFSET])
+				v3.append( chan4[index[2]][VDATA][k] + chan4[index[2]][DOFFSET])
+				v4.append( chan4[index[3]][VDATA][k] + chan4[index[3]][DOFFSET])
+			g.delete_lines()
+			g.line(chan4[index[0]][TDATA], v1, index[0])
+			g.line(chan4[index[1]][TDATA], v2, index[1])
+			g.line(chan4[index[2]][TDATA], v3, index[2])
+			g.line(chan4[index[3]][TDATA], v4, index[3])
+		if CMERR == True: 
+			CMERR = False
+			msg('')
+	except:
+
+		msg(_('Communication Error. Check input voltage levels.'),'red')
+		CMERR = True
+	
+	for k in range(4):
+		if chan4[k][CHSRC] != 0 and chan4[k][FITFLAG] == 1:
+			fa = eyemath.fit_sine(chan4[k][TDATA],chan4[k][VDATA])
+			if fa != None:
+				chan4[k][VFDAT] = fa[0]
+				chan4[k][AMP] = abs(fa[1][0])
+				chan4[k][FREQ] = fa[1][1]*1000
+				chan4[k][PHASE] = fa[1][2] * 180/eyemath.pi
+				s = _('%5.2f V, %5.1f Hz')%(chan4[k][AMP],chan4[k][FREQ])
+				chan4[k][WFIT].config(text = s, fg= chancols[k])
+	if looping.get() == '0':
+		root.after(10,update)
+
+def set_vertical(w):
+	global delay, NP, NC, VPERDIV
+	divs = [5.0, 1.0, 0.5, 0.2]
+	VPERDIV = divs[int(Vpd.get())]
+	g.setWorld(0,-5*VPERDIV, NP * delay * 0.001, 5*VPERDIV,_('mS'),'V')
+
+def set_trigger(w):
+	tv = Trig.get()
+	if p != None: p.set_trigger(tv)
+
+def cro_mode():
+	state = looping.get()
+	if state == '1':
+		Loop.config(text=_('ONE '))
+		msg(_('Press SCAN Button to do a single Capture'))
+	else:
+		Loop.config(text=_('LOOP'))
+		root.after(10,update)
+
+def scan():
+	if looping.get() == '1':
+		update()
+		msg(_('Captured %d points in %d usecs') %(NP,NP*delay))
+	else:
+		msg(_('Use this only in Single scan mode'), 'red')
+
+def set_pvs(e):
+	try:
+		v = float(Pvs.get())
+		res = p.set_voltage(v)
+		if res == None:
+			msg(_('Enter a value between 0 to +5 volts'),'red')
+		else:
+			msg(_('PVS set to %5.3f volts') %res)
+			g.disp(_('PVS: %5.3f V')%res)
+	except:
+		msg(_('Enter voltage between -5 and +5 volts'),'red')
+
+def set_sqr1():
+	state = int(Sqr1.get())
+	if state == 0:
+		p.set_sqr1(-1)
+		msg(_('SQR1 set to LOW'))
+	else:
+		try:
+			fr = float(Freq.get())
+			res = p.set_sqr1(fr)
+			if res == None:
+				msg(_('Enter a value between .7 to 200000 Hz'))
+			else:
+				msg(_('SQR1 set to %5.1f Hertz') %res)
+		except:
+			msg(_('Enter valid frequency, in Hertz'),'red')
+
+def set_sqr2():
+	state = int(Sqr2.get())
+	if state == 0:
+		p.set_sqr2(-1)
+		msg(_('SQR2 set to LOW'))
+	else:
+		try:
+			fr = float(Freq.get())
+			res = p.set_sqr2(fr)
+			if res == None:
+				msg(_('Enter a value between .7 to 200000 Hz'))
+			else:
+				msg(_('SQR2 set to %5.1f Hertz') %res)
+		except:
+			msg(_('Enter valid frequency, in Hertz'),'red')
+
+def set_sqrs():
+	state = int(Both.get())
+	if state == 0:
+		p.set_sqr1(-1)
+		p.set_sqr2(-1)
+		msg(_('SQR1 and SQR2 set to LOW'))
+	else:
+		try:
+			fr = float(Freq.get())
+			shift = float(Phase.get())
+			res = p.set_sqrs(fr,shift)
+			if res == None:
+				msg(_('Enter a value between .7 to 200000 Hz'))
+			else:
+				msg(_('SQR1 and SQR2 set to %5.1f Hertz, Shift is %5.2f %% of Period') %(res,shift))
+		except:
+			msg(_('Enter valid frequency in Hertz and phase shift in percentage'),'red')
+
+def sqr1_slider(w):
+	if p == None: return
+	freq = SQR1slider.get()
+	if freq == 0: 
+		p.set_sqr1(-1)
+		msg(_('SQR1 set to LOW'))
+	else:
+		fs = p.set_sqr1(freq)
+		msg(_('SQR1 set to %5.1f') %fs)
+
+def control_od1():
+	state = int(Od1.get())
+	p.set_state(10, state)
+
+def control_ccs():
+	state = int(Ccs.get())
+	p.set_state(11, state)
+
+def measurecap():
+	msg(_('Starting Capacitance Measurement..'))
+	cap = p.measure_cap()
+	if cap == None:
+		msg(_('Error: Capacitance too high or short to ground'),'red')
+		return
+	g.disp(_('IN1: %6.1f pF')%cap)
+	if p.socket_cap == 30.0 and p.cap_calib == 1.0:
+		msg(_('IN1 Not Calibrated.'))
+	else:
+		msg(_('IN1: %6.1f pF')%cap)
+
+
+def measureres():
+	res = p.measure_res()
+	if res == None:
+		msg(p.msg,'red')
+		return
+	msg(_('Resistance from SEN to GND = %6.0f Ohm')%res)
+	g.disp(_('%5.0f Ohm'%res))
+
+def save_data():
+	fn = Fname.get()
+	dat = []
+	for k in range(4):
+		if chan4[k][CHSRC] != 0:
+			dat.append( [chan4[k][TDATA],chan4[k][VDATA]])
+	p.save(dat,fn)
+	msg(_('Traces saved to %s') %fn)
+
+def xmgrace():
+	dat = []
+	for k in range(4):
+		if chan4[k][CHSRC] != 0:
+			dat.append( [chan4[k][TDATA],chan4[k][VDATA]])
+	if p.grace(dat) == False:
+		msg(_('Could not find Xmgrace or Pygrace. Install them'),'red')
+	else:
+		msg(_('Traces send to Xmgrace'))
+
+def process_command(e):
+	cp = Result.index(INSERT)
+	row = int(cp.split('.')[0])
+	ss = Result.get("%d.0"%row, "%d.end"%row)	# User's entry
+	cmd = 'p.'+ ss								# command
+	p.msg = ''
+	try:
+		res = eval(cmd)
+		if res == None: 
+			res = p.msg
+	except:
+		res = 'Invalid command or argument'
+	Result.insert("%d.0"%(row+1), '\n'+str(res))		# Result below
+
+def pop_expt_menu(event):
+	poped = True
+	menu.post(event.x_root, event.y_root)
+
+def reconnect():
+	global p
+	import expeyes.eyesj
+	p=expeyes.eyesj.open()
+	if p == None:
+		msg(_('expEYES Junior NOT found. Bad connection or another program using it'),'red')
+	else:
+		Recon.forget()
+		s = _('Four Channel CRO+ found expEYES-Junior on %s') %p.device
+		root.title(s)
+		msg(s)
+		root.after(TIMER,update)
+
+#=============================== main program starts here ===================================
+root = Tk()    
+top = Frame(root)
+top.pack(side=TOP, anchor =W)
+f1 = Frame(top, width = LPWIDTH, height = HEIGHT)
+f1.pack(side=LEFT,  fill = BOTH, expand = 1)				# Left side frame
+
+w = Canvas(f1, width=LPWIDTH, height=LPHEIGHT,bg = bgcol)   # Canvas for drag n drop controls
+w.pack(side=TOP, anchor = W)
+for k in range(len(sources)):
+	if k >6: 
+		col = 'blue'
+	else:
+		col = 'black'
+	w.create_text (LPWIDTH/2-10, VBORD+k*VSTEP, anchor = E, text = sources[k], tag = k+1, fill=col)
+for k in range(len(actions)):
+	if  k >= 5:
+		col = 'blue'
+	else:
+		col = 'black'
+	w.create_text (LPWIDTH/2+10, VBORD+k*VSTEP, anchor = W, text = actions[k], tag = k, fill=col)
+for k in range(4):
+	w.create_text (LPWIDTH/2+10, VBORD + OFFSET + k*VSTEP, anchor = W, text = channels[k], tag = k,\
+		fill= chancols[k])
+w.create_text (LPWIDTH/2-10, VBORD + OFFSET + 0*VSTEP, anchor = E, text = 'NML', tag = NORMAL)
+w.create_text (LPWIDTH/2-10, VBORD + OFFSET + 1*VSTEP, anchor = E, text = 'FTR', tag = FTR)
+w.create_text (LPWIDTH/2-10, VBORD + OFFSET + 2*VSTEP, anchor = E, text = 'FIT', tag = FIT)
+w.create_text (LPWIDTH/2-10, VBORD + OFFSET + 3*VSTEP, anchor = E, text = 'DEL', tag = DEL)
+w.create_line(LPWIDTH/2, 0, LPWIDTH/2, LPHEIGHT)
+w.create_line(0, OFFSET, LPWIDTH, OFFSET)
+w.bind ("<ButtonPress-1>", press)
+w.bind ("<ButtonPress-3>", measure_freq)
+w.bind ("<ButtonRelease-1>", release)
+offsets = [_('Move UP'), _('CENTER'), _('Move DOWN')]	
+offsetmenu = Menu(w, tearoff=0)
+for k in range(len(offsets)):
+	offsetmenu.add_command(label=offsets[k], background= 'ivory', command = lambda cmd=k :change_offset(cmd))
+
+Label(f1,text = _('mSec/div')).pack(side=TOP, anchor = SW)		# Sliders for Adjusting Axes & Trigger Level
+timebase = Scale(f1,command = set_timebase, orient=HORIZONTAL, length=LPWIDTH, showvalue=False,\
+	from_ = 0, to=9, resolution=1)
+timebase.pack(side=TOP, anchor = SW)
+
+Label(f1,text = _('Volt/div')).pack(side=TOP, anchor = SW)
+Vpd = Scale(f1,command = set_vertical, orient=HORIZONTAL, length=LPWIDTH, showvalue=False,\
+	from_ = 0, to=3, resolution=1)
+Vpd.pack(side=TOP, anchor = SW)
+Vpd.set(1)
+
+Label(f1,text = _('Trig level')).pack(side=TOP, anchor = SW)
+Trig = Scale(f1,command = set_trigger, orient=HORIZONTAL, length=LPWIDTH, showvalue=False,\
+	from_ = 100, to=4000, resolution=10)
+Trig.pack(side=TOP, anchor = SW)
+Trig.set(2050)
+
+
+#--------------------------------- Middle Frame ------------------------------
+a = Frame(top, width = LPWIDTH, height = HEIGHT)
+a.pack(side=LEFT,  fill = BOTH, expand = 1)
+f = Frame(a, width = 75, height = HEIGHT)
+f.pack(side=TOP,  fill = BOTH, expand = 1)
+g = eyeplot.graph(f, width=WIDTH, height=HEIGHT)	# make plot objects using draw.disp
+g.setWorld(0, -5, 20, 5,_('mS'),'V')
+
+#============== Vertical scales for OFFSET adjustment. Lambda not working with Scale callbacks !!! =====
+of = Frame(top, width = 1, height = HEIGHT)
+of.pack(side=LEFT,  fill = BOTH, expand = 1)
+
+Scale(of, orient=VERTICAL, length=HEIGHT/4, showvalue = False, bg = chancols[0],\
+		from_ = 4, to=-4, resolution=1, command = set_ch1_offset).pack(side=TOP)
+Scale(of, orient=VERTICAL, length=HEIGHT/4, showvalue = False, bg = chancols[1],\
+		from_ = 4, to=-4, resolution=1, command = set_ch2_offset).pack(side=TOP)
+Scale(of, orient=VERTICAL, length=HEIGHT/4, showvalue = False, bg = chancols[2],\
+		from_ = 4, to=-4, resolution=1, command = set_ch3_offset).pack(side=TOP)
+Scale(of, orient=VERTICAL, length=HEIGHT/4, showvalue = False, bg = chancols[3],\
+		from_ = 4, to=-4, resolution=1, command = set_ch4_offset).pack(side=TOP)
+
+#========================= Right Side panel ===========================================
+rf = Frame(top, width = 75, height = HEIGHT)
+rf.pack(side=LEFT,  fill = BOTH, expand = 1)
+
+#---------------------- Extra Features -----------------------------
+cf = Frame(rf, border = 1, relief = SUNKEN)
+cf.pack(side=TOP,  fill = BOTH, expand = 1)
+
+Label(cf, text = _('Setting Squarewaves'), fg='blue').pack(side=TOP)
+f = Frame(cf)
+f.pack(side=TOP, anchor = W)
+Freq = Entry(f, width = 6)
+Freq.pack(side=LEFT, anchor = N)
+Freq.insert(0,'1000')
+Label(f,text = _('Hz. dphi=')).pack(side=LEFT, anchor = N)
+Phase = Entry(f, width=4)
+Phase.pack(side=LEFT, anchor=N)
+Phase.insert(0,'0')
+Label(f,text = '%').pack(side=LEFT, anchor = N)
+
+f = Frame(cf)			# Setting square waves
+f.pack(side=TOP)
+Sqr1 = IntVar()
+Checkbutton(f,text = 'SQR1', command = set_sqr1, variable = Sqr1).pack(side=LEFT, anchor=N)
+Sqr2 = IntVar()
+Checkbutton(f,text = 'SQR2', command = set_sqr2, variable = Sqr2).pack(side=LEFT, anchor=N)
+Both = IntVar()
+Checkbutton(f,text = _('BOTH'), command = set_sqrs, variable = Both).pack(side=LEFT, anchor=N)
+
+SQR1slider = Scale(cf,command = sqr1_slider, orient=HORIZONTAL, length=180, showvalue=False, from_ = 0, to=5000, resolution=5)
+SQR1slider.pack(side=TOP, anchor=W)
+Canvas(cf, height = 5,  width = 100).pack(side=TOP)	# Spacer
+
+f = Frame(cf)			# Setting OD1 and CCS
+f.pack(side=TOP)
+Label(f, text = _('Set PVS =')).pack(side=LEFT)
+Pvs = Entry(f, width = 6)
+Pvs.pack(side=LEFT)
+Pvs.bind("<Return>",set_pvs)
+Pvs.bind("<KP_Enter>",set_pvs)
+Pvs.insert(0,'0')
+Label(f, text = 'V').pack(side=LEFT)
+
+#Label(cf, text = 'Control OD1 & CCS', fg='blue').pack(side=TOP)
+f = Frame(cf)			# Setting OD1 and CCS
+f.pack(side=TOP)
+Label(f, text = _('Set State')).pack(side=LEFT)
+Od1 = IntVar()
+Checkbutton(f,text = 'OD1', variable = Od1, command = control_od1).pack(side=LEFT, anchor=N)
+Ccs = IntVar()
+Checkbutton(f,text = 'CCS', variable = Ccs, command = control_ccs).pack(side=LEFT, anchor=N)
+Canvas(cf, height = 5, width= 100).pack(side=TOP)	# Spacer
+
+#ff = Frame(cf)			# Setting OD1 and CCS
+#ff.pack(side=TOP)
+#Button(ff,text =_('ZeroSet'), command=cap_setzero).pack(side=LEFT, anchor=N)
+
+Button(cf,text =_('Measure C on IN1'), command=measurecap).pack(side=TOP, anchor=N)
+Button(cf,text =_('Measure R on SEN'), command=measureres).pack(side=TOP, anchor=N)
+
+Canvas(cf, height = 5, width= 100).pack(side=TOP)	# Spacer
+
+#Label(cf, text = _('Type command<Enter>'), fg='blue').pack(side=TOP)
+Result = Text(cf, width = 25, height = 5)
+Result.pack(side=TOP)
+Result.bind("<Return>", process_command)
+Result.bind("<KP_Enter>", process_command)
+
+#-----------------------------------------------------------------
+looping = 1				# Make Status display Region
+for ch in range(4):
+	f = Frame(rf)
+	f.pack(side=TOP, anchor = W)
+	chan4[ch][8] = Label(f, width=4, text = '', fg=chancols[ch])	# 8 is Label, 9 is value
+	chan4[ch][8].pack(side=LEFT, anchor = N)
+	chan4[ch][9] = Label(f, width=16, text = '')
+	chan4[ch][9].pack(side=LEFT, anchor = N)
+chan4[0][WINFO].config(text = 'A1')
+
+#---------------
+f = Frame(rf)
+f.pack(side=TOP, anchor = W)
+Save = Button(f,text=_('Save Traces to'), command = save_data)
+Save.pack(side=LEFT, anchor=N)
+Fname = Entry(f, width=8)
+Fname.pack(side=LEFT)
+Fname.insert(0,'cro.txt')
+
+f = Frame(rf)
+f.pack(side = TOP, anchor=W)
+looping = StringVar()
+Loop = Checkbutton(f, text=_('LOOP'), variable = looping, command = cro_mode)
+Loop.pack(side=LEFT)
+looping.set('0')
+Scan = Button(f, text=_('SCAN'), command = scan)
+Scan.pack(side=LEFT)
+Grace = Button(f, text=_('XMG'), command = xmgrace)
+Grace.pack(side=LEFT)
+
+f = Frame(rf)
+f.pack(side=TOP, anchor = W)
+Expt = Button(f,text = _('EXPERIMENTS'))
+Expt.bind("<ButtonRelease-1>", pop_expt_menu)
+Expt.pack(side=LEFT)
+q = Button(f,text=_('QUIT'), command = sys.exit)
+q.pack(side=LEFT, anchor=N)
+
+mf = Frame(root, bg='white')
+mf.pack(side=TOP,  fill = BOTH, expand = 1)
+msgwin = Label(mf,text = '', justify=CENTER, bg = 'white', fg = 'blue', font=('Helvetica', 12))
+msgwin.pack(side=LEFT, anchor = CENTER)
+Recon = Button(mf,text = _('Search Hardware'), command =reconnect)
+
+p = eyes.open()
+if p == None:
+	msg(_('Could not open expEYES Junior. Bad connection or another program using it'),'red')
+	Recon.pack(side=LEFT)
+else:
+	p.disable_actions()
+	root.title(_('Four Channel CRO+ found expEYES-Junior on %s') %p.device)
+	root.after(TIMER,update)
+#------------------------------ experiments menu ------------------------------
+expts = [ 
+[_('Select Experiment'),''],
+[_('Control PVS'),'change-pvs'],
+[_('Study of AC Circuits'),'ac-circuit'],
+[_('RC Circuit'),'RCcircuit'],
+[_('RL Circuit'),'RLcircuit'],
+[_('RLC Discharge'),'RLCdischarge'],
+[_('EM Induction'),'induction'],
+[_('Diode IV'),'diode_iv'],
+[_('Transistor CE'),'transistor'],
+[_('AM and FM'), 'amfm'],
+[_('Frequency Response'),'freq-response'],
+[_('Velocity of Sound') , 'velocity-sound'],
+[_('Interference of Sound') , 'interference-sound'],
+[_('Capture Burst of Sound') , 'sound-burst'],
+[_('Driven Pendulum'),'driven-pendulum'],
+[_('Rod Pendulum') , 'rodpend'],
+[_('Pendulum Wavefrorm'),'pendulum'],
+[_('PT100 Sensor'), 'pt100'],
+[_('Stroboscope'), 'stroboscope'],
+[_('Data Logger'), 'logger'],
+[_('Calibrate'),'calibrate']
+ ]
+
+def run_expt(expt):
+	global p
+	if expt == '': return
+	p.fd.close()	# Free the device from this program, the child will open it
+	cmd = sys.executable + ' ' + eyeplot.abs_path() + expt+'.py'
+	os.system(cmd)
+	msg(_('Finished "')+expt+'.py"')
+	p = eyes.open()	# Establish hardware communication again, for the parent
+	p.disable_actions()
+
+menu = Menu(Expt, tearoff=0)
+for k in range(len(expts)):
+	text = expts[k][0]
+	cmd = expts[k][1]
+	#print text, cmd
+	menu.add_command(label=text, background= 'ivory', command = lambda expt=cmd :run_expt(expt))
+
+root.mainloop()
+
diff --git a/eyes-junior/diode_iv.py b/eyes-junior/diode_iv.py
new file mode 100644
index 0000000..a1115b1
--- /dev/null
+++ b/eyes-junior/diode_iv.py
@@ -0,0 +1,144 @@
+'''
+expEYES program
+Author  : Ajith Kumar B.P, bpajith at gmail.com
+License : GNU GPL version 3
+'''
+import gettext
+gettext.bindtextdomain("expeyes")
+gettext.textdomain('expeyes')
+_ = gettext.gettext
+
+from Tkinter import *
+import expeyes.eyesj as eyes, expeyes.eyeplot as eyeplot, expeyes.eyemath as eyemath, time, sys
+
+TIMER = 10
+WIDTH  = 600   # width of drawing canvas
+HEIGHT = 400   # height    
+
+VSET    = 0		# this will change in the loop
+VSETMIN = 0		# may change this to -5 for zeners
+VSETMAX = 4.5
+STEP    = 0.050		# 50 mV
+MINX    = 0			# may change this to -5 for zeners
+MAXX    = 3         # No diode will go beyond this
+MINY    = 0			# may change this to -5 for zeners
+MAXY    = 5			# Maximum possible current
+history = []		# Data store
+trial = 0			# trial number
+data = [ [], [] ]	# Current & Voltage
+index = 0
+running = False
+
+def start():
+	global VSET, running, index, data
+	VSETMIN = 0.0
+	MINX = 0.0
+	MINY = 0.0
+	g.setWorld(MINX, MINY, MAXX, MAXY,'V',_('mA'))
+	running = True
+	data = [ [], [] ]
+	VSET = VSETMIN
+	index = 0
+	root.after(TIMER,update)
+
+def update():					# Called periodically by the Tk toolkit
+	global VSETMAX, VSET, STEP, index, trial, running, data, history
+	if running == False:
+		return
+	vs = p.set_voltage(VSET)	
+	time.sleep(0.001)	
+	va = p.get_voltage(3)		# voltage across the diode
+	i = (vs-va)/1.0 	 		# in mA, R= 1k
+	data[0].append(va)
+	data[1].append(i)
+	VSET += STEP
+	if VSET > VSETMAX:
+		running = False
+		history.append(data)
+		trial += 1
+		g.delete_lines()
+		for k in range(len(history)):
+			g.line(history[k][0], history[k][1], k)
+		return
+	if index > 1:			# Draw the line
+		g.delete_lines()
+		g.line(data[0], data[1], trial)
+	index += 1
+	root.after(TIMER, update)
+	msg.config(text=_('Starting to plot I-V'))
+
+def xmgrace():		# Send the data to Xmgrace
+	global history
+	p.grace(history, _('Volts'), _('mA'), _('Diode IV Curve'))
+
+def save():
+	global history, running
+	if running == True:
+		return
+	s = e1.get()
+	if s == '':
+		return
+	p.save(history, s)
+	msg.config(text = _('Data saved to file ')+s)
+
+def fit_curve():
+	global data, running
+	if running == True or len(data[0])==0:
+		return
+	f = eyemath.fit_exp(data[0], data[1])
+	if f != None:
+		g.line(data[0], f[0], 1)
+		k = 1.38e-23    # Boltzmann const
+		q = 1.6e-19     # unit charge
+		Io = f[1][0]
+		a1 = f[1][1]
+		T = 300.0		# Room temp in Kelvin
+		n = q/(a1*k*T)
+		s = _('Fitted with Diode Equation : Io = %5.2e mA , Ideality factor = %5.2f')%(Io,n)
+		msg.config(text = s)
+
+def clear():
+	global history, trial, running
+	if running == True:
+		return
+	g.delete_lines()
+	history = []
+	trial = 0
+
+p = eyes.open()
+p.disable_actions()
+root = Tk()
+Canvas(root, width = WIDTH, height = 5).pack(side=TOP)  # Some space at the top
+g = eyeplot.graph(root, width=WIDTH, height=HEIGHT, bip=False)	# make plot objects using draw.disp
+g.setWorld(MINX, MINY, MAXX, MAXY,_('V'),_('mA'))
+
+cf = Frame(root, width = WIDTH, height = 10)
+cf.pack(side=TOP,  fill = BOTH, expand = 1)
+
+b1 = Button(cf, text = _('START'), command = start)
+b1.pack(side = LEFT, anchor = N)
+b3 = Button(cf, text = _('SAVE to'), command = save)
+b3.pack(side = LEFT, anchor = N)
+filename = StringVar()
+e1 =Entry(cf, width=15, bg = 'white', textvariable = filename)
+filename.set('diode_iv.dat')
+e1.pack(side = LEFT)
+
+b5 = Button(cf, text = _('QUIT'), command = sys.exit)
+b5.pack(side = RIGHT, anchor = N)
+b4 = Button(cf, text = _('CLEAR'), command = clear)
+b4.pack(side = RIGHT, anchor = N)
+b5 = Button(cf, text = _('Grace'), command = xmgrace)
+b5.pack(side = RIGHT, anchor = N)
+b5 = Button(cf, text = _('FIT'), command = fit_curve)
+b5.pack(side = RIGHT, anchor = N)
+
+mf = Frame(root, width = WIDTH, height = 10)
+mf.pack(side=TOP,  fill = BOTH, expand = 1)
+msg = Label(mf,text=_('Message'), fg = 'blue')
+msg.pack(side=LEFT)
+
+eyeplot.pop_image('pics/diode-iv.png', _('Diode IV Characteristic'))
+root.title(_('EYES: Diode IV characteristics'))
+root.mainloop()
+
diff --git a/eyes-junior/driven-pendulum.py b/eyes-junior/driven-pendulum.py
new file mode 100644
index 0000000..0d9c8c9
--- /dev/null
+++ b/eyes-junior/driven-pendulum.py
@@ -0,0 +1,23 @@
+from Tkinter import *
+import expeyes.eyesj, expeyes.eyeplot as eyeplot
+p=expeyes.eyesj.open()
+
+import gettext
+gettext.bindtextdomain("expeyes")
+gettext.textdomain('expeyes')
+_ = gettext.gettext
+
+def set_freq(w):
+	state = int(Sqr1.get())
+	fr = float(Sqr1.get())
+	res = p.set_sqr1(fr)
+
+w = Tk()
+Label(text=_('Use Slider to change SQR1 from 1 to 50 Hz')).pack(side=TOP)
+Sqr1 = Scale(w,command = set_freq, orient=HORIZONTAL, length=220, showvalue=True, from_ = 1, to=50, resolution=.1)
+Sqr1.pack(side=TOP)
+Button(text=_('QUIT'), command=sys.exit).pack(side=TOP)
+eyeplot.pop_image('pics/driven-pend.png', _('Driven Pendulum'))
+w.title(_('EYES Junior: Driven Pendulum'))
+w.mainloop()
+
diff --git a/eyes-junior/freq-response.py b/eyes-junior/freq-response.py
new file mode 100644
index 0000000..b782999
--- /dev/null
+++ b/eyes-junior/freq-response.py
@@ -0,0 +1,148 @@
+'''
+expEYES program
+Author  : Ajith Kumar B.P, bpajith at gmail.com
+License : GNU GPL version 3
+'''
+from Tkinter import *
+import expeyes.eyesj as eyes, expeyes.eyeplot as eyeplot, expeyes.eyemath as eyemath, time, sys, numpy
+
+import gettext
+gettext.bindtextdomain("expeyes")
+gettext.textdomain('expeyes')
+_ = gettext.gettext
+
+
+TIMER = 100
+WIDTH  = 600   # width of drawing canvas
+HEIGHT = 400   # height    
+
+NP = 400
+tg = 20
+fmin = 2500.0
+freq = fmin
+fmax = 5000.0
+vpeak = 0			# Assume as 0
+fpeak = fmin
+history = []		# Data store
+trial = 0			# trial number
+data = [ [], [] ]	# Current & Voltage
+index = 0
+running = False
+
+def start():
+	global running, NP, freq, fmin, data, index
+	running = True
+	data = [ [], [] ]
+	index = 0
+	freq = fmin
+	ph.set_sqr1(fmin)
+	root.after(10,update)
+
+def update():					# Called periodically by the Tk toolkit
+	global running, NP, tg, freq, fmax, fpeak, vpeak, history, data, index, trial
+	if running == False:
+		return
+	fr = ph.set_sqr1(freq)
+	freq += 20
+	t,v = ph.capture_hr(1, NP, tg)
+	rmsv = ph.rms(v)
+	data[0].append(fr)
+	data[1].append(rmsv)
+	if rmsv > vpeak:
+		vpeak = rmsv
+		fpeak = fr
+	if fr > fmax:
+		running = False
+		history.append(data)
+		trial += 1
+		g.delete_lines()
+		for k in range(len(history)):
+			g.line(history[k][0], history[k][1], k)
+		vmax = max(data[1])
+		R.config(text='Fo = %5.0f Hz'%fpeak)
+		ph.set_sqr1(0)
+		return
+
+	if index > 1:			# Draw the line
+		g.delete_lines()
+		g.line(data[0], data[1], trial)
+	index += 1
+	root.after(TIMER, update)
+
+def xmgrace():		# Send the data to Xmgrace
+	global history
+	try:
+		import pygrace
+	except:
+		return
+	pg = pygrace.grace()
+	for dat in history:
+		pg.plot(dat[0],dat[1])
+		pg.hold(1)			# Do not erase the old data
+	pg.xlabel(_('Frequency'))
+	pg.ylabel(_('Amplitude'))
+	pg.title(_('Frequency response curve'))
+
+def save():
+	global history, running
+	if running == True:
+		return
+	s = e1.get()
+	if s == '':
+		return
+	f = open(s, 'w')
+	for dat in history:
+		for k in range(len(dat[0])):
+			f.write('%5.3f  %5.3f\n'%(dat[0][k], dat[1][k]))
+		f.write('\n')
+	f.close()
+	msg.config(text = _('Data saved to file ')+s)
+
+def clear():
+	global history, trial, running
+	if running == True:
+		return
+	g.delete_lines()
+	history = []
+	trial = 0
+
+def quit():
+	ph.set_sqr1(0)
+	sys.exit()
+
+
+ph = eyes.open()
+root = Tk()
+Canvas(root, width = WIDTH, height = 5).pack(side=TOP)  # Some space at the top
+g = eyeplot.graph(root, width=WIDTH, height=HEIGHT)	# make plot objects using draw.disp
+g.setWorld(fmin, 0, fmax, 5.0,_('Freq'),_('Amp'))
+
+cf = Frame(root, width = WIDTH, height = 10)
+cf.pack(side=TOP,  fill = BOTH, expand = 1)
+
+b1 = Button(cf, text = _('START'), command = start)
+b1.pack(side = LEFT, anchor = N)
+b3 = Button(cf, text = _('SAVE to'), command = save)
+b3.pack(side = LEFT, anchor = N)
+filename = StringVar()
+e1 =Entry(cf, width=15, bg = 'white', textvariable = filename)
+filename.set('freq-response.dat')
+e1.pack(side = LEFT)
+R = Label(cf,text=_('Fmax = '))
+R.pack(side=LEFT)
+b5 = Button(cf, text = _('QUIT'), command = quit)
+b5.pack(side = RIGHT, anchor = N)
+b4 = Button(cf, text = _('CLEAR'), command = clear)
+b4.pack(side = RIGHT, anchor = N)
+b5 = Button(cf, text = _('Grace'), command = xmgrace)
+b5.pack(side = RIGHT, anchor = N)
+
+mf = Frame(root, width = WIDTH, height = 10)
+mf.pack(side=TOP,  fill = BOTH, expand = 1)
+msg = Label(mf,text=_('Connect Piezo from SQR1 to GND. Microphone to 16,15 & 31. Wire from 13 to 26'), fg = 'blue')
+msg.pack(side=LEFT)
+
+eyeplot.pop_image('pics/sound.png', _('Frequency Response Curve'))
+root.title(_('Audio Frequency response Curve'))
+root.mainloop()
+
diff --git a/eyes-junior/induction.py b/eyes-junior/induction.py
new file mode 100644
index 0000000..fef2a06
--- /dev/null
+++ b/eyes-junior/induction.py
@@ -0,0 +1,139 @@
+'''
+expEYES program
+Author  : Ajith Kumar B.P, bpajith at gmail.com
+License : GNU GPL version 3
+'''
+import gettext
+gettext.bindtextdomain("expeyes")
+gettext.textdomain('expeyes')
+_ = gettext.gettext
+
+from Tkinter import *
+import expeyes.eyesj as eyes, expeyes.eyeplot as eyeplot, expeyes.eyemath as eyemath, time, math, sys
+
+TIMER = 100
+WIDTH  = 500   # width of drawing canvas
+HEIGHT = 350   # height 
+VPERDIV = 1.0		# Volts per division, vertical scale
+delay = 500			# Time interval between samples
+NP = 400			# Number of samples
+data = [ [], [] ]
+history = []		# Data store
+trial = 0			# trial number
+data = [ [], [] ]	# Current & Voltage
+
+
+def find_peaks(ta,va):   # returns the index of the peaks found
+	vmin = 5.0
+	vmax = -5.0
+	p1 = 0		# index of the peaks
+	p2 = 0
+	t1 = t2 = 0
+	size = len(ta)
+	for i in range(size):
+		if va[i] < vmin:
+			vmin = va[i]
+			p1 = i
+		if va[i] > vmax:
+			vmax = va[i]
+			p2 = i
+	#print p1,p2,vmin, vmax
+	if p1 < p2:			# return left side peak first
+		return p1,p2
+	else:
+		return p2,p1
+
+def base_scan():
+	global data, history, trial, NP, delay, noise
+	t, v = p.capture_hr(1,NP,delay)
+	g.delete_lines()
+	g.line(t,v,trial)
+	running = True
+	data = [ [], [] ]
+	p1,p2 = find_peaks(t,v)
+	noise = abs(v[p1])
+	msgwin.config(text = _('Voltage Scan on Coil Done. Noise Voltage = %5.3f V')%noise)
+	root.after(TIMER, update)
+
+def update():
+	global data, history, trial, NP, delay, noise
+	t, v= p.capture_hr(1,NP,delay)		# Scan for 5 times more
+	p1,p2 = find_peaks(t,v)
+	print v[p1], v[p2]
+	if abs(v[p1] - noise) > 0.5 and p1 < .9*NP:  # Signal at least 0.5 volts above noise
+		index = p1-50
+		tbeg = t[index]
+		'''
+		tn = []
+		vn = []
+		while index < p1 + 150:
+			#print index
+			tn.append(t[index]-tbeg)
+			vn.append(v[index])
+			index += 1
+		'''
+		g.delete_lines()
+		g.line(t,v,trial)
+		data = [t,v]
+		s = _('Peak voltages %5.2f and %5.3f separated by %5.3f msec') %(v[p1], v[p2], t[p2]-t[p1])
+		msgwin.config(text = s)
+		#print len(tn), len(vn), v[p1], v[p2]
+		history.append(data)
+		trial += 1
+		return				
+	root.after(TIMER, update)
+
+def clear():
+	global history, trial
+	g.delete_lines()
+	history = []
+	trial = 0
+
+def save():
+	global history
+	s = fn.get()
+	if s == '':
+		return
+	p.save(history, s)
+	msgwin.config(text = _('Data saved to file ')+s)
+
+def viewall():		# Send the data to Xmgrace
+	global history
+	g.delete_lines()	
+	i = 0
+	for t,v in history:
+		g.line(t,v,i)
+		i += 1
+
+p = eyes.open()
+root = Tk()
+Canvas(root, width = WIDTH, height = 5).pack(side=TOP)  # Some space at the top
+g = eyeplot.graph(root, width=WIDTH, height=HEIGHT)	# make plot objects using draw.disp
+g.setWorld(0,-5*VPERDIV, NP * delay * 0.001, 5*VPERDIV,_('mS'),_('V'))
+
+cf = Frame(root, width = WIDTH, height = 10)
+cf.pack(side=TOP,  fill = BOTH, expand = 1)
+b = Button(cf,text =_('Start Scanning'), command= base_scan)
+b.pack(side=LEFT, anchor = SW)
+
+b = Button(cf,text =_('Save to'), command=save)
+b.pack(side=LEFT, anchor = SW)
+fn = Entry(cf,width = 10, bg = 'white')
+fn.pack(side=LEFT, anchor = SW)
+fn.insert(END,'ind.dat')
+b = Button(cf,text =_('QUIT'), command=sys.exit)
+b.pack(side=RIGHT, anchor = SW)
+b = Button(cf,text =_('VIEW'), command=viewall)
+b.pack(side=RIGHT, anchor = SW)
+b4 = Button(cf, text = _('CLEAR'), command = clear)
+b4.pack(side = RIGHT, anchor = N)
+
+mf = Frame(root)				# Message Frame below command frame.
+mf.pack(side=TOP, anchor = SW)
+msgwin = Label(mf,text = _('Messages'), fg = 'blue')
+msgwin.pack(side=LEFT, anchor = SW)
+
+eyeplot.pop_image('pics/induction.png', _('Electromagnetic Induction'))
+root.title(_('EYESJUN: Electromagnetic Induction'))
+root.mainloop()
+
diff --git a/eyes-junior/interference-sound.py b/eyes-junior/interference-sound.py
new file mode 100644
index 0000000..5c60006
--- /dev/null
+++ b/eyes-junior/interference-sound.py
@@ -0,0 +1,169 @@
+'''
+expEYES program
+Author  : Ajith Kumar B.P, bpajith at gmail.com
+License : GNU GPL version 3
+'''
+import gettext
+gettext.bindtextdomain("expeyes")
+gettext.textdomain('expeyes')
+_ = gettext.gettext
+
+from Tkinter import *
+import expeyes.eyesj as eyes, expeyes.eyeplot as eyeplot, expeyes.eyemath as eyemath, time, math
+
+TIMER = 10
+WIDTH  = 800        # width of drawing canvas
+HEIGHT = 400        # height 
+delay = 50		    # Time interval between samples
+NP = 500			# Number of samples
+data = [] 		    # Of the form, [ [x1,y1], [x2,y2],....] where x and y are vectors
+outmask = 1
+looping = False
+
+
+def update():
+	global data, looping, NP, delay
+	if looping == False:
+		return
+	data = []
+	if NP <= 900:
+		t,v = p.capture_hr(1,NP,delay)
+	else:
+		t,v = p.capture(1,NP,delay)
+	g.delete_lines()
+	g.line(t,v)
+	data.append([t,v])
+	fa = eyemath.fit_sine(t, v)
+	if fa != None:
+		#g.line(t,fa[0], 8)
+		rms = p.rms(v)
+		f0 = fa[1][1] * 1000
+		s = _('Freq = %5.0f Hz')%(fa[1][1]*1000)
+	else:
+		s = _('No Signal')
+	msgwin.config(text=s)			# CRO part over	
+	root.after(TIMER, update)	
+
+def start():
+	global looping, NP, delay
+	if looping == True:
+		return
+	p.disable_actions()
+	ns = int(Nsam.get())
+	if 100 <= ns <=1800:			# Number of samples
+		NP = ns
+		g.setWorld(0,-5, NP * delay * 0.001, 5,_('mS'),_('V'))
+	if A0.get() == 1:
+		f = float(Freq0.get())
+		fr = p.set_sqr1(f)
+		Freq0.delete(0,END)
+		Freq0.insert(0,'%5.1f'%fr)
+	else:
+		p.set_sqr1(-1)
+	if A1.get() == 1:
+		f = float(Freq.get())
+		fr = p.set_sqr2(f)
+		Freq.delete(0,END)
+		Freq.insert(0,'%5.1f'%fr)
+	else:
+		p.set_sqr2(-1)
+	looping = True
+	root.after(TIMER, update)
+
+def stop():
+	global looping
+	looping = False
+	p.set_sqr1(-1)
+	p.set_sqr2(-1)
+
+def do_fft():
+	global data, delay, NP
+	if data == []: return
+	fr,tr = eyemath.fft(data[0][1], delay * 0.001)
+	p.save([ [fr,tr] ], 'FFT.dat')
+	p.grace([ [fr,tr] ], _('freq'), _('power'))
+	msgwin.config(text = _('Fourier transform Saved to FFT.dat.'))
+
+def save():
+	global data
+	s = fn.get()
+	if s == '':
+		return
+	p.save(data, s)
+	msgwin.config(text = _('Data saved to file ')+s)
+
+def xmgrace():		# Send the data to Xmgrace
+	global data
+	p.grace(data, _('milliSeconds'), _('Volts'))
+
+def quit():
+	sys.exit()
+
+p = eyes.open()
+p.set_sqr1(0)
+
+root = Tk()
+Canvas(root, width = WIDTH, height = 5).pack(side=TOP)  # Some space at the top
+g = eyeplot.graph(root, width=WIDTH, height=HEIGHT)	# make plot objects using draw.disp
+g.setWorld(0,-5, NP * delay * 0.001, 5,_('mS'),_('V'))
+
+if p == None:
+	g.text(0, 0,_('EYES Hardware Not Found. Check Connections and restart the program'),1)
+	root.mainloop()
+	sys.exit()
+
+cf = Frame(root, width = WIDTH, height = 10)
+cf.pack(side=TOP,  fill = BOTH, expand = 1)
+
+l = Label(cf,text='NS =')
+l.pack(side=LEFT, anchor=SW)
+Nsam = Entry(cf,width = 4, bg = 'white')
+Nsam.pack(side=LEFT, anchor = SW)
+Nsam.insert(END,'400')
+
+A0 = IntVar()
+cb1 = Checkbutton(cf,text =_('SQR1='), variable=A0, fg = 'blue')
+cb1.pack(side=LEFT, anchor = SW)
+A0.set(0)
+
+Freq0 = Entry(cf,width = 10, bg = 'white')
+Freq0.pack(side=LEFT, anchor = SW)
+Freq0.insert(END,'3500')
+
+A1 = IntVar()
+cb1 = Checkbutton(cf,text =_('SQR2='), variable=A1, fg = 'blue')
+cb1.pack(side=LEFT, anchor = SW)
+A1.set(0)
+Freq = Entry(cf,width = 10, bg = 'white')
+Freq.pack(side=LEFT, anchor = SW)
+Freq.insert(END,'3600')
+
+Start = Button(cf,text =_('START'), command = start, fg = 'blue')
+Start.pack(side=LEFT, anchor = SW)
+Stop = Button(cf,text =_('STOP'), command = stop, fg = 'blue')
+Stop.pack(side=LEFT, anchor = SW)
+
+b = Button(cf,text =_('Xmgrace'), command=xmgrace)
+b.pack(side=LEFT, anchor = SW)
+
+b = Button(cf,text =_('FFT'), command=do_fft)
+b.pack(side=LEFT, anchor = SW)
+
+b = Button(cf,text =_('Save to'), command=save)
+b.pack(side=LEFT, anchor = SW)
+fn = Entry(cf,width = 10, bg = 'white')
+fn.pack(side=LEFT, anchor = SW)
+fn.insert(END,'sound.dat')
+b = Button(cf,text =_('QUIT'), command=quit)
+b.pack(side=RIGHT, anchor = SW)
+
+
+mf = Frame(root)				# Message Frame below command frame.
+mf.pack(side=TOP, anchor = SW)
+msgwin = Label(mf,text = _('Messages'), fg = 'blue')
+msgwin.pack(side=LEFT, anchor = SW)
+
+eyeplot.pop_image('pics/sound-inter.png', _('Sound Interference'))
+root.title(_('EYES: Interference of Sound'))
+root.mainloop()
+
diff --git a/eyes-junior/irtest.py b/eyes-junior/irtest.py
new file mode 100644
index 0000000..adc41ef
--- /dev/null
+++ b/eyes-junior/irtest.py
@@ -0,0 +1,11 @@
+import expeyes.eyesj,time
+p=expeyes.eyesj.open()
+
+p.set_state(10,1)
+x=0
+while 1:
+	#n = input('Enter number(0 to 255) :')
+	p.irsend1(x)
+	time.sleep(.5)
+	print x
+	x+=1
diff --git a/eyes-junior/logger.py b/eyes-junior/logger.py
new file mode 100644
index 0000000..2a73367
--- /dev/null
+++ b/eyes-junior/logger.py
@@ -0,0 +1,179 @@
+'''
+expEYES program
+Author  : Ajith Kumar B.P, bpajith at gmail.com
+License : GNU GPL version 3
+'''
+import gettext
+gettext.bindtextdomain("expeyes")
+gettext.textdomain('expeyes')
+_ = gettext.gettext
+
+from Tkinter import *
+import expeyes.eyesj as eyes, expeyes.eyeplot as eyeplot, expeyes.eyemath as eyemath, time, sys
+
+NCHAN  = 5
+WIDTH  = 600   # width of drawing canvas
+HEIGHT = 400   # height    
+
+class Logger:
+	chan = [1,2,3,4,5]
+	tv = [ [], [] ]						# Lists for Time & Voltage
+	MAXTIME = 10  	    # Maximum time, user can set
+	TIMER = 500
+	MINY = -5			# could be 0
+	MAXY = 5.0
+	start_time = None
+	running = False
+
+	def __init__(self):
+		self.chinfo = []
+		for ch in range(NCHAN):
+			self.chinfo.append([False, [[],[]], 0])  # Active, Data, Start Time
+
+	def start(self):
+		self.running = False					# Assume no channel is selected
+		for ch in range(NCHAN):
+			self.chinfo[ch][1] = [ [], [] ]		# Clear old data
+			if CH[ch].get() == 1:
+				self.chinfo[ch][0] = True
+				self.running = True
+			else:
+				self.chinfo[ch][0] = False
+		try:
+			self.MAXTIME = int(DURATION.get())
+			g.setWorld(0, self.MINY, self.MAXTIME, self.MAXY,_('Time'),_('Volt'))
+			self.TIMER = int(TGAP.get())
+			for k in range(4): CB[k].config(state = DISABLED)
+			Total.config(state=DISABLED)
+			Dur.config(state=DISABLED)
+			self.msg(_('Starting the Measurement'))
+			root.after(self.TIMER, self.update)
+		except:
+			self.msg(_('Failed to Start Measurement'))
+			pass
+
+	def stop(self):
+		for k in range(5): CB[k].config(state = NORMAL)
+		Total.config(state=NORMAL)
+		Dur.config(state=NORMAL)
+		self.running = False
+
+	def update(self):
+		if self.running == False:
+			return
+		g.delete_lines()
+		for ch in range(NCHAN):
+			if self.chinfo[ch][0] == True:
+				t,v = p.get_voltage_time(self.chan[ch])
+				if len(self.chinfo[ch][1][0]) == 0:
+					self.chinfo[ch][2] = t
+					elapsed = 0
+				else:
+					elapsed = t - self.chinfo[ch][2]
+				self.chinfo[ch][1][0].append(elapsed)
+				self.chinfo[ch][1][1].append(v)
+				if len(self.chinfo[ch][1][0]) >= 2:
+					g.line(self.chinfo[ch][1][0], self.chinfo[ch][1][1],ch, smooth=True)
+		try:
+			self.MAXTIME = int(DURATION.get())
+			self.TIMER = int(TGAP.get())
+		except:
+			pass
+		if elapsed > self.MAXTIME:
+			for k in range(5): CB[k].config(state = NORMAL)
+			Total.config(state=NORMAL)
+			Dur.config(state=NORMAL)
+			self.running = False
+			return 
+		root.after(self.TIMER, self.update)
+
+	def save(self):
+		try:
+			fn = filename.get()
+		except:
+			fn = 'logger.dat'
+		f = open(fn, 'w')
+		for ch in range(NCHAN):
+			if self.chinfo[ch][0] == True:
+				size = len(self.chinfo[ch][1][0])
+				for k in range(size):
+					s = '%5.3f  %5.3f\n'%(self.chinfo[ch][1][0][k], self.chinfo[ch][1][1][k])
+					f.write(s)
+				f.write('\n')
+		msg.config(text = _('Data Saved'))
+
+	def clear(self):
+		if self.running == True:
+			return
+		for ch in range(NCHAN):
+			self.chinfo[ch][1] = [ [], [] ]
+		g.delete_lines()
+	
+	def msg(self,s):
+		msgwin.config(text=s)
+
+
+p = eyes.open()
+p.disable_actions()
+root = Tk()
+Canvas(root, width = WIDTH, height = 5).pack(side=TOP)  # Some space at the top
+g = eyeplot.graph(root, width=WIDTH, height=HEIGHT, bip=False)	# make plot objects using draw.disp
+log = Logger()
+
+cf = Frame(root, width = WIDTH, height = 10)
+cf.pack(side=TOP,  fill = BOTH, expand = 1)
+
+CB = [0]*NCHAN
+CH = [IntVar(), IntVar(), IntVar(), IntVar(), IntVar()]
+for k in range(NCHAN):
+	CB[k] = Checkbutton(cf,text ='A%1d'%(k+1), variable=CH[k], fg = 'black')
+	CB[k].pack(side=LEFT, anchor = SW)
+	CH[k].set(0)
+	if k == 2: CB[k].config(text=_('IN1'))
+	if k == 3: CB[k].config(text=_('IN2'))
+	if k == 4: CB[k].config(text=_('SEN'))
+CH[0].set(1)
+
+b3 = Label(cf, text = _('Read Every'))
+b3.pack(side = LEFT, anchor = SW)
+TGAP = StringVar()
+Dur =Entry(cf, width=5, bg = 'white', textvariable = TGAP)
+TGAP.set('500')
+Dur.pack(side = LEFT, anchor = SW)
+b3 = Label(cf, text = _('mS,'))
+b3.pack(side = LEFT, anchor = SW)
+b3 = Label(cf, text = _('for total'))
+b3.pack(side = LEFT, anchor = SW)
+DURATION = StringVar()
+Total =Entry(cf, width=5, bg = 'white', textvariable = DURATION)
+DURATION.set('100')
+Total.pack(side = LEFT, anchor = SW)
+b3 = Label(cf, text = _('Seconds'))
+b3.pack(side = LEFT, anchor = SW)
+b1 = Button(cf, text = _('START'), command = log.start)
+b1.pack(side = LEFT, anchor = N)
+b1 = Button(cf, text = _('STOP'), command = log.stop)
+b1.pack(side = LEFT, anchor = N)
+b4 = Button(cf, text = _('CLEAR'), command = log.clear)
+b4.pack(side = LEFT, anchor = N)
+
+cf = Frame(root, width = WIDTH, height = 10)
+cf.pack(side=TOP,  fill = BOTH, expand = 1)
+b3 = Button(cf, text = _('SAVE to'), command = log.save)
+b3.pack(side = LEFT, anchor = N)
+filename = StringVar()
+e1 =Entry(cf, width=15, bg = 'white', textvariable = filename)
+filename.set('logger.dat')
+e1.pack(side = LEFT)
+
+b5 = Button(cf, text = _('QUIT'), command = sys.exit)
+b5.pack(side = RIGHT, anchor = N)
+
+mf = Frame(root, width = WIDTH, height = 10)
+mf.pack(side=TOP,  fill = BOTH, expand = 1)
+msgwin = Label(mf,text=_('Message'), fg = 'blue')
+msgwin.pack(side=LEFT)
+
+root.title(_('EYES-Junior: Four Channel Data Logger'))
+root.mainloop()
+
diff --git a/eyes-junior/pendulum.py b/eyes-junior/pendulum.py
new file mode 100644
index 0000000..8ac8810
--- /dev/null
+++ b/eyes-junior/pendulum.py
@@ -0,0 +1,184 @@
+'''
+expEYES program
+Author  : Ajith Kumar B.P, bpajith at gmail.com
+License : GNU GPL version 3
+'''
+import gettext
+gettext.bindtextdomain("expeyes")
+gettext.textdomain('expeyes')
+_ = gettext.gettext
+
+from Tkinter import *
+import expeyes.eyesj as eyes, expeyes.eyeplot as eyeplot, expeyes.eyemath as eyemath, time, sys, math
+
+WIDTH  = 600   # width of drawing canvas
+HEIGHT = 400   # height    
+
+class Pend:
+	tv = [ [], [] ]			# Lists for Readings
+	TIMER = 5			# Time interval between reads
+	IDLE_TIMER = 100                # time interval between idle reads
+	idle_threshold = 3              # 3V are necessary to autostart
+	MINY = -5			# Voltage range
+	MAXY = 5
+	running = False
+	MAXTIME = 10
+
+	def __init__(self):
+		"""
+		The constructor
+		"""
+		self.reset_idle()
+		root.after(self.IDLE_TIMER, self.idle)
+
+	def fit_curve(self):
+		fa = eyemath.fit_dsine(self.tv[0], self.tv[1], mode="Hz")
+		if fa != None:
+			pa = fa[1]
+			g.line(self.tv[0], fa[0],1)
+			self.msg(_('Angular velocity = %5.2f rad/sec. Damping Factor = %5.3f')%(pa[1], pa[4]))
+		else:
+			self.msg(_('Failed to fit data'))
+
+	def xmgrace(self):
+		if self.running == True:
+			return
+		p.grace([self.tv])
+
+	def reset_idle(self):
+		"""
+		resets an internal list of 20 samples
+		"""
+		self.idle_values=[0.0]*20
+		return
+
+	def idle(self):
+		"""
+		callback used when no other method is in action.
+		stores internally 20 previous samples of voltage measured
+		recently, every tenth of second.
+		When this list contains values with an amplitude greater
+		than a given threshold, creates an "autolaunch".
+		"""
+		if self.running:
+			return
+		t,v = p.get_voltage_time(1)
+		del self.idle_values[0]
+		self.idle_values.append(v)
+		amplitude=max(self.idle_values) - min(self.idle_values)
+		if amplitude > self.idle_threshold:
+			self.start()
+		root.after(self.IDLE_TIMER, self.idle)
+		return
+		
+	def start(self):
+		self.running = True
+		self.reset_idle()
+		self.index = 0
+		self.tv = [ [], [] ]
+		try:
+			self.MAXTIME = int(DURATION.get())
+			g.setWorld(0, self.MINY, self.MAXTIME, self.MAXY,_('Time'),_('Volt'))
+			Dur.config(state=DISABLED)
+			self.msg(_('Starting the Measurements'))
+			root.after(self.TIMER, self.update)
+		except:
+			self.msg(_('Failed to Start'))
+
+	def stop(self):
+		self.running = False
+		Dur.config(state=NORMAL)
+		self.msg(_('User Stopped the measurements'))
+		root.after(self.IDLE_TIMER, self.idle)
+
+	def update(self):
+		if self.running == False:
+			return
+		t,v = p.get_voltage_time(1)  # Read A2
+		if len(self.tv[0]) == 0:
+			self.start_time = t
+			elapsed = 0
+		else:
+			elapsed = t - self.start_time
+		self.tv[0].append(elapsed)
+		self.tv[1].append(v)
+		if len(self.tv[0]) >= 2:
+			g.delete_lines()
+			g.line(self.tv[0], self.tv[1])
+		if elapsed > self.MAXTIME:
+			self.running = False
+			Dur.config(state=NORMAL)
+			self.msg(_('Completed the Measurements'))
+			root.after(self.IDLE_TIMER, self.idle)
+			return 
+		root.after(self.TIMER, self.update)
+
+	def save(self):
+		try:
+			fn = filename.get()
+		except:
+			fn = 'pendulum.dat'
+		p.save([self.tv],fn)
+		self.msg(_('Data saved to %s')%fn)
+
+	def clear(self):
+		if self.running == True:
+			return
+		self.tv = [ [], [] ]
+		g.delete_lines()
+		self.msg(_('Cleared Data and Trace'))
+
+	def msg(self,s, col = 'blue'):
+		msgwin.config(text=s, fg=col)
+
+p = eyes.open()
+p.disable_actions()
+root = Tk()
+Canvas(root, width = WIDTH, height = 5).pack(side=TOP)  # Some space at the top
+g = eyeplot.graph(root, width=WIDTH, height=HEIGHT, bip=False)	# make plot objects using draw.disp
+pen = Pend()
+
+cf = Frame(root, width = WIDTH, height = 10)
+cf.pack(side=TOP,  fill = BOTH, expand = 1)
+
+
+b3 = Label(cf, text = _('Digitize for'))
+b3.pack(side = LEFT, anchor = SW)
+DURATION = StringVar()
+Dur =Entry(cf, width=5, bg = 'white', textvariable = DURATION)
+DURATION.set('15')
+Dur.pack(side = LEFT, anchor = SW)
+b3 = Label(cf, text = _('Seconds.'))
+b3.pack(side = LEFT, anchor = SW)
+
+cf = Frame(root, width = WIDTH, height = 10)
+cf.pack(side=TOP,  fill = BOTH, expand = 1)
+b1 = Button(cf, text = _('START'), command = pen.start)
+b1.pack(side = LEFT, anchor = N)
+b1 = Button(cf, text = _('STOP'), command = pen.stop)
+b1.pack(side = LEFT, anchor = N)
+b1 = Button(cf, text = _('FIT'), command = pen.fit_curve)
+b1.pack(side = LEFT, anchor = N)
+b4 = Button(cf, text = _('CLEAR'), command = pen.clear)
+b4.pack(side = LEFT, anchor = N)
+b1 = Button(cf, text = _('Xmgrace'), command = pen.xmgrace)
+b1.pack(side = LEFT, anchor = N)
+b3 = Button(cf, text = _('SAVE to'), command = pen.save)
+b3.pack(side = LEFT, anchor = N)
+filename = StringVar()
+e1 =Entry(cf, width=15, bg = 'white', textvariable = filename)
+filename.set('pendulum.dat')
+e1.pack(side = LEFT)
+b5 = Button(cf, text = _('QUIT'), command = sys.exit)
+b5.pack(side = RIGHT, anchor = N)
+
+mf = Frame(root, width = WIDTH, height = 10)
+mf.pack(side=TOP)
+msgwin = Label(mf,text=_('Message'), fg = 'blue')
+msgwin.pack(side=LEFT, anchor = S, fill=BOTH, expand=1)
+
+
+eyeplot.pop_image('pics/pend-wave.png', _('Pendulum Oscillations'))
+root.title(_('Oscillations of Pendulum'))
+root.mainloop()
+
diff --git a/eyes-junior/pics/LCRcircuit.png b/eyes-junior/pics/LCRcircuit.png
new file mode 100644
index 0000000..e3c36fd
Binary files /dev/null and b/eyes-junior/pics/LCRcircuit.png differ
diff --git a/eyes-junior/pics/RCcircuit.png b/eyes-junior/pics/RCcircuit.png
new file mode 100644
index 0000000..a24c50f
Binary files /dev/null and b/eyes-junior/pics/RCcircuit.png differ
diff --git a/eyes-junior/pics/RLcircuit.png b/eyes-junior/pics/RLcircuit.png
new file mode 100644
index 0000000..1348322
Binary files /dev/null and b/eyes-junior/pics/RLcircuit.png differ
diff --git a/eyes-junior/pics/ac-circuit.png b/eyes-junior/pics/ac-circuit.png
new file mode 100644
index 0000000..f562903
Binary files /dev/null and b/eyes-junior/pics/ac-circuit.png differ
diff --git a/eyes-junior/pics/ac-circuits.png b/eyes-junior/pics/ac-circuits.png
new file mode 100644
index 0000000..f562903
Binary files /dev/null and b/eyes-junior/pics/ac-circuits.png differ
diff --git a/eyes-junior/pics/am.png b/eyes-junior/pics/am.png
new file mode 100644
index 0000000..5b4a9f6
Binary files /dev/null and b/eyes-junior/pics/am.png differ
diff --git a/eyes-junior/pics/calibrate.png b/eyes-junior/pics/calibrate.png
new file mode 100644
index 0000000..5b7ba54
Binary files /dev/null and b/eyes-junior/pics/calibrate.png differ
diff --git a/eyes-junior/pics/diode-iv.png b/eyes-junior/pics/diode-iv.png
new file mode 100644
index 0000000..3756bb6
Binary files /dev/null and b/eyes-junior/pics/diode-iv.png differ
diff --git a/eyes-junior/pics/driven-pend.png b/eyes-junior/pics/driven-pend.png
new file mode 100644
index 0000000..f0fad39
Binary files /dev/null and b/eyes-junior/pics/driven-pend.png differ
diff --git a/eyes-junior/pics/induction.png b/eyes-junior/pics/induction.png
new file mode 100644
index 0000000..0ea8b61
Binary files /dev/null and b/eyes-junior/pics/induction.png differ
diff --git a/eyes-junior/pics/light-barrier.png b/eyes-junior/pics/light-barrier.png
new file mode 100644
index 0000000..ac8ad32
Binary files /dev/null and b/eyes-junior/pics/light-barrier.png differ
diff --git a/eyes-junior/pics/measure-res-screen.png b/eyes-junior/pics/measure-res-screen.png
new file mode 100644
index 0000000..e2bc0d1
Binary files /dev/null and b/eyes-junior/pics/measure-res-screen.png differ
diff --git a/eyes-junior/pics/pend-wave.png b/eyes-junior/pics/pend-wave.png
new file mode 100644
index 0000000..dcb5707
Binary files /dev/null and b/eyes-junior/pics/pend-wave.png differ
diff --git a/eyes-junior/pics/pt100.png b/eyes-junior/pics/pt100.png
new file mode 100644
index 0000000..9c8157d
Binary files /dev/null and b/eyes-junior/pics/pt100.png differ
diff --git a/eyes-junior/pics/sound-burst.png b/eyes-junior/pics/sound-burst.png
new file mode 100644
index 0000000..59e9c64
Binary files /dev/null and b/eyes-junior/pics/sound-burst.png differ
diff --git a/eyes-junior/pics/sound-inter.png b/eyes-junior/pics/sound-inter.png
new file mode 100644
index 0000000..9305c37
Binary files /dev/null and b/eyes-junior/pics/sound-inter.png differ
diff --git a/eyes-junior/pics/sound.png b/eyes-junior/pics/sound.png
new file mode 100644
index 0000000..643f67e
Binary files /dev/null and b/eyes-junior/pics/sound.png differ
diff --git a/eyes-junior/pics/transistor-ce.png b/eyes-junior/pics/transistor-ce.png
new file mode 100644
index 0000000..bf1640b
Binary files /dev/null and b/eyes-junior/pics/transistor-ce.png differ
diff --git a/eyes-junior/pt100.py b/eyes-junior/pt100.py
new file mode 100644
index 0000000..4cedb76
--- /dev/null
+++ b/eyes-junior/pt100.py
@@ -0,0 +1,257 @@
+'''
+expEYES program
+Author  : Ajith Kumar B.P, bpajith at gmail.com
+License : GNU GPL version 3
+'''
+import gettext
+gettext.bindtextdomain("expeyes")
+gettext.textdomain('expeyes')
+_ = gettext.gettext
+
+from Tkinter import *
+import expeyes.eyesj as eyes, expeyes.eyeplot as eyeplot, expeyes.eyemath as eyemath, time, sys, math
+
+WIDTH  = 600   # width of drawing canvas
+HEIGHT = 400   # height    
+
+class PT100:
+	tv = [ [], [] ]			# Lists for Readings
+	TIMER = 500				# Time interval between reads
+	MINY = 0				# Temperature range
+	MAXY = 100
+	running = False
+	current = 1.0		    # 1mA nominal
+	gain = 1.0				
+	calibrated = False
+	bpdone = False
+	fpdone = False
+
+	def get_freezing(self):
+		v = p.get_voltage(1)
+		temp = self.v2t(v)
+		print temp
+		if -10 < temp < 10:
+			self.BPvoltage = v
+			self.fpdone = True
+			self.msg(_('Voltage at Freezing Point is %5.3f V')%v)
+		else:
+			self.msg(_('Something wrong. Check the connection & Rg'))
+
+	def get_boiling(self):
+		v = p.get_voltage(1)
+		temp = self.v2t(v)
+		print temp
+		if 90 < temp < 110:
+			self.BPvoltage = v
+			self.bpdone = True
+			self.msg(_('Voltage at Boiling Point is %5.3f V')%v)
+		else:
+			self.msg(_('Something wrong. Check the connection & Rg'))
+
+	def calibrate(self):
+		if self.bpdone == True and self.fpdone == True:
+			self.m = (100.0 - 0.0) / (self.BPvoltage - self.FPvoltage)
+			self.c = self.FPvoltage
+			self.calibrated = True
+			self.msg(_('Calibration Done m = %5.3f, c = 5.3f')%(self.m, self.c))
+		else:
+			self.msg(_('Boiling & Freezing points to be measured first'))
+
+	def v2t(self, v):			# Convert Voltage to Temperature for PT100
+		r = v / self.gain / (self.current * 1.0e-3)  # mA to Ampere
+		r0 = 100.0
+		A = 3.9083e-3
+		B = -5.7750e-7
+		c = 1 - r/r0
+		b4ac = math.sqrt( A*A - 4 * B * c)
+		t = (-A + b4ac) / (2.0 * B)
+		print self.current, self.gain, v, r, t
+		#print r,t
+		return t
+
+	def xmgrace(self):
+		if self.running == True:
+			return
+		p.grace([self.tv])
+
+	def start(self):
+		self.running = True
+		self.index = 0
+		self.tv = [ [], [] ]
+		try:
+			self.MAXTIME = int(DURATION.get())
+			self.MINY = int(TMIN.get())
+			self.MAXY = int(TMAX.get())
+			self.gain = float(Gval.get())
+			self.current = float(CCval.get())
+			print self.gain, self.current
+			g.setWorld(0, self.MINY, self.MAXTIME, self.MAXY,_('Time'),_('Volt'))
+			self.TIMER = int(TGAP.get())
+			Total.config(state=DISABLED)
+			Dur.config(state=DISABLED)
+			self.msg(_('Starting the Measurements'))
+			root.after(self.TIMER, self.update)
+		except:
+			self.msg(_('Failed to Start'))
+
+	def stop(self):
+		self.running = False
+		Total.config(state=NORMAL)
+		Dur.config(state=NORMAL)
+		self.msg(_('User Stopped the measurements'))
+
+	def update(self):
+		if self.running == False:
+			return
+		t,v = p.get_voltage_time(3)  # Read A1
+		if len(self.tv[0]) == 0:
+			self.start_time = t
+			elapsed = 0
+		else:
+			elapsed = t - self.start_time
+		self.tv[0].append(elapsed)
+		if self.calibrated:
+			temp = self.m * v + self.c		# Use the calibration 
+		else:
+			temp = self.v2t(v)
+		print v,temp
+		self.tv[1].append(temp)
+		if len(self.tv[0]) >= 2:
+			g.delete_lines()
+			g.line(self.tv[0], self.tv[1])
+		if elapsed > self.MAXTIME:
+			self.running = False
+			Total.config(state=NORMAL)
+			Dur.config(state=NORMAL)
+			self.msg(_('Completed the Measurements'))
+			return 
+		root.after(self.TIMER, self.update)
+
+	def calc_gain(self):
+		vs = p.set_voltage(.1)
+		va = p.get_voltage(1)
+		print va, vs, va/vs
+		if va < -1:
+			self.gain = va/vs
+		else:
+			self.gain = 1
+			self.msg(_('Wrong connections or value of Rg'),'red')
+		Gval.set('%5.1f'%(self.gain))
+
+	def save(self):
+		try:
+			fn = filename.get()
+		except:
+			fn = 'pt100.dat'
+		p.save([self.tv],fn)
+		self.msg(_('Data saved to %s')%fn)
+
+	def clear(self):
+		if self.running == True:
+			return
+		self.nt = [ [], [] ]
+		g.delete_lines()
+		self.msg(_('Cleared Data and Trace'))
+
+	def msg(self,s, col = 'blue'):
+		msgwin.config(text=s, fg=col)
+
+	def quit(self):
+		p.set_state(11,0)
+		sys.exit()
+
+p = eyes.open()
+p.disable_actions()
+p.set_state(11,1)
+root = Tk()
+Canvas(root, width = WIDTH, height = 5).pack(side=TOP)  # Some space at the top
+g = eyeplot.graph(root, width=WIDTH, height=HEIGHT, bip=False)	# make plot objects using draw.disp
+pt = PT100()
+
+cf = Frame(root, width = WIDTH, height = 10)
+cf.pack(side=TOP,  fill = BOTH, expand = 1)
+
+b3 = Label(cf, text = _('Read Every'))
+b3.pack(side = LEFT, anchor = SW)
+TGAP = StringVar()
+Dur =Entry(cf, width=5, bg = 'white', textvariable = TGAP)
+TGAP.set('500')
+Dur.pack(side = LEFT, anchor = SW)
+b3 = Label(cf, text = _('mS,'))
+b3.pack(side = LEFT, anchor = SW)
+b3 = Label(cf, text = _('for total'))
+b3.pack(side = LEFT, anchor = SW)
+DURATION = StringVar()
+Total =Entry(cf, width=5, bg = 'white', textvariable = DURATION)
+DURATION.set('100')
+Total.pack(side = LEFT, anchor = SW)
+b3 = Label(cf, text = _('Seconds.'))
+b3.pack(side = LEFT, anchor = SW)
+
+b3 = Label(cf, text = _('Range'))
+b3.pack(side = LEFT, anchor = SW)
+TMIN = StringVar()
+TMIN.set('0')
+Tmin =Entry(cf, width=5, bg = 'white', textvariable = TMIN)
+Tmin.pack(side = LEFT, anchor = SW)
+b3 = Label(cf, text = _('to,'))
+b3.pack(side = LEFT, anchor = SW)
+TMAX = StringVar()
+TMAX.set('200')
+Tmax =Entry(cf, width=5, bg = 'white', textvariable = TMAX)
+Tmax.pack(side = LEFT, anchor = SW)
+b3 = Label(cf, text = _('C. '))
+b3.pack(side = LEFT, anchor = SW)
+b1 = Button(cf, text = _('START'), command = pt.start)
+b1.pack(side = LEFT, anchor = N)
+b1 = Button(cf, text = _('STOP'), command = pt.stop)
+b1.pack(side = LEFT, anchor = N)
+b4 = Button(cf, text = _('CLEAR'), command = pt.clear)
+b4.pack(side = LEFT, anchor = N)
+
+cf = Frame(root, width = WIDTH, height = 10)
+cf.pack(side=TOP,  fill = BOTH, expand = 1)
+
+b1 = Label(cf, text = _('Gain='))
+b1.pack(side = LEFT, anchor = N)
+Gval = StringVar()
+Gval.set('1')
+Gain =Entry(cf, width=5, bg = 'white', textvariable = Gval)
+Gain.pack(side = LEFT, anchor = SW)
+
+b3 = Label(cf, text = _('Current ='))
+b3.pack(side = LEFT, anchor = SW)
+CCval = StringVar()
+CCval.set('1.0')
+Ccs =Entry(cf, width=4, bg = 'white', textvariable = CCval)
+Ccs.pack(side = LEFT, anchor = SW)
+Label(cf, text = _('mA')).pack(side = LEFT, anchor = SW)
+b1 = Button(cf, text = _('Xmgrace'), command = pt.xmgrace)
+b1.pack(side = LEFT, anchor = N)
+b3 = Button(cf, text = _('SAVE to'), command = pt.save)
+b3.pack(side = LEFT, anchor = N)
+filename = StringVar()
+e1 =Entry(cf, width=15, bg = 'white', textvariable = filename)
+filename.set('pt100.dat')
+
+cf = Frame(root, width = WIDTH, height = 10)
+cf.pack(side=TOP,  fill = BOTH, expand = 1)
+b1 = Button(cf, text = _('Freezing Point'), command = pt.get_freezing)
+b1.pack(side = LEFT, anchor = N)
+b1 = Button(cf, text = _('Boiling Point'), command = pt.get_boiling)
+b1.pack(side = LEFT, anchor = N)
+b1 = Button(cf, text = _('Calibrate'), command = pt.calibrate)
+b1.pack(side = LEFT, anchor = N)
+e1.pack(side = LEFT)
+b5 = Button(cf, text = _('QUIT'), command = pt.quit)
+b5.pack(side = RIGHT, anchor = N)
+
+mf = Frame(root, width = WIDTH, height = 10)
+mf.pack(side=TOP)
+msgwin = Label(mf,text=_('Message'), fg = 'blue')
+msgwin.pack(side=LEFT, anchor = S, fill=BOTH, expand=1)
+
+eyeplot.pop_image('pics/pt100.png', _('Temperatue by PT100'))
+root.title(_('Temperature measuements using PT100'))
+root.mainloop()
+
diff --git a/eyes-junior/resonance.py b/eyes-junior/resonance.py
new file mode 100644
index 0000000..376ff76
--- /dev/null
+++ b/eyes-junior/resonance.py
@@ -0,0 +1,21 @@
+from Tkinter import *
+import expeyes.eyesj
+p=expeyes.eyesj.open()
+
+import gettext
+gettext.bindtextdomain("expeyes")
+gettext.textdomain('expeyes')
+_ = gettext.gettext
+
+def set_freq(w):
+	state = int(Sqr1.get())
+	fr = float(Sqr1.get())
+	res = p.set_sqr1(fr)
+
+w = Tk()
+Label(text=_('Use Slider to change SQR1 from 1 to 50 Hz')).pack(side=TOP)
+Sqr1 = Scale(w,command = set_freq, orient=HORIZONTAL, length=220, showvalue=True, from_ = 1, to=50, resolution=.1)
+Sqr1.pack()
+w.title(_('EYES Junior: Set SQR1'))
+w.mainloop()
+
diff --git a/eyes-junior/rodpend.py b/eyes-junior/rodpend.py
new file mode 100644
index 0000000..0e0bee9
--- /dev/null
+++ b/eyes-junior/rodpend.py
@@ -0,0 +1,154 @@
+'''
+expEYES program
+Author  : Ajith Kumar B.P, bpajith at gmail.com
+License : GNU GPL version 3
+'''
+import gettext
+gettext.bindtextdomain("expeyes")
+gettext.textdomain('expeyes')
+_ = gettext.gettext
+
+from Tkinter import *
+import expeyes.eyesj as eyes, expeyes.eyeplot as eyeplot, expeyes.eyemath as eyemath, time, sys, math
+
+WIDTH  = 600   # width of drawing canvas
+HEIGHT = 400   # height    
+
+class Pend:
+	nt = [ [], [] ]		# Lists for Trial number  & T
+	TIMER = 5
+	MINY = 0			# could be 0
+	MAXY = 1500
+	running = False
+	index = 0
+	nmax = 10
+
+	def xmgrace(self):
+		if self.running == True:
+			return
+		p.grace([self.nt])
+
+	def hist(self):					# Need to be written
+		if self.running == True:
+			return
+		nbin = self.nmax/5          # average binsize is 5
+		self.hist = [0]*nbin
+		data = []
+		for t in self.nt[1]:
+			data.append(4.0 * math.pi**2 * 2.0 * self.length / (3.0 *  t * t))
+		if len(data) < 3:
+			return
+		tmin = p.minimum(data)
+		tmax = p.maximum(data)	
+		tmean = (tmin+tmax)/2
+		span = tmax - tmin
+		step = span / nbin
+		print tmin, tmax, span, step
+		for k in range(self.nmax):
+			for j in range(nbin):
+				#print tmin+j*step, self.nt[1][k], tmin+(j+1)*step
+				if tmin+j*step < data[k] <= tmin+(j+1)*step:
+					self.h[j] += 1
+		print self.h
+
+
+	def start(self):
+		self.running = True
+		self.index = 0
+		self.nt = [ [], [] ]
+		p.set_sqr1(0)					# Switch on the LED
+		self.nmax = int(NMAX.get())
+		self.msg(_('Starting the Measurements'))
+		Result.delete(1.0, END)
+		root.after(self.TIMER, self.update)
+
+	def stop(self):
+		p.set_sqr1(-1)
+		self.running = False
+		self.msg(_('User Stopped the measurements'))
+
+	def update(self):
+		if self.running == False:
+			return
+		t = p.multi_r2rtime(0,1)
+		if t > 0:
+			s = _('%5.1f mS\n') %(t*1.0e-3)
+			print s
+			Result.insert(END, s)	
+			self.nt[0].append(self.index)
+			self.nt[1].append(t*1.0e-3)
+			self.index += 1
+			if self.index > self.nmax:
+				self.running = False
+				p.set_sqr2(-1)
+				self.msg(_('Completed the Measurements'))
+				return 
+		else:
+			self.running = False
+			p.set_sqr2(-1)
+			self.msg(_('Timeout Error. Check Connections'),'red')
+			return 
+		root.after(self.TIMER, self.update)
+
+	def save(self):
+		try:
+			fn = filename.get()
+		except:
+			fn = 'rodpend.dat'
+		p.save([self.nt],fn)
+		self.msg(_('Data saved to %s')%fn)
+
+	def clear(self):
+		if self.running == True:
+			return
+		self.nt = [ [], [] ]
+		Result.delete(1.0,END)
+		self.msg(_('Cleared Data and Trace'))
+
+	def msg(self,s, col = 'blue'):
+		msgwin.config(text=s, fg=col)
+
+p = eyes.open()
+p.disable_actions()
+root = Tk()
+pen = Pend()
+top = Frame()
+top.pack(side=TOP)
+cf = Frame(top, width = WIDTH, height = 10)
+cf.pack(side=LEFT,  fill = BOTH, expand = 1)
+
+b3 = Label(cf, text = _('Trials'))
+b3.pack(side = TOP, anchor = W)
+NMAX = StringVar()
+e1 =Entry(cf, width=5, bg = 'white', textvariable = NMAX)
+e1.pack(side = TOP, anchor = W)
+NMAX.set('10')
+b1 = Button(cf, text = _('START'), command = pen.start)
+b1.pack(side = TOP, anchor = W)
+b1 = Button(cf, text = _('STOP'), command = pen.stop)
+b1.pack(side = TOP, anchor = W)
+b4 = Button(cf, text = _('CLEAR'), command = pen.clear)
+b4.pack(side = TOP, anchor = W)
+b3 = Button(cf, text = _('SAVE to'), command = pen.save)
+b3.pack(side = TOP, anchor = W)
+filename = StringVar()
+e1 =Entry(cf, width=10, bg = 'white', textvariable = filename)
+filename.set('rodpend.dat')
+e1.pack(side = TOP)
+b1 = Button(cf, text = _('Xmgrace'), command = pen.xmgrace)
+b1.pack(side = TOP, anchor = W)
+b5 = Button(cf, text = _('QUIT'), command = sys.exit)
+b5.pack(side = TOP, anchor = W)
+
+Result = Text(top, width=15, height=16)	# make plot objects using draw.disp
+Result.pack(side=LEFT)
+
+mf = Frame(root, width = WIDTH, height = 10)
+mf.pack(side=TOP)
+msgwin = Label(mf,text=_('Message'), fg = 'blue')
+msgwin.pack(side=LEFT, anchor = S, fill=BOTH, expand=1)
+
+eyeplot.pop_image('pics/light-barrier.png', _('Period of a Pendulum'))
+root.title(_('EYES Junior: Pendulum'))
+root.mainloop()
+
diff --git a/eyes-junior/sound-burst.py b/eyes-junior/sound-burst.py
new file mode 100644
index 0000000..9338b93
--- /dev/null
+++ b/eyes-junior/sound-burst.py
@@ -0,0 +1,147 @@
+'''
+expEYES program
+Author  : Ajith Kumar B.P, bpajith at gmail.com
+License : GNU GPL version 3
+'''
+import gettext
+gettext.bindtextdomain("expeyes")
+gettext.textdomain('expeyes')
+_ = gettext.gettext
+
+from Tkinter import *
+import expeyes.eyesj as eyes, expeyes.eyeplot as eyeplot, expeyes.eyemath as eyemath, time, math, sys
+
+TIMER = 100
+WIDTH  = 700   # width of drawing canvas
+HEIGHT = 300   # height 
+VPERDIV = 1.0		# Volts per division, vertical scale
+delay = 15			# Time interval between samples
+NP = 1800			# Number of samples
+data = [ [], [] ]
+history = []		# Data store
+trial = 0			# trial number
+data = [ [], [] ]	# Current & Voltage
+
+
+def find_peaks(ta,va):   # returns the index of the peaks found
+	vmin = 5.0
+	vmax = -5.0
+	p1 = 0		# index of the peaks
+	p2 = 0
+	t1 = t2 = 0
+	size = len(ta)
+	for i in range(size):
+		if va[i] < vmin:
+			vmin = va[i]
+			p1 = i
+		if va[i] > vmax:
+			vmax = va[i]
+			p2 = i
+	#print p1,p2,vmin, vmax
+	if p1 < p2:			# return left side peak first
+		return p1,p2
+	else:
+		return p2,p1
+
+def base_scan():
+	global data, history, trial, NP, delay, noise
+	p.disable_actions()
+	t, v = p.capture(1,NP,delay)
+	g.delete_lines()
+	g.line(t,v,trial)
+	running = True
+	data = [ [], [] ]
+	p1,p2 = find_peaks(t,v)
+	noise = abs(v[p1])
+	msgwin.config(text = _('Volatge Scan Done. Noise Level = %5.3f V')%noise)
+	print WAIT.get()
+	if WAIT.get() == '1':
+		print _('wait')
+		p.enable_wait_high(3)
+	root.after(TIMER, update)
+
+def update():
+	global data, history, trial, NP, delay, noise
+	t, v= p.capture(1,NP,delay)		
+	p1,p2 = find_peaks(t,v)
+	#print v[p1], v[p2], NP
+	if abs(v[p1] - noise) > 0.5 and p1 < NP:  # Signal at least 0.5 volts above noise
+		g.delete_lines()
+		g.line(t,v,trial)
+		data = [t,v]
+		#s = _('Peak voltages %5.2f and %5.3f separated by %5.3f msec') %(v[p1], v[p2], t[p2]-t[p1])
+		msgwin.config(text = _('Captured Sound Burst'))
+		#print len(tn), len(vn), v[p1], v[p2]
+		history.append(data)
+		trial += 1
+		return				
+	root.after(TIMER, update)
+
+def xmgrace():		# Send the data to Xmgrace
+	global data
+	p.grace([data], _('milliSeconds'), _('Volts'))
+
+def clear():
+	global history, trial
+	g.delete_lines()
+	history = []
+	trial = 0
+
+def save():
+	global history
+	s = fn.get()
+	if s == '':
+		return
+	p.save(history, s)
+	msgwin.config(text = _('Data saved to file ')+s)
+
+def viewall():		# Send the data to Xmgrace
+	global history
+	g.delete_lines()	
+	i = 0
+	for t,v in history:
+		g.line(t,v,i)
+		i += 1
+def quit():
+	p.disable_actions()
+	sys.exit()
+
+p = eyes.open()
+root = Tk()
+Canvas(root, width = WIDTH, height = 5).pack(side=TOP)  # Some space at the top
+g = eyeplot.graph(root, width=WIDTH, height=HEIGHT)	# make plot objects using draw.disp
+g.setWorld(0,-5*VPERDIV, NP * delay * 0.001, 5*VPERDIV,_('mS'),_('V'))
+
+cf = Frame(root, width = WIDTH, height = 10)
+cf.pack(side=TOP,  fill = BOTH, expand = 1)
+WAIT = IntVar()
+cb1 = Checkbutton(cf,text =_('Wait for HIGH on IN1'), variable=WAIT, fg = 'blue')
+cb1.pack(side=LEFT, anchor = SW)
+WAIT.set(0)
+
+b = Button(cf,text =_('Start Scanning'), command= base_scan)
+b.pack(side=LEFT, anchor = SW)
+b4 = Button(cf, text = _('CLEAR'), command = clear)
+b4.pack(side = LEFT, anchor = N)
+b = Button(cf,text =_('VIEW'), command=viewall)
+b.pack(side=LEFT, anchor = SW)
+b = Button(cf,text =_('XmGrace'), command=xmgrace)
+b.pack(side=LEFT, anchor = SW)
+
+b = Button(cf,text =_('Save to'), command=save)
+b.pack(side=LEFT, anchor = SW)
+fn = Entry(cf,width = 10, bg = 'white')
+fn.pack(side=LEFT, anchor = SW)
+fn.insert(END,'sound.dat')
+b = Button(cf,text =_('QUIT'), command=quit)
+b.pack(side=LEFT, anchor = SW)
+
+mf = Frame(root)				# Message Frame below command frame.
+mf.pack(side=TOP, anchor = SW)
+msgwin = Label(mf,text = _('Messages'), fg = 'blue')
+msgwin.pack(side=LEFT, anchor = SW)
+
+eyeplot.pop_image('pics/sound-burst.png', _('Capture a burst of sound'))
+root.title(_('EYESJUN: Capturing burst of sound'))
+root.mainloop()
+
diff --git a/eyes-junior/stroboscope.py b/eyes-junior/stroboscope.py
new file mode 100644
index 0000000..c2fdedf
--- /dev/null
+++ b/eyes-junior/stroboscope.py
@@ -0,0 +1,23 @@
+from Tkinter import *
+import expeyes.eyesj, expeyes.eyeplot as eyeplot
+p=expeyes.eyesj.open()
+
+import gettext
+gettext.bindtextdomain("expeyes")
+gettext.textdomain('expeyes')
+_ = gettext.gettext
+
+def set_freq(w):
+	state = int(Sqr1.get())
+	fr = float(Sqr1.get())
+	res = p.set_sqr1(fr)
+
+w = Tk()
+Label(text=_('Use Slider to change SQR1 from 1 to 100 Hz')).pack(side=TOP)
+Sqr1 = Scale(w,command = set_freq, orient=HORIZONTAL, length=220, showvalue=True, from_ = 1, to=50, resolution=.1)
+Sqr1.pack(side=TOP)
+Button(text=_('QUIT'), command=sys.exit).pack(side=TOP)
+#eyeplot.pop_image('pics/driven-pend.png', _('Driven Pendulum'))
+w.title(_('EYES Junior: Driven Pendulum'))
+w.mainloop()
+
diff --git a/eyes-junior/transistor.py b/eyes-junior/transistor.py
new file mode 100644
index 0000000..76e0bce
--- /dev/null
+++ b/eyes-junior/transistor.py
@@ -0,0 +1,165 @@
+'''
+expEYES program
+Author  : Ajith Kumar B.P, bpajith at gmail.com
+License : GNU GPL version 3
+'''
+import gettext
+gettext.bindtextdomain("expeyes")
+gettext.textdomain('expeyes')
+_ = gettext.gettext
+
+from Tkinter import *
+import expeyes.eyesj as eyes, expeyes.eyeplot as eyeplot, expeyes.eyemath as eyemath, time, sys, math
+
+
+TIMER = 10
+WIDTH  = 600   # width of drawing canvas
+HEIGHT = 400   # height    
+
+VSET    = 0		# this will change in the loop
+VSETMIN = 0		# may change this to -5 for zeners
+VSETMAX = 4.5
+STEP    = 0.050		# 50 mV
+MINX    = 0			# may change this to -5 for zeners
+MAXX    = 5         # We have only 5V supply
+MINY    = 0			# may change this to -5 for zeners
+MAXY    = 5			# Maximum possible current
+history = []		# Data store
+trial = 0			# trial number
+data = [ [], [] ]	# Current & Voltage
+index = 0
+running = False
+
+def start():
+	global VSET, running, index, data, ibase
+	if running == True:
+		msg.config(text=_('Busy Drawing'))
+		return
+	p.set_voltage(5)				# Collector to 5V
+	vbset = float(Bias.get())
+	p.set_sqr1_dc(vbset)			# Set base bias through 200 KOhm
+	ibase = (vbset-0.6)/200.0e-3    # uA
+	msg.config(text=_('Base Current = %5.1f uA')%(ibase))
+	#g.text(1,2,_('test'))
+	data = [ [], [] ]
+	VSET = VSETMIN
+	index = 0
+	running = True
+	root.after(TIMER,update)
+
+def load_line():
+	global running, history, data, trial
+	if running == True:
+		msg.config(text=_('Busy Drawing'))
+		return
+	VSET = 4.5
+	p.set_voltage(VSET)            # Questionable action
+	index = 0
+	data = [ [], [] ]
+	for vb in range(1,5):
+		p.set_voltage(1,float(vb))
+		va = p.get_voltage(0)		# voltage across the diode
+		i = (VSET-va)/1.0 	 		# in mA, R= 1k
+		data[0].append(va)
+		data[1].append(i)
+	history.append(data)
+	trial += 1
+	g.line(data[0], data[1],trial)
+
+def update():					# Called periodically by the Tk toolkit
+	global VSETMAX, VSET, STEP, index, trial, running, data, history
+	if running == False:
+		return
+	vs = p.set_voltage(VSET)	
+	time.sleep(0.001)	
+	va = p.get_voltage(3)		# voltage across the diode
+	i = (vs-va)/1.0 	 		# in mA, R= 1k
+	data[0].append(va)
+	data[1].append(i)
+	VSET += STEP
+	if VSET > VSETMAX or i >= 0.8 * MAXX:  # Graph upto 4V only, leave space for text
+		running = False
+		history.append(data)
+		trial += 1
+		g.delete_lines()
+		for k in range(len(history)):
+			g.line(history[k][0], history[k][1], k)
+		g.text(va, i, _('Ib=%4.0f uA') %ibase,k)
+		return
+	if index > 1:			# Draw the line
+		g.delete_lines()
+		g.line(data[0], data[1], trial)
+	index += 1
+	root.after(TIMER, update)
+
+def xmgrace():		# Send the data to Xmgrace
+	global history
+	p.grace(history, _('Volts'), _('mA'), _('Diode IV Curve'))
+
+def save():
+	global history, running
+	if running == True:
+		return
+	s = e1.get()
+	if s == '':
+		return
+	p.save(history, s)
+	msg.config(text = _('Data saved to file ')+s)
+
+def clear():
+	global history, trial, running
+	if running == True:
+		return
+	g.delete_lines()
+	g.delete_text()
+	history = []
+	trial = 0
+
+p = eyes.open()
+p.disable_actions()
+p.set_sqr1_dc(5)
+time.sleep(.5)
+
+root = Tk()
+Canvas(root, width = WIDTH, height = 5).pack(side=TOP)  # Some space at the top
+g = eyeplot.graph(root, width=WIDTH, height=HEIGHT, bip=False)	# make plot objects using draw.disp
+g.setWorld(MINX, MINY, MAXX, MAXY,_('V'),_('mA'))
+
+cf = Frame(root, width = WIDTH, height = 10)
+cf.pack(side=TOP,  fill = BOTH, expand = 1)
+
+l = Label(cf, text=_('Vb (via 200K)='))
+l.pack(side=LEFT, anchor = SW )
+Bias =StringVar()
+Bias.set('1.0')
+e =Entry(cf, width=5, bg = 'white', textvariable = Bias)
+e.pack(side = LEFT)
+l = Label(cf, text='V')
+l.pack(side=LEFT, anchor = SW )
+b1 = Button(cf, text = _('START'), command = start)
+b1.pack(side = LEFT, anchor = N)
+b3 = Button(cf, text = _('SAVE to'), command = save)
+b3.pack(side = LEFT, anchor = N)
+filename = StringVar()
+e1 =Entry(cf, width=15, bg = 'white', textvariable = filename)
+filename.set('tran_ce.dat')
+e1.pack(side = LEFT)
+
+b5 = Button(cf, text = _('QUIT'), command = sys.exit)
+b5.pack(side = RIGHT, anchor = N)
+b4 = Button(cf, text = _('CLEAR'), command = clear)
+b4.pack(side = RIGHT, anchor = N)
+b5 = Button(cf, text = _('Grace'), command = xmgrace)
+b5.pack(side = RIGHT, anchor = N)
+#b5 = Button(cf, text = _('LINE'), command = load_line)
+#b5.pack(side = RIGHT, anchor = N)
+
+mf = Frame(root, width = WIDTH, height = 10)
+mf.pack(side=TOP,  fill = BOTH, expand = 1)
+msg = Label(mf,text=_('Message'), fg = 'blue')
+msg.pack(side=LEFT)
+
+eyeplot.pop_image('pics/transistor-ce.png', _('Transistor CE Char (NPN)'))
+root.title(_('EYES Junior: Transistor CE characteristics'))
+root.mainloop()
+
diff --git a/eyes-junior/velocity-sound.py b/eyes-junior/velocity-sound.py
new file mode 100644
index 0000000..c2c0593
--- /dev/null
+++ b/eyes-junior/velocity-sound.py
@@ -0,0 +1,132 @@
+'''
+expEYES program
+Author  : Ajith Kumar B.P, bpajith at gmail.com
+License : GNU GPL version 3
+'''
+import gettext
+gettext.bindtextdomain("expeyes")
+gettext.textdomain('expeyes')
+_ = gettext.gettext
+
+from Tkinter import *
+import expeyes.eyesj as eyes, expeyes.eyeplot as eyeplot, expeyes.eyemath as eyemath, time, sys, math
+
+TIMER = 100
+WIDTH  = 800        # width of drawing canvas
+HEIGHT = 400        # height 
+delay = 10		    # Time interval between samples
+NP = 400			# Number of samples
+data = [] 		    # Of the form, [ [x1,y1], [x2,y2],....] where x and y are vectors
+outmask = 1
+
+def fset(f):
+	s = '%5.1f'%f
+	Freq.delete(0,END)
+	Freq.insert(0,s)
+
+def measure_phase():
+	global data, NP, delay
+	data = []
+	phsum = 0.0
+	n = 0
+	try:
+		fr = float(Freq.get())
+		fs = p.set_sqr1(fr)
+	except:
+		msgwin.config(text=_('Invalid Frequency'))
+		return	
+	p.enable_wait_rising(6)
+	for k in range(5):
+		t,v = p.capture_hr(1,NP,delay)
+		fa = eyemath.fit_sine(t, v)
+		if fa != None:
+			phsum += fa[1][2]
+			n += 1
+		else:
+			msgwin.config(text=_('No Signal'))
+	p.set_sqr1(-1)
+	if n < 1:
+		msgwin.config(text=_('Measurement failed'))
+		return
+
+	phase = phsum/n * (180.0/math.pi)
+	print n,phase	
+	s = _('Freq = %5.0f Hz Phase = %5.0f deg')%(fs, phase)
+	msgwin.config(text=s)			
+	data.append([t,v])
+	g.delete_lines()
+	g.line(t,v)
+	p.disable_actions()
+
+def do_fft():
+	global data, delay, NP
+	if data == []: return
+	fr,tr = eyemath.fft(data[0][1], delay * 0.001)
+	p.save([ [fr,tr] ], 'FFT.dat')
+	p.grace([ [fr,tr] ], _('freq'), _('power'))
+	msgwin.config(text = _('Fourier transform Saved to FFT.dat.'))
+
+def save():
+	global data
+	s = fn.get()
+	if s == '':
+		return
+	p.save(data, s)
+	msgwin.config(text = _('Data saved to file ')+s)
+
+def xmgrace():		# Send the data to Xmgrace
+	global data
+	p.grace(data, _('milliSeconds'), _('Volts'))
+
+def quit():
+	sys.exit()
+
+p = eyes.open()
+p.set_sqr1(0)
+
+root = Tk()
+Canvas(root, width = WIDTH, height = 5).pack(side=TOP)  # Some space at the top
+g = eyeplot.graph(root, width=WIDTH, height=HEIGHT)	# make plot objects using draw.disp
+g.setWorld(0,-5, NP * delay * 0.001, 5,_('mS'),_('V'))
+
+if p == None:
+	g.text(0, 0,_('EYES Junior Hardware Not Found. Check Connections and restart the program'),1)
+	root.mainloop()
+	sys.exit()
+
+cf = Frame(root, width = WIDTH, height = 10)
+cf.pack(side=TOP,  fill = BOTH, expand = 1)
+
+b1 = Button(cf,text =_('Measure Phase'), command = measure_phase, fg = 'blue')
+b1.pack(side=LEFT, anchor = SW)
+
+l = Label(cf,text=_('Freq='))
+l.pack(side=LEFT, anchor= SW)
+Freq = Entry(cf,width = 10, bg = 'white')
+Freq.pack(side=LEFT, anchor = SW)
+Freq.insert(END,'3500')
+
+b = Button(cf,text =_('Xmgrace'), command=xmgrace)
+b.pack(side=LEFT, anchor = SW)
+
+b = Button(cf,text =_('FFT'), command=do_fft)
+b.pack(side=LEFT, anchor = SW)
+
+b = Button(cf,text =_('Save to'), command=save)
+b.pack(side=LEFT, anchor = SW)
+fn = Entry(cf,width = 10, bg = 'white')
+fn.pack(side=LEFT, anchor = SW)
+fn.insert(END,'sound.dat')
+b = Button(cf,text =_('QUIT'), command=quit)
+b.pack(side=RIGHT, anchor = SW)
+
+
+mf = Frame(root)				# Message Frame below command frame.
+mf.pack(side=TOP, anchor = SW)
+msgwin = Label(mf,text = _('Messages'), fg = 'blue')
+msgwin.pack(side=LEFT, anchor = SW)
+
+eyeplot.pop_image('pics/sound.png', _('Velocity of Sound'))
+root.title(_('EYES Junior: Velocity of Sound'))
+root.mainloop()
+
diff --git a/eyes/GUIProgs/abdisp.py b/eyes/GUIProgs/abdisp.py
new file mode 100755
index 0000000..6f280cb
--- /dev/null
+++ b/eyes/GUIProgs/abdisp.py
@@ -0,0 +1,210 @@
+from Tkinter import *
+import Image, ImageTk, ImageDraw, sys, math
+import phm, time
+
+class disp:
+    """
+    Class for displaying items in a canvas using a global coordinate system.
+    """
+    border = 2
+    pad = 4
+    bordcol = '#555555'
+    gridcol = '#f0f0f0'
+    gridcol2 ='#e0e0e0'
+    aw = 30		# width to display axis labels
+    traces = []
+    line_data = []
+    xtext = []
+    ytext = []
+    markerval = []
+    markertext = None
+    marker = []
+
+    def __init__(self, parent, width=400., height=300.,color='red'):
+	self.parent = parent
+	self.SCX = width - self.aw - self.border - self.pad
+	self.SCY = height - self.aw - self.border - self.pad
+	self.XLIM = self.SCX + 2 * self.border
+	self.YLIM = self.SCY + 2 * self.border
+	f = Frame(parent, bg = color, borderwidth = self.pad)
+	f.pack()
+	self.yaxis = Canvas(f, width = self.aw, height = self.SCY, bg = color)
+	self.yaxis.pack(side = LEFT, anchor = N, pady = self.border)
+	f1 = Frame(f, bg = color)
+	f1.pack()
+	self.canvas = Canvas(f1, background=color, \
+	    width = self.XLIM, height = self.YLIM, )
+	self.canvas.pack(side = TOP)
+        self.canvas.bind("<Button-1>", self.show_xy)
+        self.canvas.bind("<Button-3>", self.show_xy)
+
+	self.xaxis = Canvas(f1, width = self.SCX, height = self.aw, bg = color)
+	self.xaxis.pack(side = LEFT, anchor = N, padx = self.border)
+	
+        b1 = (self.border - 1, self.border-1)
+        b2 = (self.XLIM - self.border + 1, self.YLIM - self.border + 1)
+	self.canvas.create_rectangle ([b1,b2], outline = self.bordcol)
+	self.canvas.pack()
+
+	self.setWorld(-0.5 * self.SCX, -0.5*self.SCY, 0.5 * self.SCX,\
+                 0.5* self.SCY)
+	self.grid(10,100)
+
+    def mark_axes(self, xlab='milli seconds', ylab='Volts', numchans=1):
+        numchans = 1
+        for t in self.xtext:	# display after dividing by scale factors
+            self.xaxis.delete(t)
+        for t in self.ytext:
+            self.yaxis.delete(t)
+        self.xtext = []
+        self.ytext = []
+
+        dx = float(self.SCX)/5
+        for x in range(0,6):
+            a = numchans * x *(self.xmax - self.xmin)/5 + self.xmin
+            s = '%4.1f'%(a)
+            adjust = 0
+            if x == 0: adjust = 6
+            if x == 5: adjust = -10
+            t = self.xaxis.create_text(int(x*dx)+adjust,1,text = s, anchor=N)
+            self.xtext.append(t)
+        self.xtext.append(self.xaxis.create_text(int(self.SCX/2)\
+            ,self.aw,text = xlab, anchor=S))
+            
+        dy = float(self.SCY)/5
+        for y in range(0,6):
+            a = y*(self.ymax - self.ymin)/5	# + self.ymin
+            if self.ymax > 99:
+                s = '%4.0f'%(self.ymax-a)
+            else:
+                s = '%4.1f'%(self.ymax-a)
+            adjust = 0
+            if y == 0: adjust = 6
+            if y == 5: adjust = -5
+            t = self.yaxis.create_text(self.aw, int(y*dy)+adjust, \
+                text = s,anchor = E)
+            self.ytext.append(t)
+        self.ytext.append(self.yaxis.create_text(0,self.SCY/2,\
+            text = ylab, anchor=W))
+
+
+    def setWorld(self, x1, y1, x2, y2):
+      #Calculate the scale factors to be used by functions drawPoint etc.
+      self.xmin = float(x1)
+      self.ymin = float(y1)
+      self.xmax = float(x2)
+      self.ymax = float(y2)
+      self.xscale = (self.xmax - self.xmin) / (self.SCX)
+      self.yscale = (self.ymax - self.ymin) / (self.SCY)
+    
+
+    def w2s(self, p):	# World to Screen conversion
+       ip = []
+       for xy in p:
+             ix = self.border + int( (float(xy[0]) - self.xmin) / self.xscale)
+             iy = self.border + int( (float(xy[1]) - self.ymin) / self.yscale)
+             iy = self.YLIM - iy
+             ip.append((ix,iy))
+       return ip
+       
+    def box(self, x1,  y1,  x2,  y2, col):
+       ip = self.w2s([(x1,y1),(x2,y2)])
+       self.canvas.create_rectangle(ip, outline=col)
+
+    def grid(self, major, minor):
+       dx = (self.xmax - self.xmin) / major
+       dy = (self.ymax - self.ymin) / major
+       x = self.xmin + dx
+       while x < self.xmax:
+         self.line([(x,self.ymin),(x,self.ymax)],self.gridcol, True)
+         x = x +dx
+       y = self.ymin + dy
+       while y < self.ymax:
+         self.line([(self.xmin,y),(self.xmax,y)],self.gridcol, True)
+         y = y +dy
+
+       dx = (self.xmax - self.xmin) / minor
+       dy = (self.ymax - self.ymin) / minor
+       x = self.xmin + dx
+       while x < self.xmax:
+         self.line([(x, 0.),(x, dy)],self.gridcol2, True)
+         x = x +dx
+
+       y = self.ymin + dy
+       while y < self.ymax:
+         self.line([(0., y),(dx,y)],self.gridcol2, True)
+         y = y +dy
+
+    def show_xy(self,event):
+        """
+            Prints the XY coordinated of the current cursor position
+        """
+        ix = self.canvas.canvasx(event.x) - self.border
+        iy = self.YLIM - self.canvas.canvasy(event.y) - self.border
+        x = ix * self.xscale + self.xmin
+        y = iy * self.yscale + self.ymin
+
+        if event.num == 1:
+            s = 'x = %5.0f\ny = %5.3f' % (x,y)
+            self.marker = [(x,y)]
+        elif event.num == 3:
+            if self.marker == []: return
+            self.marker.append((x,y))
+            first = self.marker[0]
+            s = 'x = %5.0f  dx = %5.0f\ny = %5.3f  dy = %5.3f' % \
+            (first[0], x-first[0], first[1], y - first[1])
+        try:
+            self.canvas.delete(self.xydisp)
+        except:
+            pass
+        self.xydisp = self.canvas.create_text(self.border+1,self.SCY-1, \
+        anchor = SW, justify = LEFT, text = s)
+
+    def auto_scale(self, data):
+        xmin = data[0][0]
+        xmax = data[-1][0]
+        ymin = 1.0e10
+        ymax = 1.0e-10
+        for k in range(len(data)):
+            if data[k][1] > ymax: ymax = data[k][1]
+            if data[k][1] < ymin: ymin = data[k][1]
+#        print 'AS ', xmin, ymin, xmax, ymax
+        self.setWorld(xmin,ymin,xmax,ymax*1.1)
+        for t in self.traces:
+            self.canvas.delete(t)
+        self.traces = []
+        for t in self.line_data:
+            ip = self.w2s(t[0])
+            t = self.canvas.create_line(ip, fill=t[1], smooth = 0)
+            self.traces.append(t)
+
+    def zoom(self):
+        if len(self.marker) < 2: return
+        xmin = min(self.marker[0][0],self.marker[1][0])
+        xmax = max(self.marker[0][0],self.marker[1][0])
+        ymin = min(self.marker[0][1],self.marker[1][1])
+        ymax = max(self.marker[0][1],self.marker[1][1])
+        self.setWorld(xmin,ymin,xmax,ymax)
+#        print 'ZOOM', xmin, ymin, xmax, ymax
+        for t in self.traces:
+            self.canvas.delete(t)
+        self.traces = []
+        for t in self.line_data:
+            ip = self.w2s(t[0])
+            t = self.canvas.create_line(ip, fill=t[1], smooth = 0)
+            self.traces.append(t)
+
+    def line(self, points, col, permanent = False, smooth = 0):
+       ip = self.w2s(points)
+       t = self.canvas.create_line(ip, fill=col, smooth = smooth)
+       if permanent == False:
+           self.traces.append(t)
+           self.line_data.append((points, col))
+#           print self,'NT = ', len(self.traces)
+
+    def delete_lines(self):
+        for t in self.traces:
+             self.canvas.delete(t)
+        self.traces = []
+        self.line_data = []
+                                                     
\ No newline at end of file
diff --git a/eyes/GUIProgs/abox.py b/eyes/GUIProgs/abox.py
new file mode 100755
index 0000000..b5982db
--- /dev/null
+++ b/eyes/GUIProgs/abox.py
@@ -0,0 +1,242 @@
+from Tkinter import *
+import Image, ImageTk, ImageDraw, sys, math
+import phm, time
+import phmath
+from abdisp import *
+
+
+WIDTH = 600
+HEIGHT = 300
+NP = 400
+delay = 15
+dac = 2000
+data = None
+fftdata = None
+fitted = None
+col = 'black'
+#col = ['black', 'red', 'green', 'cyan']
+
+def getpar():
+    global NP, delay
+    NP = int(Npoints.get())
+    delay = int(Delay.get())
+    p.select_adc(1)
+
+    
+def clear():
+    global data
+    data = None
+    mainwin.delete_lines()      
+
+def save():
+    global data
+    p.save_data(data, 'data.dat')
+
+#------------------------------- Fitting ------------------
+def save_fit():
+    global fitted
+    p.save_data(fitted[0], 'fit.dat')
+    
+def do_fit():
+    global fitted, data
+    if data == None : 
+        msg.config(text='No Data Present')
+        return
+    fitted = phmath.fit_sine(data)
+    par = fitted[1]
+    other = Toplevel()
+    other.title('Curve Fitting Window')
+    fitwin = disp(other, WIDTH, HEIGHT,'white')
+    fitwin.setWorld(0,-5000,data[-1][0],5000)
+    f = Frame(other)
+    f.pack()
+    ss = 'A = %4.1f mV | F = %4.1f Hz |  ph = %4.1f rad | Offset = %4.1f mV'\
+        %(par[0], par[1]*1.0e6, par[2], par[3])
+    l1 = Label(f,text=ss)
+    l1.pack(side=LEFT)
+    b1 = Button(f,text = 'Save',command = save_fit)
+    b1.pack(side=LEFT)
+    
+    x = []
+    y1 = []
+    y2 = []
+    for k in fitted[0]:
+      y1.append((k[0],k[1]))
+      y2.append((k[0],k[2]))
+    fitwin.line(y1,'black')
+    fitwin.line(y2,'red')
+
+def capture_ch0():
+    global data, NP, delay
+    getpar()
+    p.select_adc(0)
+    data = p.read_block(NP, delay, 1)
+    if data == None: return
+    mainwin.auto_scale(data)
+#    mainwin.setWorld(0,-5000, data[-1][0], 5000)
+    mainwin.mark_axes(xlab='usecs', ylab='mV')
+    y = []
+    for k in data:
+      y.append((k[0],k[1]))
+    mainwin.line(y,'black')
+
+def capture_ch1():
+    global data, NP, delay
+    getpar()
+    p.select_adc(1)
+    data = p.read_block(NP, delay, 1)
+    if data == None: return
+    mainwin.auto_scale(data)
+#    mainwin.setWorld(0,-5000,data[-1][0],5000)
+    mainwin.mark_axes(xlab='usecs', ylab='mV')
+    y = []
+    for k in data:
+      y.append((k[0],k[1]))
+    mainwin.line(y,'black')
+        
+def capture_both():
+    global data, NP, delay
+    getpar()
+    for k in range(4): p.del_channel(k)
+    p.add_channel(0)
+    p.add_channel(1)
+    data = p.multi_read_block(NP, delay, 1)
+    if data == None: return
+    mainwin.auto_scale(data)
+#    mainwin.setWorld(0,-5000,data[-1][0],5000)
+    mainwin.mark_axes(xlab='usecs', ylab='mV', numchans=2)
+    y1 = []
+    y2 = []
+    for k in data:
+      y1.append((k[0],k[1]))
+      y2.append((k[0],k[2]))
+    mainwin.line(y1,'green')
+    mainwin.line(y2,'red')
+
+#---------------------------------- FFT ---------------------------
+def save_fft():
+    global fftdata
+    p.save_data(fftdata, 'fft.dat')
+
+fftwin = None    
+def zoom_fft():
+    global fftwin
+    fftwin.zoom()
+
+def reset_fft():
+    global fftwin, fftdata
+    fftwin.auto_scale(fftdata)
+    fftwin.mark_axes(xlab='Hz', ylab='N')
+
+def do_fft():
+    global data, fftdata, fftwin
+    if data == None : return
+    fftdata = phmath.fft(data)
+    xmin = fftdata[0][0]
+    xmax = fftdata[-1][0]
+    ymin = 0.0
+    ymax = 0.0
+    for k in range(len(fftdata)):
+        if fftdata[k][1] > ymax: ymax = fftdata[k][1]
+        if fftdata[k][1] < ymin: ymin = fftdata[k][1]
+
+    other = Toplevel()
+    other.title('Fourier Transform Window')
+    fftwin = disp(other, WIDTH, HEIGHT,'white')
+    fftwin.auto_scale(fftdata)
+    fftwin.mark_axes(xlab='Hz', ylab='N')
+    f = Frame(other)
+    f.pack()
+    b1 = Button(f,text = 'Save FFT',command = save_fft)
+    b1.pack(side=LEFT)
+    b1 = Button(f,text = 'Zoom',command = zoom_fft)
+    b1.pack(side=LEFT)
+    b1 = Button(f,text = 'Reset',command = reset_fft)
+    b1.pack(side=LEFT)
+    x = []
+    y = []
+    fftwin.delete_lines()
+    for k in fftdata:
+      y.append((k[0],k[1]))
+    fftwin.line(y,'red')
+    
+def set_pwg_dac():
+    opt = option.get()
+    dac = int(DAC.get())
+    if opt == 0:
+        p.set_frequency(dac)
+    else:
+        if dac > 5000: dac = 5000
+        p.set_voltage(dac)
+
+def pwg_dac():
+    options = ['PWG','DAC']
+    units = ['Hz', 'mV']
+    opt = option.get()
+    Option.configure(text = options[opt])
+    Unit.configure(text = units[opt])
+    
+p=phm.phm()
+p.set_voltage(1500)
+p.set_adc_size(1)
+
+root = Tk()
+mainwin = disp(root, WIDTH, HEIGHT,'white')
+f = Frame(root)
+f.pack(side=TOP)
+
+f1 = Frame(f)
+f1.pack(side=TOP)
+
+l = Label(f1, text = 'Number of Samples =')
+l.pack(side=LEFT)
+Npoints = StringVar()
+t=Entry(f1, width=5, bg = 'white', textvariable = Npoints)
+t.pack(side=LEFT, anchor = S)
+Npoints.set('400')
+
+l = Label(f1, text = 'Delay between samples=')
+l.pack(side=LEFT)
+Delay = StringVar()
+t=Entry(f1, width=5, bg = 'white', textvariable = Delay)
+t.pack(side=LEFT, anchor = S)
+Delay.set('20')
+
+option = IntVar()
+Option=Checkbutton(f1,text='PWG/DAC', underline = 4 , \
+      variable = option, command = pwg_dac)
+Option.pack(side=LEFT, anchor = S)
+
+DAC = StringVar()
+t=Entry(f1, width=5, bg = 'white', textvariable = DAC)
+t.pack(side=LEFT, anchor = S)
+DAC.set('2000')
+Unit = Label(f1, width = 3, text = 'Hz')
+Unit.pack(side=LEFT)
+Apply = Button(f1,text = 'Set',command = set_pwg_dac)
+Apply.pack(side=LEFT)
+
+f2 = Frame(root)
+f2.pack(side=TOP)
+
+b1 = Button(f2,text = 'CH0',command = capture_ch0)
+b1.pack(side=LEFT)
+b2 = Button(f2,text = 'CH1',command = capture_ch1)
+b2.pack(side=LEFT)
+b3 = Button(f2,text = 'Both',command = capture_both)
+b3.pack(side=LEFT)
+b3 = Button(f2,text = 'Save',command = save)
+b3.pack(side=LEFT)
+b4 = Button(f2,text = 'FFT',command = do_fft)
+b4.pack(side=LEFT)
+b4 = Button(f2,text = 'lsq Fit',command = do_fit)
+b4.pack(side=LEFT)
+b4 = Button(f2,text = 'Clear',command = clear)
+b4.pack(side=LEFT)
+
+msgframe = Frame(root)
+msgframe.pack(side=TOP,fill=BOTH)
+msg = Label(msgframe, bg='yellow',text = 'Waveform capture and analysis')
+msg.pack(side=TOP,fill=BOTH)
+
+root.mainloop()
diff --git a/eyes/GUIProgs/colorimeter.py b/eyes/GUIProgs/colorimeter.py
new file mode 100755
index 0000000..8832596
--- /dev/null
+++ b/eyes/GUIProgs/colorimeter.py
@@ -0,0 +1,170 @@
+from Tkinter import *
+import phm, time, math, sys
+p=phm.phm()
+
+base = []
+absvals = []
+wavelen = [400., 430., 470., 505., 525., 570., 590., 610., 635., 660.]
+NLED = 10
+Calib = []
+NUMCAL = 2
+
+
+def measure(n):
+	p.set_port(0,n<<4)
+	time.sleep(0.1)
+	res = p.get_voltage()[1]
+	p.set_port(0, 10 << 4)   # Switch off all LEDs
+	return res
+
+def do_base():
+	global base, wavelen, NLED
+	base = []
+	clear()
+	for n in range(NLED):
+		val = measure(n)
+		base.append(val)
+		ss = '%8.0f nm %8.3f V\n'%(wavelen[n],val*0.001)
+		data.insert(END,ss)
+	msg.config(text='Base Done', fg = 'black')
+
+
+def do_sample():
+	global base, wavelen, absvals, NLED
+	if base == [] :
+		msg.config(text='Do Base First', fg = 'red')
+		return
+	clear()
+	absvals = []
+	maxabs = 0.0
+	index = 0			# Assume the first one
+	data.insert(END,'Source # Wavelength         Base     Sample  Tran (%)  Absorbance\n')
+	for n in range(NLED):
+		val = measure(n)
+		tr = val / base[n] * 100
+		ab = 2.0 - math.log10(tr)
+		absvals.append(ab)
+		if ab > maxabs:
+			maxabs = ab
+			index = n
+		ss = '%2d %8.0f nm  %8.3f V %8.3f V %8.1f %%  %8.3f\n'\
+			%(n,wavelen[n], base[n]*0.001, val*0.001, tr, ab)
+		data.insert(END,ss)
+	msg.config(text='Sample Done. Maximum absorabnce at %5.0f nm'%wavelen[index], fg = 'black')
+	Selected.set(str(index))
+
+def clear():
+	data.delete(1.0, END)  
+
+def quit():
+	sys.exit()
+
+	
+def doCalib(index):
+	global base, selected, Calib
+	print 'Index = ', index
+	if base == [] :
+		msg.config(text='Do Base First', fg = 'red')
+		return
+	s2 = Selected.get()
+	try:
+		selected = int(s2)
+	except:
+		msg.config(text='Enter the Wavelength Source(%d to %d)'%(0,NLED), fg = 'red')
+		return
+	val = measure(selected)
+	tr = val / base[selected] * 100
+	ab = 2.0 - math.log10(tr)
+	Calib[index][2] = ab
+	ss = '%8.3f'%(ab)
+	Calib[index][1].config(text = ss)	
+
+def uk_sample():
+	s2 = Selected.get()
+	try:
+		selected = int(s2)
+	except:
+		msg.config(text='Enter the Wavelength Source(%d to %d)'%(0,NLED), fg = 'red')
+		return
+	xy = []
+	for k in range(NUMCAL):
+		s = Calib[k][0].get()
+		print s
+		try:
+			nrm = float(s)
+			xy.append( [Calib[k][2], nrm] )
+		except:
+			msg.config(text='Enter the Normality of sample %d'%(k+1), fg = 'red')
+			return
+	if abs(xy[1][0]-xy[0][0]) < 0.0001:
+		msg.config(text='Standard solutions are identical', fg = 'red')
+		return
+
+	m = (xy[1][1] - xy[0][1]) / (xy[1][0] - xy[0][0])
+	c = xy[0][1] - m * xy[0][0]
+	print m, c
+	val = measure(selected)
+	tr = val / base[selected] * 100
+	ab = 2.0 - math.log10(tr)
+	unval = m * ab + c
+	ss = 'Abs = %8.3f Normality = %5.2f'%(ab,unval)
+	Result.config(text = ss)	
+
+
+root = Tk()
+f1 = Frame(root)
+f1.pack()
+data = Text(f1, width = 60, height = 12)
+data.pack()
+
+cf1 = Frame()
+cf1.pack(side=TOP)
+Selected = StringVar()    # Index of LED with maximum absorbance
+Selected.set('nn')
+s=Entry(cf1, width=3, bg = 'white', textvariable = Selected)
+s.pack(side=LEFT)
+print Selected.get()
+
+Start = Button(cf1,text = 'Base Solution', command = do_base)
+Start.pack(side=LEFT)
+Start = Button(cf1,text = 'Sample Solution', command = do_sample)
+Start.pack(side=LEFT)
+Start = Button(cf1,text = 'Clear', command = clear)
+Start.pack(side=LEFT)
+Start = Button(cf1,text = 'Quit', command = quit)
+Start.pack(side=RIGHT)
+
+for k in range(NUMCAL):
+	cf = Frame(root)
+	cf.pack(side=TOP)
+	cl = Label(cf,text = 'Standard Solution %d'%(k+1))
+	cl.pack(side=LEFT)
+	cb = Button(cf,text = 'Absorbance =', command = (lambda k = k: doCalib(k)))
+	cb.pack(side=LEFT)
+	reslabel = Label(cf, width = 10, text = 'Not Measured')
+	reslabel.pack(side=LEFT)
+	lab = Label(cf, width = 10, text = 'Normality=')
+	lab.pack(side=LEFT)
+	UDN = StringVar()    # User Defined Normality
+	e=Entry(cf, width=7, bg = 'white',textvariable = UDN)
+	e.pack(side=LEFT)
+	calsam = []
+	calsam.append(UDN)	# User Defined Normality
+	calsam.append(reslabel)	# Absorbance label Widget
+	calsam.append(0.0)	# Place for Absorbance value. Obtained during doCalib()
+	Calib.append(calsam)
+
+f2 = Frame(root)
+f2.pack(side=TOP)
+us = Button(f2,text = 'Measure Unknown Sample', command = uk_sample)
+us.pack(side=LEFT)
+Result = Label(f2,text = 'Result = NA')
+Result.pack(side=LEFT)
+
+msg = Label(root,text = '')
+msg.pack(side=LEFT)
+
+
+root.title('Phoenix Based Colorimeter')
+root.mainloop()
+
diff --git a/eyes/GUIProgs/croplus.py b/eyes/GUIProgs/croplus.py
new file mode 100755
index 0000000..77760f1
--- /dev/null
+++ b/eyes/GUIProgs/croplus.py
@@ -0,0 +1,802 @@
+import phm, time, math
+import tkFont
+from Tix import *
+
+class disp:
+    """
+    Class for displaying items in a canvas using a global coordinate system.
+    """
+    border = 5
+    bordcol = '#555555'
+    gridcol = '#f0f0f0'
+    gridcol2 ='#e0e0e0'
+    def __init__(self, parent, width=400., height=300.):
+	self.parent = parent
+	self.SCX = width
+	self.SCY = height
+	self.XLIM = width + 2 * self.border
+	self.YLIM = height + 2 * self.border
+	self.canvas = Canvas(parent, background="white",\
+		 width = self.XLIM, height = self.YLIM)
+	self.canvas.pack()
+        b1 = (self.border - 1, self.border-1)
+        b2 = (self.XLIM - self.border + 1, self.YLIM - self.border + 1)
+	self.canvas.create_rectangle ([b1,b2], outline = self.bordcol)
+	self.canvas.pack()
+	self.setWorld(-0.5 * width, -0.5*height, 0.5 * width, 0.5* height)
+	self.grid(10,100)
+	self.canvas.bind("<Button-1>", self.show)
+	self.canvas.bind("<Button-3>", self.show)
+	self.xydisp = None
+	self.marker = None
+
+    def show(self,event):
+        if self.xydisp != None:
+          self.canvas.delete(self.xydisp)
+        ix = self.canvas.canvasx(event.x) - self.border
+        iy = self.YLIM - self.canvas.canvasy(event.y) - self.border
+        x = float(ix) * self.xscale + self.xmin
+        y = float(iy) * self.yscale + self.ymin
+        if event.num == 1:
+          s = 'x = %6.3f\ny = %6.0f' % (x/1000.,y)
+          self.marker = (x,y)
+        elif event.num == 3 and self.marker != None:
+          s = 'x = %6.3f  dx = %6.3f\ny = %6.0f  dx = %6.0f' % \
+          (self.marker[0]/1000, (x-self.marker[0])/1000., self.marker[1],\
+          y - self.marker[1])
+        self.xydisp = self.canvas.create_text(self.border+1,self.SCY-1, \
+        anchor = SW, justify = LEFT, text = s)
+
+    def setWorld(self, x1, y1, x2, y2):
+      #Calculate the scale factors to be used by functions drawPoint etc.
+      self.xmin = x1
+      self.ymin = y1
+      self.xmax = x2
+      self.ymax = y2
+      self.xscale = (self.xmax - self.xmin) / (self.SCX)
+      self.yscale = (self.ymax - self.ymin) / (self.SCY)
+
+    def w2s(self, p):
+       ip = []
+       for xy in p:
+         ix = self.border + int( (xy[0] - self.xmin) / self.xscale)
+         iy = self.border + int( (xy[1] - self.ymin) / self.yscale)
+         iy = self.YLIM - iy
+         ip.append((ix,iy))
+       return ip
+
+    def box(self, x1,  y1,  x2,  y2, col):
+       ip = self.w2s([(x1,y1),(x2,y2)])
+       self.canvas.create_rectangle(ip, outline=col)
+
+    def line(self, points, col):
+       ip = self.w2s(points)
+       return self.canvas.create_line(ip, fill=col, smooth = 1)
+
+    def delete_line(self, trace):
+       self.canvas.delete(trace)
+
+    def grid(self, major, minor):
+       dx = (self.xmax - self.xmin) / major
+       dy = (self.ymax - self.ymin) / major
+       x = self.xmin + dx
+       while x < self.xmax:
+         self.line([(x,self.ymin),(x,self.ymax)],self.gridcol)
+         x = x +dx
+       y = self.ymin + dy
+       while y < self.ymax:
+         self.line([(self.xmin,y),(self.xmax,y)],self.gridcol)
+         y = y +dy
+
+       dx = (self.xmax - self.xmin) / minor
+       dy = (self.ymax - self.ymin) / minor
+       x = self.xmin + dx
+       while x < self.xmax:
+         self.line([(x, 0.),(x, dy)],self.gridcol2)
+         x = x +dx
+
+       y = self.ymin + dy
+       while y < self.ymax:
+         self.line([(0., y),(dx,y)],self.gridcol2)
+         y = y +dy
+
+func_list = ['r2rtime', 'r2ftime', 'f2rtime', 'f2ftime', 'set2rtime', \
+'set2ftime', 'clr2rtime', 'clr2ftime','pulse2rtime', 'pulse2ftime',\
+'multi_r2rtime', 'pendulum_period','CNTR Frequency','ADC Inputs']
+src_list = ['D0', 'D1', 'D2', 'D3', 'CMP']
+dst_list = ['D0', 'D1', 'D2', 'D3', 'CMP']
+
+class CRO:
+	global fd
+	NPMAX = 200
+	NP = 200		# Number of points to be sampled
+	width = 600		# Window X width, MUST be multiple of NP
+	height = 512		# height, MUST be multiple of ADC range(256)
+	xmin = 0.0
+	xmax = 2000.0
+	ymin = -5000.0
+	ymax =  5000.0
+	color = ['black', 'red', 'green', 'blue']
+	
+	current_wave = None	# Used by Wave form generator
+
+	MAXCHAN = 4
+	numchans = 1
+	chmask = 1			# Channel mask
+	val = [10,20,50,100,200,500,1000]
+	delay = None			# delay is IntVar() of set_delay() 
+	
+	root = None			# Tkinter Widgets
+
+	chan_status = []
+	dinButtons  = []
+	dout_status = []
+	data = []
+	traces = None
+	
+	def __init__(self, root):
+		self.parent = root
+		mf = Frame(root)
+		mf.pack(side=LEFT)
+		self.screen = disp(mf,self.width, self.height)
+
+		help = Balloon(root, bg ='green')
+
+		#Control widgets start from here
+		row1 = Frame(mf)
+		row1.pack(side=TOP, ipady=5, ipadx= 5,anchor = W)
+
+		self.level_shifted = IntVar()
+		self.levelCB = Checkbutton(row1, text='(x+5)/2',\
+			selectcolor = 'yellow',	variable = self.level_shifted)
+		self.levelCB.pack(side = LEFT)
+		help.bind_widget(self.levelCB, balloonmsg=\
+		'Check this if ADC inputs are connected through the level\
+ shifting amplifier')
+
+		self.trigpol = IntVar()
+		self.Trigpol = Checkbutton(row1, text='Tr',\
+			selectcolor = 'yellow',	variable = self.trigpol, command = self.set_pol)
+		self.Trigpol.pack(side = LEFT)
+		help.bind_widget(self.Trigpol, balloonmsg=\
+		'Check this for negative edge trigger')
+
+		self.Trig =  Scale(row1, command = self.set_trig, from_ = 5,\
+		to=250, orient=HORIZONTAL, length=self.width/8, showvalue=0)
+		self.Trig.set(125)
+		self.Trig.pack(side=LEFT)
+		
+		l = Label(row1,text='CH ')
+		l.pack(side=LEFT)
+		for k in range(4):
+			var = IntVar()
+			c = Checkbutton(row1, variable = var, text=str(k+1),\
+			fg =	self.color[k], selectcolor = self.color[k], \
+			command = self.select_channels)
+			self.chan_status.append(var)
+			c.pack(side=LEFT)
+		self.chan_status[0].set(1)
+		
+		self.Delay = Scale(row1, command = self.set_delay, from_ =0,\
+		to=6, orient=HORIZONTAL, length = self.width/7, showvalue=0)
+		self.Delay.pack(side=LEFT)
+		self.Delay.set(0)
+		help.bind_widget(self.Delay, balloonmsg=\
+		'Change the CRO Time Base')
+		
+		self.timebase = StringVar()
+		self.tblab = Label(row1, width = 10, textvariable = self.timebase)
+		self.tblab.pack(side=LEFT)
+
+		self.zoom = IntVar()
+		self.Zoom = Checkbutton(row1, text='10x', variable = self.zoom,\
+			onvalue = 10, offvalue = 1,\
+			selectcolor = 'yellow', command = self.set_zoom)
+		self.Zoom.pack(side = LEFT)
+
+		self.lizajous = IntVar()
+		self.Liz = Checkbutton(row1, text='LIZ', variable = self.lizajous,\
+			onvalue = 1, offvalue = 0, selectcolor = 'yellow')
+		self.Liz.pack(side = LEFT)
+		self.lizajous.set(0)
+		help.bind_widget(self.Liz, balloonmsg=\
+		'Select Lissajous figure mode, select only CH0 and CH1')
+		
+# Add Second ROW of widgets
+		row2 = Frame(mf)
+		row2.pack(side=TOP, ipady=2, ipadx= 5,anchor = W)
+		f1 = Frame(row2,relief = GROOVE, borderwidth=4)
+		f1.pack(side = LEFT)
+		
+		f = Frame(f1)
+		f.pack(side=LEFT, anchor = W)
+		self.m = Label(f, text = 'AWF-DAC')
+		self.m.pack(side=TOP)
+		
+		self.plugin_dac = IntVar()
+		self.pluginDAC = Checkbutton(f, text='Ext. DAC',\
+		command =self.set_awg_dac, selectcolor = 'yellow',\
+			variable = self.plugin_dac)
+		self.pluginDAC.pack(side = TOP)
+
+		scrollbar = Scrollbar(f1, orient=VERTICAL)
+		self.shapes = Listbox(f1, yscrollcommand=scrollbar.set, height=1, width = 10)
+		for item in ["Stop","sine", "tria","sawt"]:
+		      self.shapes.insert(END, item)
+                scrollbar.config(command = self.shapes.yview)
+                self.shapes.pack(side=LEFT, fill=BOTH, expand=1)
+                scrollbar.pack(side=LEFT, fill = Y)
+		self.b = Button(f1, text='Set', command=self.select_wave)
+		self.b.pack(side=LEFT)
+                
+		f = Frame(f1)
+		f.pack(side=LEFT, anchor = W)
+		self.awgDisp = Label(f, text = '50 Hz')
+		self.awgDisp.pack(side=TOP, anchor = 'nw')
+		self.awgScale =  Scale(f, command = self.set_awg_freq, showvalue=0,
+		from_ = 1, to=125, orient=HORIZONTAL, length=self.width/5)
+		self.awgScale.set(50.0)
+		self.awgScale.pack(side=TOP, anchor = 'sw')
+
+ 		help.bind_widget(f1, balloonmsg=\
+'Arbitrary waveform generation on the DAC output using\n\
+ interrupts. Frequency only up to 156 Hz.\n\
+ Select Checkbutton for using the External Plug-in DAC\n\
+ Select a waveform from the list and press Set to Start. \n\
+ Change the waveform frequency using the slider')
+
+		# PWG setup
+		f2 = Frame(row2,relief = GROOVE, borderwidth=4)
+		f2.pack(side = LEFT)
+		f = Frame(f2)
+		f.pack(side=LEFT, anchor = W)
+		m = Label(f, text = '  Square Wave')
+		m.pack(side=TOP)
+		m = Label(f, text = '  on PWG')
+		m.pack(side=TOP)
+		help.bind_widget(f2, balloonmsg=\
+		'Squarewave on PWG Socket. Stop AWF generation of DAC to\n\
+ enable this feature. You cannot use PWG and DAC at the same time.')
+		
+		f = Frame(f2)
+		f.pack(side=LEFT, anchor = W)
+		self.pwgDisp = Label(f, text = '1000 Hz')
+		self.pwgDisp.pack(side=TOP, anchor = 'nw')
+		self.pwgScale =  Scale(f, command = self.set_pwg, from_ = 0,\
+		to=10000, orient=HORIZONTAL, length=self.width/5, showvalue=0)
+		self.pwgScale.set(1000)
+		self.pwgScale.pack(side=LEFT)
+
+# Add Third ROW of widgets
+		row3 = Frame(mf, relief = FLAT, borderwidth = 1)
+		row3.pack(side=TOP, ipady=2, ipadx= 5,anchor = W)
+
+		f = Frame(row3, relief = GROOVE, borderwidth = 5)
+		f.pack(side=LEFT)
+		u = Frame(f)
+		u.pack(side=TOP)
+		self.wait4din = IntVar()
+		self.WaitCB = Button(u, text='Scan After Detecting',\
+			command = self.wait_enabled_scan)
+		self.WaitCB.pack(side = LEFT)
+		self.wait4din_pol = IntVar()
+		self.Wait_polCB = Checkbutton(u, text='HIGH on', \
+			variable = self.wait4din_pol,command=self.wait_text_change)
+		self.Wait_polCB.pack(side = LEFT)
+		
+		l = Frame(f)
+		l.pack(side=TOP)
+		self.waitchan = IntVar()
+		for k in range(4):
+			c = Radiobutton(l, variable = self.waitchan, value = k,\
+			text='D'+str(k), selectcolor = 'green')
+			c.pack(side=RIGHT)
+		self.waitchan.set(3)
+		help.bind_widget(f, balloonmsg=\
+ 'Digitize and plot the selected ADC channels after detecting\n\
+ the chosen LEVEL on the selected Digital Input\n\
+ This feature is used for capturing transient waveforms.\n\
+ Stops after one scan to allow user to save the result.\n\
+ Press C.Scan button for continuous scanning')
+
+		f = Frame(row3, relief = GROOVE, borderwidth = 5)
+		f.pack(side=LEFT)
+		u = Frame(f)
+		u.pack(side=TOP)
+		self.set_dout = IntVar()
+		self.SetCB = Button(u, text='Scan After Setting', \
+			command = self.set_enabled_scan)
+		self.SetCB.pack(side = LEFT)
+		self.set_dout_pol = IntVar()
+		self.Set_polCB = Checkbutton(u, text='HIGH on', variable = \
+			 self.set_dout_pol,command=self.set_text_change)
+		self.Set_polCB.pack(side = LEFT)
+		
+		l = Frame(f)
+		l.pack(side=TOP)
+		self.setchan = IntVar()
+		for k in range(4):
+			c = Radiobutton(l, variable = self.setchan, value = k,\
+			text='D'+str(k), selectcolor = 'red')
+			c.pack(side=RIGHT)
+		self.setchan.set(3)
+		help.bind_widget(f, balloonmsg=\
+ 'Set HIGH or LOW on the selected Digital Output\n\
+ just before starting the digitization of the selected ADC channels.\n\
+ Studying capacitor discharge is one application of this feature.')
+ 
+		f = Frame(row3, relief = FLAT, borderwidth = 2)
+		f.pack(side=LEFT)
+		u = Frame(f)
+		u.pack(side=TOP)
+		self.Loop = Button(u, text='C.Scan',width = 7,command = self.loop)
+		self.Loop.pack(side=LEFT)
+		self.Save = Button(u, text='Save',width=7,command = self.save)
+		self.Save.pack(side=LEFT)
+		help.bind_widget(self.Save, balloonmsg=\
+'Saves the data in text from to "cro.dat"')
+
+		l = Frame(f)
+		l.pack(side=TOP)
+		self.Print = Button(l, text='Capture',width=7,command = self.capture)
+		self.Print.pack(side=LEFT)
+		self.limit = 0.0
+		
+		self.Print = Button(l, text='Print',width=7,command = self.eps)
+		self.Print.pack(side=LEFT)
+		help.bind_widget(self.Print, balloonmsg=\
+'CRO screenshot is saved to "cro.eps"')
+
+# Add Fourth ROW of widgets
+		
+		row4 = Frame(mf, relief = FLAT, borderwidth = 1)
+		row4.pack(side=TOP,anchor = W)
+		self.dacDisp = Label(row4, text = 'DAC= 0', width=12)
+		self.dacDisp.pack(side=LEFT, anchor = 'n')
+		self.dacScale =  Scale(row4, command = self.set_dac, showvalue=0,
+		from_ = 1, to=5000, orient=HORIZONTAL, length=100)
+		self.dacScale.set(0)
+		self.dacScale.pack(side=LEFT, anchor = 'n')
+		help.bind_widget(self.dacScale, balloonmsg=\
+'Set the DAC output, between 0 to 5000 mV.\n\
+ DAC outpout is used by AWF. It is also affected by PWG.\n\
+ Disable them to maintain a DC on DAC output')	
+
+		self.msg = Label(row4, bg = 'green', width = 60, justify =LEFT)
+		self.msg.pack(side=LEFT)
+
+
+#Time mesurement Widgets & Variables
+
+		rv = Frame(root)
+		rv.pack(side=LEFT, anchor = N)
+
+		df = Frame(rv, relief = GROOVE, borderwidth=5)
+		df.pack()
+		l = Label(df, text = 'Digital Input/Output', bg = 'cyan')
+		l.pack(side = TOP, fill = X)
+		f = Frame(df)
+		f.pack(side=TOP)
+		for i in range(4):
+			j = Frame(f,borderwidth = 2)
+			j.pack(side=RIGHT)
+			b = Label(j, width = 5, fg = 'white',text='D'+str(i))
+			b.pack(side = RIGHT)
+			self.dinButtons.append(b)
+		f = Frame(df)
+		f.pack(side=TOP)
+		for k in range(4):
+			var = IntVar()
+			c = Checkbutton(f, variable = var, text=str(k),\
+			selectcolor = 'red', command = self.set_digout)
+			self.dout_status.append(var)
+			c.pack(side=RIGHT)
+
+		self.pulseScale =  Scale(df, command = self.set_pulse, showvalue=1,
+		from_ = 0.0, to=10.0, resolution = 0.01,orient=HORIZONTAL, length=100)
+		self.pulseScale.set(0.0)
+		self.pulseScale.pack(side=LEFT, anchor = 'sw')
+		self.pulseDisp = Label(df, text = 'Hz (D0,D1)')
+		self.pulseDisp.pack(side=LEFT, anchor='s')
+
+		help.bind_widget(df, balloonmsg=\
+'Status of Digital Input Sockets are shown here.\n\
+ RED means HIGH and BLACK means LOW.\n\
+ Depressing a Checkbutton makes that Digital Output Socket HIGH.\n\
+ Any non-zero value on the Slider below will generate a squarewave\n\
+ of the selected frequency on Outputs D0 and D1. To stop pulsing\n\
+ make the slider zero')
+
+		mf = Frame(rv, relief = GROOVE, borderwidth = 5)
+		mf.pack(side=TOP)
+		l = Label(mf, text = 'Select Function', bg = 'cyan')
+		l.pack(side = TOP, fill = X)
+		self.function = StringVar()
+		for i in range(len(func_list)):
+		    c = Radiobutton(mf, variable = self.function, val = i, \
+		    text = func_list[i])
+		    c.pack(side=TOP, anchor = W, fill = Y)
+		self.function.set(1)		# R2Ftime is default
+
+		help.bind_widget(mf, balloonmsg=\
+'Select any function from the Function List.\n\
+ Select the Input/Output Sockets on which\n\
+ the measurement is to be done and press GO')
+ 
+		f = Frame(mf)
+		f.pack(side=TOP)
+		self.ppol = IntVar()
+		l = Checkbutton(f, variable = self.ppol, text = '+/-')
+		l.pack(side = LEFT, fill = X)
+		self.ppol.set(0)
+		l = Label(f, text = 'Pulse')
+		l.pack(side = LEFT)
+		self.pwidthScale =  Scale(f, from_ = 10,\
+		to=100, orient=HORIZONTAL, length=70, showvalue=1)
+		self.pwidthScale.set(13)
+		self.pwidthScale.pack(side=LEFT)
+
+		c = Frame(mf, relief = FLAT, borderwidth = 1)
+		c.pack(side=TOP, fill = BOTH)
+		l = Label(c, text = 'Select I/O Socket', bg = 'cyan')
+		l.pack(side = TOP, fill = X)
+		c1 = Frame(c)
+		c1.pack(side=LEFT, anchor = N)
+		c2 = Frame(c)
+		c2.pack(side=RIGHT, anchor = N)
+
+		self.src = IntVar()
+		self.dst = IntVar()
+		l = Label(c1, text = 'Start')
+		l.pack(side = TOP, fill = X, expand = 1)
+		l = Label(c2, text = 'Stop')
+		l.pack(side = TOP, fill = X, expand = 1)
+
+		for i in range(len(src_list)):
+		    c = Radiobutton(c1, variable = self.src, val = i, text = src_list[i])
+		    c.pack(side=TOP, anchor=W)
+		    self.src.set(0)
+
+		for i in range(len(dst_list)):
+		    c = Radiobutton(c2, variable = self.dst, val = i, text = dst_list[i])
+		    c.pack(side=TOP, anchor =W)
+		self.dst.set(0)
+
+		f = Frame(mf)
+		f.pack(side=TOP)
+		self.Start = Button(f, text='GO', width = 3,command = self.time_func)
+		self.Start.pack(side=LEFT)
+		self.tmResult = Label(f, bg = 'white', width = 15)
+		self.tmResult.pack(side=LEFT)
+
+		f = Frame(rv)
+		f.pack(side=TOP)
+		self.Quit = Button(f, text='Quit',width=7,command = self.bye)
+		self.Quit.pack(side=RIGHT)
+
+		fd.disable_wait()
+		fd.stop_wave()
+		self.update_digins()
+		fd.set_frequency(1000)
+		fd.set_num_samples(self.NP)
+		fd.set_adc_size(1)
+		self.select_channels()
+		self.looping = True
+		self.waiting_capture = False
+		self.parent.after(10, self.update)
+
+
+	def bye(self):
+		sys.exit(0)
+
+	def capture(self):
+		self.data = fd.multi_read_block\
+			(self.NP,self.delay,self.level_shifted.get())
+		self.limit = 0.0
+		for val in self.data:
+			if abs(val[1]) > self.limit:
+				self.limit = abs(val[1])
+		self.limit = self.limit + 100
+		s = 'Waiting for CH0 input to exceed %4.0f mV'%(self.limit)
+		self.msg.config(text=s)
+		self.waiting_capture = True
+		self.looping = True
+
+	def loop(self):
+		self.looping = True
+		self.waiting_capture = False
+		
+	def set_pulse(self,w):
+		val = self.pulseScale.get()
+		fd.pulse_d0d1(val)
+
+	def set_dac(self,w):
+		val = self.dacScale.get()
+		fd.set_frequency(0)
+		fd.set_voltage(val)
+		s = 'DAC= %4.0f'%(val)
+		self.dacDisp.config(text = s)
+
+	def wait_text_change(self):
+		wtext = ['HIGH on','LOW on']
+		self.Wait_polCB.config(text = wtext[self.wait4din_pol.get()])
+
+	def set_text_change(self):
+		wtext = ['HIGH on','LOW on']
+		self.Set_polCB.config(text = wtext[self.set_dout_pol.get()])
+
+	def wait_enabled_scan(self):		# Wait Actions on DIN
+		pol = self.wait4din_pol.get()
+		res = self.waitchan.get()
+		if pol == 0:
+			fd.enable_rising_wait(res)
+		else:
+			fd.enable_falling_wait(res)
+		self.draw()
+		if self.data == None:
+			self.msg.config(text='time out')
+		else:
+			self.msg.config(text='Press C.Scan to continue')
+			self.looping = False
+		fd.disable_wait()
+
+
+	def set_enabled_scan(self):		# Set/Clear Actions on DOUT
+		pol = self.set_dout_pol.get()
+		res = self.setchan.get()
+		if pol == 0:
+			fd.enable_set_high(res)
+		else:
+			fd.enable_set_low(res)
+		self.draw()
+		self.msg.config(text='Press Start to continue')
+		self.looping = False
+		fd.disable_set()
+		self.set_digout()
+
+	def update_digins(self):
+		dat = fd.read_inputs() 
+		for k in range(4):
+			if (dat & (1 << k)) != 0:
+				self.dinButtons[k].config(bg='red')
+			else:
+				self.dinButtons[k].config(bg='black')
+
+	def set_digout(self):
+		dat = 0
+		for k in range(4):
+			if self.dout_status[k].get() == 1:
+				dat = dat | (1 << k)
+		fd.write_outputs(dat)
+	
+	def time_func(self):
+	    global fd, data
+	    i = int(self.function.get())
+	    cmd = func_list[i]
+	    p1 = self.src.get()
+	    p2 = self.dst.get()
+	    if cmd == 'r2rtime':
+	    	t  = fd.r2rtime(p1,p2)
+	    elif cmd == 'r2ftime':
+	    	t  = fd.r2ftime(p1,p2)
+	    elif cmd == 'f2rtime':
+	    	t  = fd.f2rtime(p1,p2)
+	    elif cmd == 'f2ftime':
+      		t  = fd.f2ftime(p1,p2)
+            elif cmd == 'set2rtime':
+            	t  = fd.set2rtime(p1,p2)
+            elif cmd == 'set2ftime':
+            	t  = fd.set2ftime(p1,p2)
+            elif cmd == 'clr2rtime':
+            	t  = fd.clr2rtime(p1,p2)
+	    elif cmd == 'clr2ftime':
+	    	t  = fd.clr2ftime(p1,p2)
+            elif cmd == 'pulse2rtime':
+            	fd.set_pulse_width(int(self.pwidthScale.get()))
+            	fd.set_pulse_polarity(int(self.ppol.get()))
+            	t  = fd.pulse2rtime(p1,p2)
+	    elif cmd == 'pulse2ftime':
+	    	t  = fd.pulse2ftime(p1,p2, int(self.pwidthScale.get()),\
+	    		self.ppol.get())
+	    elif cmd == 'multi_r2rtime':
+	    	t  = fd.multi_r2rtime(p1,0)
+	    elif cmd == 'pendulum_period':
+	    	t  = fd.pendulum_period(p1)
+	    elif cmd == 'CNTR Frequency':
+	    	t = fd.measure_frequency()
+	    elif cmd == 'ADC Inputs':
+	    	s = 'ADC (mV) :'
+	    	fd.set_adc_size(2)	#Do with 10 bit resolution
+	    	for ch in range(4):
+	    		fd.select_adc(ch)
+	    		val = fd.zero_to_5000()[1]
+	    		s = s +' CH%d = %4.0f '%(ch,val)
+		self.msg.config(text = s)
+	    	fd.set_adc_size(1)	# Back to 8 bit, for scanning
+		return
+	    else:
+		self.tmResult.config(text = s)	# should not happen
+		return
+		
+	    self.set_digout()	# Recover DOUTs, if we have changed them
+	    
+	    if t == -1:	
+	    	s = 'time out'
+	    else:
+	    	s = '%3.1f '%(t)
+	    self.tmResult.config(text = s)
+	    return
+
+	def set_awg_dac(self):
+		self.set_awg_freq(0)
+
+	def set_awg_freq(self, dummy):	# Arbitrary wave form generator
+		if self.current_wave == None:
+			return;
+		DAC = self.plugin_dac.get()
+		fr = float(self.awgScale.get())
+		res = fd.start_wave(fr,DAC)
+		
+		s = '%3.1f Hz'%(res)
+		self.awgDisp.config(text = s)
+	
+	def select_wave(self):		# Arbitrary wave form generator
+		v = []
+		wave = self.shapes.get(ACTIVE)
+		if wave == 'Stop':
+			fd.stop_wave()
+			self.current_wave = None
+			self.pwgScale.config(state = ACTIVE)
+			self.pwgDisp.config(text='Enabled')
+			return
+		elif self.current_wave != wave:
+			self.load_wave(wave)
+			self.current_wave = wave
+			self.pwgScale.config(state = DISABLED)
+			self.pwgDisp.config(text='Stop AWF to Enable')
+			self.set_awg_freq(0)	# argument unused
+		return
+		
+	def load_wave(self,wave):
+		v = []
+		if wave == 'sine':
+		    for i in range(100):
+		    	x = 127.5 + 127.5 * math.sin(2.0*math.pi*i/100)
+		    	x = int(x+0.5)
+		    	v.append(x)
+		elif wave == 'tria':
+		    for i in range(50):
+		    	x = 255.0 * i / 50;
+		    	x = int(x+0.5)
+		    	v.append(x)
+		    for i in range(50):
+		    	x = 255.0 * i / 50;
+		    	x = 255 - int(x+0.5)
+		    	v.append(x)
+		elif wave == 'sawt':
+		    for i in range(100):
+		    	x = 255.0 * i / 100;
+		    	x = int(x+0.5)
+		    	v.append(x)
+		else:
+			print 'no match ',wave
+			return None
+
+		fd.load_wavetable(v)	
+		return wave
+
+
+	def set_pwg(self, freq):
+		f = float(freq)
+		fr = fd.set_frequency(f)
+		s = '%3.1f Hz'%(fr)
+		self.pwgDisp.config(text=s)
+		
+	def eps(self):
+		self.screen.canvas.postscript(file = 'cro.eps', colormode = 'color')
+		
+	def save(self):
+		fd.save_data(self.data, 'cro.dat') 
+
+	def set_zoom(self):
+		z = self.zoom.get()
+		self.NP = self.NPMAX / z
+		fd.set_num_samples(self.NP)
+		self.set_delay(0)
+			
+	def set_trig(self, dummy):
+		tr = int(self.Trig.get())
+		pol = self.trigpol.get()
+		if pol == 1:		# -ive edge trigger
+			fd.set_adc_trig(tr,tr-5)
+		else:
+			fd.set_adc_trig(tr,tr+5)
+
+	def set_pol(self):
+		self.set_trig(0)
+		
+	def set_delay(self,dummy):
+		d = self.Delay.get()
+		self.delay = self.val[d]
+		fd.set_adc_delay(self.delay)	
+		perdiv = float(self.NP) * self.delay * self.numchans / 10000
+		self.timebase.set(str(perdiv) +' ms/div')
+		self.xmax = self.NP * self.delay
+		self.screen.setWorld(self.xmin, self.ymin,self.xmax, self.ymax)
+
+	def select_channels(self):
+		self.chmask = 0
+		self.numchans = 0
+		for k in range(4):
+			if self.chan_status[k].get() == 1:
+				self.chmask = self.chmask | (1 << k)
+				fd.add_channel(k)
+				self.numchans = self.numchans  + 1
+			else:
+				fd.del_channel(k)
+		self.set_delay(0)
+		
+	def draw(self):
+		try:
+			self.data = fd.multi_read_block\
+				(self.NP,self.delay,self.level_shifted.get())
+			if self.data == None:
+				return
+		except:
+			return
+			
+		if self.traces != None:
+			for ch in range(len(self.traces)):
+				self.screen.delete_line(self.traces[ch])
+		self.traces = []
+		
+		mode = self.lizajous.get()
+		if (mode == 1) and (self.chmask == 3):	# Lissagous figure
+			self.screen.setWorld(self.ymin, self.ymin, self.ymax, self.ymax)
+			points = []
+			for n in range(self.NP):
+				points.append((self.data[n][1], self.data[n][2]))
+			t = self.screen.line(points, 'black')
+			self.traces.append(t)
+		else:
+			self.screen.setWorld(self.xmin, self.ymin, self.xmax, self.ymax)
+			ch = 0;
+			for k in range(self.MAXCHAN):
+				if self.chmask & (1 << k):	# Draw if selected channel
+					points = [] 
+					try:
+					  for p in self.data:
+						points.append((p[0],p[ch+1] ))
+					  t = self.screen.line(points, self.color[k])
+					  self.traces.append(t)
+					except:
+					  pass   	#ignore errors 
+					ch = ch + 1
+
+	def update(self):
+		self.update_digins()
+		if self.looping == True:
+			self.draw()
+			if self.waiting_capture:
+				for val in self.data:
+					if abs(val[1]) > self.limit:
+						self.looping = False
+						self.waiting_capture = False
+						self.msg.config(text='Captured')
+						break
+		self.parent.after(10, self.update)
+		return
+
+root = Tk()
+fd = phm.phm()
+if fd == None:
+	root.title('Error')
+	Label(root, bg = 'red',text='Phoenix-M Hardware not found').pack()
+	root.mainloop()
+	sys.exit() 
+
+font = tkFont.Font ( family="times", size=12, weight="normal" )
+root.option_add ( "*font", font)
+root.title('CROplus')
+CRO(root)
+root.mainloop()
diff --git a/eyes/GUIProgs/draw.py b/eyes/GUIProgs/draw.py
new file mode 100755
index 0000000..029df1a
--- /dev/null
+++ b/eyes/GUIProgs/draw.py
@@ -0,0 +1,142 @@
+from Tkinter import *
+
+AXWIDTH = 30   # width of the axis display canvas
+
+class disp:
+    """
+    Class for displaying items in a canvas using a world coordinate system. The range of the
+    world coordinate system is specified by calling the setWorld method.
+    """
+    border = 0
+    pad = 0
+    bordcol = 'grey'     # Border color
+    gridcol = 'grey'     # Grid color
+    bgcolor = '#dbdbdb'  # background color for all 
+    plotbg  = 'ivory'    # Plot window background color
+    textcolor = 'blue'
+    traces = []
+    xtext = []
+    ytext = []
+    markerval = []
+    markertext = None
+       
+    def __init__(self, parent, width=400., height=100.,color = 'ivory'):
+	self.parent = parent
+	self.SCX = width 
+	self.SCY = height
+	self.plotbg = color
+	f = Frame(parent, bg = self.bgcolor, borderwidth = self.pad)
+	f.pack(side=TOP)
+	self.yaxis = Canvas(f, width = AXWIDTH, height = height, bg = self.bgcolor)
+	self.yaxis.pack(side = LEFT, anchor = N, pady = self.border)
+	f1 = Frame(f)
+	f1.pack(side=LEFT)
+	self.canvas = Canvas(f1, background = self.plotbg, width = width, height = height)
+	self.canvas.pack(side = TOP)
+        self.canvas.bind("<Button-1>", self.show_xy)
+	self.xaxis = Canvas(f1, width = width, height = AXWIDTH, bg = self.bgcolor)
+	self.xaxis.pack(side = LEFT, anchor = N, padx = self.border)
+	self.canvas.create_rectangle ([(1,1),(width,height)], outline = self.bordcol)
+	self.canvas.pack()
+	Canvas(f, width = AXWIDTH, height = height, bg = self.bgcolor).pack(side=LEFT) # spacer only
+	self.setWorld(0 , 0, self.SCX, self.SCY)   # initialize scale factors 
+	self.grid(10,100)
+
+    def setWorld(self, x1, y1, x2, y2):   #Calculates the scale factors 
+	self.xmin = float(x1)
+	self.ymin = float(y1)
+	self.xmax = float(x2)
+	self.ymax = float(y2)
+	self.xscale = (self.xmax - self.xmin) / (self.SCX)
+	self.yscale = (self.ymax - self.ymin) / (self.SCY)
+      
+    def w2s(self, p):	      # World to Screen xy conversion before plotting anything
+	ip = []
+	for xy in p:
+		ix = self.border + int( (xy[0] - self.xmin) / self.xscale)
+		iy = self.border + int( (xy[1] - self.ymin) / self.yscale)
+		iy = self.SCY - iy
+		ip.append((ix,iy))
+	return ip
+
+    def show_xy(self,event):   #Prints the XY coordinates of the current cursor position
+	ix = self.canvas.canvasx(event.x) - self.border
+	iy = self.SCY - self.canvas.canvasy(event.y) #- self.border
+	x = ix * self.xscale + self.xmin
+	y = iy * self.yscale + self.ymin
+	s = 'x = %5.3f\ny = %5.3f' % (x,y)
+	try:
+		self.canvas.delete(self.markertext)
+	except:
+		pass
+	self.markertext = self.canvas.create_text(self.border + 1,\
+	self.SCY-1, anchor = SW, justify = LEFT, text = s)
+	self.markerval = [x,y]
+
+    def mark_axes(self, xlab='milli seconds', ylab='mV', numchans=1):
+	# Draw the axis labels and values
+        numchans = 1
+        for t in self.xtext:	# display after dividing by scale factors
+            self.xaxis.delete(t)
+        for t in self.ytext:
+            self.yaxis.delete(t)
+        self.xtext = []
+        self.ytext = []
+
+        dx = float(self.SCX)/5
+        for x in range(0,6):
+            a = numchans * x *(self.xmax - self.xmin)/5 + self.xmin
+            s = '%4.1f'%(a)
+            adjust = 0
+            if x == 0: adjust = 6
+            if x == 5: adjust = -10
+            t = self.xaxis.create_text(int(x*dx)+adjust,1,text = s, anchor=N, fill = self.textcolor)
+            self.xtext.append(t)
+        self.xtext.append(self.xaxis.create_text(int(self.SCX/2)\
+            ,AXWIDTH, text = xlab, anchor=S, fill = self.textcolor))
+            
+        dy = float(self.SCY)/5
+        for y in range(0,6):
+            a = y*(self.ymax - self.ymin)/5	# + self.ymin
+            if self.ymax > 99:
+                s = '%4.0f'%(self.ymax-a)
+            else:
+                s = '%4.1f'%(self.ymax-a)
+            adjust = 0
+            if y == 0: adjust = 6
+            if y == 5: adjust = -5
+            t = self.yaxis.create_text(AXWIDTH, int(y*dy)+adjust, \
+                text = s,anchor = E, fill = self.textcolor)
+            self.ytext.append(t)
+        self.ytext.append(self.yaxis.create_text(0,self.SCY/2,\
+            text = ylab, anchor=W, fill = self.textcolor))
+
+    def box(self, x1,  y1,  x2,  y2, col):
+       ip = self.w2s([(x1,y1),(x2,y2)])
+       self.canvas.create_rectangle(ip, outline=col)
+
+    def line(self, points, col, permanent = False, smooth = True):
+       ip = self.w2s(points)
+       t = self.canvas.create_line(ip, fill=col, smooth = smooth)
+       if permanent == False:
+           self.traces.append(t)
+
+    def delete_lines(self):
+       for t in self.traces:
+           self.canvas.delete(t)
+       self.traces = []
+
+    def grid(self, major, minor):
+       dx = (self.xmax - self.xmin) / major
+       dy = (self.ymax - self.ymin) / major
+       x = self.xmin + dx
+       while x < self.xmax:
+         self.line([(x,self.ymin),(x,self.ymax)],self.gridcol, True)
+         x = x +dx
+       y = self.ymin + dy
+       while y < self.ymax:
+         self.line([(self.xmin,y),(self.xmax,y)],self.gridcol, True)
+         y = y +dy
+
+#------------------------------- disp class end --------------------------------------
+
diff --git a/eyes/GUIProgs/logger.py b/eyes/GUIProgs/logger.py
new file mode 100755
index 0000000..452031d
--- /dev/null
+++ b/eyes/GUIProgs/logger.py
@@ -0,0 +1,122 @@
+# Reads and plots data from three channels of Phoenix, for 'maxtime' seconds.
+# Uses draw.py
+
+from Tkinter import *
+import phm, draw, time, sys
+
+NUMCHANS = 1		# Change number of channels if you like
+
+WIDTH  = 600   # width of drawing canvas
+HEIGHT = 150   # height    
+datahistory = []       # store data      
+data  = [ [],[],[] ]
+dispobjects = [] 	# Objects of class display
+running = False
+starts  = [0,0,0]      # starting times for three channels
+maxvolts = 5000.0
+timegap = 5           # minimum msecs between reads
+maxtime = 10.0	       # Total duration of the run in seconds
+
+def update():	# Called periodically by the Tk toolkit
+	global data, running, timegap, ph, NUMCHANS
+	if running == False:
+		return
+	for ch in range(NUMCHANS):
+		if NUMCHANS > 1 :	  # save some time
+			ph.select_adc(ch)
+		res = ph.get_voltage()
+		x = res[0] - starts[ch]
+		y = res[1]
+		data[ch].append((x,y))
+		if len(data[ch]) > 1:
+			dispobjects[ch].delete_lines()
+			dispobjects[ch].line(data[ch], 'black')
+	if x <= maxtime:	# remove this condition to stop only by the STOP Button
+		root.after(timegap, update)
+
+def save():
+	global data, running, filename
+	s = e1.get()
+	print s
+	if s == '':
+		s = filename
+	f = open(s, 'w')
+	for ch in range(NUMCHANS):
+		for item in data[ch]:
+			f.write('%5.3f  %5.0f\n'%(item[0], item[1]))
+		f.write('\n')
+	f.close()
+	print 'Data saved to file ', s
+
+def clear():
+	global data, dispobjects, running
+	if running == True:
+		return
+	for ch in range(NUMCHANS):
+		data[ch] = []
+		dispobjects[ch].delete_lines()
+
+def start():
+	global data, running, starts, timegap
+	running = True
+	s = time.time()  # time stamp
+	starts[0] = starts[1] = starts[2] = s
+	data  = [ [],[],[] ]
+	root.after(timegap, update)
+
+def stop():
+	global running
+	running = False
+
+def setduration(self):
+	global maxtime
+	maxtime = Scale.get()
+	for ch in range(NUMCHANS):
+		dispobjects[ch].delete_lines()
+		dispobjects[ch].setWorld(0, 0, maxtime, maxvolts)
+		dispobjects[ch].mark_axes('Seconds','mV')
+		if len(data[ch]) > 1:
+			#dispobjects[ch].delete_lines()
+			dispobjects[ch].line(data[ch], 'black')
+def quit():
+	sys.exit()
+
+ph = phm.phm()
+ph.set_adc_size(2)
+ph.select_adc(0)    # Use this is NUMCHANS = 1
+
+root = Tk()
+Canvas(root, width = WIDTH, height = 5).pack(side=TOP)  # Some space at the top
+for k in range(NUMCHANS):					
+	w = draw.disp(root, width=WIDTH, height=HEIGHT)	# make plot objects using draw.disp
+	w.setWorld(0, 0, maxtime, maxvolts)
+	w.mark_axes('Seconds','mV')
+	dispobjects.append(w)
+
+dispobjects[0].mark_axes('milli Seconds','mV')
+
+cf = Frame(root, width = WIDTH, height = 10)
+cf.pack(side=TOP,  fill = BOTH, expand = 1)
+b1 = Button(cf, text = 'START', command = start)
+b1.pack(side = LEFT, anchor = N)
+b2 = Button(cf, text = 'STOP', command = stop)
+b2.pack(side = LEFT, anchor = N)
+b3 = Button(cf, text = 'SAVE to', command = save)
+b3.pack(side = LEFT, anchor = N)
+filename = StringVar()
+e1 =Entry(cf, width=15, bg = 'white', textvariable = filename)
+filename.set('logger.dat')
+e1.pack(side = LEFT)
+
+Scale = Scale(cf,command = setduration, orient=HORIZONTAL, length=200,\
+		from_ = 10,to=1000, resolution=10)
+Scale.pack(side=LEFT)
+
+b4 = Button(cf, text = 'CLEAR', command = clear)
+b4.pack(side = LEFT, anchor = N)
+b5 = Button(cf, text = 'QUIT', command = quit)
+b5.pack(side = RIGHT, anchor = N)
+
+root.title('Phoenix Based Data Logger')
+root.mainloop()
+
diff --git a/eyes/LED_iv.py b/eyes/LED_iv.py
new file mode 100644
index 0000000..71fe6d2
--- /dev/null
+++ b/eyes/LED_iv.py
@@ -0,0 +1,169 @@
+'''
+expEYES program
+Author  : Ajith Kumar B.P, bpajith at gmail.com
+License : GNU GPL version 3
+'''
+
+import gettext
+gettext.bindtextdomain("expeyes")
+gettext.textdomain('expeyes')
+_ = gettext.gettext
+
+from Tkinter import *
+from numpy import *
+import expeyes.eyes as eyes, expeyes.eyeplot as eyeplot, expeyes.eyemath as eyemath, time, sys
+
+TIMER = 10
+WIDTH  = 600   # width of drawing canvas
+HEIGHT = 400   # height    
+
+VSET    = 0		# this will change in the loop
+VSETMIN = 0		# may change this to -5 for zeners
+VSETMAX = 4.5
+STEP    = 0.050		# 50 mV
+MINX    = 0			# may change this to -5 for zeners
+MAXX    = 4        # No diode will go beyond this
+MINY    = 0			# may change this to -5 for zeners
+MAXY    = 5			# Maximum possible current
+history = []		# Data store
+trial = 0			# trial number
+data = [ [], [] ]	# Current & Voltage
+index = 0
+running = False
+
+def start():
+	global VSET, running, index, data
+	VSETMIN = 0.0
+	MINX = 0.0
+	MINY = 0.0
+	g.setWorld(MINX, MINY, MAXX, MAXY,_('V'),_('mA'))
+	running = True
+	data = [ [], [] ]
+	VSET = VSETMIN
+	index = 0
+	root.after(TIMER,update)
+
+def update():					# Called periodically by the Tk toolkit
+	global VSETMAX, VSET, STEP, index, trial, running, data, history
+	if running == False:
+		return
+	p.set_voltage(0, VSET)	
+	time.sleep(0.001)	
+	va = p.get_voltage(0)		# voltage across the diode
+	i = (VSET-va)/1.0 	 		# in mA, R= 1k
+	data[0].append(va)
+	data[1].append(i)
+	VSET += STEP
+	if VSET > VSETMAX:
+		running = False
+		history.append(data)
+		trial += 1
+		g.delete_lines()
+		for k in range(len(history)):
+			g.line(history[k][0], history[k][1], k)
+		return
+	if index > 1:			# Draw the line
+		g.delete_lines()
+		g.line(data[0], data[1], trial)
+	index += 1
+	root.after(TIMER, update)
+	msg.config(text=_('Starting to plot I-V'))
+
+def xmgrace():		# Send the data to Xmgrace
+	global history
+	aa = []
+	bb = []
+	for k in range(len(data[0])):
+		if data[1][k] > 1.0:
+			bb.append(data[0][k])
+			aa.append(data[1][k])
+	p.grace([ [aa,bb] ], _('mA'),_('Volts'), _('Linear part of IV Curve (I > 1mA)'))
+
+def save():
+	global history, running
+	if running == True:
+		return
+	s = e1.get()
+	if s == '':
+		return
+	p.save(history, s)
+	msg.config(text = _('Data saved to file ')+s)
+
+def eval(a,xpoints,x):
+	n = len(xpoints) - 1   
+	p = a[n]
+	for k in range(1,n+1):
+		p = a[n-k] + (x -xpoints[n-k]) * p
+	return p
+
+def coef(x,y):
+	a = copy(y)
+	m = len(x)
+	for k in range(1,m):
+		a[k:m] = (a[k:m] - a[k-1])/(x[k:m]-x[k-1])
+	return a
+
+def fit_curve():
+	global data, running
+	if running == True or len(data[0])==0:
+		return
+	aa = []
+	bb = []
+	for k in range(len(data[0])):
+		if data[1][k] > 1.0:
+			aa.append(data[0][k])
+			bb.append(data[1][k])
+	x  = array(bb)
+	y  = array(aa)
+	from scipy import polyfit, polyval
+	(ar,br)=polyfit(x,y,1)
+	print polyval([ar,br],[0])
+
+def clear():
+	global history, trial, running
+	if running == True:
+		return
+	g.delete_lines()
+	history = []
+	trial = 0
+
+p = eyes.open()
+p.loadall_calib()
+p.disable_actions()
+root = Tk()
+Canvas(root, width = WIDTH, height = 5).pack(side=TOP)  # Some space at the top
+g = eyeplot.graph(root, width=WIDTH, height=HEIGHT)	# make plot objects using draw.disp
+g.setWorld(MINX, MINY, MAXX, MAXY,_('V'),_('mA'))
+
+cf = Frame(root, width = WIDTH, height = 10)
+cf.pack(side=TOP,  fill = BOTH, expand = 1)
+
+
+b1 = Button(cf, text = _('START'), command = start)
+b1.pack(side = LEFT, anchor = N)
+b3 = Button(cf, text = _('SAVE to'), command = save)
+b3.pack(side = LEFT, anchor = N)
+filename = StringVar()
+e1 =Entry(cf, width=15, bg = 'white', textvariable = filename)
+filename.set('lediv.dat')
+e1.pack(side = LEFT)
+
+b5 = Button(cf, text = _('QUIT'), command = sys.exit)
+b5.pack(side = RIGHT, anchor = N)
+b4 = Button(cf, text = _('CLEAR'), command = clear)
+b4.pack(side = RIGHT, anchor = N)
+b5 = Button(cf, text = _('Grace'), command = xmgrace)
+b5.pack(side = RIGHT, anchor = N)
+b5 = Button(cf, text = _('FIT'), command = fit_curve)
+b5.pack(side = RIGHT, anchor = N)
+
+mf = Frame(root, width = WIDTH, height = 10)
+mf.pack(side=TOP,  fill = BOTH, expand = 1)
+msg = Label(mf,text=_('Message'), fg = 'blue')
+msg.pack(side=LEFT)
+
+eyeplot.pop_image('pics/LED_iv.png', _('LED IV char. Connections'))
+
+root.title(_('EYES: LED IV characteristics'))
+root.mainloop()
+
diff --git a/eyes/RCcircuit.py b/eyes/RCcircuit.py
new file mode 100644
index 0000000..94dc6c3
--- /dev/null
+++ b/eyes/RCcircuit.py
@@ -0,0 +1,151 @@
+'''
+expEYES program
+Author  : Ajith Kumar B.P, bpajith at gmail.com
+License : GNU GPL version 3
+'''
+import gettext
+gettext.bindtextdomain("expeyes")
+gettext.textdomain('expeyes')
+_ = gettext.gettext
+
+from Tkinter import *
+import expeyes.eyes as eyes, expeyes.eyeplot as eyeplot, expeyes.eyemath as eyemath, time, sys, math
+
+TIMER = 100
+WIDTH  = 500   # width of drawing canvas
+HEIGHT = 350   # height 
+VPERDIV = 1.0		# Volts per division, vertical scale
+delay = 10			# Time interval between samples
+NP = 100			# Number of samples
+data = [ [], [] ]
+history = []		# Data store
+trial = 0			# trial number
+data = [ [], [] ]	# Current & Voltage
+
+
+def capture(i):
+	global data, history, trial
+	s = ''
+	if i == 0:  # Take OD1 LOW. To HIGH before capture
+		p.write_outputs(0)		# OD1 to LOW
+		p.enable_set_high(1)	# enable for OD1
+	else:  # Take OD1 HI. To HIGH before capture
+		p.write_outputs(2)		# OD1 to HI
+		p.enable_set_low(1)		# enable for OD1
+	time.sleep(0.5)
+	t, v = p.capture(0,NP,delay)
+	g.line(t,v, trial)
+	data = t,v
+	history.append(data)
+	trial += 1
+	msgwin.config(text = _('Done'))
+
+def view_all():
+	global history
+	g.delete_lines()
+	c = 0
+	for t,v in history:
+		g.line(t,v,c)
+		c += 1
+
+def fit_curve():
+	global data
+	fa = eyemath.fit_exp(data[0], data[1])
+	if fa != None:
+		pa = fa[1]
+		rc = abs(1.0 / pa[1])
+		g.line(data[0],fa[0],1)
+		dispmsg(_('RC = %5.2f mSec')%rc)
+	else:
+		dispmsg(_('Failed to fit the curve with V=Vo*exp(-t/RC)'))
+
+def dispmsg(s):
+	msgwin.config(text=s)
+
+def clear():
+	global history, trial
+	g.delete_lines()
+	history = []
+	trial = 0
+
+def save():
+	global history
+	s = fn.get()
+	if s == '':
+		return
+	p.save(history, s)
+	msgwin.config(text = _('Data saved to file ')+s)
+
+def xmgrace():		# Send the data to Xmgrace
+	global history
+	p.grace(history, _('milliSeconds'), _('Volts'))
+
+def set_timebase(w):
+	global delay, NP, NC, VPERDIV
+	divs = [0.050, 0.100, 0.200, 0.500, 1.0, 2.0, 5.0, 10.0, 20.0, 50.0]
+	msperdiv = divs[int(timebase.get())]
+	totalusec = int(msperdiv * 1000 * 10)
+	NP = 100								# Assume 100 samples to start with
+	delay = int(totalusec/100)				# Calculate delay
+	if delay < 10:
+		sf = 10/delay
+		delay = 10
+		NP = NP/sf
+	elif delay > 1000:
+		sf = delay/1000
+		delay = 1000
+		NP = NP * sf
+	g.setWorld(0,-5*VPERDIV, NP * delay * 0.001, 5*VPERDIV,_('mS'),_('V'))
+
+p = eyes.open()
+p.loadall_calib()
+root = Tk()
+Canvas(root, width = WIDTH, height = 5).pack(side=TOP)  # Some space at the top
+g = eyeplot.graph(root, width=WIDTH, height=HEIGHT)	# make plot objects using draw.disp
+g.setWorld(0, -5, 20,5,_('V'),_('mA'))
+if p == None:
+	g.text(0, 0,_('EYES Hardware Not Found. Check Connections and restart the program'),1)
+	root.mainloop()
+	sys.exit()
+
+cf = Frame(root, width = WIDTH, height = 10)
+cf.pack(side=TOP,  fill = BOTH, expand = 1)
+
+l = Label(cf, text=_('mS/div'))
+l.pack(side=LEFT, anchor = SW )
+timebase = Scale(cf,command = set_timebase, orient=HORIZONTAL, length=50, showvalue=False,\
+	from_ = 0, to=9, resolution=1)
+timebase.pack(side=LEFT, anchor = SW)
+timebase.set(3)
+b = Button(cf,text =_('0 to 5V STEP'), command= lambda i=0:capture(i))
+b.pack(side=LEFT, anchor = SW)
+b = Button(cf,text =_('5 to 0V STEP'), command= lambda i=1:capture(i))
+b.pack(side=LEFT, anchor = SW)
+b = Button(cf,text =_('Calculate RC'), command=fit_curve)
+b.pack(side=LEFT, anchor = SW)
+b = Button(cf,text =_('QUIT'), command=sys.exit)
+b.pack(side=RIGHT, anchor = SW)
+
+cf = Frame(root, width = WIDTH, height = 10)
+cf.pack(side=TOP,  fill = BOTH, expand = 1)
+b = Button(cf,text =_('ViewAll'), command=view_all)
+b.pack(side=LEFT, anchor = SW)
+b = Button(cf,text =_('Xmgrace'), command=xmgrace)
+b.pack(side=LEFT, anchor = SW)
+b4 = Button(cf, text = _('CLEAR'), command = clear)
+b4.pack(side = LEFT, anchor = N)
+b = Button(cf,text =_('Save to'), command=save)
+b.pack(side=LEFT, anchor = SW)
+fn = Entry(cf,width = 10, bg = 'white')
+fn.pack(side=LEFT, anchor = SW)
+fn.insert(END,'rc.dat')
+
+mf = Frame(root)				# Message Frame below command frame.
+mf.pack(side=TOP)
+msgwin = Label(mf,text = '', fg = 'blue')
+msgwin.pack(side=LEFT, anchor = S, fill=BOTH)
+
+eyeplot.pop_image('pics/rc-tran.png', _('RC Circuit, Transient'))
+root.title(_('Transient response of RC Circuit'))
+root.mainloop()
+
diff --git a/eyes/README.TXT b/eyes/README.TXT
new file mode 100644
index 0000000..e26513d
--- /dev/null
+++ b/eyes/README.TXT
@@ -0,0 +1,20 @@
+This directory contains all the expEYES programs and libraries - version 2.0  4-Nov-2011
+Provision for localization patch from Georges Khasnadar, added on 8-Nov-2011
+
+You need to install the following modules and their dependences.
+
+python-imaging-tk
+python-scipy
+xmgrace
+python-pygrace
+
+The USB device should have the read/write permission. 
+To give it, as root execute the script 'postinst'.
+
+#sh postinst
+
+To start the program
+
+$python explore.py
+
+
diff --git a/eyes/RLCdischarge.py b/eyes/RLCdischarge.py
new file mode 100644
index 0000000..b726f0e
--- /dev/null
+++ b/eyes/RLCdischarge.py
@@ -0,0 +1,129 @@
+'''
+expEYES program
+Author  : Ajith Kumar B.P, bpajith at gmail.com
+License : GNU GPL version 3
+'''
+import gettext
+gettext.bindtextdomain("expeyes")
+gettext.textdomain('expeyes')
+_ = gettext.gettext
+
+from Tkinter import *
+import expeyes.eyes as eyes, expeyes.eyeplot as eyeplot, expeyes.eyemath as eyemath, time, sys, math
+
+
+TIMER = 100
+WIDTH  = 500   # width of drawing canvas
+HEIGHT = 350   # height 
+VPERDIV = 1.0		# Volts per division, vertical scale
+delay = 10			# Time interval between samples
+NP = 100			# Number of samples
+data = [ [], [] ]
+history = []		# Data store
+trial = 0			# trial number
+data = [ [], [] ]	# Current & Voltage
+
+
+def discharge():
+	global data, history, trial
+	p.write_outputs(2)		# OD1 to HIGH
+	p.enable_set_low(1)		# enable LOW for OD1
+	time.sleep(0.5)
+	t, v = p.capture(0,NP,delay)
+	g.delete_lines()
+	g.line(t,v)
+	data = t,v
+	history.append(data)
+	trial += 1
+	msgwin.config(text = _('Discharge Done'))
+
+def fit_curve():
+	global data, trial
+	s = _('Fit Failed')
+	fa = eyemath.fit_dsine(data[0], data[1],1)
+	if fa != None:
+		#print fa[1]
+		pa = fa[1]
+		rc = 1.0 / pa[1]
+		damping = pa[4] / (2*math.pi*pa[1]) # unitless damping factor
+		s = _('Resonant Frequency = %5.2f kHz Damping = %5.3f')%(pa[1], damping)
+		g.line(data[0],fa[0],trial)
+	msgwin.config(text = s)
+
+def clear():
+	global history, trial
+	g.delete_lines()
+	history = []
+	trial = 0
+
+def save():
+	global history
+	s = fn.get()
+	if s == '':
+		return
+	p.save(history, s)
+	msgwin.config(text = _('Data saved to file ')+s)
+
+def xmgrace():		# Send the data to Xmgrace
+	global history
+	p.grace(history, _('milliSeconds'), _('Volts'))
+
+def set_timebase(w):
+	global delay, NP, NC, VPERDIV
+	divs = [0.050, 0.100, 0.200, 0.500, 1.0, 2.0, 5.0, 10.0, 20.0, 50.0]
+	msperdiv = divs[int(timebase.get())]
+	totalusec = int(msperdiv * 1000 * 10)
+	NP = 100								# Assume 100 samples to start with
+	delay = int(totalusec/100)				# Calculate delay
+	if delay < 10:
+		sf = 10/delay
+		delay = 10
+		NP = NP/sf
+	elif delay > 1000:
+		sf = delay/1000
+		delay = 1000
+		NP = NP * sf
+	g.setWorld(0,-5*VPERDIV, NP * delay * 0.001, 5*VPERDIV,_('mS'),_('V'))
+
+p = eyes.open()
+p.loadall_calib()
+root = Tk()
+Canvas(root, width = WIDTH, height = 5).pack(side=TOP)  # Some space at the top
+g = eyeplot.graph(root, width=WIDTH, height=HEIGHT)	# make plot objects using draw.disp
+g.setWorld(0, -5, 20,5,_('V'),_('mA'))
+
+cf = Frame(root, width = WIDTH, height = 10)
+cf.pack(side=TOP,  fill = BOTH, expand = 1)
+
+l = Label(cf, text=_('mS/div'))
+l.pack(side=LEFT, anchor = SW )
+timebase = Scale(cf,command = set_timebase, orient=HORIZONTAL, length=50, showvalue=False,\
+	from_ = 0, to=9, resolution=1)
+timebase.pack(side=LEFT, anchor = SW)
+timebase.set(0)
+
+b = Button(cf,text =_('Discharge'), command = discharge)
+b.pack(side=LEFT, anchor = SW)
+
+b = Button(cf,text =_('Save to'), command=save)
+b.pack(side=LEFT, anchor = SW)
+fn = Entry(cf,width = 10, bg = 'white')
+fn.pack(side=LEFT, anchor = SW)
+fn.insert(END,'rlc.dat')
+b = Button(cf,text =_('QUIT'), command=sys.exit)
+b.pack(side=RIGHT, anchor = SW)
+b = Button(cf,text =_('Xmgrace'), command=xmgrace)
+b.pack(side=RIGHT, anchor = SW)
+b4 = Button(cf, text = _('CLEAR'), command = clear)
+b4.pack(side = RIGHT, anchor = N)
+b4 = Button(cf, text = _('FIT'), command = fit_curve)
+b4.pack(side = RIGHT, anchor = N)
+
+mf = Frame(root)				# Message Frame below command frame.
+mf.pack(side=TOP, anchor = S)
+msgwin = Label(mf,text = _('Messages'), fg = 'blue')
+msgwin.pack(side=LEFT, anchor = S, fill=BOTH)
+eyeplot.pop_image('pics/lc-tran.png', _('RLC Circuit, Transient'))
+root.title(_('RLC Discharge'))
+root.mainloop()
+
diff --git a/eyes/RLcircuit.py b/eyes/RLcircuit.py
new file mode 100644
index 0000000..8b9c0c5
--- /dev/null
+++ b/eyes/RLcircuit.py
@@ -0,0 +1,158 @@
+'''
+expEYES program
+Author  : Ajith Kumar B.P, bpajith at gmail.com
+License : GNU GPL version 3
+'''
+import gettext
+gettext.bindtextdomain("expeyes")
+gettext.textdomain('expeyes')
+_ = gettext.gettext
+
+from Tkinter import *
+import expeyes.eyes as eyes, expeyes.eyeplot as eyeplot, expeyes.eyemath as eyemath, time, math, sys
+
+TIMER = 100
+WIDTH  = 500   # width of drawing canvas
+HEIGHT = 350   # height 
+VPERDIV = 1.0		# Volts per division, vertical scale
+delay = 10			# Time interval between samples
+NP = 100			# Number of samples
+data = [ [], [] ]
+history = []		# Data store
+trial = 0			# trial number
+data = [ [], [] ]	# Current & Voltage
+
+
+def capture(i):
+	global data, history, trial
+	s = ''
+	if i == 0:  # Take OD1 LOW. To HIGH before capture
+		p.write_outputs(0)		# OD1 to LOW
+		p.enable_set_high(1)	# enable for OD1
+	else:  # Take OD1 HI. To HIGH before capture
+		p.write_outputs(2)		# OD1 to HI
+		p.enable_set_low(1)		# enable for OD1
+	time.sleep(0.5)
+	t, v = p.capture(0,NP,delay)
+	g.line(t,v, trial)
+	data = t,v
+	history.append(data)
+	trial += 1
+	msgwin.config(text = _('Done'))
+
+def fit_curve():
+	global data
+	p.write_outputs(2)			# Do some DC work to find the resistance of the Inductor
+	time.sleep(.5)
+	Rext = 	float(Res.get())
+	vtotal = 5.0				# Assume OD1 = 5 volts
+	v = p.get_voltage(2)
+	if v > 4.8:					# Means user has connected OD1 to A2
+		vtotal = v
+	Vind = p.get_voltage(0)     # voltage across the Inductor
+	i = (vtotal - Vind)/Rext
+	Rind = Vind/i
+	print v,Rind
+	fa = eyemath.fit_exp(data[0], data[1])
+	if fa != None:
+		pa = fa[1]
+		print pa
+		par1 = abs(1.0 / pa[1])
+		g.line(data[0],fa[0],1)
+		dispmsg(_('L/R = %5.3f mSec : Rind = %5.0f Ohm : L = %5.1f mH')%(par1, Rind, (Rext+Rind)*par1))
+	else:
+		dispmsg(_('Failed to Fit. Try fitting V=Vo*exp(-tR/L) with Xmgrace'))
+
+def dispmsg(s):
+	msgwin.config(text=s)
+
+def clear():
+	global history, trial
+	g.delete_lines()
+	history = []
+	trial = 0
+
+def save():
+	global history
+	s = fn.get()
+	if s == '':
+		return
+	p.save(history, s)
+	msgwin.config(text = _('Data saved to file ')+s)
+
+def xmgrace():		# Send the data to Xmgrace
+	global history
+	p.grace(history, _('milliSeconds'), _('Volts'))
+
+def set_timebase(w):
+	global delay, NP, NC, VPERDIV
+	divs = [0.050, 0.100, 0.200, 0.500, 1.0, 2.0, 5.0, 10.0, 20.0, 50.0]
+	msperdiv = divs[int(timebase.get())]
+	totalusec = int(msperdiv * 1000 * 10)
+	NP = 100								# Assume 100 samples to start with
+	delay = int(totalusec/100)				# Calculate delay
+	if delay < 10:
+		sf = 10/delay
+		delay = 10
+		NP = NP/sf
+	elif delay > 1000:
+		sf = delay/1000
+		delay = 1000
+		NP = NP * sf
+	g.setWorld(0,-5*VPERDIV, NP * delay * 0.001, 5*VPERDIV,_('mS'),_('V'))
+
+p = eyes.open()
+p.loadall_calib()
+root = Tk()
+Canvas(root, width = WIDTH, height = 5).pack(side=TOP)  # Some space at the top
+g = eyeplot.graph(root, width=WIDTH, height=HEIGHT)	# make plot objects using draw.disp
+g.setWorld(0, -5, 20,5,_('V'),_('mA'))
+if p == None:
+	g.text(0, 0,_('EYES Hardware Not Found. Check Connections and restart the program'),1)
+	root.mainloop()
+	sys.exit()
+
+cf = Frame(root, width = WIDTH, height = 10)
+cf.pack(side=TOP,  fill = BOTH, expand = 1)
+
+l = Label(cf, text=_('mS/div'))
+l.pack(side=LEFT, anchor = SW )
+timebase = Scale(cf,command = set_timebase, orient=HORIZONTAL, length=50, showvalue=False,\
+	from_ = 0, to=9, resolution=1)
+timebase.pack(side=LEFT, anchor = SW)
+timebase.set(0)
+b = Button(cf,text =_('0 to 5V STEP'), command= lambda i=0:capture(i))
+b.pack(side=LEFT, anchor = SW)
+b = Button(cf,text =_('5 to 0V STEP'), command= lambda i=1:capture(i))
+b.pack(side=LEFT, anchor = SW)
+b4 = Button(cf, text = _('CLEAR'), command = clear)
+b4.pack(side = LEFT, anchor = N)
+b = Button(cf,text =_('Save to'), command=save)
+b.pack(side=LEFT, anchor = SW)
+fn = Entry(cf,width = 10, bg = 'white')
+fn.pack(side=LEFT, anchor = SW)
+fn.insert(END,'rl.dat')
+
+cf = Frame(root, width = WIDTH, height = 10)
+cf.pack(side=TOP,  fill = BOTH, expand = 1)
+b = Button(cf,text =_('Xmgrace'), command=xmgrace)
+b.pack(side=LEFT, anchor = SW)
+l = Label(cf, text=_('Rext='))
+l.pack(side=LEFT, anchor = SW)
+Res = Entry(cf,width = 10, bg = 'white')
+Res.pack(side=LEFT, anchor = SW)
+Res.insert(END,'1000')
+b = Button(cf,text =_('Calculate R/L'), command=fit_curve)
+b.pack(side=LEFT, anchor = SW)
+
+b = Button(cf,text =_('QUIT'), command=sys.exit)
+b.pack(side=RIGHT, anchor = SW)
+
+mf = Frame(root)				# Message Frame below command frame.
+mf.pack(side=TOP)
+msgwin = Label(mf,text = '', fg = 'blue')
+msgwin.pack(side=LEFT, anchor = S, fill=BOTH)
+eyeplot.pop_image('pics/rl-tran.png', _('RL Circuit, Transient'))
+root.title(_('Transient response of RL Circuit'))
+root.mainloop()
+
diff --git a/eyes/alpha.py b/eyes/alpha.py
new file mode 100644
index 0000000..e1f6b91
--- /dev/null
+++ b/eyes/alpha.py
@@ -0,0 +1,205 @@
+'''
+expEYES program
+Author  : Ajith Kumar B.P, bpajith at gmail.com
+License : GNU GPL version 3
+'''
+
+import gettext
+gettext.bindtextdomain("expeyes")
+gettext.textdomain('expeyes')
+_ = gettext.gettext
+
+from Tkinter import *
+import expeyes.mca
+import expeyes.eyeplot as eyeplot, expeyes.eyemath as eyemath, time
+
+TIMER = 1000
+WIDTH  = 600   # width of drawing canvas
+HEIGHT = 400   # height 
+VPERDIV = 1.0  # Volts per division, vertical scale
+NCHAN  = 512
+running = False
+automode = False
+xmax = NCHAN -1 
+ymax = 100
+xlabel = _('Channel')
+xscale = 1.0	# before calibration
+ch = []
+ee = []
+
+def set_ymax(w):
+	global xmax, ymax, xlabel
+	ymax = 10.0**float(scale.get())
+	g.setWorld(0, 0, xmax, ymax, xlabel, _('dN'))	
+
+def calibrate():
+	global xscale, xmax, ymax, xlabel, ee, nn, NCHAN
+	if ee == []:
+		msg(_('No data yet'),'red')
+		return  
+	if g.markerval == []:
+		msg(_('Mark a Peak before calibration'),'red')
+		return  
+	if xscale == 1.0:   # Not calibrated yet
+		chan = g.markerval[0]
+		en = float(Energy.get())
+		xscale = en/chan
+		xmax = xmax * xscale
+		for k in range(NCHAN):
+			ee[k] *= xscale
+		xlabel = _('Energy (MeV)')
+		g.setWorld(0, 0, xmax, ymax,_('Energy(MeV)'),_('dN'))
+		g.delete_lines()
+		g.line(ee,nn)
+		msg(_('Calibration done'))
+	else:  							# Remove the existing calibration
+		ee = range(NCHAN)
+		xscale = 1.0
+		xmax = NCHAN - 1
+		g.setWorld(0, 0, xmax, ymax,_('Channel'),_('dN'))
+		msg(_('Existing Calibration Removed. Do it again'))
+		g.markerval = []
+	
+def zoom():
+	global running, ch, nn
+	if running == True: return
+	m = p.maximum(nn)
+	x,y = g.get_markers()
+	g.setWorld(x[0], 0, x[1], m*1.1,_('E'),_('dN'))
+	g.delete_lines()
+	g.line(ch,nn)
+
+def fit():
+	global ofp, strt, ee,nn, counter
+	if ee == []:
+		msg(_('No data to fit'),'red')
+		return  
+	nf, par = eyemath.fit_gauss(ee,nn)
+	g.line(ee,nf,1)
+	s =_('Amplitude= %5.1f  %s= %5.2f  sigma = %5.2f') %(par[0], xlabel, par[1], par[2])
+	msg(s)
+	#except: 		msg(_('Fit Failed. Try using xmgrace'))
+
+def set_mode():
+	global automode 
+	if AUTO.get() == 1:
+		automode = True
+		root.after(TIMER,update)
+	else:
+		automode = False
+
+def update():
+	global running, automode, ee, nn
+	if running == True:
+		ch,nn = p.read_hist()
+		#m = p.maximum(nn)
+		g.setWorld(0,0, xmax, ymax, xlabel,_('dN'))
+		g.delete_lines()
+		ee = []
+		for k in ch:
+			ee.append(k*xscale)
+		g.line(ee,nn,smooth = False)
+		if automode == True:
+			root.after(TIMER,update)
+
+def start():
+	global running
+	p.start_hist()
+	running = True
+	root.after(TIMER,update)
+	msg(_('Acquisition Started'))
+
+def stop():
+	global running
+	running = False
+	p.stop_hist()
+	msg(_('Acquisition stopped by user'))
+
+def clear():
+	p.clear_hist()
+	g.delete_lines()
+	msg(_('Spectrum Cleared by user'))
+
+def save():
+	global ch,ee
+	p.save([[ee,nn]], filename.get())
+	msg(_('Histogram saved'))
+
+def xmgrace():
+	global ch,ee
+	p.grace([[ee,nn]], xlabel, _('dN'))
+	msg(_('Called xmgrace'))
+
+def msg(s, col = 'blue'):
+	msgwin.config(text=s, fg=col)
+
+p = expeyes.mca.open()
+root = Tk()
+Canvas(root, width = WIDTH, height = 5).pack(side=TOP)  # Some space at the top
+g = eyeplot.graph(root, width=WIDTH, height=HEIGHT)	    # make plot objects using draw.disp
+g.enable_marker(2)
+g.setWorld(0, -5, 20, 5,_('E'),_('dN/dE'))
+
+cf = Frame(root, width = WIDTH, height = 10)
+cf.pack(side=TOP,  fill = BOTH, expand = 1)
+l = Label(cf, text = _('y-scale'))
+l.pack(side=LEFT, anchor = SW)
+
+scale = Scale(cf,command = set_ymax, orient=HORIZONTAL, length=50, showvalue=False,\
+	from_ = 1, to=5, resolution=1)
+scale.pack(side=LEFT, anchor = SW)
+scale.set(2)
+
+AUTO = IntVar()
+b1=Checkbutton(cf,text=_('Auto/Man'), command = set_mode, variable=AUTO, fg= 'black')
+b1.pack(side=LEFT, anchor = SW)
+b1 = Button(cf, text = _('UPDATE'), command = update)
+b1.pack(side = LEFT, anchor = N)
+b1 = Button(cf, text = _('START'), command = start)
+b1.pack(side = LEFT, anchor = N)
+b1 = Button(cf, text = _('STOP'), command = stop)
+b1.pack(side = LEFT, anchor = N)
+b4 = Button(cf, text = _('CLEAR'), command = clear)
+b4.pack(side = LEFT, anchor = N)
+b4 = Button(cf, text = _('FIT'), command = fit)
+b4.pack(side = LEFT, anchor = N)
+b4 = Button(cf, text = _('xmGrace'), command = xmgrace)
+b4.pack(side = LEFT, anchor = N)
+
+cf = Frame(root, width = WIDTH, height = 10)
+cf.pack(side=TOP,  fill = BOTH, expand = 1)
+b3 = Button(cf, text = _('Calibrate using Peak at'), command = calibrate)
+b3.pack(side = LEFT, anchor = N)
+Energy = StringVar()
+e1 =Entry(cf, width=6, bg = 'white', textvariable = Energy)
+Energy.set('8.955')
+e1.pack(side = LEFT)
+l = Label(cf, text = _('MeV'))
+l.pack(side = LEFT, anchor = S)
+
+b3 = Button(cf, text = _('SAVE Histogram to'), command = save)
+b3.pack(side = LEFT, anchor = N)
+filename = StringVar()
+e1 =Entry(cf, width=15, bg = 'white', textvariable = filename)
+filename.set('hist.dat')
+e1.pack(side = LEFT)
+
+#b4 = Button(cf, text = _('ZOOM'), command = zoom)
+#b4.pack(side = LEFT, anchor = N)
+
+b5 = Button(cf, text = _('QUIT'), command = sys.exit)
+b5.pack(side = RIGHT, anchor = N)
+
+mf = Frame(root)				# Message Frame below command frame.
+mf.pack(side=TOP, anchor = SW)
+msgwin = Label(mf,text = _('Messages'), fg = 'blue')
+msgwin.pack(side=LEFT, anchor = SW)
+
+if p == None:
+	root.title(_('ERROR: Spectrometer hardware NOT found'))
+	msg(_('ERROR: Spectrometer hardware NOT found'), 'red')
+else:
+	root.title(_('PHOENIX Alpha Spectrometer'))
+root.after(TIMER,update)
+root.mainloop()
+
diff --git a/eyes/amfm.py b/eyes/amfm.py
new file mode 100644
index 0000000..5286621
--- /dev/null
+++ b/eyes/amfm.py
@@ -0,0 +1,126 @@
+'''
+expEYES program
+Author  : Ajith Kumar B.P, bpajith at gmail.com
+License : GNU GPL version 3
+'''
+
+import gettext
+gettext.bindtextdomain("expeyes")
+gettext.textdomain('expeyes')
+_ = gettext.gettext
+
+from Tkinter import *
+import expeyes.eyes as eyes, expeyes.eyeplot as eyeplot, expeyes.eyemath as eyemath, time
+
+WIDTH  = 600   # width of drawing canvas
+HEIGHT = 400   # height 
+
+class amfm:
+	delay = 20			# Time interval between samples
+	NP = 1000			# Number of samples
+	NC = 1				# Number of channels
+
+	def do_fft(self):
+		if self.trace == None: return
+		transform = []
+		for xy in self.trace:
+			fr,tr = eyemath.fft(xy[1], self.delay * self.NC * 0.001)
+			transform.append([fr,tr])
+		p.grace(transform, _('freq'), _('power'))
+		#eyeplot.plot(fr,tr)
+		#p.save(transform, 'power-spec.dat')
+		#msgwin.config(text=_('Fourier Power Spectrum Saved to power-spec.dat.'))
+
+	def capture(self):
+		self.chanmask = A0.get() | (A1.get() << 1)
+		if self.chanmask == 3:
+			self.NC = 2
+		else:
+			self.NC = 1
+		self.trace = []
+		v = float(UPV.get())
+		p.set_upv(v)
+		s = '%5.3f'%v
+		UPV.set(s)
+		self.NP = int(Npoints.get())
+		self.delay = int(Delay.get())
+		g.setWorld(0,-5, self.NC*self.NP * self.delay * 0.001, 5, _('mS'),_('V'))
+		s = ''
+		if self.chanmask == 1 or self.chanmask == 2:
+			t,v = p.capture(self.chanmask-1, self.NP, self.delay)
+			g.delete_lines()
+			g.line(t,v,self.chanmask-1)
+			self.trace.append([t,v])
+		elif self.chanmask == 3:
+			t,v,tt,vv = p.capture01(self.NP, self.delay)
+			g.delete_lines()
+			g.line(t,v)
+			g.line(tt,vv,1)
+			self.trace.append([t,v])
+			self.trace.append([tt,vv])
+
+p = eyes.open()
+p.loadall_calib()
+a = amfm()
+root = Tk()
+Canvas(root, width = WIDTH, height = 5).pack(side=TOP)  # Some space at the top
+g = eyeplot.graph(root, width=WIDTH, height=HEIGHT)		# make plot objects using draw.disp
+g.setWorld(0, -5, 20, 5,_('mS'),_('V'))
+g.setWorld(0,-5, a.NP * a.delay * 0.001, 5, _('mS'),_('V'))
+
+cf = Frame(root, width = WIDTH, height = 10)
+cf.pack(side=TOP,  fill = BOTH, expand = 1)
+
+A0 = IntVar()
+b=Checkbutton(cf,text='A0', variable=A0, fg= 'black')
+b.pack(side=LEFT, anchor = SW)
+A0.set(1)
+A1 = IntVar()
+b=Checkbutton(cf,text='A1', variable=A1, fg= 'black')
+b.pack(side=LEFT, anchor = SW)
+
+
+b=Button(cf,text=_('Capture'), command = a.capture, fg= 'black')
+b.pack(side=LEFT, anchor = SW)
+
+b=Button(cf,text=_('Power Spectrum'), command = a.do_fft, fg= 'black')
+b.pack(side=LEFT, anchor = SW)
+
+b5 = Button(cf, text = _('QUIT'), command = sys.exit)
+b5.pack(side = RIGHT, anchor = N)
+
+cf = Frame(root, width = WIDTH, height = 10)
+cf.pack(side=TOP,  fill = BOTH, expand = 1)
+l = Label(cf, text = _('Number of Samples ='))
+l.pack(side=LEFT)
+Npoints = StringVar()
+t=Entry(cf, width=5, bg = 'white', textvariable = Npoints)
+t.pack(side=LEFT, anchor = S)
+Npoints.set('1000')
+
+l = Label(cf, text = _('Delay between samples='))
+l.pack(side=LEFT)
+Delay = StringVar()
+t=Entry(cf, width=3, bg = 'white', textvariable = Delay)
+t.pack(side=LEFT, anchor = S)
+Delay.set('20')
+l = Label(cf, text = _('uS.'))
+l.pack(side=LEFT)
+
+l = Label(cf, text = _('UPV ='))
+l.pack(side=LEFT)
+UPV = StringVar()
+t=Entry(cf, width=5, bg = 'white', textvariable = UPV)
+t.pack(side=LEFT, anchor = S)
+UPV.set('3')
+l = Label(cf, text = _('V'))
+l.pack(side=LEFT)
+
+mf = Frame(root)				# Message Frame below command frame.
+mf.pack(side=TOP, anchor = SW)
+msgwin = Label(mf,text = _('Messages'), fg = 'blue')
+msgwin.pack(side=LEFT, anchor = SW)
+
+root.title(_('Amplitude Modulation'))
+root.mainloop()
+
diff --git a/eyes/calibrate.py b/eyes/calibrate.py
new file mode 100644
index 0000000..1f6cb3d
--- /dev/null
+++ b/eyes/calibrate.py
@@ -0,0 +1,187 @@
+'''
+expEYES program
+Author  : Ajith Kumar B.P, bpajith at gmail.com
+License : GNU GPL version 3
+'''
+
+import gettext
+gettext.bindtextdomain("expeyes")
+gettext.textdomain('expeyes')
+_ = gettext.gettext
+
+from numpy import *
+from Tkinter import *
+import expeyes.eyes as eyes, time
+
+
+cpoints = [4.0, 3.0, 2.0, 1.0, -1.0, -2.0, -3.0, -4.0] # between -5 and +5 only
+NP = len(cpoints)
+setVar  = []
+mesVar  = []
+ADlab0  = []
+ADlab1  = []
+DAlab   = []
+Measured= [False]*NP
+ERRMAX  = 5.0   # maximum error expected without calibration
+BPV = 0			# bipolar DAC
+
+def msg(s, col = 'black'):
+	msgwin.config(text=s, fg=col)
+
+def setvoltage(n):
+	Measured[n] = False
+	v = cpoints[n]
+	da = p.set_voltage(BPV,v)
+	dabin[n] = da				#set voltage returns the binary number set
+	ss = '(%4.0f)'%da
+	DAlab[n].config(text = ss, fg='blue')
+	time.sleep(0.1)
+
+	asum = 0
+	for k in range(10):
+		asum += p.read_adc(0)
+	ad0 = float(asum)/10
+	error = abs(ad0-da)/da*100
+	if error < ERRMAX:
+		adbin0[n] = ad0
+		ADlab0[n].config(text = '(%4.0f)'%ad0, fg='blue')
+		ss =_('Point %5.3fV. Deviation A0 %5.2f%%') %(v,error)
+	else:
+		msg(_('ERROR: Check BPV to A0 connection'), 'red')
+		return
+
+	asum = 0
+	for k in range(10):
+		asum += p.read_adc(1)
+	ad1 = float(asum)/10	
+	error = abs(ad1-da)/da*100
+	if error < ERRMAX:
+		adbin1[n] = ad1
+		ADlab1[n].config(text = '(%4.0f)'%ad1, fg='blue')
+		ss +=' A1 %5.2f%%'%(error)
+	else:
+		msg(_('ERROR: Check BPV to A1 connection'))
+		return
+	Measured[n] = True
+	msg(ss,'blue')
+
+def calib():
+	for k in range(NP):
+		if Measured[k] == False:
+			msg(_('ERROR : Point %5.3f Volts NOT done') %cpoints[k], 'red')
+			return
+		mv = float(mesVar[k].get())
+		sv = cpoints[k]
+		print sv, abs(sv-mv)
+		if abs(sv-mv) > 0.1:
+			msg(_('Readback for %5.3f V point = %5.3f V NOT GOOD') %(sv,mv), 'red')
+			return
+		advolts[k] = mv
+		print cpoints[k], dabin[k], mv, adbin0[k], adbin1[k]
+
+	x = adbin0				# Calculate m & c for CH0
+	y = advolts
+	xbar = mean(x)
+	ybar = mean(y)
+	m0 = sum(y*(x-xbar)) / sum(x*(x-xbar))
+	c0 = ybar - xbar * m0
+
+	x = adbin1				# Calculate m & c for CH1
+	y = advolts
+	xbar = mean(x)
+	ybar = mean(y)
+	m1 = sum(y*(x-xbar)) / sum(x*(x-xbar))
+	c1 = ybar - xbar * m1
+
+	x = advolts				# m & c for -5V to +5V DAC
+	y = dabin
+	xbar = mean(x)
+	ybar = mean(y)
+	m8 = sum(y*(x-xbar)) / sum(x*(x-xbar))
+	c8 = ybar - xbar * m8
+	print _('ADC0 m & c '), m0,c0
+	print _('ADC1 m & c '), m1,c1
+	print _('DAC0 m & c '), m8,c8
+
+	p.save_calib(0,m0,c0)		# Save it on EEPROM
+	p.save_calib(1,m1,c1)		# Save it on EEPROM
+	p.save_calib(8,m8,c8)		# Save it on EEPROM
+	msg(_('DONE: (%7.6f,%5.3f)(%7.6f,%5.3f)(%5.1f,%5.1f)') %(m0,c0,m1,c1,m8,c8) ,'blue')
+
+def verify(ch):
+	p.load_calib(8)
+	p.load_calib(ch)
+	f = open('calib%d.dat'%ch,'w')
+	v = -4.5
+	while v <= 4.5:
+		p.set_voltage(0,v)
+		time.sleep(0.01)	
+		rv = p.get_voltage(ch)		
+		ss = '%10.3f %10.4f'%(v,v-rv)
+		f.write(ss+'\n')
+		print ss
+		v = v + 0.1
+	f.close()
+
+p = eyes.open()
+if p == None:
+	sys.exit()
+
+adbin0 	= zeros(NP,dtype=float)
+adbin1 	= zeros(NP,dtype=float)
+advolts = zeros(NP,dtype=float)
+dabin   = zeros(NP,dtype=float)
+davolts = zeros(NP,dtype=float)
+
+root = Tk()
+
+
+for k in range(NP):
+	lv = Frame(root, padx = 5, pady = 5)
+	lv.pack(side=TOP, fill = X)
+	l = Label(lv, text = '(0000)', fg = 'black')
+	l.pack(side = LEFT, fill = Y)
+	DAlab.append(l)
+	Set = Button(lv,text=_('Set %3.0f Volts')%cpoints[k], command = lambda arg=k : setvoltage(arg))
+	Set.pack(side=LEFT)
+	l = Label(lv, text = '(0000)', fg = 'black')
+	l.pack(side = LEFT, fill = Y)
+	ADlab0.append(l)
+	l = Label(lv, text = '(0000)', fg = 'black')
+	l.pack(side = LEFT, fill = Y)
+	ADlab1.append(l)
+	l = Label(lv, text = _('Measured ='),)
+	l.pack(side = LEFT, fill = Y)
+	mv = StringVar()
+	mesVar.append(mv)
+	e = Entry(lv, width = 8, textvariable = mv, fg = 'blue', bg = 'white')
+	e.pack(side = LEFT, fill = Y)
+	mesVar[k].set('%5.0f'%cpoints[k])
+
+f = Frame(root, padx = 5, pady = 5)
+f.pack(side=TOP, fill = X)
+l = Label(f, text = _('First you MUST Connect BPV to A0 & A1.\nThen click on each "Set Volts Button",')+\
+		_('Measure BPV with a 4.5 DMM and\n enter it in the "Measured=" Field.\n')+\
+		_('IMPROPER USE MAY SPOIL THE CALIBRATION'), fg = 'blue')
+l.pack(side = LEFT, fill = Y)
+
+
+cmd = Frame(root, padx = 5, pady = 5)
+cmd.pack(side=TOP, fill = X)
+Cal = Button(cmd,text=_('Calibrate'), fg='red', command = calib)
+Cal.pack(side=LEFT)
+
+b = Button(cmd,text=_('Verify CH0'), command = lambda arg=0 : verify(arg))
+b.pack(side=LEFT)
+b = Button(cmd,text=_('Verify CH1'), command = lambda arg=1 : verify(arg))
+b.pack(side=LEFT)
+b = Button(cmd,text=_('QUIT'), command = sys.exit)
+b.pack(side=LEFT)
+f = Frame(root, padx = 5, pady = 5)
+f.pack(side=TOP, fill = BOTH)
+msgwin = Label(f, text = _('msg'))
+msgwin.pack(side=LEFT)
+
+root.title(_('AD/DA CALIBRATION. NOT FOR EVERYONE!!'))
+root.mainloop()
+
diff --git a/eyes/cro.py b/eyes/cro.py
new file mode 100644
index 0000000..5d319d6
--- /dev/null
+++ b/eyes/cro.py
@@ -0,0 +1,171 @@
+'''
+expEYES program
+Author  : Ajith Kumar B.P, bpajith at gmail.com
+License : GNU GPL version 3
+'''
+
+import gettext
+gettext.bindtextdomain("expeyes")
+gettext.textdomain('expeyes')
+_ = gettext.gettext
+
+from Tkinter import *
+import expeyes.eyes as eyes, expeyes.eyeplot as eyeplot, expeyes.eyemath as eyemath, time
+
+TIMER = 100
+WIDTH  = 600   # width of drawing canvas
+HEIGHT = 400   # height 
+VPERDIV = 1.0		# Volts per division, vertical scale
+delay = 10			# Time interval between samples
+NP = 100			# Number of samples
+NC = 1				# Number of channels
+chanmask = 1		# 01, 10 or 11 binary
+measure  = 0
+chan = [0,1,2,4]
+
+def select_chan():
+	global chanmask, measure
+	if SEN.get() == 1:
+		CH0.set(0)
+		CH1.set(0)
+		CH2.set(0)
+	elif CH2.get() == 1:
+		CH0.set(0)
+		CH1.set(0)
+		SEN.set(0)
+	chanmask = CH0.get() | (CH1.get() << 1) | (CH2.get() << 2) | (SEN.get() << 3)  
+	measure = FIT.get()
+	msgwin.config(text=_('You can select SEN, A2 or (A1, A0 or both)'))
+
+def set_vertical(w):
+	global delay, NP, NC, VPERDIV
+	divs = [1.0, 0.5, 0.2]
+	VPERDIV = divs[int(vpd.get())]
+	g.setWorld(0,-5*VPERDIV, NP * delay * 0.001, 5*VPERDIV,_('mS'),_('V'))
+	print VPERDIV
+
+def set_timebase(w):
+	global delay, NP, NC, VPERDIV
+	divs = [0.050, 0.100, 0.200, 0.500, 1.0, 2.0, 5.0, 10.0, 20.0, 50.0]
+	msperdiv = divs[int(timebase.get())]
+	totalusec = int(msperdiv * 1000 * 10)
+	NP = 100								# Assume 100 samples to start with
+	delay = int(totalusec/100)				# Calculate delay
+	if delay < 10:
+		sf = 10/delay
+		delay = 10
+		NP = NP/sf
+	elif delay > 1000:
+		sf = delay/1000
+		delay = 1000
+		NP = NP * sf
+	g.setWorld(0,-5*VPERDIV, NP * delay * 0.001, 5*VPERDIV,_('mS'),_('V'))
+	print _('NP delay = '),NP, delay, 0.0001 * NP*delay, msperdiv
+
+def update():
+	global NP, delay, chanmask, measure, chan
+	s = ''
+	if chanmask == 8:		# SENSOR
+		t,v = p.capture(4,NP,delay)
+		g.delete_lines()
+		g.line(t,v,3)
+		if measure == 1:
+			fa = eyemath.fit_sine(t,v)
+			if fa != None:
+				pa = fa[1]
+				s = _('Vpeak = %5.2f V | Freq = %5.2f Hz')%(abs(pa[0]), pa[1]*1000)
+	elif chanmask == 4:		# A2
+		t,v = p.capture(2,NP,delay)
+		g.delete_lines()
+		g.line(t,v,2)
+		if measure == 1:
+			fa = eyemath.fit_sine(t,v)
+			if fa != None:
+				pa = fa[1]
+				s = _('Vpeak = %5.2f V | Freq = %5.2f Hz')%(abs(pa[0]), pa[1]*1000)
+			msgwin.config(text = s)
+	elif chanmask == 1 or chanmask == 2:
+		t,v = p.capture(chanmask-1,NP,delay)
+		g.delete_lines()
+		g.line(t,v,chanmask-1)
+		if measure == 1:
+			fa = eyemath.fit_sine(t,v)
+			if fa != None:
+				pa = fa[1]
+				s = _('Vpeak = %5.2f V | Freq = %5.2f Hz')%(abs(pa[0]), pa[1]*1000)
+			msgwin.config(text = s)
+	elif chanmask == 3:
+		t,v,tt,vv = p.capture01(NP,delay)
+		g.delete_lines()
+		g.line(t,v)
+		g.line(tt,vv,1)
+		if measure == 1:
+			fa = eyemath.fit_sine(t,v)
+			if fa != None:
+				pa = fa[1]
+				s = _('CH0 Vp = %5.2f V | Freq = %5.2f Hz ')%(abs(pa[0]), pa[1]*1000)
+			fb = eyemath.fit_sine(tt,vv)
+			if fb != None:
+				pb = fb[1]
+				s = s + _('CH1 Vp = %5.2f V | Freq = %5.2f Hz')%(abs(pb[0]), pb[1]*1000)
+			msgwin.config(text = s)
+	else:
+		g.delete_lines()
+	root.after(10,update)
+
+
+p = eyes.open()
+p.loadall_calib()
+root = Tk()
+Canvas(root, width = WIDTH, height = 5).pack(side=TOP)  # Some space at the top
+g = eyeplot.graph(root, width=WIDTH, height=HEIGHT)	# make plot objects using draw.disp
+g.setWorld(0, -5, 20, 5,_('mS'),_('V'))
+
+cf = Frame(root, width = WIDTH, height = 10)
+cf.pack(side=TOP,  fill = BOTH, expand = 1)
+l = Label(cf,text = _('mS/div'))
+l.pack(side=LEFT, anchor = SW)
+timebase = Scale(cf,command = set_timebase, orient=HORIZONTAL, length=50, showvalue=False,\
+	from_ = 0, to=9, resolution=1)
+timebase.pack(side=LEFT, anchor = SW)
+l = Label(cf,text = _('Volt/div'))
+l.pack(side=LEFT, anchor = SW)
+vpd = Scale(cf,command = set_vertical, orient=HORIZONTAL, length=50, showvalue=False,\
+	from_ = 0, to=2, resolution=1)
+vpd.pack(side=LEFT, anchor = SW)
+
+CH0 = IntVar()
+cb0 = Checkbutton(cf,text ='A0', command=select_chan, variable=CH0, fg = 'black')
+cb0.pack(side=LEFT, anchor = SW)
+CH0.set(1)
+
+CH1 = IntVar()
+cb1 = Checkbutton(cf,text ='A1', command=select_chan, variable=CH1, fg = 'red')
+cb1.pack(side=LEFT, anchor = SW)
+CH1.set(0)
+
+CH2 = IntVar()
+cb2 = Checkbutton(cf,text ='A2', command=select_chan, variable=CH2, fg = 'blue')
+cb2.pack(side=LEFT, anchor = SW)
+CH2.set(0)
+
+SEN = IntVar()
+sen = Checkbutton(cf,text =_('SEN'), command=select_chan, variable=SEN, fg = 'blue')
+sen.pack(side=LEFT, anchor = SW)
+SEN.set(0)
+
+FIT = IntVar()
+b=Checkbutton(cf,text=_('FIT'), command = select_chan, variable=FIT, fg= 'black')
+b.pack(side=LEFT, anchor = SW)
+b5 = Button(cf, text = _('QUIT'), command = sys.exit)
+b5.pack(side = RIGHT, anchor = N)
+
+mf = Frame(root)				# Message Frame below command frame.
+mf.pack(side=TOP, anchor = SW)
+msgwin = Label(mf,text = _('Messages'), fg = 'blue')
+msgwin.pack(side=LEFT, anchor = SW)
+
+root.title(_('EYES CRO Program'))
+root.after(TIMER,update)
+root.mainloop()
+
diff --git a/eyes/diode_iv.py b/eyes/diode_iv.py
new file mode 100644
index 0000000..7a30286
--- /dev/null
+++ b/eyes/diode_iv.py
@@ -0,0 +1,153 @@
+'''
+expEYES program
+Author  : Ajith Kumar B.P, bpajith at gmail.com
+License : GNU GPL version 3
+'''
+import gettext
+gettext.bindtextdomain("expeyes")
+gettext.textdomain('expeyes')
+_ = gettext.gettext
+
+from Tkinter import *
+import expeyes.eyes as eyes, expeyes.eyeplot as eyeplot, expeyes.eyemath as eyemath, time, sys
+
+TIMER = 10
+WIDTH  = 600   # width of drawing canvas
+HEIGHT = 400   # height    
+
+VSET    = 0		# this will change in the loop
+VSETMIN = 0		# may change this to -5 for zeners
+VSETMAX = 4.5
+STEP    = 0.050		# 50 mV
+MINX    = 0			# may change this to -5 for zeners
+MAXX    = 3         # No diode will go beyond this
+MINY    = 0			# may change this to -5 for zeners
+MAXY    = 5			# Maximum possible current
+history = []		# Data store
+trial = 0			# trial number
+data = [ [], [] ]	# Current & Voltage
+index = 0
+running = False
+
+def start():
+	global VSET, running, index, data
+	if ZEN.get() == 1:
+		VSETMIN = -5.0
+		MINX = -5.0
+		MINY = -5
+	else:
+		VSETMIN = 0.0
+		MINX = 0.0
+		MINY = 0.0
+	g.setWorld(MINX, MINY, MAXX, MAXY,_('V'),_('mA'))
+	running = True
+	data = [ [], [] ]
+	VSET = VSETMIN
+	index = 0
+	root.after(TIMER,update)
+
+def update():					# Called periodically by the Tk toolkit
+	global VSETMAX, VSET, STEP, index, trial, running, data, history
+	if running == False:
+		return
+	p.set_voltage(0, VSET)	
+	time.sleep(0.001)	
+	va = p.get_voltage(0)		# voltage across the diode
+	i = (VSET-va)/1.0 	 		# in mA, R= 1k
+	data[0].append(va)
+	data[1].append(i)
+	VSET += STEP
+	if VSET > VSETMAX:
+		running = False
+		history.append(data)
+		trial += 1
+		g.delete_lines()
+		for k in range(len(history)):
+			g.line(history[k][0], history[k][1], k)
+		return
+	if index > 1:			# Draw the line
+		g.delete_lines()
+		g.line(data[0], data[1], trial)
+	index += 1
+	root.after(TIMER, update)
+	msg.config(text=_('Starting to plot I-V'))
+
+def xmgrace():		# Send the data to Xmgrace
+	global history
+	p.grace(history, _('Volts'), _('mA'), _('Diode IV Curve'))
+
+def save():
+	global history, running
+	if running == True:
+		return
+	s = e1.get()
+	if s == '':
+		return
+	p.save(history, s)
+	msg.config(text = _('Data saved to file ')+s)
+
+def fit_curve():
+	global data, running
+	if running == True or len(data[0])==0:
+		return
+	f = eyemath.fit_exp(data[0], data[1])
+	if f != None:
+		g.line(data[0], f[0], 1)
+		k = 1.38e-23    # Boltzmann const
+		q = 1.6e-19     # unit charge
+		Io = f[1][0]
+		a1 = f[1][1]
+		T = 300.0		# Room temp in Kelvin
+		n = q/(a1*k*T)
+		s = _('Fitted with Diode Equation : Io = %5.2e mA , Ideality factor = %5.2f')%(Io,n)
+		msg.config(text = s)
+
+def clear():
+	global history, trial, running
+	if running == True:
+		return
+	g.delete_lines()
+	history = []
+	trial = 0
+
+p = eyes.open()
+p.loadall_calib()
+p.disable_actions()
+root = Tk()
+Canvas(root, width = WIDTH, height = 5).pack(side=TOP)  # Some space at the top
+g = eyeplot.graph(root, width=WIDTH, height=HEIGHT)	# make plot objects using draw.disp
+g.setWorld(MINX, MINY, MAXX, MAXY,_('V'),_('mA'))
+
+cf = Frame(root, width = WIDTH, height = 10)
+cf.pack(side=TOP,  fill = BOTH, expand = 1)
+
+ZEN = IntVar()
+cb0 = Checkbutton(cf,text =_('ZENER'), variable=ZEN, fg = 'black')
+cb0.pack(side=LEFT, anchor = SW)
+b1 = Button(cf, text = _('START'), command = start)
+b1.pack(side = LEFT, anchor = N)
+b3 = Button(cf, text = _('SAVE to'), command = save)
+b3.pack(side = LEFT, anchor = N)
+filename = StringVar()
+e1 =Entry(cf, width=15, bg = 'white', textvariable = filename)
+filename.set('diode_iv.dat')
+e1.pack(side = LEFT)
+
+b5 = Button(cf, text = _('QUIT'), command = sys.exit)
+b5.pack(side = RIGHT, anchor = N)
+b4 = Button(cf, text = _('CLEAR'), command = clear)
+b4.pack(side = RIGHT, anchor = N)
+b5 = Button(cf, text = _('Grace'), command = xmgrace)
+b5.pack(side = RIGHT, anchor = N)
+b5 = Button(cf, text = _('FIT'), command = fit_curve)
+b5.pack(side = RIGHT, anchor = N)
+
+mf = Frame(root, width = WIDTH, height = 10)
+mf.pack(side=TOP,  fill = BOTH, expand = 1)
+msg = Label(mf,text=_('Message'), fg = 'blue')
+msg.pack(side=LEFT)
+
+eyeplot.pop_image('pics/diode-iv.png', _('Diode IV Characteristic'))
+root.title(_('EYES: Diode IV characteristics'))
+root.mainloop()
+
diff --git a/eyes/explore.py b/eyes/explore.py
new file mode 100644
index 0000000..ecca75a
--- /dev/null
+++ b/eyes/explore.py
@@ -0,0 +1,638 @@
+'''
+expEYES Explorer program
+Author  : Ajith Kumar B.P, bpajith at gmail.com
+License : GNU GPL version 3
+'''
+
+import gettext
+gettext.bindtextdomain("expeyes")
+gettext.textdomain('expeyes')
+_ = gettext.gettext
+
+from Tkinter import *
+import Image, ImageTk, tkFont, os, sys, commands, math
+import expeyes.eyes as eyes, expeyes.eyeplot as eyeplot
+
+try:		
+	import expeyes.eyemath as eyemath		# Will fail if scipy is not installed
+	EYEMATH = True
+except:
+	EYEMATH = False
+
+
+WIDTH  = 555.0 * 0.8
+HEIGHT = 677.0 * 0.8
+BORDER =  0 #HEIGHT/8
+TIMER  = 50
+picture = 'pics/eyes.png'
+pgreen = '#d1e244'
+
+
+help = [
+_('For help, click on the Terminal Boxes(1 to 32).\nLIZ : Lissajous figure.\n')+\
+_('FT : Fourier Transform power spectrum.\nXM : Xmgrace 2D plotting program\n')+\
+_('XmGrace is NOT available under MSWindows'),
+_('1.Software can read the voltage input level, LOW ( < .8V) or HIGH (>2V).\n') +\
+_('If a square wave input is given, click on the Buttons for measuring frequency / duty cycle'),
+_('2. Can sense input level'),
+_('3. Digital Output.  Can be set to 0 or 5 volts.\nUse the Checkbutton to change the Level'),
+_('4. Digital Output.  Can be set to 0 or 5 volts.\nUse the Checkbutton to change the Level'),
+_('5. Ground (zero volts)'),
+_('6. SQR1: Generates Square Wave. Voltage swings between 0 and 5V. Frequency is programmable from ')+\
+_('Hz to1 MHz. All intermediate values of frequency are not possible.'),
+_('7. SQR2: Generates Square Wave. The frequency range is controlled by software and fine adjustment ')+\
+_('is done by an external 22 kOhm variable resistor. Frequency range is from 0.7 Hz to 90 kHz.'),
+_('8. 22 kOhm resistor used for frequency adjustment of SQR2.'),
+_('9. 22 kOhm resistor used for frequency adjustment of SQR2.'),
+_('10. Programmable Pulse. Frequency is 488.3 Hz. Duty cycle from 0 to 100%% in 255 steps.'),
+_('11. Ground'),
+_('12. Output of Inverting Amplifier with a gain of 47. (Input at 14)'),
+_('13. Output of Inverting Amplifier with a gain of 47. (Input at 15)'),
+_('14. Input of Inverting Amplifier with a gain of 47. (Output at 12)'),
+_('15. Input of Inverting Amplifier with a gain of 47. (Output at 13). Also acts as a Frequency counter, ')+\
+_('for a bipolar a signal (amplitude from 100 mV to 5V). If the signal is unipolar feed it ')+\
+_('via a series capacitor'),
+_('16. Ground'),
+_('17. Input of Inverting Amplifier. Default Gain=100. The gain can be reduced by a series resistor at the input. ')+\
+_('The gain will be given by G = 10000/(100+R), where R is the value of the external series resistor.'),
+_('18. Output of the Inverting Amplifier (Input 17)'),
+_('19. Ground'),
+_('20. Gain control resistor for Non-Inverting amplifier, from 20 to Ground. Gain = 1 + 10000/Rg.'),
+_('21. Input of Non-Inverting Amplifier (Output 22)'),
+_('22. Output of Non-Inverting Amplifier(Input 21)'),
+_('23. Sensor Input. Connect Photo transistor collector here and emitter to Ground.'),
+_('24. Voltage measurement terminal. Input must be in the 0 to 5V range.'),
+_('25. Voltage measurement terminal. Input must be in the -5V to 5V range.'),
+_('26. Voltage measurement terminal. Input must be in the -5V to 5V range.'),
+_('27. Ground'),
+_('28. Programmable constant current source. 0.05 to 2 milli ampere range. The load resistor ')+\
+_('should be chosen to make the product of I and R less than 2 volts.'),
+_('29. Output of 30 through a 1kOhm resistor. Used for doing diode I-V characteristic.'),
+_('30. Programmable voltage between -5V to +5V.'),
+_('31. Programmable voltage between 0 to +5V.'),
+_('32. Sine wave output. Frequency around 90 Hz. Voltage swings between -4V to +4V.')
+]
+class eyePanel:
+	NSIG = 1 + 32						# zeroth element is unused
+	tw = [None] * NSIG				    # List to store the widget variables created on the Panel
+	LE = [ 6,  7, 10]					# Entry widget on left side
+	LL = [ 1, 2, 8, 15]					# Lebel widgets on left side
+	RE = [28, 30, 31]					# Entry widget on left side
+	RL = [22,23,24,25,26,27]			# Lebel widgets on left side
+	doutval = [None] * 2				# IntVar() of CheckButton widgets
+	doutCB  = [None] * 2				# Checkbutton widgets
+	NOSQR2 = True						# SQR2 is not set
+	NOSF = True							# No frequency on SENSOR input
+	NOAF = True							# No frequency on Amplifier input, T15
+	NODF = True							# No frequency on Digital input 0
+	OUTMASK = 0							# Digital outputs to LOW
+	trace = None
+	poped = False
+
+	def pop_expt_menu(self,event):
+		self.poped = True
+		menu.post(event.x_root, event.y_root)
+
+	limits = {3:(0,1), 4:(0,1), 6:(0,100000.), 7:(-1,100000.), 10:(0.,100.0), \
+					28:(0.020, 3.0), 29:(-5.,5.), 30:(-5.,5.), 31:(0.,5.) }
+	def get_fieldvalue(self,i):
+		try:
+			s = self.tw[i].get()
+			val = float(s)
+			if self.limits[i][0] <= val <= self.limits[i][1]:
+				return val
+		except:
+			pass
+
+	def __init__(self, parent, handle, width=WIDTH, height = HEIGHT):
+		self.eye = handle 
+		self.parent = parent
+		self.width = width
+		self.height = height
+		self.border = BORDER				# Top and bottom self.border
+		self.fw = float(width)/12.7			# field width
+		self.fh = float(height - 2 * self.border)/16	# field height
+		im = Image.open(eyeplot.abs_path()+ picture)
+		im = im.resize((int(width),int(height)))
+		self.image = ImageTk.PhotoImage(im)
+		self.panel = Canvas(parent, width = width, height = height)
+		self.panel.create_image(0,0,image = self.image, anchor = NW)
+
+		self.popup = Button(text = _('EXPERIMENTS'), bg=pgreen)
+		self.popup.bind("<ButtonRelease-1>", self.pop_expt_menu)
+		self.panel.create_window(width/2, height-20, window = self.popup, anchor = CENTER)
+		
+		self.panel.bind("<ButtonRelease-1>", self.clicked)
+		self.panel.bind("<ButtonRelease-3>", self.pop_expt_menu)
+		self.panel.pack(side=TOP, anchor=SW)
+
+		for i in self.LE:				# Text Entry Fields on left
+			x,y = self.xyfromi(i)
+			self.tw[i] = Entry(width = 8, bg = 'white', fg='blue')
+			self.tw[i].bind("<Return>", self.process)
+			self.tw[i].bind("<KP_Enter>", self.process)
+			self.panel.create_window(3.5*self.fw, y, window = self.tw[i], anchor = W)
+
+		for i in self.LL:				# Label widgets on left
+			x,y = self.xyfromi(i)
+			self.tw[i] = Label(width = 8, bg = pgreen, fg='blue', bd=1)
+			self.tw[i].bind("<Return>", self.process)
+			self.tw[i].bind("<KP_Enter>", self.process)
+			if i == 8: y -= 12
+			self.panel.create_window(3.5*self.fw, y, window = self.tw[i], anchor = W)
+
+		for i in self.RE:				# Text Entry Fields on right
+			x,y = self.xyfromi(i)
+			self.tw[i] = Entry(width = 8, bg = 'white', fg='blue')
+			self.tw[i].bind("<Return>", self.process)
+			self.tw[i].bind("<KP_Enter>", self.process)
+			self.panel.create_window(width-3*self.fw, y, window = self.tw[i], anchor = E)
+
+		for i in self.RL:				# Text Entry Fields on right
+			x,y = self.xyfromi(i)
+			self.tw[i] = Label(width = 8, bg = pgreen, fg='blue', bd=1)
+			self.tw[i].bind("<Return>", self.process)
+			self.tw[i].bind("<KP_Enter>", self.process)
+			if i == 27 or i == 22: y -= 12
+			self.panel.create_window(width-3*self.fw, y, window = self.tw[i], anchor = E)
+
+		for i in range(2):
+			x,y = self.xyfromi(i+3)
+			self.doutval[i] = IntVar()
+			self.doutCB[i] = Checkbutton(bg = 'red', variable = self.doutval[i], \
+					command = lambda i=i : self.checked(i))
+			self.panel.create_window(3.5*self.fw, y, window = self.doutCB[i], anchor = W)
+			self.doutCB[i].config(text=_('LO'),bg='gray')
+
+			x,y = self.xyfromi(28)
+			self.panel.create_line([width-self.fw, y, width-3*self.fw, y+self.fh/2], fill= pgreen)
+			x,y = self.xyfromi(23)
+			self.panel.create_line([width-self.fw, y, width-3*self.fw, y+self.fh/2], fill= pgreen)
+			x,y = self.xyfromi(7)
+			self.panel.create_line([self.fw, y, 3.5*self.fw, y+self.fh/2], fill= pgreen)
+
+
+		x,y = self.xyfromi(1)
+		self.FRB = Button(bg = 'gray', text =_('F'), padx=0, pady=0,	command = self.freq_id0)
+		self.panel.create_window(3.0*self.fw, y, window = self.FRB, anchor = W)
+		self.DCB = Button(bg = 'gray', text ='%', padx=0, pady=0,	command = self.duty_cycle)
+		self.panel.create_window(5.5*self.fw, y, window = self.DCB, anchor = W)
+		x,y = self.xyfromi(15)
+		self.FRB = Button(bg = 'gray', text =_('F'), padx=0, pady=0,	command = self.freq_ampin)
+		self.panel.create_window(3.0*self.fw, y, window = self.FRB, anchor = W)
+		x,y = self.xyfromi(22)
+		self.FRB = Button(bg = 'gray', text =_('F'), padx=0, pady=0,	command = self.freq_adc5)
+		self.panel.create_window(width-6.2*self.fw, y, window = self.FRB, anchor = SW)
+		self.looping = True
+
+
+	def freq_adc5(self):
+		fr = self.eye.sensor_frequency()
+		if fr < 0:
+			self.labset(22, _('0 Hz'))
+			self.NOSF = True
+		else:
+			self.labset(22, '%5.2f Hz'%(fr))
+			self.NOSF = False
+
+	def freq_ampin(self):
+		fr = self.eye.ampin_frequency()
+		if fr < 0:
+			self.labset(15, _('0 Hz'))
+			self.NOAF = True
+		else:
+			self.labset(15, '%5.2f Hz'%(fr))
+			self.NOAF = False
+
+	def freq_id0(self):
+		fr = self.eye.digin_frequency(0)
+		if fr < 0:
+			self.labset(1, _('0 Hz'))
+		else:
+			self.labset(1, '%5.2f Hz'%fr)
+
+	def ifromxy(self,e):				# Calculates the Index from the xy coordinates
+		#print e.x, e.x_root, e.y, e.y_root
+		if self.border < e.y < self.height-self.border and (e.x < 2*self.fw or e.x > self.width-2*self.fw):
+			if e.x < self.fw:
+				return 1, int(float(e.y-self.border)/self.fh)+1
+			elif e.x < 2*self.fw:
+				return 2, int(float(e.y-self.border)/self.fh)+1
+			elif e.x > self.width - self.fw:
+				return 1, 31 - int(float(e.y-self.border)/self.fh)+1
+			elif e.x > self.width - 2*self.fw:
+				return 2, 31 - int(float(e.y-self.border)/self.fh)+1
+		return 0,0	# Implies Invalid Field
+
+
+	def xyfromi(self,i):		# Calculates the xy coordinates for placing widgets
+		if i <= 16:
+			return 1, self.border + (i-1)*self.fh + self.fh/2
+		elif i <= 32:
+			return self.width - self.fw, self.height - (i-16)*self.fh + self.fh/2 - self.border
+
+	def save(self):
+		self.eye.save(self.trace,'explore.dat')
+		showhelp(_('Traces saved to explore.dat'))
+
+	def xmgrace(self):
+		if self.eye.grace(self.trace) == False:
+			showhelp(_('Could not find Xmgrace or Pygrace. Install them'),'red')
+
+
+	def do_fft(self):
+		global delay, NP, NC, EYEMATH
+		if EYEMATH == False:
+			showhelp(_('Could not find scipy package. Install it'),'red')
+			return
+		if self.trace == None: return
+		transform = []
+		for xy in self.trace:
+			fr,tr = eyemath.fft(xy[1], delay * NC * 0.001)
+			transform.append([fr,tr])
+		self.eye.save(transform, 'exploreFFT.dat')
+		self.eye.grace(transform, _('freq'), _('power'))
+		showhelp(_('Fourier transform Saved to exploreFFT.dat.'))
+
+	def labset(self,i,s):
+		self.tw[i].config(text=s)
+
+	def twset(self,i,s):
+		self.tw[i].delete(0,END)
+		self.tw[i].insert(0,s)
+
+	def process(self,e):							# Enter key in any of the Text Entry Fields
+		for i in range(self.NSIG):
+			if self.tw[i] == e.widget:				# Look for the widget where Enter is pressed			
+				fld = i
+				break
+		msg = ''
+		val = self.get_fieldvalue(fld)					# Get the value entered by the user
+		if val == None:	
+			return
+		elif fld == 6:					# Set SQR1
+			freq = self.eye.set_sqr1(val)
+			self.twset(fld,'%5.1f'%freq)
+		elif fld == 7:					# Set SQR2
+			self.eye.set_sqr2(val)
+			freq = self.eye.get_sqr2()
+			if freq > 0:
+				self.labset(8,'%5.1f Hz'%freq)
+				self.NOSQR2 = False
+			else:
+				self.labset(8, _('0 Hz'))
+				self.NOSQR2 = True
+		elif fld == 10:					# Set Pulse duty cycle
+			ds = self.eye.set_pulse(val)
+			self.twset(fld,'%5.1f'%ds)
+		elif fld == 28:					# Set Current
+			self.eye.set_current(val)
+			self.twset(fld,'%5.3f'%val)
+		elif fld == 30:
+			self.eye.set_voltage(0,val)
+			self.twset(i,'%5.3f'%val)
+		elif fld == 31:
+			self.eye.set_voltage(1,val)
+			self.twset(fld, '%5.3f'%val)
+
+	def clicked(self,e):
+		if self.poped == True:		# Remove poped menu by cicking else where
+			menu.unpost()
+			self.poped = False
+		a,i = self.ifromxy(e)
+		if a == 1:
+			showhelp(help[i])
+		#print e.x, e.y, a, i
+
+
+	def duty_cycle(self):
+		hi = self.eye.r2ftime(0,0)
+		if hi > 0:
+			lo = self.eye.f2rtime(0,0)
+			ds = 100*hi/(hi+lo)
+			self.labset(1, '%5.2f %%'%(ds))
+		else:
+			self.labset(1,_('0 Hz'))
+
+	def checked(self, i):		# Clicked Checkbutton
+		val  = self.doutval[i].get()
+		if val == 0:
+			self.OUTMASK &= ~(1 << i)
+			self.doutCB[i].config(text=_('LO'),bg='gray')
+		elif val == 1:
+			self.OUTMASK |= (1 << i)
+			self.doutCB[i].config(text=_('HI'), bg='green')
+		self.eye.write_outputs(self.OUTMASK & 3)
+
+
+	def routine_work(self):
+		global NP, delay, chanmask, measure, lissa, EYEMATH
+		s = ''
+		self.trace = []
+								# In the final stage, move this to a Try block.
+		if lissa == True:
+			t,v,tt,vv = self.eye.capture01(NP,delay)
+			g.delete_lines()
+			g.setWorld(-5,-5,5,5,_('mS'),_('V'))
+			g.line(v,vv)
+			self.trace.append([v,vv])
+		elif chanmask == 1 or chanmask == 2:				# Waveform display code 
+			t, v = self.eye.capture(chanmask-1,NP,delay)
+			g.delete_lines()
+			g.line(t,v,chanmask-1)
+			self.trace.append([t,v])
+		elif chanmask == 3:
+			t,v,tt,vv = self.eye.capture01(NP,delay)
+			g.delete_lines()
+			g.line(t,v)
+			g.line(tt,vv,1)
+			self.trace.append([t,v])
+			self.trace.append([tt,vv])
+		if measure == 1 and EYEMATH == False:
+			showhelp(_('python-scipy not installed. Required for data fitting'),'red')
+		if measure == 1 and lissa == False and EYEMATH == True:		# Curve Fitting
+			if chanmask == 1 or chanmask == 2:			
+				fa = eyemath.fit_sine(t, v)
+				if fa != None:
+					#g.line(t,fa[0], 8)
+					rms = self.eye.rms(v)
+					f0 = fa[1][1] * 1000
+					s = _('CH%d %5.2f V , F= %5.2f Hz') %(chanmask>>1, rms, f0)
+				else:
+					s = _('CH%d nosig ')%(chanmask>>1)
+
+			elif chanmask == 3:	
+				fa = eyemath.fit_sine(t,v)
+				if fa != None:
+					#g.line(t,fa[0],8)
+					rms = self.eye.rms(v)
+					f0 = fa[1][1]*1000
+					ph0 = fa[1][2]
+					s += _('CH0 : %5.2f V , %5.2f Hz ') %(rms, f0)
+				else:
+					s += _('CH0: no signal ')
+				fb = eyemath.fit_sine(tt,vv)
+				if fb != None:
+					#g.line(tt,fb[0],8)
+					rms = self.eye.rms(vv)
+					f1 = fb[1][1]*1000
+					ph1 = fb[1][2]
+					s = s + _('| CH1 %5.2f V , %5.2f Hz') %(rms, f1)
+					if fa != None and abs(f0-f1) < f0*0.1:
+						s = s + _(' | dphi= %5.1f')%( (ph1-ph0)*180.0/math.pi)
+				else:
+					s += _('| CH1:no signal ')
+		msgwin.config(text=s)			# CRO part over	
+
+		v = self.eye.get_voltage(6)			# CS voltage
+		self.labset(27, '%5.3f V'%v)					
+		v = self.eye.get_voltage(0)			# A0
+		self.labset(26, '%5.3f V'%v)
+		v = self.eye.get_voltage(1)			# A1
+		self.labset(25, '%5.3f V'%v)
+		v = self.eye.get_voltage(2)			# A2
+		self.labset(24, '%5.3f V'%v)
+		v = self.eye.get_voltage(4)			# SENSOR
+		self.labset(23, '%5.3f V'%v)
+
+		res = self.eye.read_inputs()		# Set the color based on Input Levels
+		if res & 1:								# ID0
+			self.tw[1].config(bg = pgreen)				
+		else:
+			self.tw[1].config(bg = 'gray')		
+		if res & 2:								# ID1
+			self.tw[2].config(bg = pgreen)		
+		else:
+			self.tw[2].config(bg = 'gray')	
+		if res & 4:								# T15 input
+			self.tw[15].config(bg = pgreen)		
+		else:
+			self.tw[15].config(bg = 'gray')	
+		if res & 8:								# Sensor Input
+			self.tw[22].config(bg = pgreen)		
+		else:
+			self.tw[22].config(bg = 'gray')	
+
+		if self.NOSQR2 == False:
+			freq = self.eye.get_sqr2()
+			if freq > 0:
+				self.labset(8,'%5.1f Hz'%freq)
+			else:
+				self.labset(8, _('0 Hz'))
+				self.NOSQR2 = True
+
+		if self.NOSF == False:
+			freq = self.eye.sensor_frequency()
+			if freq > 0:
+				self.labset(22,'%5.1f Hz'%freq)
+			else:
+				self.labset(22, _('0 Hz'))
+				self.NOSF = True
+
+	def update(self):
+		try:
+			self.routine_work()
+		except:
+			showhelp(_('Transaction Error.'),'red')
+		root.after(TIMER, self.update)
+#----------------------------------------Panel class ends ------------------------------------------
+
+VPERDIV = 1.0		# Volts per division, vertical scale
+delay = 10			# Time interval between samples
+NP = 100			# Number of samples
+NC = 1				# Number of channels
+chanmask = 1		# 01, 10 or 11 binary
+measure  = 0
+lissa = 0
+
+def showhelp(s,col='black'):
+	helpwin.delete(1.0, END)
+	helpwin.config(fg=col)
+	helpwin.insert(END, s)
+
+def set_vertical(w):
+	global delay, NP, NC, VPERDIV
+	divs = [1.1, 1.0, 0.5, 0.2, 0.1, 0.05, 0.02]
+	VPERDIV = divs[int(vpd.get())]
+	g.setWorld(0,-5*VPERDIV, NP * delay * 0.001, 5*VPERDIV,_('mS'),_('V'))
+
+def set_timebase(w):
+	global delay, NP, NC, VPERDIV
+	divs = [0.050, 0.100, 0.200, 0.500, 1.0, 2.0, 5.0, 10.0, 20.0, 50.0]
+	msperdiv = divs[int(timebase.get())]
+	totalusec = int(msperdiv * 1000 * 10)
+	NP = 200								# Assume 100 samples to start with
+	delay = int(totalusec/100)				# Calculate delay
+	if delay < 10:
+		sf = 10/delay
+		delay = 10
+		NP = NP/sf * NC
+	elif delay > 1000:
+		sf = delay/1000
+		delay = 1000
+		NP = NP * sf / NC
+	g.setWorld(0,-5*VPERDIV, NP * delay * 0.001, 5*VPERDIV,_('mS'),_('V'))
+	#print NP, NC, delay
+
+def select_chan():
+	global chanmask, measure, NC
+	chanmask = CH0.get() | (CH1.get() << 1)
+	measure = FIT.get()
+	if chanmask == 3: 
+		NC =2
+	else:
+		NC = 1
+
+def lissa_mode():
+	global lissa,delay, NP, NC, VPERDIV
+	lissa = LIZ.get()
+	if lissa == 1:
+		lissa = True
+	else:
+		g.setWorld(0,-5*VPERDIV, NP * delay * 0.001, 5*VPERDIV,_('mS'),_('V'))	# Restore old scale
+		lissa = False
+
+#-----------------------------main program starts here-----------------------------
+for k in range(10):		# Test the hardware availability by by running another program.
+	stat,out = commands.getstatusoutput('python '+ eyeplot.abs_path() + 'hwtest.py')
+	if stat == 0:
+		break
+
+pe = eyes.open()			# Try several times to make a connection
+root = Tk()
+
+left = Frame(root)			# Divide root window into Left and Right
+left.pack(side=LEFT, anchor = S)
+right = Frame(root)
+right.pack(side = LEFT, anchor = S, fill = Y),
+
+w=eyePanel(left, pe, WIDTH, HEIGHT)		# Panel photograph to the Left Panel
+g = eyeplot.graph(right, WIDTH*1.05, HEIGHT*2./3,color = 'white', labels=False)  # Plot window 
+g.setWorld(0,-5*VPERDIV, NP * delay * 0.001, 5*VPERDIV,_('mS'),_('V'))
+
+cf = Frame(right)						# Command Frame, inside the right frame, below plot window
+cf.pack(side=TOP, anchor = NW)
+l = Label(cf, text=_('mS/div'))
+l.pack(side=LEFT, anchor = SW )
+timebase = Scale(cf,command = set_timebase, orient=HORIZONTAL, length=50, showvalue=False,\
+	from_ = 0, to=9, resolution=1)
+timebase.pack(side=LEFT, anchor = SW)
+timebase.set(2)
+
+'''
+l = Label(text = _('Volt/div'))
+vpd = Scale(cf,command = set_vertical, orient=HORIZONTAL, length=50, showvalue=False,\
+	from_ = 0, to=2, resolution=1)
+vpd.pack(side=LEFT, anchor = SW)
+vpd.set(1)
+'''
+
+CH0 = IntVar()
+cb0 = Checkbutton(cf,text ='A0', command=select_chan, variable=CH0, fg = 'black')
+cb0.pack(side=LEFT, anchor = SW)
+CH0.set(1)
+CH1 = IntVar()
+cb1 = Checkbutton(cf,text ='A1', command=select_chan, variable=CH1, fg = 'red')
+cb1.pack(side=LEFT, anchor = SW)
+CH1.set(0)
+LIZ = IntVar()
+liz = Checkbutton(cf,text =_('LIZ'), command=lissa_mode, variable=LIZ, fg = 'black')
+liz.pack(side=LEFT, anchor = SW)
+LIZ.set(0)
+
+FIT = IntVar()
+b=Checkbutton(cf,text=_('FIT'), command = select_chan, variable=FIT, fg= 'black')
+b.pack(side=LEFT, anchor = SW)
+b = Button(cf,text =_('Save'), command=w.save)
+b.pack(side=LEFT, anchor = SW)
+b = Button(cf,text =_('FT'), command=w.do_fft)
+b.pack(side=LEFT, anchor = SW)
+
+b = Button(cf,text =_('XM'), command=w.xmgrace)
+b.pack(side=LEFT, anchor = SW)
+b = Button(cf,text =_('QUIT'), command=sys.exit)
+b.pack(side=LEFT, anchor = SW)
+
+mf = Frame(right)				# Message Frame below command frame.
+mf.pack(side=TOP, anchor = SW)
+msgwin = Label(mf,text = _('Messages'), fg = 'blue')
+msgwin.pack(side=LEFT, anchor = SW)
+
+f = Frame(right, bg= 'white')
+f.pack(side = TOP)
+font = tkFont.Font(family = 'helvetica', size = 12)
+scrollbar = Scrollbar(f)
+scrollbar.pack(side=RIGHT, fill=Y)
+f.pack(side = TOP, fill = BOTH, expand = 1)
+helpwin = Text(f, width = 45, height = 5, font = font, fg = 'black', bg = 'white', spacing2 = 0,\
+		wrap=WORD, yscrollcommand=scrollbar.set)
+helpwin.pack(side = TOP, fill = BOTH, expand = 1)
+scrollbar.config(command=helpwin.yview)
+showhelp(help[0])
+
+#------------------------popup menu ---------------------------
+expts = [ 
+[_('Resistor IV'),'resistor_iv'],
+[_('RC Circuit'),'RCcircuit'],
+[_('RL Circuit'),'RLcircuit'],
+[_('RLC Discharge'),'RLCdischarge'],
+[_('EM Induction'),'induction'],
+[_('Diode IV'),'diode_iv'],
+[_('LED IV'),'LED_iv'],
+[_('Transistor CE'),'transistor'],
+[_('Frequency Response'),'freq-response'],
+[_('Velocity of Sound') , 'velocity-sound'],
+[_('Interference of Sound') , 'interference-sound'],
+[_('Photo-Transistor CE'),'phototransistor'],
+[_('Rod Pendulum') , 'rodpend'],
+[_('Gravity TOF'), 'gravity_tof'],
+[_('Pendulum Wavefrorm'),'pendulum'],
+[_('40 kHz Piezo TOF'),'usound_tof'],
+[_('PT100 Sensor'), 'pt100'],
+[_('Temp Comptroller'), 'temp-controller'],
+[_('Data Logger'), 'logger'],
+[_('CRO'),'cro'],
+[_('AM and FM'), 'amfm'],
+[_('Music'),'janagana'],
+[_('Calibrate'),'calibrate']
+ ]
+
+
+def run_expt(expt):
+	global w
+	w.eye.fd.close()	# Close hardware port
+	if os.name == 'nt':		# For windows OS
+		cmd = sys.executable + ' ' + eyeplot.abs_path() + expt+'.py'
+		os.system(cmd)
+		showhelp(_('Finished ') + expt)
+	else:
+		#print abs_path() + expt+'.py'
+		stat,out = commands.getstatusoutput('python '+ eyeplot.abs_path() + expt+'.py')
+		if stat != 0:
+			showhelp(out)
+		else:
+			showhelp(_('Finished "')+expt+'.py"')
+	w.eye = eyes.open()	# Open hardware port again
+	w.eye.disable_actions()
+
+menu = Menu(w.panel, tearoff=0)
+for k in range(len(expts)):
+	text = expts[k][0]
+	cmd = expts[k][1]
+	#print text, cmd
+	menu.add_command(label=text, background= 'ivory', command = lambda expt=cmd :run_expt(expt))
+
+# Check Hardware
+if pe == None:	
+	root.title(_('EYES Hardware NOT found.'))
+	showhelp(_('EYES Hardware Not Found.\nRe-Connect USB cable and restart the program.'), 'red')
+	root.mainloop()
+	sys.exit()
+else:
+	root.title(_('EYES Hardware found on ') + str(pe.device))
+	pe.write_outputs(0)
+	pe.disable_actions()
+	pe.loadall_calib()
+	root.after(TIMER,w.update)
+root.mainloop()
+  
diff --git a/eyes/freq-response.py b/eyes/freq-response.py
new file mode 100644
index 0000000..e2c89c0
--- /dev/null
+++ b/eyes/freq-response.py
@@ -0,0 +1,149 @@
+'''
+expEYES program
+Author  : Ajith Kumar B.P, bpajith at gmail.com
+License : GNU GPL version 3
+'''
+from Tkinter import *
+import expeyes.eyes as eyes, expeyes.eyeplot as eyeplot, expeyes.eyemath as eyemath, time, sys, numpy
+
+import gettext
+gettext.bindtextdomain("expeyes")
+gettext.textdomain('expeyes')
+_ = gettext.gettext
+
+
+TIMER = 100
+WIDTH  = 600   # width of drawing canvas
+HEIGHT = 400   # height    
+
+NP = 30
+fmin = 2500.0
+freq = fmin
+fmax = 5000.0
+vpeak = 0			# Assume as 0
+fpeak = fmin
+history = []		# Data store
+trial = 0			# trial number
+data = [ [], [] ]	# Current & Voltage
+index = 0
+running = False
+
+def start():
+	global running, NP, freq, fmin, data, index
+	running = True
+	data = [ [], [] ]
+	index = 0
+	freq = fmin
+	ph.set_upv(5)
+	ph.set_sqr1(fmin)
+	root.after(10,update)
+
+def update():					# Called periodically by the Tk toolkit
+	global running, NP, freq, fmax, fpeak, vpeak, history, data, index, trial
+	if running == False:
+		return
+	fr = ph.set_sqr1(freq)
+	freq += 20
+	t,v = ph.capture(0,400,20)
+	rmsv = ph.rms(v)
+	data[0].append(fr)
+	data[1].append(rmsv)
+	if rmsv > vpeak:
+		vpeak = rmsv
+		fpeak = fr
+	if fr > fmax:
+		running = False
+		history.append(data)
+		trial += 1
+		g.delete_lines()
+		for k in range(len(history)):
+			g.line(history[k][0], history[k][1], k)
+		vmax = max(data[1])
+		R.config(text=_('Fo = %5.0f Hz') %fpeak)
+		ph.set_sqr1(0)
+		return
+
+	if index > 1:			# Draw the line
+		g.delete_lines()
+		g.line(data[0], data[1], trial)
+	index += 1
+	root.after(TIMER, update)
+
+def xmgrace():		# Send the data to Xmgrace
+	global history
+	try:
+		import pygrace
+	except:
+		return
+	pg = pygrace.grace()
+	for dat in history:
+		pg.plot(dat[0],dat[1])
+		pg.hold(1)			# Do not erase the old data
+	pg.xlabel(_('Frequency'))
+	pg.ylabel(_('Amplitude'))
+	pg.title(_('Frequency response curve'))
+
+def save():
+	global history, running
+	if running == True:
+		return
+	s = e1.get()
+	if s == '':
+		return
+	f = open(s, 'w')
+	for dat in history:
+		for k in range(len(dat[0])):
+			f.write('%5.3f  %5.3f\n'%(dat[0][k], dat[1][k]))
+		f.write('\n')
+	f.close()
+	msg.config(text = _('Data saved to file ')+s)
+
+def clear():
+	global history, trial, running
+	if running == True:
+		return
+	g.delete_lines()
+	history = []
+	trial = 0
+
+def quit():
+	ph.set_sqr1(0)
+	sys.exit()
+
+
+ph = eyes.open()
+ph.loadall_calib()
+root = Tk()
+Canvas(root, width = WIDTH, height = 5).pack(side=TOP)  # Some space at the top
+g = eyeplot.graph(root, width=WIDTH, height=HEIGHT)	# make plot objects using draw.disp
+g.setWorld(fmin, 0, fmax, 5.0,_('Freq'),_('Amp'))
+
+cf = Frame(root, width = WIDTH, height = 10)
+cf.pack(side=TOP,  fill = BOTH, expand = 1)
+
+b1 = Button(cf, text = _('START'), command = start)
+b1.pack(side = LEFT, anchor = N)
+b3 = Button(cf, text = _('SAVE to'), command = save)
+b3.pack(side = LEFT, anchor = N)
+filename = StringVar()
+e1 =Entry(cf, width=15, bg = 'white', textvariable = filename)
+filename.set('freq-response.dat')
+e1.pack(side = LEFT)
+R = Label(cf,text=_('Fmax = '))
+R.pack(side=LEFT)
+b5 = Button(cf, text = _('QUIT'), command = quit)
+b5.pack(side = RIGHT, anchor = N)
+b4 = Button(cf, text = _('CLEAR'), command = clear)
+b4.pack(side = RIGHT, anchor = N)
+b5 = Button(cf, text = _('Grace'), command = xmgrace)
+b5.pack(side = RIGHT, anchor = N)
+
+mf = Frame(root, width = WIDTH, height = 10)
+mf.pack(side=TOP,  fill = BOTH, expand = 1)
+msg = Label(mf,text=_('Connect Piezo from SQR1 to GND. Microphone to 16,15 & 31. Wire from 13 to 26'), fg = 'blue')
+msg.pack(side=LEFT)
+
+eyeplot.pop_image('pics/freq-resp.png', _('Frequency Response Curve'))
+root.title(_('Audio Frequency response Curve'))
+root.mainloop()
+
diff --git a/eyes/gravity_tof.py b/eyes/gravity_tof.py
new file mode 100644
index 0000000..8c50b10
--- /dev/null
+++ b/eyes/gravity_tof.py
@@ -0,0 +1,102 @@
+'''
+expEYES program
+Author  : Ajith Kumar B.P, bpajith at gmail.com
+License : GNU GPL version 3
+'''
+import gettext
+gettext.bindtextdomain("expeyes")
+gettext.textdomain('expeyes')
+_ = gettext.gettext
+
+from Tkinter import *
+import expeyes.eyes as eyes, expeyes.eyeplot as eyeplot
+
+
+def attach():
+	p.write_outputs(1)
+
+def get_tof1():
+	global t1, h1
+	h1 = float(H1.get())
+	if (p.read_inputs() & 4) == 0:    # currently LOW
+		t1 = p.clr2rtime(0,2)*1.0e-6
+	else:
+		t1 = p.clr2ftime(0,2)*1.0e-6
+	if t1 > 0:
+		msgwin.config(text = _('%8.6f sec')%t1)
+	else:
+		msgwin.config(text = _('Timeout Error..'))
+
+def get_tof2():
+	global t2, h2
+	h2 = float(H2.get())
+	t2 = p.clr2rtime(0,2)*1.0e-6
+	if t2 > 0:
+		res2.config(text = _('%8.6f sec')%t2)
+	else:
+		res2.config(text = _('Error..'))
+
+def calc_g():
+	global t1, t2, h1, h2
+	try:
+		print t1,t2,h1,h2
+		g = 2 * (h2-h1) / (t2**2 - t1**2)
+		msgwin.config(text='g = %5.1f'%g)
+	except:
+		msgwin.config(text = _(' Error'))
+
+p = eyes.open()
+p.disable_actions()
+
+root = Tk()
+
+cf = Frame(root)
+cf.pack(side=TOP,  fill = BOTH, expand = 1)
+b3 = Label(cf, text = _('Height='))
+b3.pack(side = LEFT, anchor = SW)
+H1 = StringVar()
+h =Entry(cf, width=5, bg = 'white', textvariable = H1)
+h.pack(side=LEFT)
+H1.set('30')
+b3 = Label(cf, text = _('cm'))
+b3.pack(side = LEFT, anchor = SW)
+b1 = Button(cf, text = _('Attach Ball'), command = attach)
+b1.pack(side = LEFT, anchor = N)
+b1 = Button(cf, text = _('Measure TOF'), command = get_tof1)
+b1.pack(side = LEFT, anchor = N)
+res1 = Label(cf, text = '')
+res1.pack(side = LEFT, anchor = N)
+'''
+cf = Frame(root)
+cf.pack(side=TOP,  fill = BOTH, expand = 1)
+b3 = Label(cf, text = _('Height='))
+b3.pack(side = LEFT, anchor = SW)
+H2 = StringVar()
+h =Entry(cf, width=5, bg = 'white', textvariable = H2)
+h.pack(side=LEFT)
+H2.set('20')
+b3 = Label(cf, text = _('cm'))
+b3.pack(side = LEFT, anchor = SW)
+b1 = Button(cf, text = _('Attach Ball'), command = attach)
+b1.pack(side = LEFT, anchor = N)
+b1 = Button(cf, text = _('Measure TOF'), command = get_tof2)
+b1.pack(side = LEFT, anchor = N)
+res2 = Label(cf, text = '')
+res2.pack(side = LEFT, anchor = N)
+
+cf = Frame(root)
+cf.pack(side=TOP,  fill = BOTH, expand = 1)
+b1 = Button(cf, text = _('Calculate "g"'), command = calc_g)
+b1.pack(side = LEFT, anchor = N)
+'''
+
+mf = Frame(root)
+mf.pack(side=TOP,  fill = BOTH, expand = 1)
+msgwin = Label(mf,text=_('Message'), fg = 'blue')
+msgwin.pack(side=LEFT, anchor = S, fill=BOTH, expand=1)
+b5 = Button(cf, text = _('QUIT'), command = sys.exit)
+b5.pack(side = RIGHT, anchor = N)
+
+eyeplot.pop_image('pics/g-tof.png', _('Gravity by TOF'))
+root.title(_('Gravity by Time of Flight'))
+root.mainloop()
diff --git a/eyes/gravity_tof2.py b/eyes/gravity_tof2.py
new file mode 100644
index 0000000..d8250bd
--- /dev/null
+++ b/eyes/gravity_tof2.py
@@ -0,0 +1,126 @@
+'''
+expEYES program
+Author  : Ajith Kumar B.P, bpajith at gmail.com
+License : GNU GPL version 3
+'''
+from Tkinter import *
+import expeyes.eyes as eyes, expeyes.eyeplot as eyeplot, expeyes.eyemath as em, math
+
+import gettext
+gettext.bindtextdomain("expeyes")
+gettext.textdomain('expeyes')
+_ = gettext.gettext
+
+hvals = [20.,25.,30.,35.,40.,45.,50.,55.,60.,65.,70.,75.,80.]       # List of heights
+NP = len(hvals)
+tvals = [-1.]*NP							# List of corresponding Time of Flight values
+Hstrings = ['H']*NP
+Results = [None]*NP							# List of Label Widgets
+
+def calc_g():
+	x = []
+	y = []
+	for k in range(NP):
+		try:
+			h = float(Hstrings[k].get())
+			if tvals[k] > 0:
+				t = tvals[k]
+			else:
+				continue
+			x.append(t)
+			y.append(h)
+		except:
+			continue
+	print x , y
+	if len(x) < 3:
+		return
+	y,p=em.fit_qdr(x,y)
+	g = p[0] * 2
+	msgwin.config(text = _('Value of "g" by fitting the data points = %5.2f') %g)
+
+def save():
+	x = []
+	y = []
+	for k in range(NP):
+		try:
+			h = float(Hstrings[k].get())
+			if tvals[k] > 0:
+				t = tvals[k]
+			else:
+				continue
+			x.append(t)
+			y.append(h)
+		except:
+			continue
+
+	fname =Fn.get()
+	f = open(fname,'w')
+	for k in range(len(x)):
+		f.write('%5.4f  %5.2f\n'%(x[k], y[k]))
+	f.close()
+
+def attach():
+	p.write_outputs(1)
+
+def get_tof(index):
+	try:
+		h = float(Hstrings[index].get())
+	except:
+		Results[index].config(text = _('Invalid H'))
+		return
+	print index, h
+	if (p.read_inputs() & 4) == 0:    # ID2 is currently LOW
+		t = p.clr2rtime(0,2)*1.0e-6
+	else:
+		t = p.clr2ftime(0,2)*1.0e-6
+	if t > 0:
+		tvals[index] = t
+		g = 2*h/t**2
+		print g
+		Results[index].config(text = _('t=%6.4f g=%5.1f') %(t,g))
+	else:
+		Results[index].config(text = _('Timeout Err'))
+
+
+p = eyes.open()
+p.disable_actions()
+
+root = Tk()
+f1 = Frame(root)
+f1.pack(side=TOP,  fill = BOTH, expand = 1)
+for k in range(NP):
+	cf = Frame(f1)
+	cf.pack(side=TOP,  fill = BOTH, expand = 1)
+	b = Button(cf, text = _('Attach Ball at H='), command = attach)
+	b.pack(side = LEFT, anchor = N)
+	Hstrings[k] = StringVar()
+	h =Entry(cf, width=5, bg = 'white', textvariable = Hstrings[k])
+	h.pack(side=LEFT)
+	Hstrings[k].set(str(hvals[k]))
+	l = Label(cf, text = _('cm'))
+	l.pack(side = LEFT, anchor = SW)
+	b = Button(cf, text = _('Measure TOF'), command = lambda i=k : get_tof(i))
+	b.pack(side = LEFT, anchor = N)
+	Results[k] = Label(cf, text = ' '*30)
+	Results[k].pack(side = LEFT, anchor = SW)
+
+mf = Frame(root)
+mf.pack(side=TOP,  fill = BOTH, expand = 1)
+msgwin = Label(mf,text=_('Acceleration due to gravity by Time of Flight'), fg = 'blue')
+msgwin.pack(side=LEFT, anchor = S, fill=BOTH, expand=1)
+
+cf = Frame(root)
+cf.pack(side=TOP,  fill = BOTH, expand = 1)
+b5 = Button(cf, text = _('FIT'), command = calc_g)
+b5.pack(side = LEFT, anchor = N)
+b = Button(cf,text =_('Save to'), command=save)
+b.pack(side=LEFT, anchor = SW)
+Fn = Entry(cf,width = 10, bg = 'white')
+Fn.pack(side=LEFT, anchor = SW)
+Fn.insert(END,'gravity.dat')
+b5 = Button(cf, text = _('QUIT'), command = sys.exit)
+b5.pack(side = RIGHT, anchor = N)
+
+eyeplot.pop_image('pics/g-tof.png', _('Gravity by TOF'))
+root.title(_('Gravity by Time of Flight'))
+root.mainloop()
diff --git a/eyes/hist.py b/eyes/hist.py
new file mode 100644
index 0000000..6ca7ce6
--- /dev/null
+++ b/eyes/hist.py
@@ -0,0 +1,14 @@
+import expeyes.eyes, time
+p=expeyes.eyes.open()
+
+p.set_upv(2.5)
+p.set_sqr1(100)
+p.clear_hist()
+p.start_hist()
+time.sleep(5)
+p.stop_hist()
+a = p.read_hist()
+for k in a:
+	if k[1] != 0:
+		print k
+
diff --git a/eyes/hwtest.py b/eyes/hwtest.py
new file mode 100644
index 0000000..8da90d0
--- /dev/null
+++ b/eyes/hwtest.py
@@ -0,0 +1,4 @@
+import expeyes.eyes as eyes
+p = eyes.open()
+print p.read_inputs()
+
diff --git a/eyes/induction.py b/eyes/induction.py
new file mode 100644
index 0000000..887aa89
--- /dev/null
+++ b/eyes/induction.py
@@ -0,0 +1,138 @@
+'''
+expEYES program
+Author  : Ajith Kumar B.P, bpajith at gmail.com
+License : GNU GPL version 3
+'''
+import gettext
+gettext.bindtextdomain("expeyes")
+gettext.textdomain('expeyes')
+_ = gettext.gettext
+
+from Tkinter import *
+import expeyes.eyes as eyes, expeyes.eyeplot as eyeplot, expeyes.eyemath as eyemath, time, math, sys
+
+TIMER = 100
+WIDTH  = 500   # width of drawing canvas
+HEIGHT = 350   # height 
+VPERDIV = 1.0		# Volts per division, vertical scale
+delay = 500			# Time interval between samples
+NP = 200			# Number of samples
+data = [ [], [] ]
+history = []		# Data store
+trial = 0			# trial number
+data = [ [], [] ]	# Current & Voltage
+
+
+def find_peaks(ta,va):   # returns the index of the peaks found
+	vmin = 5.0
+	vmax = -5.0
+	p1 = 0		# index of the peaks
+	p2 = 0
+	t1 = t2 = 0
+	size = len(ta)
+	for i in range(size):
+		if va[i] < vmin:
+			vmin = va[i]
+			p1 = i
+		if va[i] > vmax:
+			vmax = va[i]
+			p2 = i
+	#print p1,p2,vmin, vmax
+	if p1 < p2:			# return left side peak first
+		return p1,p2
+	else:
+		return p2,p1
+
+def base_scan():
+	global data, history, trial, NP, delay, noise
+	t, v = p.capture(0,NP,delay)
+	g.delete_lines()
+	g.line(t,v,trial)
+	running = True
+	data = [ [], [] ]
+	p1,p2 = find_peaks(t,v)
+	noise = abs(v[p1])
+	msgwin.config(text = _('Voltage Scan on Coil Done. Noise Voltage = %5.3f V')%noise)
+	root.after(TIMER, update)
+
+def update():
+	global data, history, trial, NP, delay, noise
+	t, v= p.capture(0,5*NP,delay)		# Scan for 5 times more
+	p1,p2 = find_peaks(t,v)
+	#print v[p1], v[p2]
+	if abs(v[p1] - noise) > 0.5 and p1 < 4*NP:  # Signal at least 0.5 volts above noise
+		index = p1-50
+		tbeg = t[index]
+		tn = []
+		vn = []
+		while index < p1 + 150:
+			#print index
+			tn.append(t[index]-tbeg)
+			vn.append(v[index])
+			index += 1
+		g.delete_lines()
+		g.line(tn,vn,trial)
+		data = [tn,vn]
+		s = 'Peak voltages %5.2f and %5.3f separated by %5.3f msec'%(v[p1], v[p2], t[p2]-t[p1])
+		msgwin.config(text = s)
+		#print len(tn), len(vn), v[p1], v[p2]
+		history.append(data)
+		trial += 1
+		return				
+	root.after(TIMER, update)
+
+def clear():
+	global history, trial
+	g.delete_lines()
+	history = []
+	trial = 0
+
+def save():
+	global history
+	s = fn.get()
+	if s == '':
+		return
+	p.save(history, s)
+	msgwin.config(text = _('Data saved to file ')+s)
+
+def viewall():		# Send the data to Xmgrace
+	global history
+	g.delete_lines()	
+	i = 0
+	for t,v in history:
+		g.line(t,v,i)
+		i += 1
+
+p = eyes.open()
+p.loadall_calib()
+root = Tk()
+Canvas(root, width = WIDTH, height = 5).pack(side=TOP)  # Some space at the top
+g = eyeplot.graph(root, width=WIDTH, height=HEIGHT)	# make plot objects using draw.disp
+g.setWorld(0,-5*VPERDIV, NP * delay * 0.001, 5*VPERDIV,_('mS'),_('V'))
+
+cf = Frame(root, width = WIDTH, height = 10)
+cf.pack(side=TOP,  fill = BOTH, expand = 1)
+b = Button(cf,text =_('Start Scanning'), command= base_scan)
+b.pack(side=LEFT, anchor = SW)
+
+b = Button(cf,text =_('Save to'), command=save)
+b.pack(side=LEFT, anchor = SW)
+fn = Entry(cf,width = 10, bg = 'white')
+fn.pack(side=LEFT, anchor = SW)
+fn.insert(END,'ind.dat')
+b = Button(cf,text =_('QUIT'), command=sys.exit)
+b.pack(side=RIGHT, anchor = SW)
+b = Button(cf,text =_('VIEW'), command=viewall)
+b.pack(side=RIGHT, anchor = SW)
+b4 = Button(cf, text = _('CLEAR'), command = clear)
+b4.pack(side = RIGHT, anchor = N)
+
+mf = Frame(root)				# Message Frame below command frame.
+mf.pack(side=TOP, anchor = SW)
+msgwin = Label(mf,text = _('Messages'), fg = 'blue')
+msgwin.pack(side=LEFT, anchor = SW)
+
+eyeplot.pop_image('pics/em-ind.png', _('Electromagnetic Induction'))
+root.title(_('EYES: Electromagnetic Induction'))
+root.mainloop()
+
diff --git a/eyes/interference-sound.py b/eyes/interference-sound.py
new file mode 100644
index 0000000..7f5b32b
--- /dev/null
+++ b/eyes/interference-sound.py
@@ -0,0 +1,172 @@
+'''
+expEYES program
+Author  : Ajith Kumar B.P, bpajith at gmail.com
+License : GNU GPL version 3
+'''
+import gettext
+gettext.bindtextdomain("expeyes")
+gettext.textdomain('expeyes')
+_ = gettext.gettext
+
+from Tkinter import *
+import expeyes.eyes as eyes, expeyes.eyeplot as eyeplot, expeyes.eyemath as eyemath, time, math
+
+TIMER = 10
+WIDTH  = 800        # width of drawing canvas
+HEIGHT = 400        # height 
+delay = 50		    # Time interval between samples
+NP = 500			# Number of samples
+data = [] 		    # Of the form, [ [x1,y1], [x2,y2],....] where x and y are vectors
+outmask = 1
+looping = False
+
+
+def update():
+	global data, looping, NP, delay
+	if looping == False:
+		return
+	data = []
+	t,v = p.capture(0,NP,delay)
+	g.delete_lines()
+	g.line(t,v)
+	data.append([t,v])
+	fa = eyemath.fit_sine(t, v)
+	if fa != None:
+		#g.line(t,fa[0], 8)
+		rms = p.rms(v)
+		f0 = fa[1][1] * 1000
+		s = _('Freq = %5.0f Hz')%(fa[1][1]*1000)
+	else:
+		s = _('No Signal')
+	msgwin.config(text=s)			# CRO part over	
+	root.after(TIMER, update)	
+
+def start():
+	global looping, NP, delay
+	p.disable_actions()
+	n = int(Nsam.get())
+	if 100 <= n <=1800:			# Number of samples
+		NP = n
+		g.setWorld(0,-5, NP * delay * 0.001, 5,_('mS'),_('V'))
+	print NP
+	if RUN.get() == 1:
+		if A0.get() == 1:
+			f = float(Freq0.get())
+			fr = p.set_sqr0(f-1)
+			Freq0.delete(0,END)
+			Freq0.insert(0,'%5.1f'%fr)
+		else:
+			p.set_sqr0(0)
+		if A1.get() == 1:
+			f = float(Freq.get())
+			fr = p.set_sqr1(f-1)
+			Freq.delete(0,END)
+			Freq.insert(0,'%5.1f'%fr)
+		else:
+			p.set_sqr1(0)
+		looping = True
+		p.set_upv(5)
+		#p.adc2cmp(7)
+		#p.enable_wait_rising(4)
+		RS.config(text=_('STOP'))
+		root.after(TIMER, update)
+	else:
+		RS.config(text=_('START'))
+		looping = False
+		p.set_sqr1(0)
+		p.set_sqr0(0)
+
+def do_fft():
+	global data, delay, NP
+	if data == []: return
+	fr,tr = eyemath.fft(data[0][1], delay * 0.001)
+	p.save([ [fr,tr] ], 'FFT.dat')
+	p.grace([ [fr,tr] ], _('freq'), _('power'))
+	msgwin.config(text = _('Fourier transform Saved to FFT.dat.'))
+
+def save():
+	global data
+	s = fn.get()
+	if s == '':
+		return
+	p.save(data, s)
+	msgwin.config(text = _('Data saved to file ')+s)
+
+def xmgrace():		# Send the data to Xmgrace
+	global data
+	p.grace(data, _('milliSeconds'), _('Volts'))
+
+def quit():
+	p.write_outputs(0)
+	sys.exit()
+
+p = eyes.open()
+p.loadall_calib()
+p.set_sqr1(0)
+
+root = Tk()
+Canvas(root, width = WIDTH, height = 5).pack(side=TOP)  # Some space at the top
+g = eyeplot.graph(root, width=WIDTH, height=HEIGHT)	# make plot objects using draw.disp
+g.setWorld(0,-5, NP * delay * 0.001, 5,_('mS'),_('V'))
+
+if p == None:
+	g.text(0, 0,_('EYES Hardware Not Found. Check Connections and restart the program'),1)
+	root.mainloop()
+	sys.exit()
+p.set_voltage(1,5)
+
+cf = Frame(root, width = WIDTH, height = 10)
+cf.pack(side=TOP,  fill = BOTH, expand = 1)
+
+l = Label(cf,text=_('NS ='))
+l.pack(side=LEFT, anchor=SW)
+Nsam = Entry(cf,width = 4, bg = 'white')
+Nsam.pack(side=LEFT, anchor = SW)
+Nsam.insert(END,'200')
+
+A0 = IntVar()
+cb1 = Checkbutton(cf,text =_('PULSE='), variable=A0, fg = 'blue')
+cb1.pack(side=LEFT, anchor = SW)
+A0.set(0)
+
+Freq0 = Entry(cf,width = 10, bg = 'white')
+Freq0.pack(side=LEFT, anchor = SW)
+Freq0.insert(END,'4000')
+
+A1 = IntVar()
+cb1 = Checkbutton(cf,text =_('SQR1='), variable=A1, fg = 'blue')
+cb1.pack(side=LEFT, anchor = SW)
+A1.set(0)
+Freq = Entry(cf,width = 10, bg = 'white')
+Freq.pack(side=LEFT, anchor = SW)
+Freq.insert(END,'3800')
+
+RUN = IntVar()
+RS = Checkbutton(cf,text =_('START'), command = start, variable= RUN, fg = 'blue')
+RS.pack(side=LEFT, anchor = SW)
+RUN.set(0)
+
+b = Button(cf,text =_('Xmgrace'), command=xmgrace)
+b.pack(side=LEFT, anchor = SW)
+
+b = Button(cf,text =_('FFT'), command=do_fft)
+b.pack(side=LEFT, anchor = SW)
+
+b = Button(cf,text =_('Save to'), command=save)
+b.pack(side=LEFT, anchor = SW)
+fn = Entry(cf,width = 10, bg = 'white')
+fn.pack(side=LEFT, anchor = SW)
+fn.insert(END,'sound.dat')
+b = Button(cf,text =_('QUIT'), command=quit)
+b.pack(side=RIGHT, anchor = SW)
+
+
+mf = Frame(root)				# Message Frame below command frame.
+mf.pack(side=TOP, anchor = SW)
+msgwin = Label(mf,text = _('Messages'), fg = 'blue')
+msgwin.pack(side=LEFT, anchor = SW)
+
+eyeplot.pop_image('pics/sound-beats.png', _('Sound Interference'))
+root.title(_('EYES: Interference of Sound'))
+root.mainloop()
+
diff --git a/eyes/janagana.py b/eyes/janagana.py
new file mode 100644
index 0000000..1eb38f3
--- /dev/null
+++ b/eyes/janagana.py
@@ -0,0 +1,88 @@
+#Author : Jithin B.P., jithinbp at gmail.com
+#Distributed under GNU General Public License, GPL-3
+
+import gettext
+gettext.bindtextdomain("expeyes")
+gettext.textdomain('expeyes')
+_ = gettext.gettext
+
+sa2=261.63
+re2=277.03
+RE2=294.35
+ga2=314.02
+GA2=328.49
+ma2=348.83
+MA2=369.36
+pa2=392.46
+dha2=415.55
+DHA2=441.54
+ni2=233.08
+NI2=246.94
+
+
+sa=261.63
+re=277.03
+RE=294.35
+ga=314.02
+GA=328.49
+ma=348.83
+MA=369.36
+pa=392.46
+dha=415.55
+DHA=441.54
+ni=471.04
+NI=492.75
+SA=523.26
+
+
+jan=[sa,RE,GA,GA,GA,GA,GA,GA,GA,2,GA,GA,RE,GA,ma,2,GA,2,GA,GA,RE,2,RE,RE,NI2,RE,
+sa,2,7,sa,2,pa,2,pa,pa,2,pa,pa,pa,pa,2,pa,pa,MA,DHA,pa,ma,ma,2,ma,ma,
+ma,2,ma,GA,RE,ma,GA,2,0,2,GA,2,GA,GA,GA,2,GA,RE,pa,pa,pa,ma,ma,ma,ma,2,GA,2,GA,GA
+,RE,RE,RE,RE,NI2,RE,sa,8,sa,RE,GA,GA,GA,2,GA,2,RE,GA,ma,8,GA,ma,pa,pa,pa,ma,ma
+,GA,RE,ma,GA,4,0,2,GA,2,GA,RE,RE,RE,RE,RE,NI2,RE,sa,8,pa,pa,pa,pa,pa,2,pa,pa,pa,2,
+pa,pa,MA,DHA,pa,ma,ma,2,ma,ma,ma,2,ma,GA,RE,ma,GA,8,
+SA,NI,SA,8,NI,DHA,NI,8,pa,pa,DHA,8,0,2,sa,sa,
+RE,RE,GA,GA,RE,GA,ma,4]
+
+
+#jan=[sa,RE,GA,GA,GA]
+
+index = 0
+TIMER = 240		# milliseconds
+
+def put_freq():
+	global jan, index, size
+	if index >= size:
+		 quit()
+	a = jan[index]
+	if(a < 11):				# it is a delay
+		time.sleep(float(a)/100)
+	else :
+		p.set_sqr1(a);
+	index += 1
+	root.after(TIMER, put_freq)
+
+def quit():
+	print _('Exiting')
+	p.set_sqr1(0)
+	sys.exit()
+
+import expeyes.eyes as eyes, time
+from Tkinter import *
+
+root = Tk()
+p=eyes.open()
+size = len(jan)
+
+cf = Frame(root)
+cf.pack(side=TOP,  fill = BOTH, expand = 1)
+b3 = Label(cf, text = _('Playing Music'))
+b3.pack(side = LEFT, anchor = SW)
+
+b5 = Button(cf, text = _('QUIT'), command = quit)
+b5.pack(side = RIGHT, anchor = N)
+
+root.after(TIMER, put_freq)
+root.mainloop()
+
+
diff --git a/eyes/logger.py b/eyes/logger.py
new file mode 100644
index 0000000..a47d485
--- /dev/null
+++ b/eyes/logger.py
@@ -0,0 +1,179 @@
+'''
+expEYES program
+Author  : Ajith Kumar B.P, bpajith at gmail.com
+License : GNU GPL version 3
+'''
+import gettext
+gettext.bindtextdomain("expeyes")
+gettext.textdomain('expeyes')
+_ = gettext.gettext
+
+from Tkinter import *
+import expeyes.eyes as eyes, expeyes.eyeplot as eyeplot, expeyes.eyemath as eyemath, time, sys
+
+NCHAN  = 4
+WIDTH  = 600   # width of drawing canvas
+HEIGHT = 400   # height    
+
+class Logger:
+	chan = [0,1,2,4]
+	tv = [ [], [] ]						# Lists for Time & Voltage
+	MAXTIME = 10  	    # Maximum time, user can set
+	TIMER = 500
+	MINY = -5			# could be 0
+	MAXY = 5.0
+	start_time = None
+	running = False
+
+	def __init__(self):
+		self.chinfo = []
+		for ch in range(NCHAN):
+			self.chinfo.append([False, [[],[]], 0])  # Active, Data, Start Time
+
+	def start(self):
+		self.running = False					# Assume no channel is selected
+		for ch in range(NCHAN):
+			self.chinfo[ch][1] = [ [], [] ]		# Clear old data
+			if CH[ch].get() == 1:
+				self.chinfo[ch][0] = True
+				self.running = True
+			else:
+				self.chinfo[ch][0] = False
+		try:
+			self.MAXTIME = int(DURATION.get())
+			g.setWorld(0, self.MINY, self.MAXTIME, self.MAXY,_('Time'),_('Volt'))
+			self.TIMER = int(TGAP.get())
+			for k in range(4): CB[k].config(state = DISABLED)
+			Total.config(state=DISABLED)
+			Dur.config(state=DISABLED)
+			self.msg(_('Starting the Measurement'))
+			root.after(self.TIMER, self.update)
+		except:
+			self.msg(_('Failed to Start Measurement'))
+			pass
+
+	def stop(self):
+		for k in range(4): CB[k].config(state = NORMAL)
+		Total.config(state=NORMAL)
+		Dur.config(state=NORMAL)
+		self.running = False
+
+	def update(self):
+		if self.running == False:
+			return
+		g.delete_lines()
+		for ch in range(NCHAN):
+			if self.chinfo[ch][0] == True:
+				t,v = p.get_voltage_time(self.chan[ch])
+				if len(self.chinfo[ch][1][0]) == 0:
+					self.chinfo[ch][2] = t
+					elapsed = 0
+				else:
+					elapsed = t - self.chinfo[ch][2]
+				self.chinfo[ch][1][0].append(elapsed)
+				self.chinfo[ch][1][1].append(v)
+				if len(self.chinfo[ch][1][0]) >= 2:
+					g.line(self.chinfo[ch][1][0], self.chinfo[ch][1][1],ch, smooth=True)
+		try:
+			self.MAXTIME = int(DURATION.get())
+			self.TIMER = int(TGAP.get())
+		except:
+			pass
+		if elapsed > self.MAXTIME:
+			for k in range(4): CB[k].config(state = NORMAL)
+			Total.config(state=NORMAL)
+			Dur.config(state=NORMAL)
+			self.running = False
+			return 
+		root.after(self.TIMER, self.update)
+
+	def save(self):
+		try:
+			fn = filename.get()
+		except:
+			fn = 'logger.dat'
+		f = open(fn, 'w')
+		for ch in range(NCHAN):
+			if self.chinfo[ch][0] == True:
+				size = len(self.chinfo[ch][1][0])
+				for k in range(size):
+					s = '%5.3f  %5.3f\n'%(self.chinfo[ch][1][0][k], self.chinfo[ch][1][1][k])
+					f.write(s)
+				f.write('\n')
+		msg.config(text = _('Data Saved'))
+
+	def clear(self):
+		if self.running == True:
+			return
+		for ch in range(NCHAN):
+			self.chinfo[ch][1] = [ [], [] ]
+		g.delete_lines()
+	
+	def msg(self,s):
+		msgwin.config(text=s)
+
+
+p = eyes.open()
+p.loadall_calib()
+p.disable_actions()
+root = Tk()
+Canvas(root, width = WIDTH, height = 5).pack(side=TOP)  # Some space at the top
+g = eyeplot.graph(root, width=WIDTH, height=HEIGHT)	# make plot objects using draw.disp
+log = Logger()
+
+cf = Frame(root, width = WIDTH, height = 10)
+cf.pack(side=TOP,  fill = BOTH, expand = 1)
+
+CB = [0]*4
+CH = [ IntVar(), IntVar(), IntVar(), IntVar()]
+for k in range(NCHAN):
+	CB[k] = Checkbutton(cf,text ='A%1d'%k, variable=CH[k], fg = 'black')
+	CB[k].pack(side=LEFT, anchor = SW)
+	CH[k].set(0)
+	if k == 3:
+		CB[k].config(text=_('SEN'))
+CH[0].set(1)
+
+b3 = Label(cf, text = _('Read Every'))
+b3.pack(side = LEFT, anchor = SW)
+TGAP = StringVar()
+Dur =Entry(cf, width=5, bg = 'white', textvariable = TGAP)
+TGAP.set('500')
+Dur.pack(side = LEFT, anchor = SW)
+b3 = Label(cf, text = _('mS,'))
+b3.pack(side = LEFT, anchor = SW)
+b3 = Label(cf, text = _('for total'))
+b3.pack(side = LEFT, anchor = SW)
+DURATION = StringVar()
+Total =Entry(cf, width=5, bg = 'white', textvariable = DURATION)
+DURATION.set('100')
+Total.pack(side = LEFT, anchor = SW)
+b3 = Label(cf, text = _('Seconds'))
+b3.pack(side = LEFT, anchor = SW)
+b1 = Button(cf, text = _('START'), command = log.start)
+b1.pack(side = LEFT, anchor = N)
+b1 = Button(cf, text = _('STOP'), command = log.stop)
+b1.pack(side = LEFT, anchor = N)
+b4 = Button(cf, text = _('CLEAR'), command = log.clear)
+b4.pack(side = LEFT, anchor = N)
+
+cf = Frame(root, width = WIDTH, height = 10)
+cf.pack(side=TOP,  fill = BOTH, expand = 1)
+b3 = Button(cf, text = _('SAVE to'), command = log.save)
+b3.pack(side = LEFT, anchor = N)
+filename = StringVar()
+e1 =Entry(cf, width=15, bg = 'white', textvariable = filename)
+filename.set('logger.dat')
+e1.pack(side = LEFT)
+
+b5 = Button(cf, text = _('QUIT'), command = sys.exit)
+b5.pack(side = RIGHT, anchor = N)
+
+mf = Frame(root, width = WIDTH, height = 10)
+mf.pack(side=TOP,  fill = BOTH, expand = 1)
+msgwin = Label(mf,text=_('Message'), fg = 'blue')
+msgwin.pack(side=LEFT)
+
+root.title(_('EYES: Four Channel Data Logger'))
+root.mainloop()
+
diff --git a/eyes/looptest.py b/eyes/looptest.py
new file mode 100644
index 0000000..6fb976b
--- /dev/null
+++ b/eyes/looptest.py
@@ -0,0 +1,17 @@
+import gettext
+gettext.bindtextdomain("expeyes")
+gettext.textdomain('expeyes')
+_ = gettext.gettext
+
+import expeyes.eyes as eyes
+p = eyes.open()
+
+NP =1800
+
+x = 1
+while 1:
+	t,v = p.capture(0,NP,20)
+	if len(t) != NP:
+		print _('Error..'),
+	print x, len(t)
+	x += 1
diff --git a/eyes/pendulum.py b/eyes/pendulum.py
new file mode 100644
index 0000000..c39b339
--- /dev/null
+++ b/eyes/pendulum.py
@@ -0,0 +1,146 @@
+'''
+expEYES program
+Author  : Ajith Kumar B.P, bpajith at gmail.com
+License : GNU GPL version 3
+'''
+import gettext
+gettext.bindtextdomain("expeyes")
+gettext.textdomain('expeyes')
+_ = gettext.gettext
+
+from Tkinter import *
+import expeyes.eyes as eyes, expeyes.eyeplot as eyeplot, expeyes.eyemath as eyemath, time, sys, math
+
+WIDTH  = 600   # width of drawing canvas
+HEIGHT = 400   # height    
+
+class Pend:
+	tv = [ [], [] ]			# Lists for Readings
+	TIMER = 5			# Time interval between reads
+	MINY = -5			# Voltage range
+	MAXY = 5
+	running = False
+	MAXTIME = 10
+
+	def fit_curve(self):
+		fa = eyemath.fit_dsine(self.tv[0], self.tv[1])
+		if fa != None:
+			pa = fa[1]
+			g.line(self.tv[0], fa[0],1)
+			self.msg(_('Angular velocity = %5.2f rad/sec. Damping Factor = %5.3f')%(pa[1], pa[4]))
+		else:
+			self.msg(_('Failed to fit data'))
+
+	def xmgrace(self):
+		if self.running == True:
+			return
+		p.grace([self.tv])
+
+	def start(self):
+		self.running = True
+		self.index = 0
+		self.tv = [ [], [] ]
+		try:
+			self.MAXTIME = int(DURATION.get())
+			g.setWorld(0, self.MINY, self.MAXTIME, self.MAXY,_('Time'),_('Volt'))
+			Dur.config(state=DISABLED)
+			self.msg(_('Starting the Measurements'))
+			root.after(self.TIMER, self.update)
+		except:
+			self.msg(_('Failed to Start'))
+
+	def stop(self):
+		self.running = False
+		Dur.config(state=NORMAL)
+		self.msg(_('User Stopped the measurements'))
+
+	def update(self):
+		if self.running == False:
+			return
+		t,v = p.get_voltage_time(0)  # Read A2
+		if len(self.tv[0]) == 0:
+			self.start_time = t
+			elapsed = 0
+		else:
+			elapsed = t - self.start_time
+		self.tv[0].append(elapsed)
+		self.tv[1].append(v)
+		if len(self.tv[0]) >= 2:
+			g.delete_lines()
+			g.line(self.tv[0], self.tv[1])
+		if elapsed > self.MAXTIME:
+			self.running = False
+			Dur.config(state=NORMAL)
+			self.msg(_('Completed the Measurements'))
+			return 
+		root.after(self.TIMER, self.update)
+
+	def save(self):
+		try:
+			fn = filename.get()
+		except:
+			fn = 'pendulum.dat'
+		p.save([self.tv],fn)
+		self.msg(_('Data saved to %s')%fn)
+
+	def clear(self):
+		if self.running == True:
+			return
+		self.tv = [ [], [] ]
+		g.delete_lines()
+		self.msg(_('Cleared Data and Trace'))
+
+	def msg(self,s, col = 'blue'):
+		msgwin.config(text=s, fg=col)
+
+p = eyes.open()
+p.disable_actions()
+root = Tk()
+Canvas(root, width = WIDTH, height = 5).pack(side=TOP)  # Some space at the top
+g = eyeplot.graph(root, width=WIDTH, height=HEIGHT, bip=False)	# make plot objects using draw.disp
+pen = Pend()
+
+cf = Frame(root, width = WIDTH, height = 10)
+cf.pack(side=TOP,  fill = BOTH, expand = 1)
+
+
+b3 = Label(cf, text = _('Digitize for'))
+b3.pack(side = LEFT, anchor = SW)
+DURATION = StringVar()
+Dur =Entry(cf, width=5, bg = 'white', textvariable = DURATION)
+DURATION.set('10')
+Dur.pack(side = LEFT, anchor = SW)
+b3 = Label(cf, text = _('Seconds.'))
+b3.pack(side = LEFT, anchor = SW)
+
+cf = Frame(root, width = WIDTH, height = 10)
+cf.pack(side=TOP,  fill = BOTH, expand = 1)
+b1 = Button(cf, text = _('START'), command = pen.start)
+b1.pack(side = LEFT, anchor = N)
+b1 = Button(cf, text = _('STOP'), command = pen.stop)
+b1.pack(side = LEFT, anchor = N)
+b1 = Button(cf, text = _('FIT'), command = pen.fit_curve)
+b1.pack(side = LEFT, anchor = N)
+b4 = Button(cf, text = _('CLEAR'), command = pen.clear)
+b4.pack(side = LEFT, anchor = N)
+b1 = Button(cf, text = _('Xmgrace'), command = pen.xmgrace)
+b1.pack(side = LEFT, anchor = N)
+b3 = Button(cf, text = _('SAVE to'), command = pen.save)
+b3.pack(side = LEFT, anchor = N)
+filename = StringVar()
+e1 =Entry(cf, width=15, bg = 'white', textvariable = filename)
+filename.set('pendulum.dat')
+e1.pack(side = LEFT)
+b5 = Button(cf, text = _('QUIT'), command = sys.exit)
+b5.pack(side = RIGHT, anchor = N)
+
+mf = Frame(root, width = WIDTH, height = 10)
+mf.pack(side=TOP)
+msgwin = Label(mf,text=_('Message'), fg = 'blue')
+msgwin.pack(side=LEFT, anchor = S, fill=BOTH, expand=1)
+
+
+eyeplot.pop_image('pics/pend-wave.png', _('Pendulum Oscillations'))
+root.title(_('Oscillations of Pendulum'))
+root.mainloop()
+
diff --git a/eyes/phototransistor.py b/eyes/phototransistor.py
new file mode 100644
index 0000000..bf1b6b0
--- /dev/null
+++ b/eyes/phototransistor.py
@@ -0,0 +1,130 @@
+'''
+expEYES program
+Author  : Ajith Kumar B.P, bpajith at gmail.com
+License : GNU GPL version 3
+'''
+import gettext
+gettext.bindtextdomain("expeyes")
+gettext.textdomain('expeyes')
+_ = gettext.gettext
+
+from Tkinter import *
+import expeyes.eyes as eyes, expeyes.eyeplot as eyeplot, expeyes.eyemath as eyemath, time, sys, math
+
+TIMER = 10
+WIDTH  = 600   # width of drawing canvas
+HEIGHT = 400   # height    
+
+VSET    = 0		# this will change in the loop
+VSETMIN = 0		# may change this to -5 for zeners
+VSETMAX = 4.5
+STEP    = 0.050		# 50 mV
+MINX    = 0			# may change this to -5 for zeners
+MAXX    = 5         # We have only 5V supply
+MINY    = 0			# may change this to -5 for zeners
+MAXY    = 5			# Maximum possible current
+history = []		# Data store
+trial = 0			# trial number
+data = [ [], [] ]	# Current & Voltage
+index = 0
+running = False
+
+def start():
+	global VSET, running, index, data, ibase
+	if running == True:
+		msg.config(text=_('Busy Drawing'))
+		return
+	data = [ [], [] ]
+	VSET = VSETMIN
+	index = 0
+	p.set_sqr2(0)
+	running = True
+	root.after(TIMER,update)
+
+
+def update():					# Called periodically by the Tk toolkit
+	global VSETMAX, VSET, STEP, index, trial, running, data, history
+	if running == False:
+		return
+	p.set_voltage(0, VSET)	
+	time.sleep(0.001)	
+	va = p.get_voltage(0)		# voltage across the diode
+	i = (VSET-va)/1.0 	 		# in mA, R= 1k
+	data[0].append(va)
+	data[1].append(i)
+	VSET += STEP
+	if VSET > VSETMAX or i >= 0.8 * MAXX:  # Graph upto 4V only, leave space for text
+		running = False
+		p.set_sqr2(-1)
+		history.append(data)
+		trial += 1
+		g.delete_lines()
+		for k in range(len(history)):
+			g.line(history[k][0], history[k][1], k)
+		return
+	if index > 1:			# Draw the line
+		g.delete_lines()
+		g.line(data[0], data[1], trial)
+	index += 1
+	root.after(TIMER, update)
+
+def xmgrace():		# Send the data to Xmgrace
+	global history
+	p.grace(history, _('Volts'), _('mA'), _('Diode IV Curve'))
+
+def save():
+	global history, running
+	if running == True:
+		return
+	s = e1.get()
+	if s == '':
+		return
+	p.save(history, s)
+	msg.config(text = _('Data saved to file ')+s)
+
+def clear():
+	global history, trial, running
+	if running == True:
+		return
+	g.delete_lines()
+	g.delete_text()
+	history = []
+	trial = 0
+
+p = eyes.open()
+p.disable_actions()
+root = Tk()
+Canvas(root, width = WIDTH, height = 5).pack(side=TOP)  # Some space at the top
+g = eyeplot.graph(root, width=WIDTH, height=HEIGHT)	# make plot objects using draw.disp
+g.setWorld(MINX, MINY, MAXX, MAXY,_('V'),_('mA'))
+
+cf = Frame(root, width = WIDTH, height = 10)
+cf.pack(side=TOP,  fill = BOTH, expand = 1)
+
+b1 = Button(cf, text = _('START'), command = start)
+b1.pack(side = LEFT, anchor = N)
+b3 = Button(cf, text = _('SAVE to'), command = save)
+b3.pack(side = LEFT, anchor = N)
+filename = StringVar()
+e1 =Entry(cf, width=15, bg = 'white', textvariable = filename)
+filename.set('tran_ce.dat')
+e1.pack(side = LEFT)
+
+b5 = Button(cf, text = _('QUIT'), command = sys.exit)
+b5.pack(side = RIGHT, anchor = N)
+b4 = Button(cf, text = _('CLEAR'), command = clear)
+b4.pack(side = RIGHT, anchor = N)
+b5 = Button(cf, text = _('Grace'), command = xmgrace)
+b5.pack(side = RIGHT, anchor = N)
+#b5 = Button(cf, text = _('LINE'), command = load_line)
+#b5.pack(side = RIGHT, anchor = N)
+
+mf = Frame(root, width = WIDTH, height = 10)
+mf.pack(side=TOP,  fill = BOTH, expand = 1)
+msg = Label(mf,text=_('Message'), fg = 'blue')
+msg.pack(side=LEFT)
+
+eyeplot.pop_image('pics/phtran-ce.png', _('Photo Transistor CE Char.'))
+root.title(_('EYES: Photo-transistor CE characteristics'))
+root.mainloop()
+
diff --git a/eyes/pics/LED_iv.png b/eyes/pics/LED_iv.png
new file mode 100644
index 0000000..ade3892
Binary files /dev/null and b/eyes/pics/LED_iv.png differ
diff --git a/eyes/pics/diode-iv.png b/eyes/pics/diode-iv.png
new file mode 100644
index 0000000..ade3892
Binary files /dev/null and b/eyes/pics/diode-iv.png differ
diff --git a/eyes/pics/em-ind.png b/eyes/pics/em-ind.png
new file mode 100644
index 0000000..b4eeeeb
Binary files /dev/null and b/eyes/pics/em-ind.png differ
diff --git a/eyes/pics/eyes.png b/eyes/pics/eyes.png
new file mode 100644
index 0000000..9cde893
Binary files /dev/null and b/eyes/pics/eyes.png differ
diff --git a/eyes/pics/freq-resp.png b/eyes/pics/freq-resp.png
new file mode 100644
index 0000000..41c0952
Binary files /dev/null and b/eyes/pics/freq-resp.png differ
diff --git a/eyes/pics/g-tof.png b/eyes/pics/g-tof.png
new file mode 100644
index 0000000..9caf4d9
Binary files /dev/null and b/eyes/pics/g-tof.png differ
diff --git a/eyes/pics/lc-tran.png b/eyes/pics/lc-tran.png
new file mode 100644
index 0000000..3ee4379
Binary files /dev/null and b/eyes/pics/lc-tran.png differ
diff --git a/eyes/pics/pend-wave.png b/eyes/pics/pend-wave.png
new file mode 100644
index 0000000..a4298b2
Binary files /dev/null and b/eyes/pics/pend-wave.png differ
diff --git a/eyes/pics/phtran-ce.png b/eyes/pics/phtran-ce.png
new file mode 100644
index 0000000..6dd0197
Binary files /dev/null and b/eyes/pics/phtran-ce.png differ
diff --git a/eyes/pics/pt100.png b/eyes/pics/pt100.png
new file mode 100644
index 0000000..6a41a4f
Binary files /dev/null and b/eyes/pics/pt100.png differ
diff --git a/eyes/pics/rc-tran.png b/eyes/pics/rc-tran.png
new file mode 100644
index 0000000..d22e874
Binary files /dev/null and b/eyes/pics/rc-tran.png differ
diff --git a/eyes/pics/res-measure.png b/eyes/pics/res-measure.png
new file mode 100644
index 0000000..2fc3bbd
Binary files /dev/null and b/eyes/pics/res-measure.png differ
diff --git a/eyes/pics/rl-tran.png b/eyes/pics/rl-tran.png
new file mode 100644
index 0000000..7982121
Binary files /dev/null and b/eyes/pics/rl-tran.png differ
diff --git a/eyes/pics/rodpend.png b/eyes/pics/rodpend.png
new file mode 100644
index 0000000..fec0bf1
Binary files /dev/null and b/eyes/pics/rodpend.png differ
diff --git a/eyes/pics/sound-beats.png b/eyes/pics/sound-beats.png
new file mode 100644
index 0000000..820810c
Binary files /dev/null and b/eyes/pics/sound-beats.png differ
diff --git a/eyes/pics/sound-vel.png b/eyes/pics/sound-vel.png
new file mode 100644
index 0000000..5752137
Binary files /dev/null and b/eyes/pics/sound-vel.png differ
diff --git a/eyes/pics/temp-control.png b/eyes/pics/temp-control.png
new file mode 100644
index 0000000..f6aab79
Binary files /dev/null and b/eyes/pics/temp-control.png differ
diff --git a/eyes/pics/tran-ce.png b/eyes/pics/tran-ce.png
new file mode 100644
index 0000000..6b21731
Binary files /dev/null and b/eyes/pics/tran-ce.png differ
diff --git a/eyes/pics/ultra-sound.png b/eyes/pics/ultra-sound.png
new file mode 100644
index 0000000..6d2e0b2
Binary files /dev/null and b/eyes/pics/ultra-sound.png differ
diff --git a/eyes/pt100.py b/eyes/pt100.py
new file mode 100644
index 0000000..2af4d3e
--- /dev/null
+++ b/eyes/pt100.py
@@ -0,0 +1,241 @@
+'''
+expEYES program
+Author  : Ajith Kumar B.P, bpajith at gmail.com
+License : GNU GPL version 3
+'''
+import gettext
+gettext.bindtextdomain("expeyes")
+gettext.textdomain('expeyes')
+_ = gettext.gettext
+
+from Tkinter import *
+import expeyes.eyes as eyes, expeyes.eyeplot as eyeplot, expeyes.eyemath as eyemath, time, sys, math
+
+WIDTH  = 600   # width of drawing canvas
+HEIGHT = 400   # height    
+
+class PT100:
+	tv = [ [], [] ]			# Lists for Readings
+	TIMER = 500				# Time interval between reads
+	MINY = 0				# Temperature range
+	MAXY = 100
+	running = False
+	ccs_current = 1.0		# .5 mA
+	Rg = 300.0				# 300 Ohm resistor
+	calibrated = False
+	bpdone = False
+	fpdone = False
+
+	def get_freezing(self):
+		v = p.get_voltage(2)
+		temp = self.v2t(v)
+		print temp
+		if -10 < temp < 10:
+			self.BPvoltage = v
+			self.fpdone = True
+			self.msg(_('Voltage at Freezing Point is %5.3f V')%v)
+		else:
+			self.msg(_('Something wrong. Check the connection & Rg'))
+
+	def get_boiling(self):
+		v = p.get_voltage(2)
+		temp = self.v2t(v)
+		print temp
+		if 90 < temp < 110:
+			self.BPvoltage = v
+			self.bpdone = True
+			self.msg(_('Voltage at Boiling Point is %5.3f V')%v)
+		else:
+			self.msg(_('Something wrong. Check the connection & Rg'))
+
+	def calibrate(self):
+		if self.bpdone == True and self.fpdone == True:
+			self.m = (100.0 - 0.0) / (self.BPvoltage - self.FPvoltage)
+			self.c = self.FPvoltage
+			self.calibrated = True
+			self.msg(_('Calibration Done m = %5.3f, c = 5.3f')%(self.m, self.c))
+		else:
+			self.msg(_('Boiling & Freezing points to be measured first'))
+
+	def v2t(self, v):			# Convert Voltage to Temperature for PT100
+		gain = 1.0 + 10000./self.Rg
+		r = v / gain / (self.ccs_current * 1.0e-3)  # mA to Ampere
+		r0 = 100.0
+		A = 3.9083e-3
+		B = -5.7750e-7
+		c = 1 - r/r0
+		b4ac = math.sqrt( A*A - 4 * B * c)
+		t = (-A + b4ac) / (2.0 * B)
+		#print r,t
+		return t
+
+	def xmgrace(self):
+		if self.running == True:
+			return
+		p.grace([self.tv])
+
+	def start(self):
+		self.running = True
+		self.index = 0
+		self.tv = [ [], [] ]
+		try:
+			p.set_current(self.ccs_current)
+			self.MAXTIME = int(DURATION.get())
+			self.MINY = int(TMIN.get())
+			self.MAXY = int(TMAX.get())
+			self.Rg = float(RG.get())
+			g.setWorld(0, self.MINY, self.MAXTIME, self.MAXY,_('Time'),_('Volt'))
+			self.TIMER = int(TGAP.get())
+			Total.config(state=DISABLED)
+			Dur.config(state=DISABLED)
+			self.msg(_('Starting the Measurements'))
+			root.after(self.TIMER, self.update)
+		except:
+			self.msg(_('Failed to Start'))
+
+	def stop(self):
+		self.running = False
+		Total.config(state=NORMAL)
+		Dur.config(state=NORMAL)
+		self.msg(_('User Stopped the measurements'))
+
+	def update(self):
+		if self.running == False:
+			return
+		t,v = p.get_voltage_time(2)  # Read A2
+		if len(self.tv[0]) == 0:
+			self.start_time = t
+			elapsed = 0
+		else:
+			elapsed = t - self.start_time
+		self.tv[0].append(elapsed)
+		if self.calibrated:
+			temp = self.m * v + self.c		# Use the calibration 
+		else:
+			temp = self.v2t(v)
+		print v,temp
+		self.tv[1].append(temp)
+		if len(self.tv[0]) >= 2:
+			g.delete_lines()
+			g.line(self.tv[0], self.tv[1])
+		if elapsed > self.MAXTIME:
+			self.running = False
+			Total.config(state=NORMAL)
+			Dur.config(state=NORMAL)
+			self.msg(_('Completed the Measurements'))
+			return 
+		root.after(self.TIMER, self.update)
+
+	def save(self):
+		try:
+			fn = filename.get()
+		except:
+			fn = 'pt100.dat'
+		p.save([self.tv],fn)
+		self.msg(_('Data saved to %s')%fn)
+
+	def clear(self):
+		if self.running == True:
+			return
+		self.nt = [ [], [] ]
+		g.delete_lines()
+		self.msg(_('Cleared Data and Trace'))
+
+	def msg(self,s, col = 'blue'):
+		msgwin.config(text=s, fg=col)
+
+p = eyes.open()
+p.loadall_calib()
+p.disable_actions()
+root = Tk()
+Canvas(root, width = WIDTH, height = 5).pack(side=TOP)  # Some space at the top
+g = eyeplot.graph(root, width=WIDTH, height=HEIGHT, bip=False)	# make plot objects using draw.disp
+pt = PT100()
+
+cf = Frame(root, width = WIDTH, height = 10)
+cf.pack(side=TOP,  fill = BOTH, expand = 1)
+
+b3 = Label(cf, text = _('Read Every'))
+b3.pack(side = LEFT, anchor = SW)
+TGAP = StringVar()
+Dur =Entry(cf, width=5, bg = 'white', textvariable = TGAP)
+TGAP.set('500')
+Dur.pack(side = LEFT, anchor = SW)
+b3 = Label(cf, text = _('mS,'))
+b3.pack(side = LEFT, anchor = SW)
+b3 = Label(cf, text = _('for total'))
+b3.pack(side = LEFT, anchor = SW)
+DURATION = StringVar()
+Total =Entry(cf, width=5, bg = 'white', textvariable = DURATION)
+DURATION.set('100')
+Total.pack(side = LEFT, anchor = SW)
+b3 = Label(cf, text = _('Seconds.'))
+b3.pack(side = LEFT, anchor = SW)
+
+b3 = Label(cf, text = _('Temp From'))
+b3.pack(side = LEFT, anchor = SW)
+TMIN = StringVar()
+TMIN.set('0')
+Tmin =Entry(cf, width=5, bg = 'white', textvariable = TMIN)
+Tmin.pack(side = LEFT, anchor = SW)
+b3 = Label(cf, text = _('to,'))
+b3.pack(side = LEFT, anchor = SW)
+TMAX = StringVar()
+TMAX.set('200')
+Tmax =Entry(cf, width=5, bg = 'white', textvariable = TMAX)
+Tmax.pack(side = LEFT, anchor = SW)
+b3 = Label(cf, text = _('Deg C. '))
+b3.pack(side = LEFT, anchor = SW)
+
+b3 = Label(cf, text = _('Rg='))
+b3.pack(side = LEFT, anchor = SW)
+RG = StringVar()
+RG.set('300')
+Rg =Entry(cf, width=4, bg = 'white', textvariable = RG)
+Rg.pack(side = LEFT, anchor = SW)
+b3 = Label(cf, text = _('Ohm'))
+b3.pack(side = LEFT, anchor = SW)
+
+
+#help = Balloon(root, bg ='green')
+#help.config( statusbar = msgwin)
+
+
+cf = Frame(root, width = WIDTH, height = 10)
+cf.pack(side=TOP,  fill = BOTH, expand = 1)
+b1 = Button(cf, text = _('START'), command = pt.start)
+b1.pack(side = LEFT, anchor = N)
+b1 = Button(cf, text = _('STOP'), command = pt.stop)
+b1.pack(side = LEFT, anchor = N)
+b4 = Button(cf, text = _('CLEAR'), command = pt.clear)
+b4.pack(side = LEFT, anchor = N)
+b1 = Button(cf, text = _('Xmgrace'), command = pt.xmgrace)
+b1.pack(side = LEFT, anchor = N)
+b3 = Button(cf, text = _('SAVE to'), command = pt.save)
+b3.pack(side = LEFT, anchor = N)
+filename = StringVar()
+e1 =Entry(cf, width=15, bg = 'white', textvariable = filename)
+filename.set('pt100.dat')
+e1.pack(side = LEFT)
+b5 = Button(cf, text = _('QUIT'), command = sys.exit)
+b5.pack(side = RIGHT, anchor = N)
+
+cf = Frame(root, width = WIDTH, height = 10)
+cf.pack(side=TOP,  fill = BOTH, expand = 1)
+b1 = Button(cf, text = _('Freezing Point'), command = pt.get_freezing)
+b1.pack(side = LEFT, anchor = N)
+b1 = Button(cf, text = _('Boiling Point'), command = pt.get_boiling)
+b1.pack(side = LEFT, anchor = N)
+b1 = Button(cf, text = _('Calibrate'), command = pt.calibrate)
+b1.pack(side = LEFT, anchor = N)
+
+mf = Frame(root, width = WIDTH, height = 10)
+mf.pack(side=TOP)
+msgwin = Label(mf,text=_('Message'), fg = 'blue')
+msgwin.pack(side=LEFT, anchor = S, fill=BOTH, expand=1)
+
+
+eyeplot.pop_image('pics/pt100.png', _('Temperatue bt PT100'))
+root.title(_('Temperature measuements using PT100'))
+root.mainloop()
+
diff --git a/eyes/resistor_iv.py b/eyes/resistor_iv.py
new file mode 100644
index 0000000..bb98b80
--- /dev/null
+++ b/eyes/resistor_iv.py
@@ -0,0 +1,151 @@
+'''
+expEYES program
+Author  : Ajith Kumar B.P, bpajith at gmail.com
+License : GNU GPL version 3
+'''
+import gettext
+gettext.bindtextdomain("expeyes")
+gettext.textdomain('expeyes')
+_ = gettext.gettext
+
+from Tkinter import *
+import expeyes.eyes as eyes, expeyes.eyeplot as eyeplot, expeyes.eyemath as eyemath, time, sys, numpy
+
+
+TIMER = 100
+WIDTH  = 600   # width of drawing canvas
+HEIGHT = 400   # height    
+
+NP = 30
+imin = 0.05
+imax = 3.0
+vmax = 2.0		    # Nature of the current source
+history = []		# Data store
+trial = 0			# trial number
+data = [ [], [] ]	# Current & Voltage
+index = 0
+running = False
+
+def start():
+	global running, NP, imin, imax, data, index
+	v = ph.set_current(0.1)	# 0.1 mA
+	if v > 2.0:                # for v = 2V, R = 2.0/0.0001 = 20 kOhm 
+		msg.config(text=_('CS (28) is open or the resistor connected is > 20 kOhm'))
+		return	
+	running = True
+	data = [ [], [] ]
+	index = 0
+	root.after(10,update)
+
+def update():					# Called periodically by the Tk toolkit
+	global running, NP, imin, imax, history, data, index, trial
+	if running == False:
+		return
+	di = (imax-imin)/(NP-1)
+	i = imin + di*index
+	v = ph.set_current(i)
+	v = ph.set_current(i)
+	if v != None:
+		data[0].append(v)
+		data[1].append(i)
+	if i > imax or v > vmax or v == None:
+		running = False
+		history.append(data)
+		trial += 1
+		g.delete_lines()
+		for k in range(len(history)):
+			g.line(history[k][0], history[k][1], k)
+		x = numpy.array(data[0])
+		y = numpy.array(data[1])
+		xbar = numpy.mean(x)
+		ybar = numpy.mean(y)
+		b = numpy.sum(y*(x-xbar)) / numpy.sum(x*(x-xbar))
+		a = ybar - xbar * b
+		msg.config(text = _('Linear Fitting of VI curve gave R = %5.0f Ohm')%(1000.0/b))
+		s = _('R = %5.0f Ohm')%(1000.0/b)
+		R.config(text = s)
+		return
+
+	if index > 1:			# Draw the line
+		g.delete_lines()
+		g.line(data[0], data[1], trial)
+	index += 1
+	root.after(TIMER, update)
+
+def xmgrace():		# Send the data to Xmgrace
+	global history
+	try:
+		import pygrace
+	except:
+		return
+	pg = pygrace.grace()
+	for dat in history:
+		pg.plot(dat[0],dat[1])
+		pg.hold(1)			# Do not erase the old data
+	pg.xlabel(_('Volts'))
+	pg.ylabel(_('mA'))
+	pg.title(_('Resistor VI curve'))
+
+def save():
+	global history, running
+	if running == True:
+		return
+	s = e1.get()
+	if s == '':
+		return
+	f = open(s, 'w')
+	for dat in history:
+		for k in range(len(dat[0])):
+			f.write('%5.3f  %5.3f\n'%(dat[0][k], dat[1][k]))
+		f.write('\n')
+	f.close()
+	msg.config(text = _('Data saved to file ')+s)
+
+def clear():
+	global history, trial, running
+	if running == True:
+		return
+	g.delete_lines()
+	history = []
+	trial = 0
+
+def quit():
+	sys.exit()
+
+
+ph = eyes.open()
+ph.loadall_calib()
+root = Tk()
+Canvas(root, width = WIDTH, height = 5).pack(side=TOP)  # Some space at the top
+g = eyeplot.graph(root, width=WIDTH, height=HEIGHT)	# make plot objects using draw.disp
+g.setWorld(0, 0, vmax, imax,_('V'),_('mA'))
+
+cf = Frame(root, width = WIDTH, height = 10)
+cf.pack(side=TOP,  fill = BOTH, expand = 1)
+
+b1 = Button(cf, text = _('START'), command = start)
+b1.pack(side = LEFT, anchor = N)
+b3 = Button(cf, text = _('SAVE to'), command = save)
+b3.pack(side = LEFT, anchor = N)
+filename = StringVar()
+e1 =Entry(cf, width=15, bg = 'white', textvariable = filename)
+filename.set('resistor_iv.dat')
+e1.pack(side = LEFT)
+R = Label(cf,text=_('R = '))
+R.pack(side=LEFT)
+b5 = Button(cf, text = _('QUIT'), command = quit)
+b5.pack(side = RIGHT, anchor = N)
+b4 = Button(cf, text = _('CLEAR'), command = clear)
+b4.pack(side = RIGHT, anchor = N)
+b5 = Button(cf, text = _('Grace'), command = xmgrace)
+b5.pack(side = RIGHT, anchor = N)
+
+mf = Frame(root, width = WIDTH, height = 10)
+mf.pack(side=TOP,  fill = BOTH, expand = 1)
+msg = Label(mf,text=_('Message'), fg = 'blue')
+msg.pack(side=LEFT)
+
+eyeplot.pop_image('pics/res-measure.png', _('Resistor IV char. Connections'))
+root.title(_('EYES: Resistor IV characteristics'))
+root.mainloop()
+
diff --git a/eyes/rodpend.py b/eyes/rodpend.py
new file mode 100644
index 0000000..5b1e7ec
--- /dev/null
+++ b/eyes/rodpend.py
@@ -0,0 +1,194 @@
+'''
+expEYES program
+Author  : Ajith Kumar B.P, bpajith at gmail.com
+License : GNU GPL version 3
+'''
+import gettext
+gettext.bindtextdomain("expeyes")
+gettext.textdomain('expeyes')
+_ = gettext.gettext
+
+from Tkinter import *
+import expeyes.eyes as eyes, expeyes.eyeplot as eyeplot, expeyes.eyemath as eyemath, time, sys, math
+
+WIDTH  = 600   # width of drawing canvas
+HEIGHT = 400   # height    
+
+class Pend:
+	nt = [ [], [] ]		# Lists for Trial number  & T
+	ng = [ [], [] ]		# Lists for Trial number  & g
+	TIMER = 5
+	MINY = 0			# could be 0
+	MAXY = 1500
+	running = False
+	index = 0
+	nmax = 10
+	length = 10.0
+
+	def xmgrace(self):
+		if self.running == True:
+			return
+		p.grace([self.nt, self.ng])
+
+	def hist(self):					# Need to be written
+		if self.running == True:
+			return
+		try:
+			nbin = int(NBIN.get())
+			if nbin > self.nmax / 2:
+				return
+		except:
+			return
+		self.h = [0]*nbin
+		data = []
+		for t in self.nt[1]:
+			data.append(4.0 * math.pi**2 * 2.0 * self.length / (3.0 *  t * t))
+		if len(data) < 3:
+			return
+		tmin = p.minimum(data)
+		tmax = p.maximum(data)	
+		tmean = (tmin+tmax)/2
+		span = tmax - tmin
+		step = span / nbin
+		print tmin, tmax, span, step
+		for k in range(self.nmax):
+			for j in range(nbin):
+				#print tmin+j*step, self.nt[1][k], tmin+(j+1)*step
+				if tmin+j*step < data[k] <= tmin+(j+1)*step:
+					self.h[j] += 1
+		print self.h
+
+
+	def start(self):
+		self.running = True
+		self.index = 0
+		self.nt = [ [], [] ]
+		self.ng = [ [], [] ]
+		p.set_sqr2(0)			# Switch on the LED
+		p.adc2cmp(5)			# Route Sensor to CMP input
+		self.nmax = int(NMAX.get())
+		g.setWorld(0, 0, self.nmax, self.MAXY,_('Trials'),_('T & g'))
+		self.msg(_('Starting the Measurements'))
+		self.length = float(LEN.get())
+		root.after(self.TIMER, self.update)
+
+	def stop(self):
+		p.set_sqr2(-1)
+		self.running = False
+		self.msg(_('User Stopped the measurements'))
+
+	def update(self):
+		if self.running == False:
+			return
+		t = p.multi_r2rtime(4,1)
+		if t > 0:
+			self.nt[0].append(self.index)
+			self.nt[1].append(t*1.0e-3)
+			self.ng[0].append(self.index)
+			accn = 4.0 * math.pi**2 * 2.0 * self.length / (3.0 *  (t*1.0e-6)**2)
+			print accn
+			self.ng[1].append(accn)
+			self.index += 1
+			if self.index >= 2:
+				g.delete_lines()
+				g.line(self.nt[0], self.nt[1])
+				g.line(self.nt[0], self.ng[1],1)
+			if self.index > self.nmax:
+				self.running = False
+				p.set_sqr2(-1)
+				self.msg(_('Completed the Measurements'))
+				return 
+		else:
+			self.running = False
+			p.set_sqr2(-1)
+			self.msg(_('Timeout Error. Check Connections'),'red')
+			return 
+		root.after(self.TIMER, self.update)
+
+	def save(self):
+		try:
+			fn = filename.get()
+		except:
+			fn = 'rodpend.dat'
+		p.save([self.nt,self.ng],fn)
+		self.msg(_('Data saved to %s')%fn)
+
+	def clear(self):
+		if self.running == True:
+			return
+		self.nt = [ [], [] ]
+		g.delete_lines()
+		self.msg(_('Cleared Data and Trace'))
+
+	def msg(self,s, col = 'blue'):
+		msgwin.config(text=s, fg=col)
+
+p = eyes.open()
+p.disable_actions()
+root = Tk()
+Canvas(root, width = WIDTH, height = 5).pack(side=TOP)  # Some space at the top
+g = eyeplot.graph(root, width=WIDTH, height=HEIGHT)	# make plot objects using draw.disp
+pen = Pend()
+
+cf = Frame(root, width = WIDTH, height = 10)
+cf.pack(side=TOP,  fill = BOTH, expand = 1)
+
+l1 = Label(cf, text = _('Length='))
+l1.pack(side = LEFT, anchor = SW)
+LEN = StringVar()
+e1 =Entry(cf, width=5, bg = 'white', textvariable = LEN)
+LEN.set('10')
+e1.pack(side = LEFT, anchor = SW)
+l2 = Label(cf, text = _('cm. '))
+l2.pack(side = LEFT, anchor = SW)
+
+b3 = Label(cf, text = _('Measure'))
+b3.pack(side = LEFT, anchor = SW)
+NMAX = StringVar()
+e1 =Entry(cf, width=5, bg = 'white', textvariable = NMAX)
+NMAX.set('10')
+e1.pack(side = LEFT, anchor = SW)
+b3 = Label(cf, text = _('times.'))
+b3.pack(side = LEFT, anchor = SW)
+
+b1 = Button(cf, text = _('START'), command = pen.start)
+b1.pack(side = LEFT, anchor = N)
+b1 = Button(cf, text = _('STOP'), command = pen.stop)
+b1.pack(side = LEFT, anchor = N)
+b4 = Button(cf, text = _('CLEAR'), command = pen.clear)
+b4.pack(side = LEFT, anchor = N)
+b3 = Button(cf, text = _('SAVE to'), command = pen.save)
+b3.pack(side = LEFT, anchor = N)
+filename = StringVar()
+e1 =Entry(cf, width=15, bg = 'white', textvariable = filename)
+filename.set('rodpend.dat')
+e1.pack(side = LEFT)
+
+cf = Frame(root, width = WIDTH, height = 10)
+cf.pack(side=TOP,  fill = BOTH, expand = 1)
+b1 = Button(cf, text = _('Xmgrace'), command = pen.xmgrace)
+b1.pack(side = LEFT, anchor = N)
+
+'''
+b1 = Button(cf, text = _('Histogram'), command = pen.hist)
+b1.pack(side = LEFT, anchor = N)
+NBIN = StringVar()
+e1 =Entry(cf, width=3, bg = 'white', textvariable = NBIN)
+NBIN.set('2')
+e1.pack(side = LEFT)
+b3 = Label(cf, text = _('bins.'))
+b3.pack(side = LEFT, anchor = SW)
+'''
+
+b5 = Button(cf, text = _('QUIT'), command = sys.exit)
+b5.pack(side = RIGHT, anchor = N)
+
+mf = Frame(root, width = WIDTH, height = 10)
+mf.pack(side=TOP)
+msgwin = Label(mf,text=_('Message'), fg = 'blue')
+msgwin.pack(side=LEFT, anchor = S, fill=BOTH, expand=1)
+
+eyeplot.pop_image('pics/rodpend.png', _('Period of Rod Pendulum'))
+root.title(_('EYES: Value of Accn. due to gravity using Pendulum'))
+root.mainloop()
+
diff --git a/eyes/sound.py b/eyes/sound.py
new file mode 100644
index 0000000..18843cd
--- /dev/null
+++ b/eyes/sound.py
@@ -0,0 +1,144 @@
+'''
+expEYES program
+Author  : Ajith Kumar B.P, bpajith at gmail.com
+License : GNU GPL version 3
+'''
+import gettext
+gettext.bindtextdomain("expeyes")
+gettext.textdomain('expeyes')
+_ = gettext.gettext
+
+from Tkinter import *
+import expeyes.eyes as eyes, expeyes.eyeplot as eyeplot, expeyes.eyemath as eyemath, time, math, sys
+
+TIMER = 100
+WIDTH  = 800   # width of drawing canvas
+HEIGHT = 400   # height 
+delay = 10			# Time interval between samples
+NP = 1000			# Number of samples
+data = [ [], [] ]
+outmask = 1
+looping = False
+
+def capture():
+	global data, outmask, looping, NP, delay
+	if looping == True: 
+		msgwin.config(text = _('Already Running'))
+		return
+ 	p.write_outputs(outmask)
+	time.sleep(0.5)
+	t, v = p.capture(0,NP,delay)
+	p.write_outputs(0)
+	g.delete_lines()
+	g.line(t,v)
+	data = t,v
+	fa = eyemath.fit_sine(t,v)
+	if fa != None:
+		rms = p.rms(v)
+		pa = fa[1]
+		s = _('CH0 : %5.1f V , %5.1f Hz ') %(rms, pa[1]*1000)
+		msgwin.config(text = s)
+
+def update():
+	global data, looping
+	if looping == False:
+		return
+	t, v = p.capture(0,NP,delay)
+	g.delete_lines()
+	g.line(t,v)
+	data = t,v
+	root.after(TIMER, update)	
+
+def start():
+	global outmask, looping
+	outmask = 0
+	if M1.get() == 1:
+		outmask |= 1
+	if M2.get() == 1:
+		outmask |= 2
+ 	p.write_outputs(outmask)
+
+	if LOOP.get() == 1:
+		if looping == False:
+			root.after(TIMER, update)
+			looping = True	
+	else:
+		p.write_outputs(0)
+		looping = False
+
+def do_fft():
+	global data, delay, NP
+	if data == [ [], [] ]: return
+	fr,tr = eyemath.fft(data[1], delay * 0.001)
+	p.save([ [fr,tr] ], 'FFT.dat')
+	p.grace([ [fr,tr] ], _('freq'), _('power'))
+	msgwin.config(text = _('Fourier transform Saved to FFT.dat.'))
+
+def save():
+	global data
+	s = fn.get()
+	if s == '':
+		return
+	p.save([data], s)
+	msgwin.config(text = _('Data saved to file ')+s)
+
+def xmgrace():		# Send the data to Xmgrace
+	global data
+	p.grace([data], _('milliSeconds'), _('Volts'))
+
+def quit():
+	p.write_outputs(0)
+	sys.exit()
+
+p = eyes.open()
+p.loadall_calib()
+root = Tk()
+Canvas(root, width = WIDTH, height = 5).pack(side=TOP)  # Some space at the top
+g = eyeplot.graph(root, width=WIDTH, height=HEIGHT)	# make plot objects using draw.disp
+g.setWorld(0,-5, NP * delay * 0.001, 5,_('mS'),_('V'))
+
+if p == None:
+	g.text(0, 0,_('EYES Hardware Not Found. Check Connections and restart the program'),1)
+	root.mainloop()
+	sys.exit()
+p.set_voltage(1,5)
+
+cf = Frame(root, width = WIDTH, height = 10)
+cf.pack(side=TOP,  fill = BOTH, expand = 1)
+
+M1 = IntVar()
+cb1 = Checkbutton(cf,text =_('Buzzer1'), command = start,variable=M1, fg = 'red')
+cb1.pack(side=LEFT, anchor = SW)
+M1.set(1)
+M2 = IntVar()
+cb1 = Checkbutton(cf,text =_('Buzzer2'), command = start, variable=M2, fg = 'red')
+cb1.pack(side=LEFT, anchor = SW)
+M2.set(0)
+
+b = Button(cf,text =_('Capture'), command=capture)
+b.pack(side=LEFT, anchor = SW)
+LOOP = IntVar()
+cb1 = Checkbutton(cf,text =_('FreeRUN'), command = start, variable=LOOP, fg = 'red')
+cb1.pack(side=LEFT, anchor = SW)
+LOOP.set(0)
+
+b = Button(cf,text =_('Save to'), command=save)
+b.pack(side=LEFT, anchor = SW)
+fn = Entry(cf,width = 10, bg = 'white')
+fn.pack(side=LEFT, anchor = SW)
+fn.insert(END,'cap.dat')
+b = Button(cf,text =_('QUIT'), command=quit)
+b.pack(side=RIGHT, anchor = SW)
+b = Button(cf,text =_('Xmgrace'), command=xmgrace)
+b.pack(side=RIGHT, anchor = SW)
+b = Button(cf,text =_('FFT'), command=do_fft)
+b.pack(side=LEFT, anchor = SW)
+
+
+mf = Frame(root)				# Message Frame below command frame.
+mf.pack(side=TOP, anchor = SW)
+msgwin = Label(mf,text = _('Messages'), fg = 'blue')
+msgwin.pack(side=LEFT, anchor = SW)
+root.title(_('EYES: Sound Experiments'))
+root.mainloop()
+
diff --git a/eyes/temp-controller.py b/eyes/temp-controller.py
new file mode 100644
index 0000000..a874246
--- /dev/null
+++ b/eyes/temp-controller.py
@@ -0,0 +1,216 @@
+'''
+expEYES program
+Author  : Ajith Kumar B.P, bpajith at gmail.com
+License : GNU GPL version 3
+'''
+from Tkinter import *
+import expeyes.eyes as eyes, expeyes.eyeplot as eyeplot, expeyes.eyemath as eyemath, time, sys, math
+
+import gettext
+gettext.bindtextdomain("expeyes")
+gettext.textdomain('expeyes')
+_ = gettext.gettext
+
+WIDTH  = 600   # width of drawing canvas
+HEIGHT = 400   # height    
+MINTEMP = 0
+MAXTEMP = 70
+
+
+class LM35:
+	tv = [ [], [] ]			# Lists for Readings
+	TIMER = 500				# Time interval between reads
+	MINY = 0				# Temperature range
+	MAXY = 100
+	running = False
+	ccs_current = 1.0		# .5 mA
+	Rg = 2388.0				# 2.4k resistor
+	setpoint = 50.0			
+	upv = 2.0				# voltage applied to the base through 10 kOhm
+
+	def v2t(self, v):			# Convert Voltage to Temperature for LM35
+		gain = 1.0 + 10000./self.Rg
+		temp = v/gain*100 
+		return temp
+
+	def xmgrace(self):
+		if self.running == True:
+			return
+		p.grace([self.tv])
+
+	def start(self):
+		self.index = 0
+		self.tv = [ [], [] ]
+		try:
+			self.MAXTIME = int(DURATION.get())
+			self.Rg = float(RG.get())
+			self.TIMER = int(TGAP.get())
+			tmp = float(SETP.get())
+			if MINTEMP < tmp < MAXTEMP:
+				self.setpoint = tmp
+			else:
+				self.msg(_('temperature setpoint out of range'))
+				return
+			self.MINY = 0
+			self.MAXY = self.setpoint + 10
+			upv = float(UPV.get())
+			if .7 < upv <= 5.0:
+				self.upv = tmp
+			else:
+				self.msg(_('UPV setpoint out of range'))
+				return
+			g.setWorld(0, self.MINY, self.MAXTIME, self.MAXY,_('Time'),_('Volt'))
+			SetP.config(state=DISABLED)
+			Total.config(state=DISABLED)
+			Dur.config(state=DISABLED)
+			self.msg(_('Starting the Controller'))
+			self.running = True
+			p.write_outputs(1)
+			root.after(self.TIMER, self.update)
+		except:
+			self.msg(_('Failed to Start'))
+
+	def stop(self):
+		self.running = False
+		Total.config(state=NORMAL)
+		Dur.config(state=NORMAL)
+		SetP.config(state=NORMAL)
+		p.set_upv(0)
+		Tlab.config(bg='green')
+		self.msg(_('User Stopped the measurements'))
+
+	def update(self):
+		if self.running == False:
+			return
+		t,v = p.get_voltage_time(2)  # Read A2
+		if len(self.tv[0]) == 0:
+			self.start_time = t
+			elapsed = 0
+		else:
+			elapsed = t - self.start_time
+		self.tv[0].append(elapsed)
+		temp = self.v2t(v)
+		if temp >= self.setpoint:
+			p.set_upv(0)
+			Tlab.config(bg='green')
+		elif temp <= self.setpoint - 0.2:
+			Tlab.config(bg='red')
+			p.set_upv(self.upv)
+		self.tv[1].append(temp)
+		if len(self.tv[0]) >= 2:
+			g.delete_lines()
+			g.line(self.tv[0], self.tv[1])
+		if elapsed > self.MAXTIME:
+			self.running = False
+			Total.config(state=NORMAL)
+			Dur.config(state=NORMAL)
+			SetP.config(state=NORMAL)
+			self.msg(_('Completed the Measurements'))
+			p.set_upv(0)
+			Tlab.config(bg='green')
+			return 
+		root.after(self.TIMER, self.update)
+
+	def save(self):
+		try:
+			fn = filename.get()
+		except:
+			fn = 'pt100.dat'
+		p.save([self.tv],fn)
+		self.msg(_('Data saved to %s') %fn)
+
+	def clear(self):
+		if self.running == True:
+			return
+		self.nt = [ [], [] ]
+		g.delete_lines()
+		self.msg(_('Cleared Data and Trace'))
+
+	def msg(self,s, col = 'blue'):
+		msgwin.config(text=s, fg=col)
+
+p = eyes.open()
+p.loadall_calib()
+p.disable_actions()
+p.set_upv(0)
+root = Tk()
+Canvas(root, width = WIDTH, height = 5).pack(side=TOP)  		# Some space at the top
+g = eyeplot.graph(root, width=WIDTH, height=HEIGHT, bip=False)	# make plot objects using draw.disp
+pt = LM35()
+
+cf = Frame(root, width = WIDTH, height = 10)
+cf.pack(side=TOP,  fill = BOTH, expand = 1)
+b3 = Label(cf, text = _('Rg='))
+b3.pack(side = LEFT, anchor = SW)
+RG = StringVar()
+RG.set('2388')
+Rg =Entry(cf, width=5, bg = 'white', textvariable = RG)
+Rg.pack(side = LEFT, anchor = SW)
+b3 = Label(cf, text = _('Ohm. '))
+b3.pack(side = LEFT, anchor = SW)
+
+b3 = Label(cf, text = _('UPV='))
+b3.pack(side = LEFT, anchor = SW)
+UPV = StringVar()
+UPV.set('2.0')
+Upv =Entry(cf, width=5, bg = 'white', textvariable = UPV)
+Upv.pack(side = LEFT, anchor = SW)
+b3 = Label(cf, text = _('V. '))
+b3.pack(side = LEFT, anchor = SW)
+
+b3 = Label(cf, text = _('Read Every'))
+b3.pack(side = LEFT, anchor = SW)
+TGAP = StringVar()
+Dur =Entry(cf, width=5, bg = 'white', textvariable = TGAP)
+TGAP.set('500')
+Dur.pack(side = LEFT, anchor = SW)
+b3 = Label(cf, text = _('mS,'))
+b3.pack(side = LEFT, anchor = SW)
+b3 = Label(cf, text = _('for total'))
+b3.pack(side = LEFT, anchor = SW)
+DURATION = StringVar()
+Total =Entry(cf, width=5, bg = 'white', textvariable = DURATION)
+DURATION.set('100')
+Total.pack(side = LEFT, anchor = SW)
+b3 = Label(cf, text = _('Seconds.'))
+b3.pack(side = LEFT, anchor = SW)
+
+
+cf = Frame(root, width = WIDTH, height = 10)
+cf.pack(side=TOP,  fill = BOTH, expand = 1)
+b3 = Label(cf, text = _('Set at'))
+b3.pack(side = LEFT, anchor = SW)
+SETP = StringVar()
+SETP.set('50.0')
+SetP =Entry(cf, width=6, bg = 'white', textvariable = SETP)
+SetP.pack(side = LEFT, anchor = SW)
+Tlab = Label(cf, text = _('deg C'))
+Tlab.pack(side = LEFT, anchor = SW)
+
+b1 = Button(cf, text = _('START'), command = pt.start)
+b1.pack(side = LEFT, anchor = N)
+b1 = Button(cf, text = _('STOP'), command = pt.stop)
+b1.pack(side = LEFT, anchor = N)
+b4 = Button(cf, text = _('CLEAR'), command = pt.clear)
+b4.pack(side = LEFT, anchor = N)
+b1 = Button(cf, text = _('Xmgrace'), command = pt.xmgrace)
+b1.pack(side = LEFT, anchor = N)
+b3 = Button(cf, text = _('SAVE to'), command = pt.save)
+b3.pack(side = LEFT, anchor = N)
+filename = StringVar()
+e1 =Entry(cf, width=15, bg = 'white', textvariable = filename)
+filename.set('tempcon.dat')
+e1.pack(side = LEFT)
+b5 = Button(cf, text = _('QUIT'), command = sys.exit)
+b5.pack(side = RIGHT, anchor = N)
+
+
+mf = Frame(root, width = WIDTH, height = 10)
+mf.pack(side=TOP)
+msgwin = Label(mf,text=_('Message'), fg = 'blue')
+msgwin.pack(side=LEFT, anchor = S, fill=BOTH, expand=1)
+
+eyeplot.pop_image('pics/temp-control.png', _('Temperature Controller (LM35)'))
+root.title(_('Temperature controller using LM35'))
+root.mainloop()
+
diff --git a/eyes/transistor.py b/eyes/transistor.py
new file mode 100644
index 0000000..0a3059e
--- /dev/null
+++ b/eyes/transistor.py
@@ -0,0 +1,163 @@
+'''
+expEYES program
+Author  : Ajith Kumar B.P, bpajith at gmail.com
+License : GNU GPL version 3
+'''
+import gettext
+gettext.bindtextdomain("expeyes")
+gettext.textdomain('expeyes')
+_ = gettext.gettext
+
+from Tkinter import *
+import expeyes.eyes as eyes, expeyes.eyeplot as eyeplot, expeyes.eyemath as eyemath, time, sys, math
+
+
+TIMER = 10
+WIDTH  = 600   # width of drawing canvas
+HEIGHT = 400   # height    
+
+VSET    = 0		# this will change in the loop
+VSETMIN = 0		# may change this to -5 for zeners
+VSETMAX = 4.5
+STEP    = 0.050		# 50 mV
+MINX    = 0			# may change this to -5 for zeners
+MAXX    = 5         # We have only 5V supply
+MINY    = 0			# may change this to -5 for zeners
+MAXY    = 5			# Maximum possible current
+history = []		# Data store
+trial = 0			# trial number
+data = [ [], [] ]	# Current & Voltage
+index = 0
+running = False
+
+def start():
+	global VSET, running, index, data, ibase
+	if running == True:
+		msg.config(text=_('Busy Drawing'))
+		return
+	p.set_voltage(0, 5)				# Collector to 5V
+	vbset = float(Bias.get())
+	p.set_voltage(1,vbset)			# Set base bias through 200 KOhm
+	ibase = (vbset-0.6)/200.0e-3    # uA
+	msg.config(text=_('Base Current = %5.1f uA')%(ibase))
+	#g.text(1,2,_('test'))
+	data = [ [], [] ]
+	VSET = VSETMIN
+	index = 0
+	running = True
+	root.after(TIMER,update)
+
+def load_line():
+	global running, history, data, trial
+	if running == True:
+		msg.config(text=_('Busy Drawing'))
+		return
+	VSET = 4.5
+	p.set_voltage(0, VSET)            # Questionable action
+	index = 0
+	data = [ [], [] ]
+	for vb in range(1,5):
+		p.set_voltage(1,float(vb))
+		va = p.get_voltage(0)		# voltage across the diode
+		i = (VSET-va)/1.0 	 		# in mA, R= 1k
+		data[0].append(va)
+		data[1].append(i)
+	history.append(data)
+	trial += 1
+	g.line(data[0], data[1],trial)
+
+def update():					# Called periodically by the Tk toolkit
+	global VSETMAX, VSET, STEP, index, trial, running, data, history
+	if running == False:
+		return
+	p.set_voltage(0, VSET)	
+	time.sleep(0.001)	
+	va = p.get_voltage(0)		# voltage across the diode
+	i = (VSET-va)/1.0 	 		# in mA, R= 1k
+	data[0].append(va)
+	data[1].append(i)
+	VSET += STEP
+	if VSET > VSETMAX or i >= 0.8 * MAXX:  # Graph upto 4V only, leave space for text
+		running = False
+		history.append(data)
+		trial += 1
+		g.delete_lines()
+		for k in range(len(history)):
+			g.line(history[k][0], history[k][1], k)
+		g.text(va, i, _('Ib=%4.0f uA') %ibase,k)
+		return
+	if index > 1:			# Draw the line
+		g.delete_lines()
+		g.line(data[0], data[1], trial)
+	index += 1
+	root.after(TIMER, update)
+
+def xmgrace():		# Send the data to Xmgrace
+	global history
+	p.grace(history, _('Volts'), _('mA'), _('Diode IV Curve'))
+
+def save():
+	global history, running
+	if running == True:
+		return
+	s = e1.get()
+	if s == '':
+		return
+	p.save(history, s)
+	msg.config(text = _('Data saved to file ')+s)
+
+def clear():
+	global history, trial, running
+	if running == True:
+		return
+	g.delete_lines()
+	g.delete_text()
+	history = []
+	trial = 0
+
+p = eyes.open()
+p.loadall_calib()
+p.disable_actions()
+root = Tk()
+Canvas(root, width = WIDTH, height = 5).pack(side=TOP)  # Some space at the top
+g = eyeplot.graph(root, width=WIDTH, height=HEIGHT)	# make plot objects using draw.disp
+g.setWorld(MINX, MINY, MAXX, MAXY,_('V'),_('mA'))
+
+cf = Frame(root, width = WIDTH, height = 10)
+cf.pack(side=TOP,  fill = BOTH, expand = 1)
+
+l = Label(cf, text=_('Vb (via 200K)='))
+l.pack(side=LEFT, anchor = SW )
+Bias =StringVar()
+Bias.set('3.0')
+e =Entry(cf, width=5, bg = 'white', textvariable = Bias)
+e.pack(side = LEFT)
+l = Label(cf, text=_('V'))
+l.pack(side=LEFT, anchor = SW )
+b1 = Button(cf, text = _('START'), command = start)
+b1.pack(side = LEFT, anchor = N)
+b3 = Button(cf, text = _('SAVE to'), command = save)
+b3.pack(side = LEFT, anchor = N)
+filename = StringVar()
+e1 =Entry(cf, width=15, bg = 'white', textvariable = filename)
+filename.set('tran_ce.dat')
+e1.pack(side = LEFT)
+
+b5 = Button(cf, text = _('QUIT'), command = sys.exit)
+b5.pack(side = RIGHT, anchor = N)
+b4 = Button(cf, text = _('CLEAR'), command = clear)
+b4.pack(side = RIGHT, anchor = N)
+b5 = Button(cf, text = _('Grace'), command = xmgrace)
+b5.pack(side = RIGHT, anchor = N)
+#b5 = Button(cf, text = _('LINE'), command = load_line)
+#b5.pack(side = RIGHT, anchor = N)
+
+mf = Frame(root, width = WIDTH, height = 10)
+mf.pack(side=TOP,  fill = BOTH, expand = 1)
+msg = Label(mf,text=_('Message'), fg = 'blue')
+msg.pack(side=LEFT)
+
+eyeplot.pop_image('pics/tran-ce.png', _('Transistor CE Char (NPN)'))
+root.title(_('EYES: Transistor CE characteristics'))
+root.mainloop()
+
diff --git a/eyes/usound_tof.py b/eyes/usound_tof.py
new file mode 100644
index 0000000..b0921ae
--- /dev/null
+++ b/eyes/usound_tof.py
@@ -0,0 +1,43 @@
+'''
+expEYES program
+Author  : Ajith Kumar B.P, bpajith at gmail.com
+License : GNU GPL version 3
+'''
+import gettext
+gettext.bindtextdomain("expeyes")
+gettext.textdomain('expeyes')
+_ = gettext.gettext
+
+from Tkinter import *
+import expeyes.eyes as eyes, expeyes.eyeplot as eyeplot
+
+
+def get_tof():
+	t = p.pulse2rtime(1,2)
+	if t > 0:
+		res.config(text = _('%8.6f sec')%(t*1.0e-6))
+	else:
+		res.config(text = _('Error..'))
+
+p = eyes.open()
+p.disable_actions()
+
+root = Tk()
+cf = Frame(root)
+cf.pack(side=TOP,  fill = BOTH, expand = 1)
+
+Label(cf,text = _('Connect Transmitter from OD1 to Ground')).pack()
+Label(cf,text = _('Connect Receiver from T15 to Ground')).pack()
+Label(cf,text = _('Keep them facing each other, at a known distance')).pack()
+
+b1 = Button(cf, text = _('Measure Time of Travel'), command = get_tof)
+b1.pack(side = TOP, anchor = N)
+res = Label(cf, text = '')
+res.pack(side = TOP, anchor = N)
+b5 = Button(cf, text = _('QUIT'), command = sys.exit)
+b5.pack(side = TOP, anchor = N)
+
+eyeplot.pop_image('pics/ultra-sound.png', _('Velocity of Sound, 40kHz'))
+root.title(_('Velocity of Sound'))
+root.mainloop()
+
diff --git a/eyes/velocity-sound.py b/eyes/velocity-sound.py
new file mode 100644
index 0000000..931667f
--- /dev/null
+++ b/eyes/velocity-sound.py
@@ -0,0 +1,143 @@
+'''
+expEYES program
+Author  : Ajith Kumar B.P, bpajith at gmail.com
+License : GNU GPL version 3
+'''
+import gettext
+gettext.bindtextdomain("expeyes")
+gettext.textdomain('expeyes')
+_ = gettext.gettext
+
+from Tkinter import *
+import expeyes.eyes as eyes, expeyes.eyeplot as eyeplot, expeyes.eyemath as eyemath, time, sys, math
+
+TIMER = 100
+WIDTH  = 800        # width of drawing canvas
+HEIGHT = 400        # height 
+delay = 10		    # Time interval between samples
+NP = 200			# Number of samples
+data = [] 		    # Of the form, [ [x1,y1], [x2,y2],....] where x and y are vectors
+outmask = 1
+looping = False
+
+def fset(f):
+	s = '%5.1f'%f
+	Freq.delete(0,END)
+	Freq.insert(0,s)
+
+def update():
+	global data, looping, NP, delay
+	data = []
+	if looping == False:
+		return
+	t,v,tt,vv = p.capture01(NP,delay)
+	for k in range(len(vv)): vv[k] -= 2.5
+	g.delete_lines()
+	g.line(t,v)
+	g.line(tt,vv,1)
+	data.append([t,v])
+	data.append([tt,vv])
+	fa = eyemath.fit_sine(t, v)
+	if fa != None:
+		#g.line(t,fa[0], 8)
+		rms = p.rms(v)
+		f0 = fa[1][1] * 1000
+		s = _('Phase = %5.0f deg')%(fa[1][2]*180/math.pi)
+	else:
+		s = _('No Signal')
+	msgwin.config(text=s)			# CRO part over	
+	root.after(TIMER, update)	
+
+
+def start():
+	global looping, NP, delay
+	if A1.get() == 1:
+		p.set_upv(5)
+		f1 = float(Freq.get())
+		fr = p.set_sqr1(f1)
+		fset(fr)
+		looping = True
+		p.adc2cmp(7)
+		p.enable_wait_rising(4)
+		root.after(TIMER, update)
+	else:
+		looping = False
+		p.set_sqr1(0)
+
+def do_fft():
+	global data, delay, NP
+	if data == []: return
+	fr,tr = eyemath.fft(data[0][1], delay * 0.001)
+	p.save([ [fr,tr] ], 'FFT.dat')
+	p.grace([ [fr,tr] ], _('freq'), _('power'))
+	msgwin.config(text = _('Fourier transform Saved to FFT.dat.'))
+
+def save():
+	global data
+	s = fn.get()
+	if s == '':
+		return
+	p.save(data, s)
+	msgwin.config(text = _('Data saved to file ')+s)
+
+def xmgrace():		# Send the data to Xmgrace
+	global data
+	p.grace(data, _('milliSeconds'), _('Volts'))
+
+def quit():
+	p.write_outputs(0)
+	sys.exit()
+
+p = eyes.open()
+p.loadall_calib()
+p.set_sqr1(0)
+
+root = Tk()
+Canvas(root, width = WIDTH, height = 5).pack(side=TOP)  # Some space at the top
+g = eyeplot.graph(root, width=WIDTH, height=HEIGHT)	# make plot objects using draw.disp
+g.setWorld(0,-5, NP * delay * 0.001, 5,_('mS'),_('V'))
+
+if p == None:
+	g.text(0, 0,_('EYES Hardware Not Found. Check Connections and restart the program'),1)
+	root.mainloop()
+	sys.exit()
+p.set_voltage(1,5)
+
+cf = Frame(root, width = WIDTH, height = 10)
+cf.pack(side=TOP,  fill = BOTH, expand = 1)
+
+A1 = IntVar()
+cb1 = Checkbutton(cf,text =_('ON/OFF'), command = start, variable=A1, fg = 'blue')
+cb1.pack(side=LEFT, anchor = SW)
+A1.set(0)
+
+l = Label(cf,text=_('Freq='))
+l.pack(side=LEFT, anchor= SW)
+Freq = Entry(cf,width = 10, bg = 'white')
+Freq.pack(side=LEFT, anchor = SW)
+Freq.insert(END,'4000')
+
+b = Button(cf,text =_('Xmgrace'), command=xmgrace)
+b.pack(side=LEFT, anchor = SW)
+
+b = Button(cf,text =_('FFT'), command=do_fft)
+b.pack(side=LEFT, anchor = SW)
+
+b = Button(cf,text =_('Save to'), command=save)
+b.pack(side=LEFT, anchor = SW)
+fn = Entry(cf,width = 10, bg = 'white')
+fn.pack(side=LEFT, anchor = SW)
+fn.insert(END,'sound.dat')
+b = Button(cf,text =_('QUIT'), command=quit)
+b.pack(side=RIGHT, anchor = SW)
+
+
+mf = Frame(root)				# Message Frame below command frame.
+mf.pack(side=TOP, anchor = SW)
+msgwin = Label(mf,text = _('Messages'), fg = 'blue')
+msgwin.pack(side=LEFT, anchor = SW)
+
+eyeplot.pop_image('pics/sound-vel.png', _('Velocity of Sound'))
+root.title(_('EYES: Velocity of Sound'))
+root.mainloop()
+
diff --git a/firmware/.lst b/firmware/.lst
new file mode 100644
index 0000000..e69de29
diff --git a/firmware/.map b/firmware/.map
new file mode 100644
index 0000000..674de8a
--- /dev/null
+++ b/firmware/.map
@@ -0,0 +1,328 @@
+Archive member included because of file (symbol)
+
+/usr/lib/gcc/avr/4.5.3/avr5/libgcc.a(_exit.o)
+                              /usr/lib/gcc/avr/4.5.3/../../../avr/lib/avr5/crtm32.o (exit)
+
+Memory Configuration
+
+Name             Origin             Length             Attributes
+text             0x00000000         0x00020000         xr
+data             0x00800060         0x0000ffa0         rw !x
+eeprom           0x00810000         0x00010000         rw !x
+fuse             0x00820000         0x00000400         rw !x
+lock             0x00830000         0x00000400         rw !x
+signature        0x00840000         0x00000400         rw !x
+*default*        0x00000000         0xffffffff
+
+Linker script and memory map
+
+LOAD /usr/lib/gcc/avr/4.5.3/../../../avr/lib/avr5/crtm32.o
+LOAD /usr/lib/gcc/avr/4.5.3/avr5/libgcc.a
+LOAD /usr/lib/gcc/avr/4.5.3/../../../avr/lib/avr5/libc.a
+LOAD /usr/lib/gcc/avr/4.5.3/avr5/libgcc.a
+
+.hash
+ *(.hash)
+
+.dynsym
+ *(.dynsym)
+
+.dynstr
+ *(.dynstr)
+
+.gnu.version
+ *(.gnu.version)
+
+.gnu.version_d
+ *(.gnu.version_d)
+
+.gnu.version_r
+ *(.gnu.version_r)
+
+.rel.init
+ *(.rel.init)
+
+.rela.init
+ *(.rela.init)
+
+.rel.text
+ *(.rel.text)
+ *(.rel.text.*)
+ *(.rel.gnu.linkonce.t*)
+
+.rela.text
+ *(.rela.text)
+ *(.rela.text.*)
+ *(.rela.gnu.linkonce.t*)
+
+.rel.fini
+ *(.rel.fini)
+
+.rela.fini
+ *(.rela.fini)
+
+.rel.rodata
+ *(.rel.rodata)
+ *(.rel.rodata.*)
+ *(.rel.gnu.linkonce.r*)
+
+.rela.rodata
+ *(.rela.rodata)
+ *(.rela.rodata.*)
+ *(.rela.gnu.linkonce.r*)
+
+.rel.data
+ *(.rel.data)
+ *(.rel.data.*)
+ *(.rel.gnu.linkonce.d*)
+
+.rela.data
+ *(.rela.data)
+ *(.rela.data.*)
+ *(.rela.gnu.linkonce.d*)
+
+.rel.ctors
+ *(.rel.ctors)
+
+.rela.ctors
+ *(.rela.ctors)
+
+.rel.dtors
+ *(.rel.dtors)
+
+.rela.dtors
+ *(.rela.dtors)
+
+.rel.got
+ *(.rel.got)
+
+.rela.got
+ *(.rela.got)
+
+.rel.bss
+ *(.rel.bss)
+
+.rela.bss
+ *(.rela.bss)
+
+.rel.plt
+ *(.rel.plt)
+
+.rela.plt
+ *(.rela.plt)
+
+.text           0x00000000       0x70
+ *(.vectors)
+ .vectors       0x00000000       0x54 /usr/lib/gcc/avr/4.5.3/../../../avr/lib/avr5/crtm32.o
+                0x00000000                __vectors
+                0x00000000                __vector_default
+ *(.vectors)
+ *(.progmem.gcc*)
+ *(.progmem*)
+                0x00000054                . = ALIGN (0x2)
+                0x00000054                __trampolines_start = .
+ *(.trampolines)
+ .trampolines   0x00000054        0x0 linker stubs
+ *(.trampolines*)
+                0x00000054                __trampolines_end = .
+ *(.jumptables)
+ *(.jumptables*)
+ *(.lowtext)
+ *(.lowtext*)
+                0x00000054                __ctors_start = .
+ *(.ctors)
+                0x00000054                __ctors_end = .
+                0x00000054                __dtors_start = .
+ *(.dtors)
+                0x00000054                __dtors_end = .
+ SORT(*)(.ctors)
+ SORT(*)(.dtors)
+ *(.init0)
+ .init0         0x00000054        0x0 /usr/lib/gcc/avr/4.5.3/../../../avr/lib/avr5/crtm32.o
+                0x00000054                __init
+ *(.init0)
+ *(.init1)
+ *(.init1)
+ *(.init2)
+ .init2         0x00000054        0xc /usr/lib/gcc/avr/4.5.3/../../../avr/lib/avr5/crtm32.o
+ *(.init2)
+ *(.init3)
+ *(.init3)
+ *(.init4)
+ *(.init4)
+ *(.init5)
+ *(.init5)
+ *(.init6)
+ *(.init6)
+ *(.init7)
+ *(.init7)
+ *(.init8)
+ *(.init8)
+ *(.init9)
+ .init9         0x00000060        0x8 /usr/lib/gcc/avr/4.5.3/../../../avr/lib/avr5/crtm32.o
+ *(.init9)
+ *(.text)
+ .text          0x00000068        0x4 /usr/lib/gcc/avr/4.5.3/../../../avr/lib/avr5/crtm32.o
+                0x00000068                __vector_1
+                0x00000068                __vector_12
+                0x00000068                __bad_interrupt
+                0x00000068                __vector_6
+                0x00000068                __vector_3
+                0x00000068                __vector_11
+                0x00000068                __vector_13
+                0x00000068                __vector_17
+                0x00000068                __vector_19
+                0x00000068                __vector_7
+                0x00000068                __vector_5
+                0x00000068                __vector_4
+                0x00000068                __vector_9
+                0x00000068                __vector_2
+                0x00000068                __vector_15
+                0x00000068                __vector_8
+                0x00000068                __vector_14
+                0x00000068                __vector_10
+                0x00000068                __vector_16
+                0x00000068                __vector_18
+                0x00000068                __vector_20
+ .text          0x0000006c        0x0 /usr/lib/gcc/avr/4.5.3/avr5/libgcc.a(_exit.o)
+                0x0000006c                . = ALIGN (0x2)
+ *(.text.*)
+ .text.libgcc   0x0000006c        0x0 /usr/lib/gcc/avr/4.5.3/avr5/libgcc.a(_exit.o)
+                0x0000006c                . = ALIGN (0x2)
+ *(.fini9)
+ .fini9         0x0000006c        0x0 /usr/lib/gcc/avr/4.5.3/avr5/libgcc.a(_exit.o)
+                0x0000006c                exit
+                0x0000006c                _exit
+ *(.fini9)
+ *(.fini8)
+ *(.fini8)
+ *(.fini7)
+ *(.fini7)
+ *(.fini6)
+ *(.fini6)
+ *(.fini5)
+ *(.fini5)
+ *(.fini4)
+ *(.fini4)
+ *(.fini3)
+ *(.fini3)
+ *(.fini2)
+ *(.fini2)
+ *(.fini1)
+ *(.fini1)
+ *(.fini0)
+ .fini0         0x0000006c        0x4 /usr/lib/gcc/avr/4.5.3/avr5/libgcc.a(_exit.o)
+ *(.fini0)
+                0x00000070                _etext = .
+
+.data           0x00800060        0x0 load address 0x00000070
+                0x00800060                PROVIDE (__data_start, .)
+ *(.data)
+ .data          0x00800060        0x0 /usr/lib/gcc/avr/4.5.3/../../../avr/lib/avr5/crtm32.o
+ .data          0x00800060        0x0 /usr/lib/gcc/avr/4.5.3/avr5/libgcc.a(_exit.o)
+ *(.data*)
+ *(.rodata)
+ *(.rodata*)
+ *(.gnu.linkonce.d*)
+                0x00800060                . = ALIGN (0x2)
+                0x00800060                _edata = .
+                0x00800060                PROVIDE (__data_end, .)
+
+.bss            0x00800060        0x0
+                0x00800060                PROVIDE (__bss_start, .)
+ *(.bss)
+ .bss           0x00800060        0x0 /usr/lib/gcc/avr/4.5.3/../../../avr/lib/avr5/crtm32.o
+ .bss           0x00800060        0x0 /usr/lib/gcc/avr/4.5.3/avr5/libgcc.a(_exit.o)
+ *(.bss*)
+ *(COMMON)
+                0x00800060                PROVIDE (__bss_end, .)
+                0x00000070                __data_load_start = LOADADDR (.data)
+                0x00000070                __data_load_end = (__data_load_start + SIZEOF (.data))
+
+.noinit         0x00800060        0x0
+                0x00800060                PROVIDE (__noinit_start, .)
+ *(.noinit*)
+                0x00800060                PROVIDE (__noinit_end, .)
+                0x00800060                _end = .
+                0x00800060                PROVIDE (__heap_start, .)
+
+.eeprom         0x00810000        0x0
+ *(.eeprom*)
+                0x00810000                __eeprom_end = .
+
+.fuse
+ *(.fuse)
+ *(.lfuse)
+ *(.hfuse)
+ *(.efuse)
+
+.lock
+ *(.lock*)
+
+.signature
+ *(.signature*)
+
+.stab           0x00000000      0x6cc
+ *(.stab)
+ .stab          0x00000000      0x6cc /usr/lib/gcc/avr/4.5.3/../../../avr/lib/avr5/crtm32.o
+
+.stabstr        0x00000000       0x54
+ *(.stabstr)
+ .stabstr       0x00000000       0x54 /usr/lib/gcc/avr/4.5.3/../../../avr/lib/avr5/crtm32.o
+
+.stab.excl
+ *(.stab.excl)
+
+.stab.exclstr
+ *(.stab.exclstr)
+
+.stab.index
+ *(.stab.index)
+
+.stab.indexstr
+ *(.stab.indexstr)
+
+.comment
+ *(.comment)
+
+.debug
+ *(.debug)
+
+.line
+ *(.line)
+
+.debug_srcinfo
+ *(.debug_srcinfo)
+
+.debug_sfnames
+ *(.debug_sfnames)
+
+.debug_aranges
+ *(.debug_aranges)
+
+.debug_pubnames
+ *(.debug_pubnames)
+
+.debug_info
+ *(.debug_info)
+ *(.gnu.linkonce.wi.*)
+
+.debug_abbrev
+ *(.debug_abbrev)
+
+.debug_line
+ *(.debug_line)
+
+.debug_frame
+ *(.debug_frame)
+
+.debug_str
+ *(.debug_str)
+
+.debug_loc
+ *(.debug_loc)
+
+.debug_macinfo
+ *(.debug_macinfo)
+OUTPUT(.c elf32-avr)
+LOAD linker stubs
diff --git a/firmware/Makefile b/firmware/Makefile
new file mode 100644
index 0000000..ce5af3b
--- /dev/null
+++ b/firmware/Makefile
@@ -0,0 +1,21 @@
+DESTDIR =
+INSTALLABLE_FILES = compile.sh go mca-test.py test.py \
+                    eyes.c go-mca mca.c set_fuse.sh upload.sh \
+                    eyes.hex mca.hex
+
+all: eyes.hex mca.hex
+
+eyes.hex: eyes.c
+	./compile.sh eyes
+
+mca.hex: mca.c
+	./compile.sh mca
+
+clean:
+	rm -f *~ *.hex eyes mca
+
+install: all
+	install -d $(DESTDIR)/usr/share/expeyes/firmware
+	install -m 644 $(INSTALLABLE_FILES) $(DESTDIR)/usr/share/expeyes/firmware
+
+.PHONY: all clean install
diff --git a/firmware/compile.sh b/firmware/compile.sh
new file mode 100755
index 0000000..f5b9ff2
--- /dev/null
+++ b/firmware/compile.sh
@@ -0,0 +1,8 @@
+echo "compiling $1.c"
+avr-gcc  -Wall -O2 -mmcu=atmega32 -Wl,-Map,$1.map -o $1 $1.c
+
+avr-objcopy -j .text -j .data -O ihex $1 $1.hex
+
+avr-objdump -S $1 > $1.lst
+
+rm -rf *.lst *.map
diff --git a/firmware/eyes.c b/firmware/eyes.c
new file mode 100644
index 0000000..13fa980
--- /dev/null
+++ b/firmware/eyes.c
@@ -0,0 +1,1651 @@
+/*  
+EYES for Young Engineers & Scientists (EYES  1.0)
+Program : eyes.c, running on AtMega32 micro-controller
+Listens on the RS232 port for commands fom the PC, by eyes.py, and acts accordingly.
+Author  : Ajith Kumar B.P, ( bpajith at gmail.com )
+License : GNU GPL version 3
+First Edit on 1-Sep-2010
+Last Edit 23-Dec-2010 : added CAPTURE_M32
+Last Edit 27-Jan-2011 : added ECHO
+Last Edit 13-Oct-2011 : added IRSEND
+*/
+
+#include <avr/io.h>
+#include <avr/pgmspace.h>
+#include <avr/eeprom.h>
+
+#define	GROUPSIZE	40	// Up to 40 commands in each group
+
+// commands without any arguments (1 to 40)
+#define GETVERSION	1	// Get the Eyes firmware version
+#define DIGIN		2	// Digital Input (4 bits)
+#define USOUND		3	// Send a pulse on OD1 and look for echo on ID2
+
+// Commands with One byte argument (41 to 80) 
+#define SETSAMTIME	41	// MCP3208 sampling duration
+#define SETADCSIZE	42	// ADC data size (1 or 2)
+#define READADC		43	// Read the specified ADC channel
+#define R2FTIME		44	// Rise to Fall of signal on input pins
+#define R2RTIME		45	// Rise to Fall of signal on input pins
+#define F2RTIME		46	// Fall to Rise of signal on input pins
+#define F2FTIME		47	// Fall to Rise of signal on input pins
+#define SET2RTIME	48	// Setting of bit to rising edge
+#define SET2FTIME	49	// to falling time
+#define CLR2RTIME	50	// Setting of bit to rising edge
+#define CLR2FTIME	51	// to falling time
+#define PULSE2RTIME	52	// Pulse to rising edge
+#define PULSE2FTIME	53	// Pulse to rising edge
+#define SETPULSEWID	54	// width for PULSE2 functions (0 to 250)
+#define SETPULSEPOL	55	// PULSE polarity (0 for HIGH true)
+#define	DIGOUT 		56	// Digital output (4 bits)
+#define ADC2CMP		57	// Route ADC input to ACOMP-
+#define SETPWM		58	// Set 488 Hz PWM wave on TC0
+#define SETPWMDAC	59	// Set 31.25 kHz PWM wave on TC0
+#define GETPORT		60	// PINX data from port X
+#define IRSEND		61  // IR transmission using SQR1 output
+
+// Commands with Two bytes argument (81 to 120)
+#define	SETPWM0		81	// PWM on on OSC0
+#define	SETCOUNTER0	82	// Square wave on OSC2
+#define	SETCOUNTER2	83	// Square wave on OSC2
+#define	SETACTION	84	// Capture Actions of SET/CLR/PULSE & WAIT type
+#define MULTIR2R	85	// Rising edge to a rising edge after N cycles
+#define ADCTRIGS	86	// Trigger levels for read_block functions
+#define SETWAVEFORM	87	// ISR Wavegen. OCR0 and which DAC from the caller
+#define PULSE_D0D1	88	// Interrupt driven square wave on D0 and D1
+#define SETDDR		90	// DDRX = dirmask (arg1 = X, arg2 = mask)
+#define SETPORT		91	// PORTX = DATA (arg1 = X, arg2 = DATA)
+
+// Commands with Three bytes argument (121 to 160)
+#define SETDAC		121	// Serial DAC: send ch, dlo & dhi
+#define	QCAPTURE01	122	// 2 bytes N, 1 byte dt. captures channel 0 and 1
+#define WREEPROM	123	// Write EEPROM , 2 byte addr & 1 byte data
+#define RDEEPROM	124	// Read EEPROM , 2 byte addr , 1 byte number of bytes 
+
+// Commands with Four bytes argument (161 to 200)
+#define	CAPTURE01	161	// 2 bytes N, 2 bytes dt. Capture channel 0 and 1
+#define	QCAPTURE	162	// Ch, 2 byte N, 1 byte dt. 
+
+// Commands with Five bytes argument (201 to 220)
+#define	CAPTURE		201		// Ch, 2 byte N, 2 byte dt. Capture single channel MCP3208
+#define CAPTURE_M32	202     // Ch, 2 byte N, 2 byte dt. Capture from, uC internal ADC
+
+// Reply from ATmega8 to the PC
+#define DONE		'D'	// Command executed successfully
+#define	INVCMD		'C'	// Invalid Command
+#define INVARG		'A'	// Invalid input data
+#define INVBUFSIZE	'B'	// Resulting data exceeds buffersize
+#define TIMEOUT		'T'	// Time measurement timed out
+
+#define TRUE	1
+#define FALSE	0
+#define TIMERSIZE	50000	// count for 50 ms before clearing
+#define TIMEOUTVAL	40		// 50 ms x 40 = 2 seconds
+#define MAXTG		1000	// Maximum timegap for CAPTURE, usec
+#define MAXTGQ		100		// Same for qcapture
+#define MINTG		20		// Minimum timegap for CAPTURE, usec
+#define MINTGQ		10		// Same for qcapture
+#define	DEADTIME	5		// for PULSE2 calls
+
+typedef uint16_t  u16;
+typedef uint8_t  u8, *u8ptr;
+typedef u8 boolean;
+
+const char version[] PROGMEM = "ey1.0";
+
+
+u16 tmp16;					// Gloabal temporary variable
+
+#define	BUFSIZE		1800		// 1800 for atmega32
+//----------------------- Global variables -----------------------------
+u8 	dbuffer[2 + BUFSIZE];	// status + adc_size info + Databytes
+u16 buf_index;
+u8  adc_size = 1;
+u8	sampling_time = 200;
+u8	HTM;					// third byte of timer
+u8 	pulse_width = 13;		// Used by PULSE2*time functions
+u8	pulse_pol = 0;
+u8	action;					// SET/CLR/PULSE and WAIT actions
+u8	actionmask;				// Digital I/O bits for action
+u8	triglo = 125;			// Trigger around the ADC mid range
+u8	trighi = 131;
+
+
+// -------------------------- Serial ADC & DAC -------------------------
+#define   SPICTL	PORTD			// CK=PD2, ADCS = PD3, DACS = PD4
+#define   CLK 		(1 << PD2)
+#define   ADCS 		(1 << PD3)
+#define   DACS 		(1 << PD4)
+#define	  ADCKLO	DACS			// ADCS and CLK low
+#define	  ADCKHI	DACS+CLK		// ADCS low and Clock hi
+#define   CSHI		DACS+ADCS+CLK	// Both CS and Clock hi
+#define	  DACKLO	ADCS			// DACS and CLK low
+#define	  DACKHI	ADCS+CLK		// DACS low and Clock hi
+#define   SPIWR		PORTB
+#define   SPIRD	    PINB
+#define	  OUTHI		2+1				// PB1 HI and pullup of PB0
+#define	  OUTLO		1				// PB1 LO pullup of PB0
+
+u8  hi;		// hi MUST be global to meet timing !!!. Need some assembly code ??
+u8 lo;
+
+//---------------------Capture using ATmega32 Internal ADC. Having some trouble !!! -------------------
+void capture_m32(u8 ch, u16 np, u16 timegap)  // sqr1 = ch7, sqr2 = ch6, SENSOR = ch5
+{
+	ADCSRA = (1 << ADEN)  | (1<<ADSC) | 1;	// start a dummy conversion
+    if(timegap < 20) lo = (1<<ADEN) | (1<<ADSC) | 1;
+    else if(timegap < 40) lo = (1<<ADEN) | (1<<ADSC) | 2;
+    else if(timegap < 80) lo = (1<<ADEN) | (1<<ADSC) | 3;
+    else if(timegap < 160)lo = (1<<ADEN) | (1<<ADSC) | 4;
+    else if(timegap < 320)lo = (1<<ADEN) | (1<<ADSC) | 5;
+    else lo = (1<<ADEN) | (1<<ADSC) | 6;
+	
+	TCCR1B = (1<<CS11);		// Counter1 Normal mode, 1 MHz
+      
+    ADMUX = (1<<REFS0) |(1 << ADLAR) | ch; // MUX
+    timegap -= 1;
+	PORTC |= 128;
+    while ( !(ADCSRA & (1<<ADIF)) ) ;	// wait for ADC conversion
+    ADCSRA |= ADIF;						// reset ADC flag
+
+    for(tmp16=0; tmp16 < np; ++tmp16)	// Sample in timed loop
+       {
+	   TCNT1 = 0;
+       ADCSRA = lo;
+       while ( !(ADCSRA & (1<<ADIF)) ) ;	// wait for ADC conversion
+       dbuffer[buf_index++] = ADCH;
+       ADCSRA |= ADIF;						// reset ADC flag
+	   while(TCNT1L < timegap) ;			// Wait on counter
+       }
+    ADCSRA = 0;								// Disable ADC 	
+	PORTC &= 127;
+}
+
+//------------------------------ Reading External ADC MCP3208 -------------------------------
+void qcapture_min(u8 ch, u16 np, u8 timer)	// only for 10 microseconds spacing
+{
+	u8 d0 = ((ch & 1)<<1) | 1;	// Channel D0
+	u8 d1 = (ch & 2) | 1;		// Channel D1
+	u8 d2 = ((ch & 4)>>1) | 1;	// Channel D2
+	timer -= 2;					// 2 usec forloop overhead
+	TCCR1B = (1<<CS11);		// Counter1 Normal mode, 1 MHz
+	PORTC |= 128;
+
+	for(tmp16=0; tmp16 < np; ++tmp16)
+		{
+		TCNT1L = 0;
+		SPIWR = OUTHI;		// start bit
+		SPICTL = ADCKLO;	// 1st clock	
+		SPICTL = ADCKHI;  
+				// DATA remains HI, for SGL mode
+		SPICTL = ADCKLO;	// 2nd clock
+		SPICTL = ADCKHI;
+	
+		SPIWR = d2;			// Channel # D2
+		SPICTL = ADCKLO;  	// 3rd clock
+		SPICTL = ADCKHI;
+
+		SPIWR = d1;			// Channel # D1
+		SPICTL = ADCKLO; 	// 4th clock
+		SPICTL = ADCKHI;
+
+		SPIWR = d0;			// Channel # D0
+		SPICTL = ADCKLO;	// 5th clock
+		SPICTL = ADCKHI; SPICTL = ADCKHI; 		//samplimg start
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;  		// 6th clock
+		SPICTL = ADCKHI; 						// sampling end
+		SPICTL = ADCKLO;	// 7th clock, Null bit
+		SPICTL = ADCKHI;  
+
+		SPICTL = ADCKLO;	// 8th clock, B11
+		SPICTL = ADCKHI;
+		hi = SPIRD & 1;
+
+		SPICTL = ADCKLO;	// 9th clock, B10
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO;	// 10th clock, B9
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+ 
+		SPICTL = ADCKLO;	// 11th clock, B8
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO;	// 12th clock, B7
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+	
+		SPICTL = ADCKLO;	// 13th clock, B6
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO;	// 14th clock, B5
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO;	// 15th clock, B4
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = CSHI;
+		while(TCNT1L < timer) ;		// Wait on counter
+		dbuffer[buf_index++] = hi;	// store data
+		}
+	PORTC &= 127;
+}
+
+void qcapture(u8 ch, u16 np, u8 timer)
+{
+	u8 d0 = ((ch & 1)<<1) | 1;	// Channel D0
+	u8 d1 = (ch & 2) | 1;		// Channel D1
+	u8 d2 = ((ch & 4)>>1) | 1;	// Channel D2
+	timer -= 2;					// 2 usec forloop overhead
+	TCCR1B = (1<<CS11);		// Counter1 Normal mode, 1 MHz
+	PORTC |= 128;
+
+	for(tmp16=0; tmp16 < np; ++tmp16)
+		{
+		TCNT1L = 0;
+		SPIWR = OUTHI;		// start bit
+		SPICTL = ADCKLO;	// 1st clock	
+		SPICTL = ADCKHI;  
+				// DATA remains HI, for SGL mode
+		SPICTL = ADCKLO;	// 2nd clock
+		SPICTL = ADCKHI;
+	
+		SPIWR = d2;			// Channel # D2
+		SPICTL = ADCKLO;  	// 3rd clock
+		SPICTL = ADCKHI;
+
+		SPIWR = d1;			// Channel # D1
+		SPICTL = ADCKLO; 	// 4th clock
+		SPICTL = ADCKHI;
+
+		SPIWR = d0;			// Channel # D0
+		SPICTL = ADCKLO;	// 5th clock
+		SPICTL = ADCKHI; SPICTL = ADCKHI; 		//samplimg start
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;  		// 6th clock
+		SPICTL = ADCKHI; 						// sampling end
+		SPICTL = ADCKLO;	// 7th clock, Null bit
+		SPICTL = ADCKHI;  
+
+		SPICTL = ADCKLO;	// 8th clock, B11
+		SPICTL = ADCKHI;
+		hi = SPIRD & 1;
+
+		SPICTL = ADCKLO;	// 9th clock, B10
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO;	// 10th clock, B9
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+ 
+		SPICTL = ADCKLO;	// 11th clock, B8
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO;	// 12th clock, B7
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+	
+		SPICTL = ADCKLO;	// 13th clock, B6
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO;	// 14th clock, B5
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO;	// 15th clock, B4
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = CSHI;
+		//asm("nop");
+		while(TCNT1L < timer) ;		// Wait on counter
+		asm("nop"); asm("nop"); asm("nop");
+		dbuffer[buf_index++] = hi;	// store data
+		}
+	PORTC &= 127;
+}
+
+void qcapture01(u16 np, u8 timer)	// CH0 & CH1, only for 10 microseconds spacing
+{
+	TCCR1B = (1<<CS11);		// Counter1 Normal mode, 1 MHz
+	timer = timer*2 -2;		// 2 channels, 2 usec forloop overhead
+	PORTC |= 128;
+
+	for(tmp16=0; tmp16 < np; ++tmp16)
+		{
+		TCNT1L = 0;
+		SPIWR = OUTHI;		// start bit
+		SPICTL = ADCKLO;	// 1st clock	
+		SPICTL = ADCKHI;  
+				// DATA remains HI, for SGL mode
+		SPICTL = ADCKLO;	// 2nd clock
+		SPICTL = ADCKHI;
+	
+		SPIWR = OUTLO;			// Channel # D2
+		SPICTL = ADCKLO;  	// 3rd clock
+		SPICTL = ADCKHI;
+
+				// D1 also is LO
+		SPICTL = ADCKLO; 	// 4th clock
+		SPICTL = ADCKHI;
+
+				//	D0 is also LO
+		SPICTL = ADCKLO;	// 5th clock
+		SPICTL = ADCKHI; SPICTL = ADCKHI; 		//samplimg start
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;  		// 6th clock
+		SPICTL = ADCKHI; 						// sampling end
+		SPICTL = ADCKLO;	// 7th clock, Null bit
+		SPICTL = ADCKHI;  
+
+		SPICTL = ADCKLO;	// 8th clock, B11
+		SPICTL = ADCKHI;
+		hi = SPIRD & 1;
+
+		SPICTL = ADCKLO;	// 9th clock, B10
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO;	// 10th clock, B9
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+ 
+		SPICTL = ADCKLO;	// 11th clock, B8
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO;	// 12th clock, B7
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+	
+		SPICTL = ADCKLO;	// 13th clock, B6
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO;	// 14th clock, B5
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO;	// 15th clock, B4
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+		SPICTL = CSHI;
+		dbuffer[buf_index++] = hi;	// store data
+
+		// Read Channel #1 now
+		SPIWR = OUTHI;		// start bit
+		SPICTL = ADCKLO;	// 1st clock	
+		SPICTL = ADCKHI;  
+				// DATA remains HI, for SGL mode
+		SPICTL = ADCKLO;	// 2nd clock
+		SPICTL = ADCKHI;
+	
+		SPIWR = OUTLO;		// Channel # D2
+		SPICTL = ADCKLO;  	// 3rd clock
+		SPICTL = ADCKHI;
+
+				//	D1 is also LO
+		SPICTL = ADCKLO; 	// 4th clock
+		SPICTL = ADCKHI;
+
+		SPIWR = OUTHI;		// D0 is HI
+		SPICTL = ADCKLO;	// 5th clock
+		SPICTL = ADCKHI; SPICTL = ADCKHI; 		//samplimg start
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;  		// 6th clock
+		SPICTL = ADCKHI; 						// sampling end
+		SPICTL = ADCKLO;	// 7th clock, Null bit
+		SPICTL = ADCKHI;  
+
+		SPICTL = ADCKLO;	// 8th clock, B11
+		SPICTL = ADCKHI;
+		hi = SPIRD & 1;
+
+		SPICTL = ADCKLO;	// 9th clock, B10
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO;	// 10th clock, B9
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+ 
+		SPICTL = ADCKLO;	// 11th clock, B8
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO;	// 12th clock, B7
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+	
+		SPICTL = ADCKLO;	// 13th clock, B6
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO;	// 14th clock, B5
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO;	// 15th clock, B4
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+		SPICTL = CSHI;
+
+		while(TCNT1L < timer) ;		// Wait on counter
+		dbuffer[buf_index++] = hi;	// store data
+		asm("nop"); asm("nop");
+		}
+	PORTC &= 127;
+}
+
+
+
+void capture(u8 ch, u16 np, u16 timer)
+{
+	u8 d0 = ((ch & 1)<<1) | 1;	// Channel D0
+	u8 d1 = (ch & 2) | 1;		// Channel D1
+	u8 d2 = ((ch & 4)>>1) | 1;	// Channel D2
+	timer -= 2;					// 2 usec forloop overhead
+	TCCR1B = (1<<CS11);		// Counter1 Normal mode, 1 MHz
+	PORTC |= 128;
+
+	for(tmp16=0; tmp16 < np; ++tmp16)
+		{
+		TCNT1 = 0;
+		SPIWR = OUTHI;						// start bit
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 1st clock	
+		SPICTL = ADCKHI; SPICTL = ADCKHI;
+								// DATA remains HI, for SGL mode
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 2nd clock
+		SPICTL = ADCKHI;
+
+		SPIWR = d2;							//channel bit D2
+		SPICTL = ADCKLO;SPICTL = ADCKLO;	// 3rd clock
+		SPICTL = ADCKHI;
+
+		SPIWR = d1;							//channel bit D1
+		SPICTL = ADCKLO;SPICTL = ADCKLO;	// 4th clock
+		SPICTL = ADCKHI;
+
+		SPIWR = d0;							//channel bit D0
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 5th clock
+
+		//SPICTL = ADCKHI;
+		SPICTL = ADCKHI; SPICTL = ADCKHI;
+		SPICTL = ADCKHI; SPICTL = ADCKHI;
+		SPICTL = ADCKHI; SPICTL = ADCKHI;
+		SPICTL = ADCKLO; SPICTL = ADCKLO;;  // 6th clock
+		SPICTL = ADCKHI; SPICTL = ADCKHI;
+		SPICTL = ADCKHI; SPICTL = ADCKHI;
+		SPICTL = ADCKHI; SPICTL = ADCKHI;
+		//SPICTL = ADCKHI;
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 7th clock, Null bit
+		SPICTL = ADCKHI;  SPICTL = ADCKHI;
+		
+		SPICTL = ADCKLO;SPICTL = ADCKLO;	// 8th clock, B11
+		SPICTL = ADCKHI;
+		hi = SPIRD & 1;
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 9th clock, B10
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 10th clock, B9
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+ 
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 11th clock, B8
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 12th clock, B7
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+	
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 13th clock, B6
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 14th clock, B5
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 15th clock, B4
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 16th clock, B3
+		SPICTL = ADCKHI;
+		lo = (SPIRD & 1);
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 17th clock, B2
+		SPICTL = ADCKHI;
+		lo = (lo << 1) | (SPIRD & 1);
+	
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 18th clock, B1
+		SPICTL = ADCKHI;
+		lo = (lo << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 19th clock, B0
+		SPICTL = ADCKHI;
+		lo = (lo << 1) | (SPIRD & 1);
+		SPICTL = CSHI;
+
+		if(adc_size > 1) 
+			dbuffer[buf_index++] = lo << 4;
+		dbuffer[buf_index++] = hi;
+
+	    while(TCNT1 < timer) ;			// Wait on TCNT1	
+		asm("nop");	asm("nop");	asm("nop");
+		//asm("nop");	asm("nop");	asm("nop");
+	    }
+	PORTC &= 127;
+}
+
+void capture01(u16 np, u16 timer)
+{
+	timer = timer*2-2;				// 2 reads,2 usec forloop overhead
+	TCCR1B = (1<<CS11);		// Counter1 Normal mode, 1 MHz
+	PORTC |= 128;
+
+	for(tmp16=0; tmp16 < np; ++tmp16)
+		{
+		TCNT1 = 0;
+		SPIWR = OUTHI;						// start bit
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 1st clock	
+		SPICTL = ADCKHI; SPICTL = ADCKHI;
+								// DATA remains HI, for SGL mode
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 2nd clock
+		SPICTL = ADCKHI;
+
+		SPIWR = OUTLO;						//channel bit D2
+		SPICTL = ADCKLO;SPICTL = ADCKLO;	// 3rd clock
+		SPICTL = ADCKHI;
+
+		SPIWR = OUTLO;							//channel bit D1
+		SPICTL = ADCKLO;SPICTL = ADCKLO;	// 4th clock
+		SPICTL = ADCKHI;
+
+		SPIWR = OUTLO;							//channel bit D0
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 5th clock
+
+		//SPICTL = ADCKHI;
+		SPICTL = ADCKHI; SPICTL = ADCKHI;
+		SPICTL = ADCKHI; SPICTL = ADCKHI;
+		SPICTL = ADCKHI; SPICTL = ADCKHI;
+		SPICTL = ADCKLO; SPICTL = ADCKLO;;  // 6th clock
+		SPICTL = ADCKHI; SPICTL = ADCKHI;
+		SPICTL = ADCKHI; SPICTL = ADCKHI;
+		SPICTL = ADCKHI; SPICTL = ADCKHI;
+		//SPICTL = ADCKHI;
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 7th clock, Null bit
+		SPICTL = ADCKHI;  SPICTL = ADCKHI;
+		
+		SPICTL = ADCKLO;SPICTL = ADCKLO;	// 8th clock, B11
+		SPICTL = ADCKHI;
+		hi = SPIRD & 1;
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 9th clock, B10
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 10th clock, B9
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+ 
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 11th clock, B8
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 12th clock, B7
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+	
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 13th clock, B6
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 14th clock, B5
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 15th clock, B4
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 16th clock, B3
+		SPICTL = ADCKHI;
+		lo = (SPIRD & 1);
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 17th clock, B2
+		SPICTL = ADCKHI;
+		lo = (lo << 1) | (SPIRD & 1);
+	
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 18th clock, B1
+		SPICTL = ADCKHI;
+		lo = (lo << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 19th clock, B0
+		SPICTL = ADCKHI;
+		lo = (lo << 1) | (SPIRD & 1);
+		SPICTL = CSHI;
+
+		if(adc_size > 1) 
+			dbuffer[buf_index++] = lo << 4;
+		dbuffer[buf_index++] = hi;
+		
+		// Read Channel #1
+		SPIWR = OUTHI;						// start bit
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 1st clock	
+		SPICTL = ADCKHI; SPICTL = ADCKHI;
+								// DATA remains HI, for SGL mode
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 2nd clock
+		SPICTL = ADCKHI;
+
+		SPIWR = OUTLO;						//channel bit D2
+		SPICTL = ADCKLO;SPICTL = ADCKLO;	// 3rd clock
+		SPICTL = ADCKHI;
+
+		SPIWR = OUTLO;							//channel bit D1
+		SPICTL = ADCKLO;SPICTL = ADCKLO;	// 4th clock
+		SPICTL = ADCKHI;
+
+		SPIWR = OUTHI;							//channel bit D0
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 5th clock
+
+		//SPICTL = ADCKHI;
+		SPICTL = ADCKHI; SPICTL = ADCKHI;
+		SPICTL = ADCKHI; SPICTL = ADCKHI;
+		SPICTL = ADCKHI; SPICTL = ADCKHI;
+		SPICTL = ADCKLO; SPICTL = ADCKLO;;  // 6th clock
+		SPICTL = ADCKHI; SPICTL = ADCKHI;
+		SPICTL = ADCKHI; SPICTL = ADCKHI;
+		SPICTL = ADCKHI; SPICTL = ADCKHI;
+		//SPICTL = ADCKHI;
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 7th clock, Null bit
+		SPICTL = ADCKHI;  SPICTL = ADCKHI;
+		
+		SPICTL = ADCKLO;SPICTL = ADCKLO;	// 8th clock, B11
+		SPICTL = ADCKHI;
+		hi = SPIRD & 1;
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 9th clock, B10
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 10th clock, B9
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+ 
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 11th clock, B8
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 12th clock, B7
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+	
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 13th clock, B6
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 14th clock, B5
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 15th clock, B4
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 16th clock, B3
+		SPICTL = ADCKHI;
+		lo = (SPIRD & 1);
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 17th clock, B2
+		SPICTL = ADCKHI;
+		lo = (lo << 1) | (SPIRD & 1);
+	
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 18th clock, B1
+		SPICTL = ADCKHI;
+		lo = (lo << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 19th clock, B0
+		SPICTL = ADCKHI;
+		lo = (lo << 1) | (SPIRD & 1);
+		SPICTL = CSHI;
+
+		if(adc_size > 1) 
+			dbuffer[buf_index++] = lo << 4;
+		dbuffer[buf_index++] = hi;
+
+	    while(TCNT1 < timer) ;		// Wait on TCNT1	
+		asm("nop");	asm("nop");	asm("nop");
+	    }
+	PORTC &= 127;
+}
+
+u16 read_sadc(u8 ch)
+{
+	SPIWR = OUTHI;					   	// MCP3208 start bit
+	SPICTL = ADCKLO; SPICTL = ADCKLO;	// 1st clock	
+	SPICTL = ADCKHI; SPICTL = ADCKHI;
+
+	//if(diffmode) SPIWR = OUTLO;			// DATA remains HI, for SGL mode
+
+	SPICTL = ADCKLO;	SPICTL = ADCKLO;// 2nd clock
+	SPICTL = ADCKHI;
+
+	if(ch & 4) 							// D2 bit of channel
+		SPIWR = OUTHI;		
+	else
+		SPIWR = OUTLO;		
+	SPICTL = ADCKLO;SPICTL = ADCKLO;	// 3rd clock
+	SPICTL = ADCKHI;
+
+	if(ch & 2) 							// D1 bit of channel
+		SPIWR = OUTHI;		
+	else
+		SPIWR = OUTLO;		
+	SPICTL = ADCKLO;SPICTL = ADCKLO;	// 4th clock
+	SPICTL = ADCKHI;
+
+	if(ch & 1) 							// D0 bit of channel
+		SPIWR = OUTHI;		
+	else
+		SPIWR = OUTLO;		
+	SPICTL = ADCKLO;SPICTL = ADCKLO;	// 5th clock
+	SPICTL = ADCKHI; 
+
+	SPICTL = ADCKLO;					// 6th clock
+
+	TCCR1B = (1<<CS11);				// Counter1 Normal mode, 1 MHz
+	TCNT1 = 0;
+	while(TCNT1L < sampling_time) ;		// Wait for sampling
+	SPICTL = ADCKHI; SPICTL = ADCKHI; 
+
+	SPICTL = ADCKLO; SPICTL = ADCKLO;	// 7th clock, Null bit
+	SPICTL = ADCKHI; SPICTL = ADCKHI;
+
+	SPICTL = ADCKLO; SPICTL = ADCKLO;	// 8th clock, B11
+	SPICTL = ADCKHI;
+	hi = SPIRD & 1;
+
+	SPICTL = ADCKLO; SPICTL = ADCKLO;	// 9th clock, B10
+	SPICTL = ADCKHI;
+	hi = (hi << 1) | (SPIRD & 1);
+
+	SPICTL = ADCKLO; SPICTL = ADCKLO;	// 10th clock, B9
+	SPICTL = ADCKHI;
+	hi = (hi << 1) | (SPIRD & 1);
+ 
+	SPICTL = ADCKLO; SPICTL = ADCKLO;	// 11th clock, B8
+	SPICTL = ADCKHI;
+	hi = (hi << 1) | (SPIRD & 1);
+
+	SPICTL = ADCKLO; SPICTL = ADCKLO;	// 12th clock, B7
+	SPICTL = ADCKHI;
+	lo = (SPIRD & 1);
+
+	SPICTL = ADCKLO; SPICTL = ADCKLO;	// 13th clock, B6
+	SPICTL = ADCKHI;
+	lo = (lo << 1) | (SPIRD & 1);
+
+	SPICTL = ADCKLO; SPICTL = ADCKLO;	// 14th clock, B5
+	SPICTL = ADCKHI;
+	lo = (lo << 1) | (SPIRD & 1);
+
+	SPICTL = ADCKLO; SPICTL = ADCKLO;	// 15th clock, B4
+	SPICTL = ADCKHI;
+	lo = (lo << 1) | (SPIRD & 1);
+
+	SPICTL = ADCKLO; SPICTL = ADCKLO;	// 16th clock, B3
+	SPICTL = ADCKHI;
+	lo = (lo << 1) | (SPIRD & 1);
+
+	SPICTL = ADCKLO; SPICTL = ADCKLO;	// 17th clock, B2
+	SPICTL = ADCKHI;
+	lo = (lo << 1) | (SPIRD & 1);
+
+	SPICTL = ADCKLO; SPICTL = ADCKLO;	// 18th clock, B1
+	SPICTL = ADCKHI;
+	lo = (lo << 1) | (SPIRD & 1);
+
+	SPICTL = ADCKLO; SPICTL = ADCKLO;	// 19th clock, B0
+	SPICTL = ADCKHI;
+	lo = (lo << 1) | (SPIRD & 1);
+	SPICTL = CSHI;
+
+	return (hi << 8) | lo;
+}
+
+
+void  fast_read_sadc(u8 ch)	// data saved in hi. Used by trigger routine only
+{
+	u8 d0 = ((ch & 1)<<1) | 1;	// Channel D0
+	u8 d1 = (ch & 2) | 1;		// Channel D1
+	u8 d2 = ((ch & 4)>>1) | 1;	// Channel D2
+
+	SPIWR = OUTHI;		// start bit
+	SPICTL = ADCKLO;	// 1st clock	
+	SPICTL = ADCKHI;  
+			// DATA remains HI, for SGL mode
+	SPICTL = ADCKLO;	// 2nd clock
+	SPICTL = ADCKHI;
+	
+	SPIWR = d2;			// Channel # D2
+	SPICTL = ADCKLO;  	// 3rd clock
+	SPICTL = ADCKHI;
+
+	SPIWR = d1;			// Channel # D1
+	SPICTL = ADCKLO; 	// 4th clock
+	SPICTL = ADCKHI;
+
+	SPIWR = d0;			// Channel # D0
+	SPICTL = ADCKLO;	// 5th clock
+	SPICTL = ADCKHI; SPICTL = ADCKHI; 		//samplimg start
+
+	SPICTL = ADCKLO; SPICTL = ADCKLO;  		// 6th clock
+	SPICTL = ADCKHI; 						// sampling end
+	SPICTL = ADCKLO;	// 7th clock, Null bit
+	SPICTL = ADCKHI;  
+
+	SPICTL = ADCKLO;	// 8th clock, B11
+	SPICTL = ADCKHI;
+	hi = SPIRD & 1;
+
+	SPICTL = ADCKLO;	// 9th clock, B10
+	SPICTL = ADCKHI;
+	hi = (hi << 1) | (SPIRD & 1);
+
+	SPICTL = ADCKLO;	// 10th clock, B9
+	SPICTL = ADCKHI;
+	hi = (hi << 1) | (SPIRD & 1);
+ 
+	SPICTL = ADCKLO;	// 11th clock, B8
+	SPICTL = ADCKHI;
+	hi = (hi << 1) | (SPIRD & 1);
+
+	SPICTL = ADCKLO;	// 12th clock, B7
+	SPICTL = ADCKHI;
+	hi = (hi << 1) | (SPIRD & 1);
+	
+	SPICTL = ADCKLO;	// 13th clock, B6
+	SPICTL = ADCKHI;
+	hi = (hi << 1) | (SPIRD & 1);
+
+	SPICTL = ADCKLO;	// 14th clock, B5
+	SPICTL = ADCKHI;
+	hi = (hi << 1) | (SPIRD & 1);
+	SPICTL = ADCKLO;	// 15th clock, B4
+	SPICTL = ADCKHI;
+	hi = (hi << 1) | (SPIRD & 1);
+	SPICTL = CSHI;
+}
+
+
+void set_dac(u8 ch, u16 val)		// channel number & data
+{
+	SPICTL = DACKLO;						// DAC CS and CLK goes LO
+	SPIWR = (ch << 1) | 1;					// channel select bit and PB0 pullup
+	SPICTL = DACKLO;	SPICTL = DACKLO;	// 1st clock	
+	SPICTL = DACKHI;    SPICTL = DACKHI;
+	
+	SPIWR = OUTHI;			// Buffer enable
+	SPICTL = DACKLO;	SPICTL = DACKLO;	// 2st clock	
+	SPICTL = DACKHI;    SPICTL = DACKHI;
+
+	SPIWR = OUTHI;			// gain = 1
+	SPICTL = DACKLO;	SPICTL = DACKLO;	// 3rd clock	
+	SPICTL = DACKHI;    SPICTL = DACKHI;
+
+	SPIWR = OUTHI;			// power down bit
+	SPICTL = DACKLO;	SPICTL = DACKLO;	// 4th clock	
+	SPICTL = DACKHI;    SPICTL = DACKHI;
+
+	tmp16 = 0x800;
+	for(hi=0; hi<12; ++hi)
+		{
+		if(tmp16 & val)
+			SPIWR = OUTHI;			// data bit PB1 + pullup PB0
+		else
+			SPIWR = OUTLO;
+		SPICTL = DACKLO;	SPICTL = DACKLO;	// send clock	
+		SPICTL = DACKHI;    SPICTL = DACKHI;
+		tmp16 >>= 1;
+		}
+	SPICTL = CSHI;
+}
+
+
+//------------------ Time Interval Measurements ----------------------
+boolean wait_for_high(u8 mask)	// Wait until the Input is HIGH
+{
+/*Returns TRUE if any of the Digital Input Socket specified in the 
+'mask' goes HIGH. If mask is zero, waits for a rising edge on CMP
+input socket. Timeout after '50 * TIMEOUTVAL' milliseconds.
+*/
+for(;;)
+    {
+    if(mask)			// Check on Digins
+       {
+       if(PINC & mask)		// Digital Input specified by mask is HIGH ?
+         break;
+       }
+    else			// Mask = 0 means ACMP input
+      if(~ACSR & (1<< ACO))	// When AIN- goes above 1.23V, ACO goes LOW
+        break;
+       
+    if(TCNT1 > TIMERSIZE)
+       {
+       TCNT1 = 0;
+       if(++HTM > TIMEOUTVAL)
+         {
+         dbuffer[0] = TIMEOUT;		// Timeout error
+         return FALSE;
+         }
+       }
+    }
+return TRUE;
+}
+
+boolean wait_for_low(u8 mask)	// Wait until the Input is LOW
+{
+for(;;)
+    {
+    if(mask)			// Check on Digins
+       {
+       if(~PINC & mask)		// Digital Input specified by mask is LOW ?
+         break;
+       }
+    else			// Mask = 0 means ACMP input
+      if(ACSR & (1<< ACO))	// When AIN- goes below 1.23V, ACO goes HIGH
+        break;
+       
+    if(TCNT1 > TIMERSIZE)
+       {
+       TCNT1 = 0;
+       if(++HTM > TIMEOUTVAL)
+         {
+         dbuffer[0] = TIMEOUT;		// Timeout error
+         return FALSE;
+         }
+       }
+    }
+return TRUE;
+}
+
+
+boolean clear_on_rise(u8 mask)	// Clear counters on rising edge
+{
+/* Clears the TCNT1 register and variable HTM and returns TRUE if any of the 
+Digital Input (sockets) specified in the 'mask'goes HIGH. 
+If mask is zero, waits for a rising edge on analog comparator
+input socket.
+*/
+HTM = 0;
+for(;;)
+    {
+    if(mask)			// Check on Digins
+       {
+       if(PINC & mask)		// Digital Input specified by mask
+         break;
+       }
+    else			// Mask = 0 means ACMP input
+      if(~ACSR & (1<< ACO))	// When AIN- goes above 1.23V, ACO goes LOW
+        break;
+       
+    if(TCNT1 > TIMERSIZE)
+       {
+       TCNT1 = 0;
+       if(++HTM > TIMEOUTVAL)
+         {
+         dbuffer[0] = TIMEOUT;		// Timeout error
+         return FALSE;
+         }
+       }
+    }
+TCNT1 = 0; 
+HTM = 0;
+return TRUE;
+}
+
+boolean clear_on_fall(u8 mask)	// Clear counters on falling edge
+{
+HTM = 0;
+for(;;)
+    {
+    if(mask)			
+       {
+       if(~PINC & mask)		// Digital Input specified by mask
+         break;
+       }
+    else			// Mask = 0 means ACMP input
+      if(ACSR & (1<< ACO))	// When AIN- goes below 1.23V, ACO goes HIGH
+        break;
+
+    if(TCNT1 > TIMERSIZE)
+       {
+       TCNT1 = 0;
+       if(++HTM > TIMEOUTVAL)
+         {
+         dbuffer[0] = TIMEOUT;		// Timeout error
+         return FALSE;
+         }
+       }
+    }
+TCNT1 = 0;    
+HTM = 0;
+return TRUE;
+}
+
+boolean mark_on_rise(u8 mask)	// Save the 24 bit counter to dbuffer
+{
+/* Saves the current value of TCNT1 register to variable 'tmp16' when the
+Digital Input (sockets) specified in the 'mask' goes HIGH. If mask is zero,
+save on the rising edge of analog comparator input socket.
+TCNT1 is cleared every time it touches 'TIMESIZE' and variable 'HTM' is incremeted.
+8 bit HTM and 16 bit TCNT1 together stores a 24 bit size time interval
+that is send to the PC.
+*/
+for(;;)		
+    {
+    if(mask)			
+       {
+       if(PINC & mask)		// Digital Input specified by mask
+         break;
+       }
+    else			// Mask = 0 means ACMP input
+      if(~ACSR & (1<< ACO))	// When AIN- goes above 1.23V, ACO goes LOW
+        break;
+
+    if(TCNT1 > TIMERSIZE)
+       {
+       TCNT1 = 0;
+       if(++HTM > TIMEOUTVAL)
+         {
+         dbuffer[0] = TIMEOUT;		// Timeout error
+         return FALSE;
+         }
+       }
+    }
+dbuffer[buf_index++] = TCNT1L;
+dbuffer[buf_index++] = TCNT1H;
+dbuffer[buf_index++] = HTM;
+return TRUE;
+}
+
+boolean mark_on_fall(u8 mask)	// Save counter to dbuffer 
+{
+for(;;)
+    {
+    if(mask)	
+       {
+       if(~PINC & mask)		// Digital Input specified by mask
+         break;
+       }
+    else			// Mask = 0 means ACMP input
+      if(ACSR & (1<< ACO))	// When AIN- goes below 1.23V, ACO goes HIGH
+        break;
+ 
+    if(TCNT1 > TIMERSIZE)
+       {
+       TCNT1 = 0;
+       if(++HTM > TIMEOUTVAL)
+         {
+         dbuffer[0] = TIMEOUT;		// Timeout error
+         return FALSE;
+         }
+       }
+    }
+dbuffer[buf_index++] = TCNT1L;
+dbuffer[buf_index++] = TCNT1H;
+dbuffer[buf_index++] = HTM;
+return TRUE;
+}
+
+
+//------------------ Actions before capturing waveforms ------------------
+#define	ASET 		1
+#define	ACLR		2
+#define	APULSEHI	3
+#define	APULSELO	4
+#define	AWAITHI		5
+#define	AWAITLO		6
+#define	AWAITRISE	7
+#define	AWAITFALL	8
+
+void triggers(u8 ch, u16 tg)
+{
+	TCNT1 =0;
+	HTM = 0;
+	switch(action)
+		{
+		case 0:
+			for(tmp16 = 0; tmp16 < 200; ++ tmp16)	// NEED changes here
+				{
+				fast_read_sadc(ch);		// result stored in hi
+				lo = hi;
+				TCNT1 = 0;
+				while(TCNT1 < 20) ;
+				fast_read_sadc(ch);		// result stored in hi
+				if( (lo < hi) && (hi >= triglo) && (hi <= trighi) ) break;
+				}
+			break;
+
+		case ASET:
+	        PORTC |= actionmask;			// Set the output bits as per mask
+			break;
+
+      	case ACLR:
+	        PORTC &= ~actionmask;			// Clear the output bits as per mask
+			break;
+
+		case APULSEHI:
+	        PORTC |= actionmask;			// Set the output bits as per mask
+			TCNT1 = 0;
+			while(TCNT1 < pulse_width) ;	// delay			
+	        PORTC &= ~actionmask;			// Clear the output bits as per mask
+			break;
+
+        case APULSELO:
+	        PORTC &= ~actionmask; 			// Clear the output bit as per mask
+			TCNT1 = 0;
+			while(TCNT1 < pulse_width) ;	// delay			
+    	    PORTC |= actionmask;			// Set the output bits as per mask
+			break;
+
+		case AWAITHI:
+			wait_for_high(actionmask); 		// Wait for HIGH
+	        break;
+
+		case AWAITLO:
+	        wait_for_low(actionmask);  		// Wait for LOW
+	        break;
+
+		case AWAITRISE:
+	        wait_for_low(actionmask);  		// Wait for LOW and then
+			wait_for_high(actionmask); 		// Wait for HIGH
+	        break;
+
+		case AWAITFALL:
+			wait_for_high(actionmask); 		// Wait for HIGH and then
+	        wait_for_low(actionmask);  		// Wait for LOW
+	        break;
+        }
+	dbuffer[0] = 'D';						// Action timeout NOT an error ???
+}
+
+
+int main()
+{
+u8 cmd,ch;
+u16 ns, tg;				// Number of samples and Time gap
+DDRA = 31; 				// D0 to D4 are 555 capacitor switches. DDR will change.
+PORTA = 0;				// no pullups
+DDRB = 2+8;				// Serial OUT , OC0
+DDRC = 0xF0;			// 4 MSBs are outputs
+DDRD = 128+16+8+4;		// PWG, CSDAC, CSADC, CLOCK
+PORTC= 3;				// Enable pullup resistors for PC0 and PC1
+
+
+// Initialize the RS232 communication link to the PC 38400, 8, 1, E
+UCSRB = (1<<RXEN) | (1<<TXEN);
+//UCSRA = (1 << U2X);
+UBRRH = 0;
+UBRRL = 12;		// At 8MHz (12 =>38400) (25 => 19200)
+UCSRC = (1<<URSEL) | (1<<UPM1) | (1<<UCSZ1) | (1<<UCSZ0); // 8,1,E
+
+ACSR = (1<<ACBG);		// AIN(+) connected to Vbg = 1.23V
+TCCR1B = (1<<CS11);		// Counter1 Normal mode, 1 MHz
+
+buf_index = 0;
+for(;;)
+    {
+    while ( !(UCSRA & (1<<RXC)) ) ;			// wait for receiver data
+    dbuffer[buf_index++] = UDR;		    	// Put the byte in the buffer.
+
+    if(buf_index*GROUPSIZE > dbuffer[0])	// Process after required no. of arguments
+      {
+	  HTM = 0; TCNT1 = 0;		// Keep them in known state
+	  cmd = dbuffer[0];
+	  dbuffer[0] = 'D';			// Fill reply Assuming Success
+	  buf_index = 1;			// Filling of return Data from second byte onwards
+	  //PORTC |= 32; used for touble shooting
+      switch(cmd)
+		 {
+		 case CAPTURE_M32:
+			ch = dbuffer[1];					  // ATmega32 ADC channel
+	  		ns = dbuffer[2] | (dbuffer[3] << 8);  // Number of samples
+			tg = dbuffer[4] | (dbuffer[5] << 8) ; // Time gap
+			capture_m32(ch, ns, tg);
+			break;
+
+	     case CAPTURE:
+			ch = dbuffer[1];					  // ADC channel
+	  		ns = dbuffer[2] | (dbuffer[3] << 8);  // Number of samples
+			tg = dbuffer[4] | (dbuffer[5] << 8) ; // Time gap
+			if( (ns*adc_size > BUFSIZE) || (tg < MINTG) || (tg > MAXTG))
+				{
+          		dbuffer[0] = INVARG;
+          		break;
+				}
+			triggers(ch,tg);
+			dbuffer[buf_index++] = adc_size;	 // adc_size to the caller
+			capture(ch, ns, tg);    // dbuffer[1] is channel #		 
+		    break;
+
+	     case CAPTURE01:	// Captures ch0 & ch1
+	  		ns = dbuffer[1] | (dbuffer[2] << 8);  // Number of samples
+			tg = dbuffer[3] | (dbuffer[4] << 8);	 // Time gap
+			if( (2*ns*adc_size > BUFSIZE) || (tg < MINTG) ||(tg > MAXTG))
+				{
+          		dbuffer[0] = INVARG;
+          		break;
+				}
+			dbuffer[buf_index++] = adc_size;		 // adc_size to the caller
+			triggers(0,tg);
+			capture01(ns, tg); 		 
+		    break;
+
+         case QCAPTURE:
+			ch = dbuffer[1];
+	  		ns = dbuffer[2] | (dbuffer[3] << 8);  // Number of samples
+			tg = dbuffer[4];
+			if( (ns > BUFSIZE) || (tg < MINTGQ) || (tg > MAXTGQ))
+				{
+          		dbuffer[0] = INVARG;
+          		break;
+				}
+			triggers(ch,tg);
+			if(dbuffer[4] == 10)		// Special case Time gap
+				qcapture_min(ch, ns, dbuffer[4]);
+			else
+				qcapture(ch, ns, dbuffer[4]);
+			break;
+
+	     case QCAPTURE01:	// Captures ch0 & ch1
+	  		ns = dbuffer[1] | (dbuffer[2] << 8);   // Number of samples
+			tg = dbuffer[3];
+			if( (2*ns > BUFSIZE) || (tg < MINTGQ)|| (tg > MAXTGQ))
+				{
+          		dbuffer[0] = INVARG;
+          		break;
+				}
+			triggers(0,tg);
+			qcapture01(ns, dbuffer[3]); 	  // [3] is time gap
+		    break;
+
+ 	     case SETADCSIZE:		// 12 bit ADC, set to 1 or 2 bytes
+        	if(dbuffer[1] > 2)
+          		{
+          		dbuffer[0] = INVARG;
+          		break;
+          		}
+	        adc_size = dbuffer[1];
+    	    break;
+
+ 	     case SETSAMTIME:		// Serial ADC, sampling time, clock 6
+        	if(dbuffer[1] > 250)
+          		{
+          		dbuffer[0] = INVARG;
+          		break;
+          		}
+	        sampling_time = dbuffer[1];
+    	    break;
+
+	     case READADC:		// Reads the requested channel of MCP3208 ADC
+			tmp16 = read_sadc(dbuffer[1]);
+			tmp16 += read_sadc(dbuffer[1]);
+			tmp16 += read_sadc(dbuffer[1]);
+			tmp16 += read_sadc(dbuffer[1]);
+			tmp16 /= 4;
+			dbuffer[buf_index++] = tmp16 & 255;
+			dbuffer[buf_index++] = tmp16 >> 8;
+ 	        break;
+
+	     case SETDAC:			// Set the MCP4922 DAC
+	  		set_dac(dbuffer[1], dbuffer[2] | (dbuffer[3] << 8));
+      		break;
+
+	     case SETACTION:
+/* 
+action 1 to 4 : SET/CLR/PULSE Digital Outputs just before capturing waveform.
+action 5 to 8 : Waits on Levels/Edges on Digital Inputs.
+actionmask MSBs keep the Digout Bits. LSBs keep the Digin Bits.
+*/
+			if(dbuffer[1] <= 4)
+				{
+				action = dbuffer[1];
+				actionmask = dbuffer[2] << 4;	// keep in high nibble
+				}
+			else
+			if(dbuffer[1] <= 8)
+				{
+				action = dbuffer[1];
+				actionmask = dbuffer[2] & 15;	// Keep in low nibble
+				}
+			else
+          		dbuffer[0] = INVARG;
+	        break;
+
+    	 case ADCTRIGS:
+/* To get a stable display of periodic waveform, every time the digitization
+should start roughly at the same position of the waveform. Two levels are
+specified to allow rising and falling edge triggering.
+*/    
+	     	triglo = dbuffer[1];			// First Trigger level
+		    trighi = dbuffer[2];			// Second Trigger Level
+		    break;
+
+		 case ADC2CMP:	// ch > 7 means disconnect routing
+			if(dbuffer[1] <= 7)				
+				{
+		        ADMUX = dbuffer[1];		
+      			SFIOR = (1 << ACME);	 	// Route ADC input to AIN-
+		        ADCSRA = 0;					// Disable ADC
+				}
+			else
+				SFIOR = 0;					// Disconnect AIN- from ADC inputs 
+			break;			
+
+// Timer Counter related functions start here.
+	     case SETCOUNTER0:	// TC0 in CTC mode, caller sends CS bits & OCR0
+	        TCCR0 = (1<<WGM01) | (1<<COM00) | (dbuffer[1] & 7);	
+	        OCR0 = dbuffer[2];
+		    break;
+
+	     case SETCOUNTER2:	// TC2 in CTC mode, caller sends CS bits & OCR2
+	        TCCR2 = (1<<WGM21) | (1<<COM20) | (dbuffer[1] & 7);	
+	        OCR2 = dbuffer[2];
+		    break;
+
+	     case SETPWM:			// Set TC0 to 488 Hz PWM 
+			if(dbuffer[1] == 0) 
+				TCCR0 = 0;		// Switch off
+			else
+				{
+	      		OCR0 = dbuffer[1];
+    	  		TCCR0 = (1<<WGM01) | (1<<WGM00) | (1<<COM01) | (1<<CS01) | (1<<CS00); // Fast PWM mode
+				}
+      		break;
+
+	     case SETPWMDAC:			// Set TC0 to 31.25 kHz PWM 
+			if(dbuffer[1] == 0) 
+				TCCR0 = 0;		// Switch off
+			else
+				{
+	      		OCR0 = dbuffer[1];
+    	  		TCCR0 = (1<<WGM01) | (1<<WGM00) | (1<<COM01) | (1<<CS00); // Fast PWM mode
+				}
+      		break;
+
+
+	     case IRSEND:
+			// Infrared communication vis SQR1 output
+			// Sets TC2 in CTC mode, as per the byte to send
+			#define SPACE 500
+			OCR2 = 104;  										// f = 1e6/256/OCR2
+			TCCR2 = (1<<WGM21) | (1<<COM20) | ( 1 << CS20);		// Counter2 CTC mode, pre-scale = 1
+			TCNT1 = 0; while(TCNT1 < SPACE*5);		// beginning
+			TCCR2 = 0;
+			TCNT1 = 0; while(TCNT1 < SPACE);
+
+			lo = dbuffer[1];			// byte to transmit
+			for(hi=0; hi < 8; ++hi)
+				{
+				TCCR2 = (1<<WGM21) | (1<<COM20) | ( 1 << CS20);		// Counter2 CTC mode, pre-scale = 1
+				TCNT1 = 0; while(TCNT1 < SPACE) ;
+				TCCR2 = 0;
+				TCNT1 = 0; while(TCNT1 < SPACE);
+				if(lo & 128)
+					{
+					TCNT1 = 0; while(TCNT1 < SPACE);			
+					}
+				lo <<= 1;
+				}
+			TCCR2 = (1<<WGM21) | (1<<COM20) | ( 1 << CS20);		// Counter2 CTC mode, pre-scale = 1
+			TCNT1 = 0; while(TCNT1 < SPACE) ;
+			TCCR2 = 0;
+			TCNT1 = 0; while(TCNT1 < SPACE) ;
+		    break;
+
+/*-------------------- Passive Time Interval Measurements.-----------------
+ Time interval measurement functions using DIGITAL I/O and ACOMP Sockets.
+The 16 bit Timer/Counter is used for time measurements. A 1 MHz clock is fed
+to the counter and the variable HTM is incremented after when it reaches 50000.
+Timeout is provided on all time measurements.
+Measures the time interval between a Level Transition one Digital Input to 
+another. The 8 bit input data specifies the Input Sockets to wait for.
+The HIGH 4 bits contain the Sockets to look for the First Transition.
+For example dbuffer[1] = 00100001, time between a transition on D1 to a 
+transition on D0 is measured.
+In fact it is possible to wait for more than one sockets at the same time and 
+which ever come first can be taken. At the moment the Python function sets only 
+a single bit in each half. The Start and Stop inputs could be same or different.
+
+A special case arise when all the 4 bits are zero. In that case transition on
+the Analog Comparator input Socket is waited for. Using the ADC2CMP function,
+it is possible to route ADC input pins to Analog Comaparator Input.
+*/ 
+	     case R2RTIME:
+			hi = dbuffer[1] >> 4;		// 4 MSBs start pins
+     		lo = dbuffer[1] & 15;		// 4 LSBs end pins
+      		if(wait_for_low(hi))		// Just make sure the level is LOW
+        	  if(clear_on_rise(hi))		// Clear counter on rising edge src pin 
+          		if(wait_for_low(lo))	// DST pin should be LOW
+            	  mark_on_rise(lo);		// Store counters at rising edge dst pin
+      		break;
+
+	     case F2FTIME:
+			hi = dbuffer[1] >> 4;		// 4 MSBs start pins
+     		lo = dbuffer[1] & 15;		// 4 LSBs end pins
+      		if(wait_for_high(hi))		// Just make sure the level is HIGH
+        	  if(clear_on_fall(hi))		// Clear counter on falling edge src pin 
+          		if(wait_for_high(lo))	// DST pin should be HIGH
+            	  mark_on_fall(lo);		// Store counters at falling edge dst pin
+      		break;
+
+	     case R2FTIME:
+			hi = dbuffer[1] >> 4;		// 4 MSBs start pins
+     		lo = dbuffer[1] & 15;		// 4 LSBs end pins
+      		if(wait_for_low(hi))		// Just make sure the level is LOW
+        	  if(clear_on_rise(hi))		// Clear counter on rising edge src pin 
+            	mark_on_fall(lo);		// Store counters at falling edge dst pin
+      		break;
+
+	     case F2RTIME:
+			hi = dbuffer[1] >> 4;		// 4 MSBs start pins
+     		lo = dbuffer[1] & 15;		// 4 LSBs end pins
+      		if(wait_for_high(hi))		// Just make sure the level is HIGH
+        	  if(clear_on_fall(hi))		// Clear counter on falling edge src pin 
+            	mark_on_rise(lo);		// Store counters at rising edge dst pin
+      		break;
+		
+	     case MULTIR2R:	
+/* Measures the time interval between two rising edges on the same Input Socket.
+The 4 LSBs of the first argument specifies the Input Socket to look for.
+The second argument specifies the number of rising edges to be skipped in between
+the two edges measured. For example dbuffer[2] = 9 returns the time taken
+for 10 cycles. Averaging is useful for  better measurement accuracy.
+*/    
+	      	lo = dbuffer[1] & 15;		// pin number in 4 LSBs 
+      		TCNT1 =0;
+      		HTM = 0;
+      		if(!wait_for_low(lo)) break;	// Make sure the level is LOW
+      		if(!clear_on_rise(lo))break;	// Clear counter on rising edge src pin 
+      		if(!wait_for_low(lo)) break;
+     
+      		while (dbuffer[2]--)
+      			{
+		        if(!wait_for_high(lo))break;
+        		if(!wait_for_low(lo)) break;
+        		}
+			if(dbuffer[0] == TIMEOUT) break;
+  			mark_on_rise(lo);				// Store counters at rising edge
+		    break;
+
+/*-------------------- Active Time Interval Measurements ----------------------
+Sets the DIGITAL Output Sockets as per the 4 MSBs of the argument and measures 
+the time from that to a Level Transition on the Input Socket specified by the 4 LSBs 
+of the argument. 4 LSBs zero means Analog Comparator.
+*/  
+		 case SET2RTIME:
+        	PORTC |= dbuffer[1] & 0xF0;		// SET as per 4 MSBs 
+      		HTM = 0;
+      		TCNT1 = 0;
+      		mark_on_rise(dbuffer[1] & 15);	// Wait as per 4 LSBs
+    		break;
+
+		 case SET2FTIME:
+        	PORTC |= dbuffer[1] & 0xF0;		// SET as per 4 MSBs 
+      		HTM = 0;
+      		TCNT1 = 0;
+      		mark_on_fall(dbuffer[1] & 15);	// Wait as per 4 LSBs
+    		break;
+
+		 case CLR2RTIME:
+        	PORTC &= ~(dbuffer[1] & 0xF0);	// CLR as per 4 MSBs 
+      		HTM = 0;
+      		TCNT1 = 0;
+      		mark_on_rise(dbuffer[1] & 15);	// Wait as per 4 LSBs
+    		break;
+
+		 case CLR2FTIME:
+        	PORTC &= ~(dbuffer[1] & 0xF0);	// CLR as per 4 MSBs 
+      		HTM = 0;
+      		TCNT1 = 0;
+      		mark_on_fall(dbuffer[1] & 15);	// Wait as per 4 LSBs
+    		break;
+
+		 case SETPULSEWID:
+			pulse_width = dbuffer[1];
+		    break;
+
+    	 case SETPULSEPOL:			// For the PULSE2* functions
+	     	pulse_pol = dbuffer[1];
+      		break;
+
+		 case USOUND:					// Sends a Pulse of PC5, look on PC2	
+       		PORTC |= (1 << PC4);			// set OD0
+			TCNT1 = 0;
+			while(TCNT1L < pulse_width) ;	//kill time
+	        PORTC &= ~(1 << PC4);			// Clear OD0
+		    HTM = 0;
+			TCNT1 = 0;     
+			while(TCNT1 < DEADTIME) ;		// Wait to settle noise
+		    mark_on_rise(1<<PC2);			// Store counters at rising of PC2
+			break;			
+
+		 case PULSE2RTIME:	
+		 case PULSE2FTIME:	
+			hi = dbuffer[1] & 0xF0;		// 4 MSBs start pins
+     		lo = dbuffer[1] & 15;		// 4 LSBs end pins
+		    if(pulse_pol)				// HIGH TRUE pulse
+        		{
+        		PORTC |= hi;			// Set source bit
+				TCNT1 = 0;
+				while(TCNT1L < pulse_width) ;	//kill time
+		        PORTC &= ~hi;			// Restore old value
+        		}
+     		else						// LOW TRUE pulse
+        		{
+	        	PORTC &= ~hi;			// Clear source bit
+				TCNT1 = 0;
+				while(TCNT1L < pulse_width) ;	//kill time
+		        PORTC |= hi;			// Restore old value
+        		}
+		    HTM = 0;
+			TCNT1 = 0;     
+			while(TCNT1 < DEADTIME) ;	// Wait to settle noise
+			if(cmd == PULSE2RTIME)
+			    mark_on_rise(lo);		// Store counters at rising of dst
+			else
+			    mark_on_fall(lo);		// Store counters at falling of dst
+
+		    break;
+
+//-----------------------Digital I/O functions-------------------------
+	     case DIGOUT:
+     		PORTC = (dbuffer[1] << 4) | 3;   // Only PCO & PC1 need to be pulled up
+		    break;
+
+	     case DIGIN:			// 3 bits of PortC, 4th is analog comparator output
+	        ADMUX = 5;					// SENSOR output is on ADC input 5		
+   			SFIOR = (1 << ACME);	 	// Route ADC input to AIN-
+	        ADCSRA = 0;					// Disable ADC
+     		dbuffer[buf_index++] = (PINC & 7) | ((ACSR & (1<<ACO))>>2);
+     		break;
+
+	     case WREEPROM:
+			tmp16 = dbuffer[2] << 8;	// 16 bit Internal SEEPROM address by
+		    tmp16 |= dbuffer[1];		// combining low and high bytes
+			eeprom_write_byte ( (u8ptr)tmp16, dbuffer[3]);
+	        break;
+
+	     case RDEEPROM:
+			tmp16 = dbuffer[2] << 8;	// 16 bit Internal SEEPROM address by
+		    tmp16 |= dbuffer[1];		// combining low and high bytes
+			ch = dbuffer[3];
+			for(lo=0; lo < ch; ++lo)
+				dbuffer[buf_index++] = eeprom_read_byte ((u8ptr)(tmp16+lo));
+	        break;
+
+//----------------------- Low level PORT access functions----------------
+	     case SETDDR:
+      		if(dbuffer[1] == 0) DDRA = dbuffer[2];
+      		else if(dbuffer[1] == 1) DDRB = dbuffer[2];
+		    else if(dbuffer[1] == 2) DDRC = dbuffer[2];
+      		else if(dbuffer[1] == 3) DDRD = dbuffer[2];
+		    break;
+
+	     case SETPORT:
+      		if(dbuffer[1] == 0) PORTA = dbuffer[2];
+      		else if(dbuffer[1] == 1) PORTB = dbuffer[2];
+      		else if(dbuffer[1] == 2) PORTC = dbuffer[2];
+      		else if(dbuffer[1] == 3) PORTD = dbuffer[2];
+      		break;
+	     
+		 case GETPORT:
+      		if(dbuffer[1] == 0) dbuffer[buf_index++] = PINA;
+      		else if(dbuffer[1] == 1) dbuffer[buf_index++] = PINB;
+      		else if(dbuffer[1] == 2) dbuffer[buf_index++] = PINC;
+      		else if(dbuffer[1] == 3) dbuffer[buf_index++] = PIND;
+      		break;
+
+		 case GETVERSION:
+      		memcpy_P(&dbuffer[1], version,5);
+      		buf_index += 5;
+      		break;
+
+    	 default:
+      		dbuffer[0] = INVCMD;		// Invalid Command
+      		break;	
+         }
+
+   	  while( !(UCSRA & (1 <<UDRE) ) );
+      UDR = dbuffer[0];					// Send the response byte in all cases
+	  // If no error, send the data bytes to the PC. No handshake used.
+	  if(dbuffer[0] == 'D')
+	 	 for(tmp16=1; tmp16 < buf_index; ++tmp16)	
+      		{
+    		while( !(UCSRA & (1 <<UDRE) ) );
+    		UDR = dbuffer[tmp16];
+			}
+      buf_index = 0;
+	  //PORTC &= ~32;		used for trouble shooting only
+      }
+    }
+return 0;
+}
+
diff --git a/firmware/go b/firmware/go
new file mode 100755
index 0000000..664f527
--- /dev/null
+++ b/firmware/go
@@ -0,0 +1,5 @@
+pushd /usr/share/expeyes/firmware
+./set_fuse.sh
+./upload.sh eyes
+python test.py
+
diff --git a/firmware/go-mca b/firmware/go-mca
new file mode 100755
index 0000000..54aa107
--- /dev/null
+++ b/firmware/go-mca
@@ -0,0 +1,5 @@
+pushd /usr/share/expeyes/firmware
+./set_fuse.sh
+./upload.sh mca
+python mca-test.py
+
diff --git a/firmware/mca-test.py b/firmware/mca-test.py
new file mode 100644
index 0000000..9efe13d
--- /dev/null
+++ b/firmware/mca-test.py
@@ -0,0 +1,5 @@
+import expeyes.mca
+p=expeyes.mca.open()
+
+print 'MCA version ->', p.get_version()
+
diff --git a/firmware/mca.c b/firmware/mca.c
new file mode 100644
index 0000000..8d23f2f
--- /dev/null
+++ b/firmware/mca.c
@@ -0,0 +1,264 @@
+/*  
+EYES MCA
+Program : mca.c, running on AtMega32 micro-controller
+Listens on the RS232 port for commands fom the PC, by mcalib.py, and acts accordingly.
+Author  : Ajith Kumar B.P, ( bpajith at gmail.com )
+License : GNU GPL version 3
+Last Edit on 20-Oct-2011
+*/
+
+#include <avr/io.h>
+#include <avr/pgmspace.h>
+#include <avr/eeprom.h>
+#include <avr/interrupt.h>
+
+#define	GROUPSIZE	40	// Up to 40 commands in each group
+
+// commands without any arguments (1 to 40)
+#define GETVERSION	1	// Get the Eyes firmware version
+#define READCH0		2   // Reads ADC channel 0
+#define STARTHIST	10	// Start histogramming
+#define READHIST	11	// Send the histogram to PC, 2 x 256 bytes data
+#define CLEARHIST	12	// Send the histogram to PC, 2 x 256 bytes data
+#define STOPHIST	13	// Stop histogramming
+
+// Reply from ATmega8 to the PC
+#define DONE		'D'	// Command executed successfully
+#define	INVCMD		'C'	// Invalid Command
+#define INVARG		'A'	// Invalid input data
+#define INVBUFSIZE	'B'	// Resulting data exceeds buffersize
+#define TIMEOUT		'T'	// Time measurement timed out
+
+#define TRUE	1
+#define FALSE	0
+
+typedef uint16_t  u16;
+typedef uint8_t  u8, *u8ptr;
+typedef u8 boolean;
+const char version[] PROGMEM = "mc1.0";
+
+
+#define	HISTSIZE		1024		// 2 x 511 , 9 bit MCA , 16 bit words
+
+//----------------------- Global variables -----------------------------
+u8 	dbuffer[2 + HISTSIZE];	// status + pad + Data
+u16 buf_index;
+u16 tmp16;					// Gloabal temporary variable
+
+// -------------------------- Serial ADC & DAC -------------------------
+#define   SPICTL	PORTD			// CK=PD2, ADCS = PD3, DACS = PD4
+#define   CLK 		(1 << PD2)
+#define   ADCS 		(1 << PD3)
+#define   DACS 		(1 << PD4)
+#define	  ADCKLO	DACS			// ADCS and CLK low
+#define	  ADCKHI	DACS+CLK		// ADCS low and Clock hi
+#define   CSHI		DACS+ADCS+CLK	// Both CS and Clock hi
+#define	  DACKLO	ADCS			// DACS and CLK low
+#define	  DACKHI	ADCS+CLK		// DACS low and Clock hi
+#define   SPIWR		PORTB
+#define   SPIRD	    PINB
+#define	  OUTHI		2+1				// PB1 HI and pullup of PB0
+#define	  OUTLO		1				// PB1 LO pullup of PB0
+
+u8  	hi, lo, HTM;	
+u16 	adval;
+
+
+//------------------------------ Reading External ADC MCP3208 -------------------------------
+#define	  SAMTIME	5
+void read_ch0()
+{
+	SPIWR = OUTHI;					   	// MCP3208 start bit
+	SPICTL = ADCKLO; SPICTL = ADCKLO;	// 1st clock	
+	SPICTL = ADCKHI; SPICTL = ADCKHI;
+
+	//if(diffmode) SPIWR = OUTLO;			// DATA remains HI, for SGL mode
+
+	SPICTL = ADCKLO;	SPICTL = ADCKLO;// 2nd clock
+	SPICTL = ADCKHI;
+
+	SPIWR = OUTLO;				// for ch0, D2, D1 & D0 are low
+	SPICTL = ADCKLO;SPICTL = ADCKLO;	// 3rd clock
+	SPICTL = ADCKHI;
+
+	SPIWR = OUTLO;				// D1 is low
+	SPICTL = ADCKLO;SPICTL = ADCKLO;	// 4th clock
+	SPICTL = ADCKHI;
+
+	SPIWR = OUTLO;				// D0 is low
+	SPICTL = ADCKLO;SPICTL = ADCKLO;	// 5th clock
+	SPICTL = ADCKHI; 
+
+	SPICTL = ADCKLO;					// 6th clock
+
+	TCCR1B = (1<<CS11);			// Counter1 Normal mode, 1 MHz
+	TCNT1 = 0;
+	while(TCNT1L < SAMTIME);		// Wait for sampling
+	SPICTL = ADCKHI; SPICTL = ADCKHI; 
+
+	SPICTL = ADCKLO; SPICTL = ADCKLO;	// 7th clock, Null bit
+	SPICTL = ADCKHI; SPICTL = ADCKHI;
+
+	SPICTL = ADCKLO; SPICTL = ADCKLO;	// 8th clock, B11
+	SPICTL = ADCKHI;
+	hi = SPIRD & 1;
+
+	SPICTL = ADCKLO; SPICTL = ADCKLO;	// 9th clock, B10
+	SPICTL = ADCKHI;
+	hi = (hi << 1) | (SPIRD & 1);
+
+	SPICTL = ADCKLO; SPICTL = ADCKLO;	// 10th clock, B9
+	SPICTL = ADCKHI;
+	hi = (hi << 1) | (SPIRD & 1);
+ 
+	SPICTL = ADCKLO; SPICTL = ADCKLO;	// 11th clock, B8
+	SPICTL = ADCKHI;
+	hi = (hi << 1) | (SPIRD & 1);
+
+	SPICTL = ADCKLO; SPICTL = ADCKLO;	// 12th clock, B7
+	SPICTL = ADCKHI;
+	lo = (SPIRD & 1);
+
+	SPICTL = ADCKLO; SPICTL = ADCKLO;	// 13th clock, B6
+	SPICTL = ADCKHI;
+	lo = (lo << 1) | (SPIRD & 1);
+
+	SPICTL = ADCKLO; SPICTL = ADCKLO;	// 14th clock, B5
+	SPICTL = ADCKHI;
+	lo = (lo << 1) | (SPIRD & 1);
+
+	SPICTL = ADCKLO; SPICTL = ADCKLO;	// 15th clock, B4
+	SPICTL = ADCKHI;
+	lo = (lo << 1) | (SPIRD & 1);
+
+	SPICTL = ADCKLO; SPICTL = ADCKLO;	// 16th clock, B3
+	SPICTL = ADCKHI;
+	lo = (lo << 1) | (SPIRD & 1);
+
+	SPICTL = ADCKLO; SPICTL = ADCKLO;	// 17th clock, B2
+	SPICTL = ADCKHI;
+	lo = (lo << 1) | (SPIRD & 1);
+
+	SPICTL = ADCKLO; SPICTL = ADCKLO;	// 18th clock, B1
+	SPICTL = ADCKHI;
+	lo = (lo << 1) | (SPIRD & 1);
+
+	SPICTL = ADCKLO; SPICTL = ADCKLO;	// 19th clock, B0
+	SPICTL = ADCKHI;
+	lo = (lo << 1) | (SPIRD & 1);
+	SPICTL = CSHI;
+
+	adval = (hi << 8) | lo;
+}
+
+volatile u8 done = 0; 
+
+ISR(ANA_COMP_vect)	// HISTOGRAM
+{
+read_ch0();				// digitize A0, 12 bit result in adval
+adval >>= 3;            // right shift to make it 9 bits
+
+++*( (u16*)dbuffer + 1 + adval);
+
+done = 1;
+PORTC &= ~0x80;			// send a LOW TRUE pulse on PC7
+PORTC |= 0x80;     
+}
+
+
+int main()
+{
+u8 cmd;
+DDRB =  2;			// Serial OUT , OC0(PB3) will be made output when needed
+DDRD = 128+16+8+4;		// PWG, CSDAC, CSADC, CLOCK
+DDRC = 0x80;			// PC7 as output
+PORTC= 0x80;				
+
+// Initialize the RS232 communication link to the PC 38400, 8, 1, E
+UCSRB = (1<<RXEN) | (1<<TXEN);
+//UCSRA = (1 << U2X);
+UBRRH = 0;
+UBRRL = 12;		// At 8MHz (12 =>38400) (25 => 19200)
+UCSRC = (1<<URSEL) | (1<<UPM1) | (1<<UCSZ1) | (1<<UCSZ0); // 8,1,E
+
+ACSR = (1<<ACBG);		// AIN(+) connected to Vbg = 1.23V
+TCCR1B = (1<<CS11);		// Counter1 Normal mode, 1 MHz
+
+buf_index = 0;
+for(;;)
+    {
+    while ( !(UCSRA & (1<<RXC)) )  ;	// wait for receiver data
+/*		{
+		if (done == 1)
+			{
+			done = 0;
+			PORTC &= ~0x80;			// send a LOW TRUE pulse on PC7
+			PORTC |= 0x80;     
+			}
+		}
+*/		
+    dbuffer[buf_index++] = UDR;		    	// Put the byte in the buffer.
+
+    if(buf_index*GROUPSIZE > dbuffer[0])	// Process after required no. of arguments
+      {
+	  HTM = 0; TCNT1 = 0;		// Keep them in known state
+	  cmd = dbuffer[0];
+	  dbuffer[0] = 'D';			// Fill reply Assuming Success
+	  buf_index = 1;			// Filling of return Data from second byte onwards
+      switch(cmd)
+		 {
+	    case STARTHIST:
+			DDRB &= ~8;						// Make PB3 an input pin
+			SFIOR &= ~(1<<ACME);			// Disable the Mux input routing to ACMP
+	    	ACSR = (1<<ACIS0) | (1<<ACIS1) | (1<<ACBG) | (1<<ACIE);	
+					// F.edge, ATmega32 doc wrong ?
+			PORTC &= ~0x80;			// send a LOW TRUE pulse on PC7
+			PORTC |= 0x80;     
+			sei();
+			break;
+
+    	case READHIST:
+    		buf_index = HISTSIZE+2;		// 1 status + 1 pad + 1024 bytes data
+      		break;
+
+    	case CLEARHIST:
+    	    for(tmp16 = 1; tmp16 <= (HISTSIZE+2); ++tmp16)	// Clear the buffer
+    	    	dbuffer[tmp16] = 0;
+      	    break;
+
+    	case STOPHIST:
+	        ACSR &= ~(1<<ACIE);		// disable AC interrupt
+      		break;
+
+	     case READCH0:		// Reads the ch0 of MCP3208 ADC
+			read_ch0();
+			dbuffer[buf_index++] = lo;
+			dbuffer[buf_index++] = hi;
+ 	        break;
+
+		 case GETVERSION:
+      		memcpy_P(&dbuffer[1], version,5);
+      		buf_index += 5;
+      		break;
+
+    	 default:
+      		dbuffer[0] = INVCMD;		// Invalid Command
+      		break;	
+         }
+
+   	  while( !(UCSRA & (1 <<UDRE) ) );
+      UDR = dbuffer[0];					// Send the response byte in all cases
+	  // If no error, send the data bytes to the PC. No handshake used.
+	  if(dbuffer[0] == 'D')
+	 	 for(tmp16=1; tmp16 < buf_index; ++tmp16)	
+      		{
+    		while( !(UCSRA & (1 <<UDRE) ) );
+    		UDR = dbuffer[tmp16];
+			}
+      buf_index = 0;
+	  //PORTC &= ~32;		used for trouble shooting only
+      }
+    }
+return 0;
+}
+
diff --git a/firmware/set_fuse.sh b/firmware/set_fuse.sh
new file mode 100755
index 0000000..1f69159
--- /dev/null
+++ b/firmware/set_fuse.sh
@@ -0,0 +1,5 @@
+echo "Setting fuses for ATmega32: 8Mhz External Crystal, disable JTAG"
+uisp -dprog=dapa -dpart=atmega32 -dlpt=0x378 --erase
+uisp -dprog=dapa -dpart=atmega32 -dlpt=0x378 --wr_fuse_l=0xef
+uisp -dprog=dapa -dpart=atmega32 -dlpt=0x378 --wr_fuse_h=0xd1
+uisp -dprog=dapa -dpart=atmega32 -dlpt=0x378 --rd_fuses 
diff --git a/firmware/test.py b/firmware/test.py
new file mode 100644
index 0000000..24fcb87
--- /dev/null
+++ b/firmware/test.py
@@ -0,0 +1,12 @@
+import expeyes.eyes, time
+p=expeyes.eyes.open()
+
+good = False
+for k in range(5):
+	res = p.read_inputs()
+	if res != None:
+		print 'EYES communication Okey'
+		good = True
+		break
+if good == False:
+		print 'EYES Communication failed. Something Wrong !!!'
diff --git a/firmware/upload.sh b/firmware/upload.sh
new file mode 100755
index 0000000..0abe93e
--- /dev/null
+++ b/firmware/upload.sh
@@ -0,0 +1,7 @@
+echo "Uploading  $1.c and Locking it"
+
+uisp -dprog=dapa -dpart=atmega32 -dlpt=0x378 --erase
+
+uisp --verify -dprog=dapa -dpart=atmega32 -dlpt=0x378 --upload if=$1.hex
+
+uisp -dprog=dapa -dpart=atmega32 -dlpt=0x378 --wr_lock=0xfe
diff --git a/microhope/ASM/data-direct.s b/microhope/ASM/data-direct.s
new file mode 100644
index 0000000..5af9b72
--- /dev/null
+++ b/microhope/ASM/data-direct.s
@@ -0,0 +1,20 @@
+
+ ; data-direct.s  , demonstrate data direct mode
+
+DDRB = 0x37
+PORTB = 0x38
+   
+         .section .data  
+var1:
+
+         .section .text    ; denotes code section
+         .global main
+main: 	
+       ldi      r17, 0xf0             ; load r16 with 255
+       sts	   var1, r17           ; store r17 to location var1 
+       lds    r16, var1            ; content of RAM at var1 to r16
+       out 0x17, r16             ; Display content of R16
+       out 0x18, r16             ; using LEDs on port B
+       sts     DDRB, r16        ; Do the same again using 
+       sts     PORTB, r16     ;  memory mapped addresses
+       .end
diff --git a/microhope/ASM/data-indirect.s b/microhope/ASM/data-indirect.s
new file mode 100644
index 0000000..ee7e66f
--- /dev/null
+++ b/microhope/ASM/data-indirect.s
@@ -0,0 +1,20 @@
+
+ ; data-direct.s  , demonstrate Load Immediate mode
+
+DDRB = 0x37
+PORTB = 0x38
+   
+         .section .data  
+var1:
+
+         .section .text    ; denotes code section
+         .global main
+main: 	
+          ldi  r17, 0b10101010           ; set r17 to 10101010b
+          sts  var1, r17                           ; store it to RAM at var1
+          ldi  r26, lo8(var1)                  ; lower byte and
+          ldi  r27, hi8(var1)                  ; higher byte of the address
+          ld   r16, X                                   ; data from where X is pointing to
+          sts     DDRB, r16                     ; Display content of R16
+          sts     PORTB, r16                  ; using LEDs on port B
+       .end
diff --git a/microhope/ASM/first.s b/microhope/ASM/first.s
new file mode 100644
index 0000000..4f73bfe
--- /dev/null
+++ b/microhope/ASM/first.s
@@ -0,0 +1,20 @@
+; first.s , example assembly language program (avr-gcc)
+work = 1      ; not R1     
+
+        .equ   DDRB,   0x37
+        .equ   PORTB, 0x38
+
+         .section .data    ; the data section
+var1:
+         .byte 15  ; global variable var1
+
+         .section .text  ; The code section
+         .global __do_copy_data  ; initialize global variables 
+         .global __do_clear_bss  ; and setup stack pointer
+
+         .global main ;   declare label main as global
+main:
+         lds    work, var1           ; load R1 with var1
+         sts    DDRB, work        ; PB0 as output
+         sts    PORTB, work     ; set  PB0  HIGH
+         .end                  
diff --git a/microhope/ASM/global-init.s b/microhope/ASM/global-init.s
new file mode 100644
index 0000000..c7997e2
--- /dev/null
+++ b/microhope/ASM/global-init.s
@@ -0,0 +1,19 @@
+
+ ; global-init.s  , demonstrate
+
+DDRB = 0x37
+PORTB = 0x38
+   
+         .section .data  
+var1:  
+        .byte  0xee
+
+         .section .text    ; denotes code section
+         .global __do_copy_data  ; initialize global variables 
+         .global __do_clear_bss  ; and setup stack pointer
+         .global main
+main: 	
+       lds    r16, var1            ; content of RAM at var1 to r16
+       sts     DDRB, r16        ; Do the same again using 
+       sts     PORTB, r16     ;  memory mapped addresses
+       .end
diff --git a/microhope/ASM/immed.s b/microhope/ASM/immed.s
new file mode 100644
index 0000000..2c27122
--- /dev/null
+++ b/microhope/ASM/immed.s
@@ -0,0 +1,9 @@
+ ; immed.s  , demonstrate Load Immediate mode
+
+          .section .text    ; denotes code section
+          .global main
+main:
+     ldi r16, 255      ; load r16 with 255
+     out 0x17, r16  ; Display content of R16
+     out 0x18, r16  ; using LEDs on port B
+     .end
diff --git a/microhope/ASM/interrupt.s b/microhope/ASM/interrupt.s
new file mode 100644
index 0000000..cfa4b24
--- /dev/null
+++ b/microhope/ASM/interrupt.s
@@ -0,0 +1,20 @@
+        .section .data    ; data section starts here
+        .section .text    ; denotes code section
+
+	.global __vector_1 ; INT0_vect
+__vector_1:
+	inc r1
+	out 0x18, r1
+	reti
+	
+        .global main                           
+main:
+	ldi  r16, 255
+	out  0x17, r16   ; DDRB
+	out  0x12, r16   ; Port D pullup
+	ldi  r16, 0x40   ; enable INT0
+	out  0x3b, r16
+	clr r1   
+	sei
+loop:	rjmp loop
+	.end
diff --git a/microhope/ASM/io-direct.s b/microhope/ASM/io-direct.s
new file mode 100644
index 0000000..bdb5c9c
--- /dev/null
+++ b/microhope/ASM/io-direct.s
@@ -0,0 +1,10 @@
+; program io-direct.s to demonstrate direct I/O addressing
+
+     .section .text    ; denotes code section         
+     .global main                           
+main: 	
+      clr    r1
+      inc	r1         ; R1 now contains 1
+      out    0x17, r1   ; using I/O address, DDRB and
+      out    0x18, r1   ; PORTB. LED should glow
+      .end
diff --git a/microhope/ASM/jump.s b/microhope/ASM/jump.s
new file mode 100644
index 0000000..6e98615
--- /dev/null
+++ b/microhope/ASM/jump.s
@@ -0,0 +1,16 @@
+    ; jump.s  ,  demonstrates JUMP instruction
+
+IO_DDRB = 0x17
+IO_PORTB = 0x18
+
+        .section .text   
+
+        .global main                           
+main:
+        ldi  r16, 255
+        out  IO_DDRB,  r16   ; DDRB 
+	jmp lab1
+        ldi r16, 15               ; load 15 ro r16
+lab1:
+        out IO_PORTB, r16    ; r16 to PortB
+	.end
diff --git a/microhope/ASM/ramp-on-R2RDAC.S b/microhope/ASM/ramp-on-R2RDAC.S
new file mode 100644
index 0000000..259b629
--- /dev/null
+++ b/microhope/ASM/ramp-on-R2RDAC.S
@@ -0,0 +1,12 @@
+#include <avr/io.h>
+
+     .section .text   
+     .global main                           
+main: 	
+     ldi   r16, 255
+     sts  DDRB, r16       ; all bits of DDRB set
+loop:  
+      inc	r1                 
+      sts PORTB, r1   ; R1 to PORTB. LEDs
+      rjmp loop
+      .end
diff --git a/microhope/ASM/sine-wave.S b/microhope/ASM/sine-wave.S
new file mode 100644
index 0000000..3b8fa6d
--- /dev/null
+++ b/microhope/ASM/sine-wave.S
@@ -0,0 +1,50 @@
+#include <avr/io.h>
+
+      .section .data    
+      .global stab
+stab:	; sine table
+	.byte 128 , 150 , 171 , 191 , 209 , 223 , 234 , 240 , 242 , 240 , 234 , \
+	223 , 209 , 191 , 171 , 150 , 128 , 105 , 84 , 64 , 46 , 32 , 21 ,\
+         15 , 13 , 15 , 21 , 32 , 46 , 64 , 84 , 105 , 127
+	
+        .section .text             ; code section
+        .global __do_copy_data
+	.global __do_clear_bss
+
+	.global  TIMER0_COMP_vect
+TIMER0_COMP_vect:                    ; ISR
+	ld  r24, X+			; value from the sine table, increment pointer
+        sts PORTB, r24	; write it to PORTB
+	inc	r22			; increment r22
+	CPSE	r20,r22	; is it 32 ?
+	reti				; if not return, else skip reti
+	clr	r22			; set it bck to 0
+	subi	r26,32		; set X to beginning of table
+	reti
+
+
+        .global main                           
+main:
+         ldi    r16, 255         
+         sts   DDRB, r16
+
+	 ldi   r16,  (1 << WGM01) |  1  ; Set TCCR0 in the CTC mode
+  	 sts  TCCR0 , r16
+         ldi   r16, 50
+         sts  OCR0, r16
+
+         ldi   r16, (1 << OCIE0)  ; set TC0 compare interrupt enable bit
+         sts  TIMSK, r16
+         ldi   r16, (1 << OCF0)   ; interrupt enable bit
+         sts  TIFR, r16 
+
+	ldi		XL, lo8(stab)      ; point X to the sine table
+	ldi		XH, hi8(stab)
+	clr		r22		  	  ; R22 will keep track of the  location in table
+	ldi		r20,32                    ; Store size of the table in R20
+
+
+         sei
+loop:
+         rjmp loop
+	.end
diff --git a/microhope/ASM/square-wave-tc0.S b/microhope/ASM/square-wave-tc0.S
new file mode 100644
index 0000000..f5d7887
--- /dev/null
+++ b/microhope/ASM/square-wave-tc0.S
@@ -0,0 +1,12 @@
+#include <avr/io.h>
+
+        .section .text    ; denotes code section
+        .global main                           
+main:
+	ldi   r16,  (1 << WGM01) | (1 << COM00) |  1  ; Set TCCR0 in the CTC mode
+  	 sts  TCCR0 , r16
+         ldi   r16, 250
+         sts  OCR0, r16
+         ldi    r16, (1 << PB3)         
+         sts   DDRB, r16
+	.end
diff --git a/microhope/ASM/square-wave-tc0.s b/microhope/ASM/square-wave-tc0.s
new file mode 100644
index 0000000..24b5065
--- /dev/null
+++ b/microhope/ASM/square-wave-tc0.s
@@ -0,0 +1,17 @@
+TCCR0 = 0x53
+WGM01 =  3
+COM00 = 4
+OCR0 = 0x5C
+DDRB = 0x37
+PB3 =  3
+
+        .section .text    ; denotes code section
+        .global main                           
+main:
+	ldi   r16,  (1 << WGM01) | (1 << COM00) |  1  ; Set TCCR0 in the CTC mode
+  	 sts  TCCR0 , r16
+         ldi   r16, 250
+         sts  OCR0, r16
+         ldi    r16, (1 <<  PB3)         
+         sts   DDRB, r16
+	.end
diff --git a/microhope/ASM/sub-routine.s b/microhope/ASM/sub-routine.s
new file mode 100644
index 0000000..9a11138
--- /dev/null
+++ b/microhope/ASM/sub-routine.s
@@ -0,0 +1,20 @@
+    ; sub-routine.s  , CALL instruction
+
+IO_DDRB = 0x17
+IO_PORTB = 0x18
+
+        .section .text    ; denotes code section
+
+disp:  	                   ; subroutine 
+        inc r1 
+        out  IO_PORTB, r1      ; PORTB 
+	ret
+
+        .global main                           
+main:
+        ldi  r16, 255
+        out  IO_DDRB,  r16   ; DDRB 
+	clr  r1               
+	rcall disp   ; relative call
+	call disp    ; direct call
+	.end
diff --git a/microhope/ASM/test.s b/microhope/ASM/test.s
new file mode 100644
index 0000000..7b10995
--- /dev/null
+++ b/microhope/ASM/test.s
@@ -0,0 +1,7 @@
+  ;  test.s , a single instruction program. Examine the .lst file
+        .section .text    ; denotes code section
+
+        .global main                           
+main:
+	clr r16   ; just one instruction
+	.end
diff --git a/microhope/Makefile b/microhope/Makefile
new file mode 100644
index 0000000..01966a6
--- /dev/null
+++ b/microhope/Makefile
@@ -0,0 +1,12 @@
+DESTDIR =
+
+all: uhope
+uhope: uhope.c
+	$(CC) uhope.c -o uhope $(CFLAGS) -DGDK_DISABLE_DEPRECATED -DGTK_DISABLE_DEPRECATED `pkg-config gtk+-2.0 --cflags --libs`
+install: uhope
+	install -m 755 uhope $(DESTDIR)/usr/bin
+clean:
+	rm -f *.o uhope
+.PHONY: all install clean
+
+
diff --git a/microhope/adc-loop.c b/microhope/adc-loop.c
new file mode 100644
index 0000000..41862c2
--- /dev/null
+++ b/microhope/adc-loop.c
@@ -0,0 +1,20 @@
+// Reads ADC channel 0 and diplays the result on the LCD 
+
+#include "mh-lcd.c"
+#include "mh-adc.c"
+#include "mh-utils.c"
+
+main()
+{
+uint16_t data;
+
+lcd_init();
+adc_enable();
+while(1)
+    {
+    data = read_adc(0);   // Read voltage at PA0
+    lcd_clear();
+    lcd_put_int(data);
+    delay_ms(500);
+    }
+}
diff --git a/microhope/adc-v2.c b/microhope/adc-v2.c
new file mode 100644
index 0000000..af89a0f
--- /dev/null
+++ b/microhope/adc-v2.c
@@ -0,0 +1,18 @@
+#include <avr/io.h>
+#include "mh-lcd.c"
+
+// convert channel 0, set pre-scaler to 7
+main()
+{
+uint16_t data;
+lcd_init();
+
+ADCSRA = (1 << ADEN) |  7;   // Enable ADC, set clock pre-scaler
+ADMUX =  (1 << REFS0);			     // AVCC reference, channel 0 	
+
+ADCSRA |=  (1 <<ADSC);             // Start ADC
+while ( !(ADCSRA & (1<<ADIF)) ) ;	 // wait for ADC conversion
+
+data = (ADCH << 8) | ADCL;    // 10 bit data from ADCL and ADCH
+lcd_put_int(data);
+}
diff --git a/microhope/adc-v3.c b/microhope/adc-v3.c
new file mode 100644
index 0000000..2137c12
--- /dev/null
+++ b/microhope/adc-v3.c
@@ -0,0 +1,28 @@
+// Reads ADC channel 0 and diplays the result on the LCD 
+
+#include "mh-lcd.c"
+#include "mh-adc.c"
+
+disp_mv_as_v(uint16_t mv)
+{
+uint16_t j, k = mv % 1000;
+j = mv/1000;
+lcd_put_int(j);
+lcd_put_char('.');
+lcd_put_int(k);
+}
+
+main()
+{
+uint16_t data, k;
+double v;
+char  ss[10];
+
+lcd_init();
+adc_enable();
+data = read_adc(0);
+data = 300;          // this is for testing only, 1.466 volt
+v = 5.0 * data/1023;
+k = (int) (v*1000);
+disp_mv_as_v(k);
+}
diff --git a/microhope/adc.c b/microhope/adc.c
new file mode 100644
index 0000000..897ba0f
--- /dev/null
+++ b/microhope/adc.c
@@ -0,0 +1,14 @@
+// Reads ADC channel 0 and diplays the result on the LCD 
+
+#include "mh-lcd.c"
+#include "mh-adc.c"
+
+main()
+{
+uint16_t data;
+
+lcd_init();
+adc_enable();
+data = read_adc(0);
+lcd_put_int(data);
+}
diff --git a/microhope/blink.c b/microhope/blink.c
new file mode 100644
index 0000000..5a0ab4d
--- /dev/null
+++ b/microhope/blink.c
@@ -0,0 +1,16 @@
+#include "mh-utils.c"
+
+
+int main (void)
+  {
+  DDRB = 255;		// Data Direction Register for port B
+
+  for(;;)
+    {
+    PORTB = 255;	
+    delay_ms(500);
+    PORTB = 0;
+    delay_ms(500);
+  }
+return 0;
+}
diff --git a/microhope/blink.py b/microhope/blink.py
new file mode 100644
index 0000000..fca5616
--- /dev/null
+++ b/microhope/blink.py
@@ -0,0 +1,10 @@
+import time
+from pymicro import *
+u=atm32()
+
+while 1:
+	u.outb(PORTB, 1)
+	time.sleep(0.5)
+	u.outb(PORTB, 0)
+	time.sleep(0.5)
+	
diff --git a/microhope/copy.c b/microhope/copy.c
new file mode 100644
index 0000000..4574fa8
--- /dev/null
+++ b/microhope/copy.c
@@ -0,0 +1,11 @@
+#include <avr/io.h>
+
+int main (void)
+  {
+  DDRA = 0;		// Data Direction Register
+  PORTA = 1;		// Enable pullup on PORTA, bit 0
+  DDRB = 1;
+  
+  for(;;)
+    PORTB = PINA;
+}
diff --git a/microhope/copy2.c b/microhope/copy2.c
new file mode 100644
index 0000000..47bfaf5
--- /dev/null
+++ b/microhope/copy2.c
@@ -0,0 +1,18 @@
+#include "mh-digital.c"
+
+int main (void)
+  {
+  uint8_t  val;
+  DDRA = 0;		// PORTA as Input
+  PORTA = 1;		// Enable pullup PA0
+  DDRB = 1;		// configure PB0 as output
+
+  for(;;)
+     {
+     val = GETBIT(PINA, 0);
+     if (val != 0)
+	    PORTB = 1; //SETBIT(PORTB, 0);
+     else
+	    PORTB = 0; //CLRBIT(PORTB, 0);
+     }
+}
diff --git a/microhope/copy3.c b/microhope/copy3.c
new file mode 100644
index 0000000..516dbf8
--- /dev/null
+++ b/microhope/copy3.c
@@ -0,0 +1,14 @@
+#include <avr/io.h>   // Include file for I/O operations
+
+int main (void)
+{
+DDRA = 0;             // Port A as Input
+PORTA = 1;          // Enable pullup on PA0
+DDRB = 1;             // Configure PB0 as output  
+
+for(;;)
+   if(PINA & 1)        // If PA0 is set
+       PORTB |= 1;     // Set PB0, by ORing with 00000001b
+   else                // otherwise clear PB0
+       PORTB &= ~1;    // by ANDing with 11111110b (~00000001b)
+}
diff --git a/microhope/create-microhope-env b/microhope/create-microhope-env
new file mode 100644
index 0000000..ecad869
--- /dev/null
+++ b/microhope/create-microhope-env
@@ -0,0 +1,26 @@
+#! /usr/bin/python
+
+import Tkinter
+import tkMessageBox
+import subprocess
+
+import gettext
+gettext.bindtextdomain('expeyes')
+gettext.textdomain('expeyes')
+_ = gettext.gettext
+
+def create_microhope_env():
+    print "creating microhope environment"
+    subprocess.call("mkdir -p ~/microhope && cp -Rd /usr/share/microhope/microhope/* ~/microhope", shell=True)
+
+top = Tkinter.Tk()
+top.withdraw()
+
+if tkMessageBox.askyesno(_("Create microHope environment"),
+                         _("Do you want to create your own microHope environment?\n\nIf you reply \"Yes\", a subdirectory named microHope will be created in your home directory, and a set of files will be copied into it.\n\nIf any previous installation existed, its contents will be overwriten.")
+                         ):
+    create_microhope_env()
+else:
+    top.quit()
+
+#top.mainloop()
diff --git a/microhope/create-microhope-env.1 b/microhope/create-microhope-env.1
new file mode 100644
index 0000000..ceb935a
--- /dev/null
+++ b/microhope/create-microhope-env.1
@@ -0,0 +1,59 @@
+'\" t
+.\"     Title: CREATE-MICROHOPE-ENV
+.\"    Author: Georges Khaznadar <georgesk at debian.org>
+.\" Generator: DocBook XSL Stylesheets v1.78.1 <http://docbook.sf.net/>
+.\"      Date: 01/03/2014
+.\"    Manual: create-microhope-env
+.\"    Source: create-microhope-env
+.\"  Language: English
+.\"
+.TH "CREATE\-MICROHOPE\-E" "1" "01/03/2014" "create-microhope-env" "create-microhope-env"
+.\" -----------------------------------------------------------------
+.\" * Define some portability stuff
+.\" -----------------------------------------------------------------
+.\" ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
+.\" http://bugs.debian.org/507673
+.\" http://lists.gnu.org/archive/html/groff/2009-02/msg00013.html
+.\" ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
+.ie \n(.g .ds Aq \(aq
+.el       .ds Aq '
+.\" -----------------------------------------------------------------
+.\" * set default formatting
+.\" -----------------------------------------------------------------
+.\" disable hyphenation
+.nh
+.\" disable justification (adjust text to left margin only)
+.ad l
+.\" -----------------------------------------------------------------
+.\" * MAIN CONTENT STARTS HERE *
+.\" -----------------------------------------------------------------
+.SH "NAME"
+create-microhope-env \- program to create a local copy of the development files and of the libraries of microhope for the end user\&.
+.SH "SYNOPSIS"
+.HP \w'\fBcreate\-microhope\-env\fR\ 'u
+\fBcreate\-microhope\-env\fR
+.SH "DESCRIPTION"
+.PP
+\fBcreate\-microhope\-env\fR
+launches a dialog to ask the user whether she wants to get a copy of the development files and of the libraries of microhope, then obeys the user\*(Aqs will\&.
+.SH "SEE ALSO"
+.PP
+\fBmicrohope\fR(1)\&.
+.SH "AUTHOR"
+.PP
+\fBGeorges Khaznadar\fR <\&georgesk at debian\&.org\&>
+.RS 4
+Wrote this manpage for the Debian system\&.
+.RE
+.SH "COPYRIGHT"
+.br
+Copyright \(co 2014 Georges Khaznadar
+.br
+.PP
+This manual page was written for the Debian system (and may be used by others)\&.
+.PP
+Permission is granted to copy, distribute and/or modify this document under the terms of the GNU General Public License, Version 2 or (at your option) any later version published by the Free Software Foundation\&.
+.PP
+On Debian systems, the complete text of the GNU General Public License can be found in
+/usr/share/common\-licenses/GPL\&.
+.sp
diff --git a/microhope/create-microhope-env.xml b/microhope/create-microhope-env.xml
new file mode 100644
index 0000000..ff79978
--- /dev/null
+++ b/microhope/create-microhope-env.xml
@@ -0,0 +1,123 @@
+<?xml version='1.0' encoding='UTF-8'?>
+<!DOCTYPE refentry PUBLIC "-//OASIS//DTD DocBook XML V4.5//EN"
+"http://www.oasis-open.org/docbook/xml/4.5/docbookx.dtd" [
+
+<!--
+
+`xsltproc -''-nonet \
+          -''-param man.charmap.use.subset "0" \
+          -''-param make.year.ranges "1" \
+          -''-param make.single.year.ranges "1" \
+          /usr/share/xml/docbook/stylesheet/docbook-xsl/manpages/docbook.xsl \
+          manpage.xml'
+
+A manual page <package>.<section> will be generated. You may view the
+manual page with: nroff -man <package>.<section> | less'. A typical entry
+in a Makefile or Makefile.am is:
+
+DB2MAN = /usr/share/sgml/docbook/stylesheet/xsl/docbook-xsl/manpages/docbook.xsl
+XP     = xsltproc -''-nonet -''-param man.charmap.use.subset "0"
+
+manpage.1: manpage.xml
+        $(XP) $(DB2MAN) $<
+
+The xsltproc binary is found in the xsltproc package. The XSL files are in
+docbook-xsl. A description of the parameters you can use can be found in the
+docbook-xsl-doc-* packages. Please remember that if you create the nroff
+version in one of the debian/rules file targets (such as build), you will need
+to include xsltproc and docbook-xsl in your Build-Depends control field.
+Alternatively use the xmlto command/package. That will also automatically
+pull in xsltproc and docbook-xsl.
+
+Notes for using docbook2x: docbook2x-man does not automatically create the
+AUTHOR(S) and COPYRIGHT sections. In this case, please add them manually as
+<refsect1> ... </refsect1>.
+
+To disable the automatic creation of the AUTHOR(S) and COPYRIGHT sections
+read /usr/share/doc/docbook-xsl/doc/manpages/authors.html. This file can be
+found in the docbook-xsl-doc-html package.
+
+Validation can be done using: `xmllint -''-noout -''-valid manpage.xml`
+
+General documentation about man-pages and man-page-formatting:
+man(1), man(7), http://www.tldp.org/HOWTO/Man-Page/
+
+-->
+
+  <!-- Fill in your name for FIRSTNAME and SURNAME. -->
+  <!ENTITY dhfirstname "Georges">
+  <!ENTITY dhsurname   "Khaznadar">
+  <!-- dhusername could also be set to "&dhfirstname; &dhsurname;". -->
+  <!ENTITY dhusername  "Georges Khaznadar">
+  <!ENTITY dhemail     "georgesk at debian.org">
+  <!-- SECTION should be 1-8, maybe w/ subsection other parameters are
+       allowed: see man(7), man(1) and
+       http://www.tldp.org/HOWTO/Man-Page/q2.html. -->
+  <!ENTITY dhsection   "1">
+  <!-- TITLE should be something like "User commands" or similar (see
+       http://www.tldp.org/HOWTO/Man-Page/q2.html). -->
+  <!ENTITY dhtitle     "create-microhope-env">
+  <!ENTITY dhucpackage "CREATE-MICROHOPE-ENV">
+  <!ENTITY dhpackage   "create-microhope-env">
+]>
+
+<refentry>
+  <refentryinfo>
+    <title>&dhtitle;</title>
+    <productname>&dhpackage;</productname>
+    <authorgroup>
+      <author>
+       <firstname>&dhfirstname;</firstname>
+        <surname>&dhsurname;</surname>
+        <contrib>Wrote this manpage for the Debian system.</contrib>
+        <address>
+          <email>&dhemail;</email>
+        </address>
+      </author>
+    </authorgroup>
+    <copyright>
+      <year>2014</year>
+      <holder>&dhusername;</holder>
+    </copyright>
+    <legalnotice>
+      <para>This manual page was written for the Debian system
+        (and may be used by others).</para>
+      <para>Permission is granted to copy, distribute and/or modify this
+        document under the terms of the GNU General Public License,
+        Version 2 or (at your option) any later version published by
+        the Free Software Foundation.</para>
+      <para>On Debian systems, the complete text of the GNU General Public
+        License can be found in
+        <filename>/usr/share/common-licenses/GPL</filename>.</para>
+    </legalnotice>
+  </refentryinfo>
+  <refmeta>
+    <refentrytitle>&dhucpackage;</refentrytitle>
+    <manvolnum>&dhsection;</manvolnum>
+  </refmeta>
+  <refnamediv>
+    <refname>&dhpackage;</refname>
+    <refpurpose>program to create a local copy of the development files
+    and of the libraries of microhope for the end user.</refpurpose>
+  </refnamediv>
+  <refsynopsisdiv>
+    <cmdsynopsis>
+      <command>&dhpackage;</command>
+    </cmdsynopsis>
+  </refsynopsisdiv>
+  <refsect1 id="description">
+    <title>DESCRIPTION</title>
+    <para><command>&dhpackage;</command> launches a dialog to ask the
+    user whether she wants to get a copy of the development files
+    and of the libraries of microhope, then obeys the user's will.</para>
+  </refsect1>
+  <refsect1 id="see_also">
+    <title>SEE ALSO</title>
+    <para><citerefentry>
+        <refentrytitle>microhope</refentrytitle>
+        <manvolnum>1</manvolnum>
+      </citerefentry>.
+    </para>
+  </refsect1>
+</refentry>
+
diff --git a/microhope/cro.c b/microhope/cro.c
new file mode 100644
index 0000000..6690b7b
--- /dev/null
+++ b/microhope/cro.c
@@ -0,0 +1,57 @@
+/* 
+Program : cro.c
+author  : Ajith Kumar (ajith at iuac.res.in)
+License : GNU GPL version 3 or above
+Receives a 1 byte command, number of samples (NS, 2 bytes) Time gap (2 bytes) from the PC.
+Reads ADC channel 0 NS times, returns a 'D' followed by NS bytes of data
+No error checking implemented.
+*/
+
+#include <avr/io.h>
+
+#define	READBLOCK	 1	//  code for readblock is 1
+#define NS			500 //	Maximum 1800 for ATmega32, with 2K RAM
+#define TG			100  //	100 usec between samples
+
+uint8_t		tmp8, dbuffer[NS];	
+uint16_t	tmp16;
+
+
+int main (void)
+{
+  // Initialize the RS232 communication link to the PC 38400, 8, 1, N
+  UCSRB = (1 << RXEN) | (1 << TXEN);
+  UBRRH = 0;
+  UBRRL = 12;	// At 8MHz clock (12 =>38400 baudrate)
+  UCSRC = (1 <<URSEL) | (1 << UCSZ1) | (1 << UCSZ0); // 8,1,N
+  ADCSRA = (1 << ADEN);		// Enable the ADC
+
+  for(;;)				// Infinite loop waiting for commands from PC
+    {
+    while ( !(UCSRA & (1<<RXC)) ) ;		// wait for data from PC
+    if(UDR == 1)					    // '1' is our command
+      {
+	   TCCR1B = (1 << CS11);	// Timer Counter1 in Normal mode, 8 MHz/8, 1 usec per count
+	   ADMUX = (1 << REFS0) |(1 << ADLAR) | 0; 		// 8 bit mode, AVCC as reference, channel 0
+	   ADCSRA |= ADIF;						    	// reset ADC DONE flag
+	   for(tmp16 = 0; tmp16 < NS; ++tmp16)         			// Digitize nsamples times
+	        {
+		    TCNT1 = 1;
+	        ADCSRA |= (1 << ADSC) | 1;          // Start AD conversion, ADC clock divider is 1
+	        while ( !(ADCSRA & (1<<ADIF)) ) ;	// wait for conversion to complete
+	        dbuffer[tmp16] = ADCH;				// Collect Data and store it
+	        ADCSRA |= ADIF;						// reset ADC DONE flag
+		    while(TCNT1L < TG) ;				// Wait on counter for the specified time gap
+	        }
+		// Why we are NOT sending data inside the above loop. Think about it. 
+        
+	    while( !(UCSRA & (1 <<UDRE) ) );         // Wait for transmit buffer empty flag
+	    UDR = 'D';								 // Send the response byte in all cases
+	    for(tmp16=0; tmp16 < NS; ++tmp16)	 // Send the collected data to the PC
+	    	{
+	    	while( !(UCSRA & (1 <<UDRE) ) );
+	    	UDR = dbuffer[tmp16];
+			}
+      }
+    }
+}
diff --git a/microhope/cro.py b/microhope/cro.py
new file mode 100644
index 0000000..bdf6cab
--- /dev/null
+++ b/microhope/cro.py
@@ -0,0 +1,34 @@
+import serial, struct, time
+import numpy as np
+import matplotlib.pyplot as plt
+
+NP = 500
+TG = 100
+
+fd = serial.Serial('/dev/ttyACM0', 38400, stopbits=1, timeout = 1.0)
+fd.flush()
+
+fig=plt.figure()
+plt.axis([0, NP*TG/1000, 0, 5])
+plt.ion()
+plt.show()
+
+va =ta = range(NP)
+line, = plt.plot(ta,va)
+while 1:
+	fd.write(chr(1))		# 1 is the readblock command for uC end
+	print fd.read()		    # This must be a 'D'
+	data = fd.read(NP)
+	raw = struct.unpack('B'* NP, data)  # 8 bit data in byte array
+	ta = []
+	va = []
+	for i in range(NP):
+		ta.append(0.001 * i * TG)	# convert time from microseconds to milliseconds
+		va.append(raw[i] * 5.0 / 255)
+	line.set_xdata(ta)
+	line.set_ydata(va)
+	plt.draw()
+	time.sleep(0.05)
+    
+    
+    
diff --git a/microhope/cro2.c b/microhope/cro2.c
new file mode 100644
index 0000000..cdc2d07
--- /dev/null
+++ b/microhope/cro2.c
@@ -0,0 +1,68 @@
+/* 
+Program : cro.c
+author  : Ajith Kumar (ajith at iuac.res.in)
+License : GNU GPL version 3 or above
+Receives a 1 byte command, number of samples (NS, 2 bytes) Time gap (2 bytes) from the PC.
+Reads ADC channel 0 NS times, returns a 'D' followed by NS bytes of data
+No error checking implemented.
+*/
+
+#include <avr/io.h>
+
+#define	READBLOCK	 1	//  code for readblock is 1
+#define BUFSIZE		1800	// ATmega32 with 2K RAM
+
+uint8_t		tmp8, dbuffer[BUFSIZE+1];	   // 1 status byte
+uint16_t	tmp16, buf_index, nsamples, timegap;	
+
+
+void processcommand()
+{       
+   TCCR1B = (1 << CS11);	// Timer Counter1 in Normal mode, 8 MHz/8, 1 usec per count
+   nsamples = dbuffer[1] | (dbuffer[2] << 8);
+   timegap  = dbuffer[3] | (dbuffer[4] << 8);
+   ADMUX = (1 << REFS0) |(1 << ADLAR) | 0; 		// 8 bit mode, AVCC as reference, channel 0
+   ADCSRA |= ADIF;						    	// reset ADC DONE flag
+   buf_index = 0;
+   for(tmp16 = 0; tmp16 < nsamples; ++tmp16)         			// Digitize nsamples times
+        {
+	    TCNT1 = 1;
+        ADCSRA |= (1 << ADSC) | 1;          // Start AD conversion, ADC clock divider is 1
+        while ( !(ADCSRA & (1<<ADIF)) ) ;	// wait for conversion to complete
+        dbuffer[tmp16] = ADCH;				// Collect Data and store it
+        ADCSRA |= ADIF;						// reset ADC DONE flag
+	    while(TCNT1L < timegap) ;			// Wait on counter for the specified time gap
+        }
+// Why we are NOT sending data inside the above loop. Think about it. 
+        
+    while( !(UCSRA & (1 <<UDRE) ) );         // Wait for transmit buffer empty flag
+    UDR = 'D';								 // Send the response byte in all cases
+    for(tmp16=0; tmp16 < nsamples; ++tmp16)	 // Send the collected data to the PC
+    	{
+    	while( !(UCSRA & (1 <<UDRE) ) );
+    	UDR = dbuffer[tmp16];
+		}
+}
+
+int main (void)
+{
+  // Initialize the RS232 communication link to the PC 38400, 8, 1, N
+  UCSRB = (1 << RXEN) | (1 << TXEN);
+  UBRRH = 0;
+  UBRRL = 12;	// At 8MHz clock (12 =>38400 baudrate)
+  UCSRC = (1 <<URSEL) | (1 << UCSZ1) | (1 << UCSZ0); // 8,1,N
+
+  ADCSRA = (1 << ADEN);		// Enable the ADC
+
+  buf_index = 0;
+  for(;;)				// Infinite loop waiting for commands from PC
+    {
+    while ( !(UCSRA & (1<<RXC)) ) ;		// wait for data from PC
+    dbuffer[buf_index++] = UDR;			// Store the received byte 
+    if(buf_index > 4)					// Start Processing after receiving required arguments
+      {
+      if(dbuffer[0] == READBLOCK) processcommand();
+      buf_index = 0;
+      }
+    }
+}
diff --git a/microhope/cro2.py b/microhope/cro2.py
new file mode 100644
index 0000000..9daf619
--- /dev/null
+++ b/microhope/cro2.py
@@ -0,0 +1,45 @@
+import serial, struct, time
+import numpy as np
+import matplotlib.pyplot as plt
+
+READBLOCK = 1         # 1 represents readblock command for the uC
+fd = serial.Serial('/dev/ttyACM0', 38400, stopbits=1, timeout = 1.0)
+fd.flush()
+
+def readblock(np,tg):		# Sends the command, NP, TG, receives data and returns it in 2 lists
+	fd.write(chr(READBLOCK))
+	fd.write(chr(np&255))	
+	fd.write(chr(np>>8))	
+	fd.write(chr(tg&255))	
+	fd.write(chr(tg>>8))	
+	fd.read()
+	data = fd.read(np)
+	raw = struct.unpack('B'* np, data)  # 8 bit data in byte array
+	ta = []
+	va = []
+	for i in range(np):
+		ta.append(0.001 * i * tg)	# convert time from microseconds to milliseconds
+		va.append(raw[i] * 5.0 / 255)
+	return ta, va
+
+NP = 1000
+TG = 50
+
+fig=plt.figure()
+plt.axis([0, NP*TG/1000, 0, 5])
+
+plt.ion()
+plt.show()
+
+ta,va = readblock(NP,TG)
+line, = plt.plot(ta,va)
+print ta[-1]
+while 1:
+	ta,va = readblock(NP,TG)
+	line.set_xdata(ta)
+	line.set_ydata(va)
+	plt.draw()
+	time.sleep(0.05)
+    
+    
+    
diff --git a/microhope/desktop/microhope-avr.desktop b/microhope/desktop/microhope-avr.desktop
new file mode 100755
index 0000000..4d5f55d
--- /dev/null
+++ b/microhope/desktop/microhope-avr.desktop
@@ -0,0 +1,15 @@
+[Desktop Entry]
+Version=2.0.0
+Type=Application
+
+Exec=uhope
+Icon=mh-logo.png
+Name=µHOPE
+Comment=MicroHOPE microcontroller programming system
+
+Categories=Education;Science;
+MimeType=application/x-python
+
+Terminal=false
+NoDisplay=false
+StartupNotify=false
diff --git a/microhope/desktop/microhope-doc.desktop b/microhope/desktop/microhope-doc.desktop
new file mode 100755
index 0000000..0842230
--- /dev/null
+++ b/microhope/desktop/microhope-doc.desktop
@@ -0,0 +1,16 @@
+[Desktop Entry]
+Version=2.0.0
+Type=Application
+
+Exec=microhope-doc
+Icon=gnome-word.png
+
+Name=microHOPE Manual
+
+Comment=microHOPE User Manual
+Categories=Electronics;Education;Science;
+MimeType=application/x-pdf
+
+Terminal=false
+NoDisplay=false
+StartupNotify=false
diff --git a/microhope/echo-v2.c b/microhope/echo-v2.c
new file mode 100644
index 0000000..6308cd2
--- /dev/null
+++ b/microhope/echo-v2.c
@@ -0,0 +1,22 @@
+#include "mh-lcd.c"
+
+int main(void)
+{
+  uint8_t data;
+
+  lcd_init();
+  // Set UART to 38400 baud, 8 databits , 1 stopbit, No parity
+  UCSRB = (1 << RXEN) | (1 << TXEN);
+  UBRRH = 0;
+  UBRRL = 12;	// At 8MHz (12 =>38400) (25 => 19200)
+  UCSRC = (1<<URSEL) | (1<<UCSZ1) | (1<< UCSZ0); // 8,1,N
+
+  for(;;)
+     {
+     while ( !(UCSRA & (1<<RXC)) );  //wait on the receiver
+     data = UDR;                     // read a byte
+     lcd_put_char(data);
+     while ( !(UCSRA & (1<<UDRE)) ); // wait on Data Reg Empty flag
+     UDR = data;
+  }
+}
diff --git a/microhope/echo.c b/microhope/echo.c
new file mode 100644
index 0000000..e028c77
--- /dev/null
+++ b/microhope/echo.c
@@ -0,0 +1,17 @@
+#include "mh-lcd.c"
+#include "mh-uart.c"
+
+int main(void)
+{
+uint8_t data;
+
+lcd_init();
+uart_init(38400);
+
+for(;;)
+  {
+    data = uart_recv_byte();
+    lcd_put_char(data);
+    uart_send_byte(data);
+  }
+}
diff --git a/microhope/echo.py b/microhope/echo.py
new file mode 100644
index 0000000..329bec0
--- /dev/null
+++ b/microhope/echo.py
@@ -0,0 +1,11 @@
+import serial
+try:
+	fd = serial.Serial('/dev/ttyUSB0', 38400, stopbits=1, timeout = 1.0)
+except:
+	fd = serial.Serial('/dev/ttyACM0', 38400, stopbits=1, timeout = 1.0)
+
+
+while 1:
+  c = raw_input('Enter a character : ')
+  fd.write(c)	
+  print 'Receiced ', fd.read()
diff --git a/microhope/eep-test.c b/microhope/eep-test.c
new file mode 100644
index 0000000..a09c8a7
--- /dev/null
+++ b/microhope/eep-test.c
@@ -0,0 +1,18 @@
+#include "mh-lcd.c"
+#include <avr/eeprom.h>
+
+int main()
+{
+uint8_t x, i, *p;
+
+p = 10;   // selected EEPROM location 
+
+lcd_init();
+for(i = 0; i < 5; ++i) eeprom_write_byte (p+i, i*2);   // write to eeprom
+for(i = 0; i < 5; ++i)
+	{
+	x = eeprom_read_byte (p+i);    // read from eeprom
+	lcd_put_int(x);
+	lcd_put_char(' ');
+	}
+}
diff --git a/microhope/eyes.c b/microhope/eyes.c
new file mode 100644
index 0000000..6c141c8
--- /dev/null
+++ b/microhope/eyes.c
@@ -0,0 +1,1650 @@
+/*  
+EYES for Young Engineers & Scientists (EYES  1.0)
+Program : eyes.c, running on AtMega32 micro-controller
+Listens on the RS232 port for commands fom the PC, by eyes.py, and acts accordingly.
+Author  : Ajith Kumar B.P, ( bpajith at gmail.com )
+License : GNU GPL version 3
+First Edit on 1-Sep-2010
+Last Edit 23-Dec-2010 : added CAPTURE_M32
+Last Edit 27-Jan-2011 : added ECHO
+Last Edit 13-Oct-2011 : added IRSEND
+*/
+
+#include <avr/io.h>
+#include <avr/pgmspace.h>
+#include <avr/eeprom.h>
+
+#define	GROUPSIZE	40	// Up to 40 commands in each group
+
+// commands without any arguments (1 to 40)
+#define GETVERSION	1	// Get the Eyes firmware version
+#define DIGIN		2	// Digital Input (4 bits)
+#define USOUND		3	// Send a pulse on OD1 and look for echo on ID2
+
+// Commands with One byte argument (41 to 80) 
+#define SETSAMTIME	41	// MCP3208 sampling duration
+#define SETADCSIZE	42	// ADC data size (1 or 2)
+#define READADC		43	// Read the specified ADC channel
+#define R2FTIME		44	// Rise to Fall of signal on input pins
+#define R2RTIME		45	// Rise to Fall of signal on input pins
+#define F2RTIME		46	// Fall to Rise of signal on input pins
+#define F2FTIME		47	// Fall to Rise of signal on input pins
+#define SET2RTIME	48	// Setting of bit to rising edge
+#define SET2FTIME	49	// to falling time
+#define CLR2RTIME	50	// Setting of bit to rising edge
+#define CLR2FTIME	51	// to falling time
+#define PULSE2RTIME	52	// Pulse to rising edge
+#define PULSE2FTIME	53	// Pulse to rising edge
+#define SETPULSEWID	54	// width for PULSE2 functions (0 to 250)
+#define SETPULSEPOL	55	// PULSE polarity (0 for HIGH true)
+#define	DIGOUT 		56	// Digital output (4 bits)
+#define ADC2CMP		57	// Route ADC input to ACOMP-
+#define SETPWM		58	// Set 488 Hz PWM wave on TC0
+#define SETPWMDAC	59	// Set 31.25 kHz PWM wave on TC0
+#define GETPORT		60	// PINX data from port X
+#define IRSEND		61  // IR transmission using SQR1 output
+
+// Commands with Two bytes argument (81 to 120)
+#define	SETPWM0		81	// PWM on on OSC0
+#define	SETCOUNTER0	82	// Square wave on OSC2
+#define	SETCOUNTER2	83	// Square wave on OSC2
+#define	SETACTION	84	// Capture Actions of SET/CLR/PULSE & WAIT type
+#define MULTIR2R	85	// Rising edge to a rising edge after N cycles
+#define ADCTRIGS	86	// Trigger levels for read_block functions
+#define SETWAVEFORM	87	// ISR Wavegen. OCR0 and which DAC from the caller
+#define PULSE_D0D1	88	// Interrupt driven square wave on D0 and D1
+#define SETDDR		90	// DDRX = dirmask (arg1 = X, arg2 = mask)
+#define SETPORT		91	// PORTX = DATA (arg1 = X, arg2 = DATA)
+
+// Commands with Three bytes argument (121 to 160)
+#define SETDAC		121	// Serial DAC: send ch, dlo & dhi
+#define	QCAPTURE01	122	// 2 bytes N, 1 byte dt. captures channel 0 and 1
+#define WREEPROM	123	// Write EEPROM , 2 byte addr & 1 byte data
+#define RDEEPROM	124	// Read EEPROM , 2 byte addr , 1 byte number of bytes 
+
+// Commands with Four bytes argument (161 to 200)
+#define	CAPTURE01	161	// 2 bytes N, 2 bytes dt. Capture channel 0 and 1
+#define	QCAPTURE	162	// Ch, 2 byte N, 1 byte dt. 
+
+// Commands with Five bytes argument (201 to 220)
+#define	CAPTURE		201		// Ch, 2 byte N, 2 byte dt. Capture single channel MCP3208
+#define CAPTURE_M32	202     // Ch, 2 byte N, 2 byte dt. Capture from, uC internal ADC
+
+// Reply from ATmega8 to the PC
+#define DONE		'D'	// Command executed successfully
+#define	INVCMD		'C'	// Invalid Command
+#define INVARG		'A'	// Invalid input data
+#define INVBUFSIZE	'B'	// Resulting data exceeds buffersize
+#define TIMEOUT		'T'	// Time measurement timed out
+
+#define TRUE	1
+#define FALSE	0
+#define TIMERSIZE	50000	// count for 50 ms before clearing
+#define TIMEOUTVAL	40		// 50 ms x 40 = 2 seconds
+#define MAXTG		1000	// Maximum timegap for CAPTURE, usec
+#define MAXTGQ		100		// Same for qcapture
+#define MINTG		20		// Minimum timegap for CAPTURE, usec
+#define MINTGQ		10		// Same for qcapture
+#define	DEADTIME	5		// for PULSE2 calls
+
+typedef uint16_t  u16;
+typedef uint8_t  u8, *u8ptr;
+typedef u8 boolean;
+
+const char version[] PROGMEM = "ey1.0";
+
+
+u16 tmp16;					// Gloabal temporary variable
+
+#define	BUFSIZE		1800		// 1800 for atmega32
+//----------------------- Global variables -----------------------------
+u8 	dbuffer[2 + BUFSIZE];	// status + adc_size info + Databytes
+u16 buf_index;
+u8  adc_size = 1;
+u8	sampling_time = 200;
+u8	HTM;					// third byte of timer
+u8 	pulse_width = 13;		// Used by PULSE2*time functions
+u8	pulse_pol = 0;
+u8	action;					// SET/CLR/PULSE and WAIT actions
+u8	actionmask;				// Digital I/O bits for action
+u8	triglo = 125;			// Trigger around the ADC mid range
+u8	trighi = 131;
+
+
+// -------------------------- Serial ADC & DAC -------------------------
+#define   SPICTL	PORTD			// CK=PD2, ADCS = PD3, DACS = PD4
+#define   CLK 		(1 << PD2)
+#define   ADCS 		(1 << PD3)
+#define   DACS 		(1 << PD4)
+#define	  ADCKLO	DACS			// ADCS and CLK low
+#define	  ADCKHI	DACS+CLK		// ADCS low and Clock hi
+#define   CSHI		DACS+ADCS+CLK	// Both CS and Clock hi
+#define	  DACKLO	ADCS			// DACS and CLK low
+#define	  DACKHI	ADCS+CLK		// DACS low and Clock hi
+#define   SPIWR		PORTB
+#define   SPIRD	    PINB
+#define	  OUTHI		2+1				// PB1 HI and pullup of PB0
+#define	  OUTLO		1				// PB1 LO pullup of PB0
+
+u8  hi;		// hi MUST be global to meet timing !!!. Need some assembly code ??
+u8 lo;
+
+//---------------------Capture using ATmega32 Internal ADC. Having some trouble !!! -------------------
+void capture_m32(u8 ch, u16 np, u16 timegap)  // sqr1 = ch7, sqr2 = ch6, SENSOR = ch5
+{
+	ADCSRA = (1 << ADEN)  | (1<<ADSC) | 1;	// start a dummy conversion
+    if(timegap < 20) lo = (1<<ADEN) | (1<<ADSC) | 1;
+    else if(timegap < 40) lo = (1<<ADEN) | (1<<ADSC) | 2;
+    else if(timegap < 80) lo = (1<<ADEN) | (1<<ADSC) | 3;
+    else if(timegap < 160)lo = (1<<ADEN) | (1<<ADSC) | 4;
+    else if(timegap < 320)lo = (1<<ADEN) | (1<<ADSC) | 5;
+    else lo = (1<<ADEN) | (1<<ADSC) | 6;
+	
+	TCCR1B = (1<<CS11);		// Counter1 Normal mode, 1 MHz
+      
+    ADMUX = (1<<REFS0) |(1 << ADLAR) | ch; // MUX
+    timegap -= 1;
+	PORTC |= 128;
+    while ( !(ADCSRA & (1<<ADIF)) ) ;	// wait for ADC conversion
+    ADCSRA |= ADIF;						// reset ADC flag
+
+    for(tmp16=0; tmp16 < np; ++tmp16)	// Sample in timed loop
+       {
+	   TCNT1 = 0;
+       ADCSRA = lo;
+       while ( !(ADCSRA & (1<<ADIF)) ) ;	// wait for ADC conversion
+       dbuffer[buf_index++] = ADCH;
+       ADCSRA |= ADIF;						// reset ADC flag
+	   while(TCNT1L < timegap) ;			// Wait on counter
+       }
+    ADCSRA = 0;								// Disable ADC 	
+	PORTC &= 127;
+}
+
+//------------------------------ Reading External ADC MCP3208 -------------------------------
+void qcapture_min(u8 ch, u16 np, u8 timer)	// only for 10 microseconds spacing
+{
+	u8 d0 = ((ch & 1)<<1) | 1;	// Channel D0
+	u8 d1 = (ch & 2) | 1;		// Channel D1
+	u8 d2 = ((ch & 4)>>1) | 1;	// Channel D2
+	timer -= 2;					// 2 usec forloop overhead
+	TCCR1B = (1<<CS11);		// Counter1 Normal mode, 1 MHz
+	PORTC |= 128;
+
+	for(tmp16=0; tmp16 < np; ++tmp16)
+		{
+		TCNT1L = 0;
+		SPIWR = OUTHI;		// start bit
+		SPICTL = ADCKLO;	// 1st clock	
+		SPICTL = ADCKHI;  
+				// DATA remains HI, for SGL mode
+		SPICTL = ADCKLO;	// 2nd clock
+		SPICTL = ADCKHI;
+	
+		SPIWR = d2;			// Channel # D2
+		SPICTL = ADCKLO;  	// 3rd clock
+		SPICTL = ADCKHI;
+
+		SPIWR = d1;			// Channel # D1
+		SPICTL = ADCKLO; 	// 4th clock
+		SPICTL = ADCKHI;
+
+		SPIWR = d0;			// Channel # D0
+		SPICTL = ADCKLO;	// 5th clock
+		SPICTL = ADCKHI; SPICTL = ADCKHI; 		//samplimg start
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;  		// 6th clock
+		SPICTL = ADCKHI; 						// sampling end
+		SPICTL = ADCKLO;	// 7th clock, Null bit
+		SPICTL = ADCKHI;  
+
+		SPICTL = ADCKLO;	// 8th clock, B11
+		SPICTL = ADCKHI;
+		hi = SPIRD & 1;
+
+		SPICTL = ADCKLO;	// 9th clock, B10
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO;	// 10th clock, B9
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+ 
+		SPICTL = ADCKLO;	// 11th clock, B8
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO;	// 12th clock, B7
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+	
+		SPICTL = ADCKLO;	// 13th clock, B6
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO;	// 14th clock, B5
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO;	// 15th clock, B4
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = CSHI;
+		while(TCNT1L < timer) ;		// Wait on counter
+		dbuffer[buf_index++] = hi;	// store data
+		}
+	PORTC &= 127;
+}
+
+void qcapture(u8 ch, u16 np, u8 timer)
+{
+	u8 d0 = ((ch & 1)<<1) | 1;	// Channel D0
+	u8 d1 = (ch & 2) | 1;		// Channel D1
+	u8 d2 = ((ch & 4)>>1) | 1;	// Channel D2
+	timer -= 2;					// 2 usec forloop overhead
+	TCCR1B = (1<<CS11);		// Counter1 Normal mode, 1 MHz
+	PORTC |= 128;
+
+	for(tmp16=0; tmp16 < np; ++tmp16)
+		{
+		TCNT1L = 0;
+		SPIWR = OUTHI;		// start bit
+		SPICTL = ADCKLO;	// 1st clock	
+		SPICTL = ADCKHI;  
+				// DATA remains HI, for SGL mode
+		SPICTL = ADCKLO;	// 2nd clock
+		SPICTL = ADCKHI;
+	
+		SPIWR = d2;			// Channel # D2
+		SPICTL = ADCKLO;  	// 3rd clock
+		SPICTL = ADCKHI;
+
+		SPIWR = d1;			// Channel # D1
+		SPICTL = ADCKLO; 	// 4th clock
+		SPICTL = ADCKHI;
+
+		SPIWR = d0;			// Channel # D0
+		SPICTL = ADCKLO;	// 5th clock
+		SPICTL = ADCKHI; SPICTL = ADCKHI; 		//samplimg start
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;  		// 6th clock
+		SPICTL = ADCKHI; 						// sampling end
+		SPICTL = ADCKLO;	// 7th clock, Null bit
+		SPICTL = ADCKHI;  
+
+		SPICTL = ADCKLO;	// 8th clock, B11
+		SPICTL = ADCKHI;
+		hi = SPIRD & 1;
+
+		SPICTL = ADCKLO;	// 9th clock, B10
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO;	// 10th clock, B9
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+ 
+		SPICTL = ADCKLO;	// 11th clock, B8
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO;	// 12th clock, B7
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+	
+		SPICTL = ADCKLO;	// 13th clock, B6
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO;	// 14th clock, B5
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO;	// 15th clock, B4
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = CSHI;
+		//asm("nop");
+		while(TCNT1L < timer) ;		// Wait on counter
+		asm("nop"); asm("nop"); asm("nop");
+		dbuffer[buf_index++] = hi;	// store data
+		}
+	PORTC &= 127;
+}
+
+void qcapture01(u16 np, u8 timer)	// CH0 & CH1, only for 10 microseconds spacing
+{
+	TCCR1B = (1<<CS11);		// Counter1 Normal mode, 1 MHz
+	timer = timer*2 -2;		// 2 channels, 2 usec forloop overhead
+	PORTC |= 128;
+
+	for(tmp16=0; tmp16 < np; ++tmp16)
+		{
+		TCNT1L = 0;
+		SPIWR = OUTHI;		// start bit
+		SPICTL = ADCKLO;	// 1st clock	
+		SPICTL = ADCKHI;  
+				// DATA remains HI, for SGL mode
+		SPICTL = ADCKLO;	// 2nd clock
+		SPICTL = ADCKHI;
+	
+		SPIWR = OUTLO;			// Channel # D2
+		SPICTL = ADCKLO;  	// 3rd clock
+		SPICTL = ADCKHI;
+
+				// D1 also is LO
+		SPICTL = ADCKLO; 	// 4th clock
+		SPICTL = ADCKHI;
+
+				//	D0 is also LO
+		SPICTL = ADCKLO;	// 5th clock
+		SPICTL = ADCKHI; SPICTL = ADCKHI; 		//samplimg start
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;  		// 6th clock
+		SPICTL = ADCKHI; 						// sampling end
+		SPICTL = ADCKLO;	// 7th clock, Null bit
+		SPICTL = ADCKHI;  
+
+		SPICTL = ADCKLO;	// 8th clock, B11
+		SPICTL = ADCKHI;
+		hi = SPIRD & 1;
+
+		SPICTL = ADCKLO;	// 9th clock, B10
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO;	// 10th clock, B9
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+ 
+		SPICTL = ADCKLO;	// 11th clock, B8
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO;	// 12th clock, B7
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+	
+		SPICTL = ADCKLO;	// 13th clock, B6
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO;	// 14th clock, B5
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO;	// 15th clock, B4
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+		SPICTL = CSHI;
+		dbuffer[buf_index++] = hi;	// store data
+
+		// Read Channel #1 now
+		SPIWR = OUTHI;		// start bit
+		SPICTL = ADCKLO;	// 1st clock	
+		SPICTL = ADCKHI;  
+				// DATA remains HI, for SGL mode
+		SPICTL = ADCKLO;	// 2nd clock
+		SPICTL = ADCKHI;
+	
+		SPIWR = OUTLO;		// Channel # D2
+		SPICTL = ADCKLO;  	// 3rd clock
+		SPICTL = ADCKHI;
+
+				//	D1 is also LO
+		SPICTL = ADCKLO; 	// 4th clock
+		SPICTL = ADCKHI;
+
+		SPIWR = OUTHI;		// D0 is HI
+		SPICTL = ADCKLO;	// 5th clock
+		SPICTL = ADCKHI; SPICTL = ADCKHI; 		//samplimg start
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;  		// 6th clock
+		SPICTL = ADCKHI; 						// sampling end
+		SPICTL = ADCKLO;	// 7th clock, Null bit
+		SPICTL = ADCKHI;  
+
+		SPICTL = ADCKLO;	// 8th clock, B11
+		SPICTL = ADCKHI;
+		hi = SPIRD & 1;
+
+		SPICTL = ADCKLO;	// 9th clock, B10
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO;	// 10th clock, B9
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+ 
+		SPICTL = ADCKLO;	// 11th clock, B8
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO;	// 12th clock, B7
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+	
+		SPICTL = ADCKLO;	// 13th clock, B6
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO;	// 14th clock, B5
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO;	// 15th clock, B4
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+		SPICTL = CSHI;
+
+		while(TCNT1L < timer) ;		// Wait on counter
+		dbuffer[buf_index++] = hi;	// store data
+		asm("nop"); asm("nop");
+		}
+	PORTC &= 127;
+}
+
+
+
+void capture(u8 ch, u16 np, u16 timer)
+{
+	u8 d0 = ((ch & 1)<<1) | 1;	// Channel D0
+	u8 d1 = (ch & 2) | 1;		// Channel D1
+	u8 d2 = ((ch & 4)>>1) | 1;	// Channel D2
+	timer -= 2;					// 2 usec forloop overhead
+	TCCR1B = (1<<CS11);		// Counter1 Normal mode, 1 MHz
+	PORTC |= 128;
+
+	for(tmp16=0; tmp16 < np; ++tmp16)
+		{
+		TCNT1 = 0;
+		SPIWR = OUTHI;						// start bit
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 1st clock	
+		SPICTL = ADCKHI; SPICTL = ADCKHI;
+								// DATA remains HI, for SGL mode
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 2nd clock
+		SPICTL = ADCKHI;
+
+		SPIWR = d2;							//channel bit D2
+		SPICTL = ADCKLO;SPICTL = ADCKLO;	// 3rd clock
+		SPICTL = ADCKHI;
+
+		SPIWR = d1;							//channel bit D1
+		SPICTL = ADCKLO;SPICTL = ADCKLO;	// 4th clock
+		SPICTL = ADCKHI;
+
+		SPIWR = d0;							//channel bit D0
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 5th clock
+
+		//SPICTL = ADCKHI;
+		SPICTL = ADCKHI; SPICTL = ADCKHI;
+		SPICTL = ADCKHI; SPICTL = ADCKHI;
+		SPICTL = ADCKHI; SPICTL = ADCKHI;
+		SPICTL = ADCKLO; SPICTL = ADCKLO;;  // 6th clock
+		SPICTL = ADCKHI; SPICTL = ADCKHI;
+		SPICTL = ADCKHI; SPICTL = ADCKHI;
+		SPICTL = ADCKHI; SPICTL = ADCKHI;
+		//SPICTL = ADCKHI;
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 7th clock, Null bit
+		SPICTL = ADCKHI;  SPICTL = ADCKHI;
+		
+		SPICTL = ADCKLO;SPICTL = ADCKLO;	// 8th clock, B11
+		SPICTL = ADCKHI;
+		hi = SPIRD & 1;
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 9th clock, B10
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 10th clock, B9
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+ 
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 11th clock, B8
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 12th clock, B7
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+	
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 13th clock, B6
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 14th clock, B5
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 15th clock, B4
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 16th clock, B3
+		SPICTL = ADCKHI;
+		lo = (SPIRD & 1);
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 17th clock, B2
+		SPICTL = ADCKHI;
+		lo = (lo << 1) | (SPIRD & 1);
+	
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 18th clock, B1
+		SPICTL = ADCKHI;
+		lo = (lo << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 19th clock, B0
+		SPICTL = ADCKHI;
+		lo = (lo << 1) | (SPIRD & 1);
+		SPICTL = CSHI;
+
+		if(adc_size > 1) 
+			dbuffer[buf_index++] = lo << 4;
+		dbuffer[buf_index++] = hi;
+
+	    while(TCNT1 < timer) ;			// Wait on TCNT1	
+		asm("nop");	asm("nop");	asm("nop");
+		//asm("nop");	asm("nop");	asm("nop");
+	    }
+	PORTC &= 127;
+}
+
+void capture01(u16 np, u16 timer)
+{
+	timer = timer*2-2;				// 2 reads,2 usec forloop overhead
+	TCCR1B = (1<<CS11);		// Counter1 Normal mode, 1 MHz
+	PORTC |= 128;
+
+	for(tmp16=0; tmp16 < np; ++tmp16)
+		{
+		TCNT1 = 0;
+		SPIWR = OUTHI;						// start bit
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 1st clock	
+		SPICTL = ADCKHI; SPICTL = ADCKHI;
+								// DATA remains HI, for SGL mode
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 2nd clock
+		SPICTL = ADCKHI;
+
+		SPIWR = OUTLO;						//channel bit D2
+		SPICTL = ADCKLO;SPICTL = ADCKLO;	// 3rd clock
+		SPICTL = ADCKHI;
+
+		SPIWR = OUTLO;							//channel bit D1
+		SPICTL = ADCKLO;SPICTL = ADCKLO;	// 4th clock
+		SPICTL = ADCKHI;
+
+		SPIWR = OUTLO;							//channel bit D0
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 5th clock
+
+		//SPICTL = ADCKHI;
+		SPICTL = ADCKHI; SPICTL = ADCKHI;
+		SPICTL = ADCKHI; SPICTL = ADCKHI;
+		SPICTL = ADCKHI; SPICTL = ADCKHI;
+		SPICTL = ADCKLO; SPICTL = ADCKLO;;  // 6th clock
+		SPICTL = ADCKHI; SPICTL = ADCKHI;
+		SPICTL = ADCKHI; SPICTL = ADCKHI;
+		SPICTL = ADCKHI; SPICTL = ADCKHI;
+		//SPICTL = ADCKHI;
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 7th clock, Null bit
+		SPICTL = ADCKHI;  SPICTL = ADCKHI;
+		
+		SPICTL = ADCKLO;SPICTL = ADCKLO;	// 8th clock, B11
+		SPICTL = ADCKHI;
+		hi = SPIRD & 1;
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 9th clock, B10
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 10th clock, B9
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+ 
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 11th clock, B8
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 12th clock, B7
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+	
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 13th clock, B6
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 14th clock, B5
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 15th clock, B4
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 16th clock, B3
+		SPICTL = ADCKHI;
+		lo = (SPIRD & 1);
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 17th clock, B2
+		SPICTL = ADCKHI;
+		lo = (lo << 1) | (SPIRD & 1);
+	
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 18th clock, B1
+		SPICTL = ADCKHI;
+		lo = (lo << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 19th clock, B0
+		SPICTL = ADCKHI;
+		lo = (lo << 1) | (SPIRD & 1);
+		SPICTL = CSHI;
+
+		if(adc_size > 1) 
+			dbuffer[buf_index++] = lo << 4;
+		dbuffer[buf_index++] = hi;
+		
+		// Read Channel #1
+		SPIWR = OUTHI;						// start bit
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 1st clock	
+		SPICTL = ADCKHI; SPICTL = ADCKHI;
+								// DATA remains HI, for SGL mode
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 2nd clock
+		SPICTL = ADCKHI;
+
+		SPIWR = OUTLO;						//channel bit D2
+		SPICTL = ADCKLO;SPICTL = ADCKLO;	// 3rd clock
+		SPICTL = ADCKHI;
+
+		SPIWR = OUTLO;							//channel bit D1
+		SPICTL = ADCKLO;SPICTL = ADCKLO;	// 4th clock
+		SPICTL = ADCKHI;
+
+		SPIWR = OUTHI;							//channel bit D0
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 5th clock
+
+		//SPICTL = ADCKHI;
+		SPICTL = ADCKHI; SPICTL = ADCKHI;
+		SPICTL = ADCKHI; SPICTL = ADCKHI;
+		SPICTL = ADCKHI; SPICTL = ADCKHI;
+		SPICTL = ADCKLO; SPICTL = ADCKLO;;  // 6th clock
+		SPICTL = ADCKHI; SPICTL = ADCKHI;
+		SPICTL = ADCKHI; SPICTL = ADCKHI;
+		SPICTL = ADCKHI; SPICTL = ADCKHI;
+		//SPICTL = ADCKHI;
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 7th clock, Null bit
+		SPICTL = ADCKHI;  SPICTL = ADCKHI;
+		
+		SPICTL = ADCKLO;SPICTL = ADCKLO;	// 8th clock, B11
+		SPICTL = ADCKHI;
+		hi = SPIRD & 1;
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 9th clock, B10
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 10th clock, B9
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+ 
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 11th clock, B8
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 12th clock, B7
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+	
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 13th clock, B6
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 14th clock, B5
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 15th clock, B4
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 16th clock, B3
+		SPICTL = ADCKHI;
+		lo = (SPIRD & 1);
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 17th clock, B2
+		SPICTL = ADCKHI;
+		lo = (lo << 1) | (SPIRD & 1);
+	
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 18th clock, B1
+		SPICTL = ADCKHI;
+		lo = (lo << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 19th clock, B0
+		SPICTL = ADCKHI;
+		lo = (lo << 1) | (SPIRD & 1);
+		SPICTL = CSHI;
+
+		if(adc_size > 1) 
+			dbuffer[buf_index++] = lo << 4;
+		dbuffer[buf_index++] = hi;
+
+	    while(TCNT1 < timer) ;		// Wait on TCNT1	
+		asm("nop");	asm("nop");	asm("nop");
+	    }
+	PORTC &= 127;
+}
+
+u16 read_sadc(u8 ch)
+{
+	SPIWR = OUTHI;					   	// MCP3208 start bit
+	SPICTL = ADCKLO; SPICTL = ADCKLO;	// 1st clock	
+	SPICTL = ADCKHI; SPICTL = ADCKHI;
+
+	//if(diffmode) SPIWR = OUTLO;			// DATA remains HI, for SGL mode
+
+	SPICTL = ADCKLO;	SPICTL = ADCKLO;// 2nd clock
+	SPICTL = ADCKHI;
+
+	if(ch & 4) 							// D2 bit of channel
+		SPIWR = OUTHI;		
+	else
+		SPIWR = OUTLO;		
+	SPICTL = ADCKLO;SPICTL = ADCKLO;	// 3rd clock
+	SPICTL = ADCKHI;
+
+	if(ch & 2) 							// D1 bit of channel
+		SPIWR = OUTHI;		
+	else
+		SPIWR = OUTLO;		
+	SPICTL = ADCKLO;SPICTL = ADCKLO;	// 4th clock
+	SPICTL = ADCKHI;
+
+	if(ch & 1) 							// D0 bit of channel
+		SPIWR = OUTHI;		
+	else
+		SPIWR = OUTLO;		
+	SPICTL = ADCKLO;SPICTL = ADCKLO;	// 5th clock
+	SPICTL = ADCKHI; 
+
+	SPICTL = ADCKLO;					// 6th clock
+
+	TCCR1B = (1<<CS11);				// Counter1 Normal mode, 1 MHz
+	TCNT1 = 0;
+	while(TCNT1L < sampling_time) ;		// Wait for sampling
+	SPICTL = ADCKHI; SPICTL = ADCKHI; 
+
+	SPICTL = ADCKLO; SPICTL = ADCKLO;	// 7th clock, Null bit
+	SPICTL = ADCKHI; SPICTL = ADCKHI;
+
+	SPICTL = ADCKLO; SPICTL = ADCKLO;	// 8th clock, B11
+	SPICTL = ADCKHI;
+	hi = SPIRD & 1;
+
+	SPICTL = ADCKLO; SPICTL = ADCKLO;	// 9th clock, B10
+	SPICTL = ADCKHI;
+	hi = (hi << 1) | (SPIRD & 1);
+
+	SPICTL = ADCKLO; SPICTL = ADCKLO;	// 10th clock, B9
+	SPICTL = ADCKHI;
+	hi = (hi << 1) | (SPIRD & 1);
+ 
+	SPICTL = ADCKLO; SPICTL = ADCKLO;	// 11th clock, B8
+	SPICTL = ADCKHI;
+	hi = (hi << 1) | (SPIRD & 1);
+
+	SPICTL = ADCKLO; SPICTL = ADCKLO;	// 12th clock, B7
+	SPICTL = ADCKHI;
+	lo = (SPIRD & 1);
+
+	SPICTL = ADCKLO; SPICTL = ADCKLO;	// 13th clock, B6
+	SPICTL = ADCKHI;
+	lo = (lo << 1) | (SPIRD & 1);
+
+	SPICTL = ADCKLO; SPICTL = ADCKLO;	// 14th clock, B5
+	SPICTL = ADCKHI;
+	lo = (lo << 1) | (SPIRD & 1);
+
+	SPICTL = ADCKLO; SPICTL = ADCKLO;	// 15th clock, B4
+	SPICTL = ADCKHI;
+	lo = (lo << 1) | (SPIRD & 1);
+
+	SPICTL = ADCKLO; SPICTL = ADCKLO;	// 16th clock, B3
+	SPICTL = ADCKHI;
+	lo = (lo << 1) | (SPIRD & 1);
+
+	SPICTL = ADCKLO; SPICTL = ADCKLO;	// 17th clock, B2
+	SPICTL = ADCKHI;
+	lo = (lo << 1) | (SPIRD & 1);
+
+	SPICTL = ADCKLO; SPICTL = ADCKLO;	// 18th clock, B1
+	SPICTL = ADCKHI;
+	lo = (lo << 1) | (SPIRD & 1);
+
+	SPICTL = ADCKLO; SPICTL = ADCKLO;	// 19th clock, B0
+	SPICTL = ADCKHI;
+	lo = (lo << 1) | (SPIRD & 1);
+	SPICTL = CSHI;
+
+	return (hi << 8) | lo;
+}
+
+
+void  fast_read_sadc(u8 ch)	// data saved in hi. Used by trigger routine only
+{
+	u8 d0 = ((ch & 1)<<1) | 1;	// Channel D0
+	u8 d1 = (ch & 2) | 1;		// Channel D1
+	u8 d2 = ((ch & 4)>>1) | 1;	// Channel D2
+
+	SPIWR = OUTHI;		// start bit
+	SPICTL = ADCKLO;	// 1st clock	
+	SPICTL = ADCKHI;  
+			// DATA remains HI, for SGL mode
+	SPICTL = ADCKLO;	// 2nd clock
+	SPICTL = ADCKHI;
+	
+	SPIWR = d2;			// Channel # D2
+	SPICTL = ADCKLO;  	// 3rd clock
+	SPICTL = ADCKHI;
+
+	SPIWR = d1;			// Channel # D1
+	SPICTL = ADCKLO; 	// 4th clock
+	SPICTL = ADCKHI;
+
+	SPIWR = d0;			// Channel # D0
+	SPICTL = ADCKLO;	// 5th clock
+	SPICTL = ADCKHI; SPICTL = ADCKHI; 		//samplimg start
+
+	SPICTL = ADCKLO; SPICTL = ADCKLO;  		// 6th clock
+	SPICTL = ADCKHI; 						// sampling end
+	SPICTL = ADCKLO;	// 7th clock, Null bit
+	SPICTL = ADCKHI;  
+
+	SPICTL = ADCKLO;	// 8th clock, B11
+	SPICTL = ADCKHI;
+	hi = SPIRD & 1;
+
+	SPICTL = ADCKLO;	// 9th clock, B10
+	SPICTL = ADCKHI;
+	hi = (hi << 1) | (SPIRD & 1);
+
+	SPICTL = ADCKLO;	// 10th clock, B9
+	SPICTL = ADCKHI;
+	hi = (hi << 1) | (SPIRD & 1);
+ 
+	SPICTL = ADCKLO;	// 11th clock, B8
+	SPICTL = ADCKHI;
+	hi = (hi << 1) | (SPIRD & 1);
+
+	SPICTL = ADCKLO;	// 12th clock, B7
+	SPICTL = ADCKHI;
+	hi = (hi << 1) | (SPIRD & 1);
+	
+	SPICTL = ADCKLO;	// 13th clock, B6
+	SPICTL = ADCKHI;
+	hi = (hi << 1) | (SPIRD & 1);
+
+	SPICTL = ADCKLO;	// 14th clock, B5
+	SPICTL = ADCKHI;
+	hi = (hi << 1) | (SPIRD & 1);
+	SPICTL = ADCKLO;	// 15th clock, B4
+	SPICTL = ADCKHI;
+	hi = (hi << 1) | (SPIRD & 1);
+	SPICTL = CSHI;
+}
+
+
+void set_dac(u8 ch, u16 val)		// channel number & data
+{
+	SPICTL = DACKLO;						// DAC CS and CLK goes LO
+	SPIWR = (ch << 1) | 1;					// channel select bit and PB0 pullup
+	SPICTL = DACKLO;	SPICTL = DACKLO;	// 1st clock	
+	SPICTL = DACKHI;    SPICTL = DACKHI;
+	
+	SPIWR = OUTHI;			// Buffer enable
+	SPICTL = DACKLO;	SPICTL = DACKLO;	// 2st clock	
+	SPICTL = DACKHI;    SPICTL = DACKHI;
+
+	SPIWR = OUTHI;			// gain = 1
+	SPICTL = DACKLO;	SPICTL = DACKLO;	// 3rd clock	
+	SPICTL = DACKHI;    SPICTL = DACKHI;
+
+	SPIWR = OUTHI;			// power down bit
+	SPICTL = DACKLO;	SPICTL = DACKLO;	// 4th clock	
+	SPICTL = DACKHI;    SPICTL = DACKHI;
+
+	tmp16 = 0x800;
+	for(hi=0; hi<12; ++hi)
+		{
+		if(tmp16 & val)
+			SPIWR = OUTHI;			// data bit PB1 + pullup PB0
+		else
+			SPIWR = OUTLO;
+		SPICTL = DACKLO;	SPICTL = DACKLO;	// send clock	
+		SPICTL = DACKHI;    SPICTL = DACKHI;
+		tmp16 >>= 1;
+		}
+	SPICTL = CSHI;
+}
+
+
+//------------------ Time Interval Measurements ----------------------
+boolean wait_for_high(u8 mask)	// Wait until the Input is HIGH
+{
+/*Returns TRUE if any of the Digital Input Socket specified in the 
+'mask' goes HIGH. If mask is zero, waits for a rising edge on CMP
+input socket. Timeout after '50 * TIMEOUTVAL' milliseconds.
+*/
+for(;;)
+    {
+    if(mask)			// Check on Digins
+       {
+       if(PINC & mask)		// Digital Input specified by mask is HIGH ?
+         break;
+       }
+    else			// Mask = 0 means ACMP input
+      if(~ACSR & (1<< ACO))	// When AIN- goes above 1.23V, ACO goes LOW
+        break;
+       
+    if(TCNT1 > TIMERSIZE)
+       {
+       TCNT1 = 0;
+       if(++HTM > TIMEOUTVAL)
+         {
+         dbuffer[0] = TIMEOUT;		// Timeout error
+         return FALSE;
+         }
+       }
+    }
+return TRUE;
+}
+
+boolean wait_for_low(u8 mask)	// Wait until the Input is LOW
+{
+for(;;)
+    {
+    if(mask)			// Check on Digins
+       {
+       if(~PINC & mask)		// Digital Input specified by mask is LOW ?
+         break;
+       }
+    else			// Mask = 0 means ACMP input
+      if(ACSR & (1<< ACO))	// When AIN- goes below 1.23V, ACO goes HIGH
+        break;
+       
+    if(TCNT1 > TIMERSIZE)
+       {
+       TCNT1 = 0;
+       if(++HTM > TIMEOUTVAL)
+         {
+         dbuffer[0] = TIMEOUT;		// Timeout error
+         return FALSE;
+         }
+       }
+    }
+return TRUE;
+}
+
+
+boolean clear_on_rise(u8 mask)	// Clear counters on rising edge
+{
+/* Clears the TCNT1 register and variable HTM and returns TRUE if any of the 
+Digital Input (sockets) specified in the 'mask'goes HIGH. 
+If mask is zero, waits for a rising edge on analog comparator
+input socket.
+*/
+HTM = 0;
+for(;;)
+    {
+    if(mask)			// Check on Digins
+       {
+       if(PINC & mask)		// Digital Input specified by mask
+         break;
+       }
+    else			// Mask = 0 means ACMP input
+      if(~ACSR & (1<< ACO))	// When AIN- goes above 1.23V, ACO goes LOW
+        break;
+       
+    if(TCNT1 > TIMERSIZE)
+       {
+       TCNT1 = 0;
+       if(++HTM > TIMEOUTVAL)
+         {
+         dbuffer[0] = TIMEOUT;		// Timeout error
+         return FALSE;
+         }
+       }
+    }
+TCNT1 = 0; 
+HTM = 0;
+return TRUE;
+}
+
+boolean clear_on_fall(u8 mask)	// Clear counters on falling edge
+{
+HTM = 0;
+for(;;)
+    {
+    if(mask)			
+       {
+       if(~PINC & mask)		// Digital Input specified by mask
+         break;
+       }
+    else			// Mask = 0 means ACMP input
+      if(ACSR & (1<< ACO))	// When AIN- goes below 1.23V, ACO goes HIGH
+        break;
+
+    if(TCNT1 > TIMERSIZE)
+       {
+       TCNT1 = 0;
+       if(++HTM > TIMEOUTVAL)
+         {
+         dbuffer[0] = TIMEOUT;		// Timeout error
+         return FALSE;
+         }
+       }
+    }
+TCNT1 = 0;    
+HTM = 0;
+return TRUE;
+}
+
+boolean mark_on_rise(u8 mask)	// Save the 24 bit counter to dbuffer
+{
+/* Saves the current value of TCNT1 register to variable 'tmp16' when the
+Digital Input (sockets) specified in the 'mask' goes HIGH. If mask is zero,
+save on the rising edge of analog comparator input socket.
+TCNT1 is cleared every time it touches 'TIMESIZE' and variable 'HTM' is incremeted.
+8 bit HTM and 16 bit TCNT1 together stores a 24 bit size time interval
+that is send to the PC.
+*/
+for(;;)		
+    {
+    if(mask)			
+       {
+       if(PINC & mask)		// Digital Input specified by mask
+         break;
+       }
+    else			// Mask = 0 means ACMP input
+      if(~ACSR & (1<< ACO))	// When AIN- goes above 1.23V, ACO goes LOW
+        break;
+
+    if(TCNT1 > TIMERSIZE)
+       {
+       TCNT1 = 0;
+       if(++HTM > TIMEOUTVAL)
+         {
+         dbuffer[0] = TIMEOUT;		// Timeout error
+         return FALSE;
+         }
+       }
+    }
+dbuffer[buf_index++] = TCNT1L;
+dbuffer[buf_index++] = TCNT1H;
+dbuffer[buf_index++] = HTM;
+return TRUE;
+}
+
+boolean mark_on_fall(u8 mask)	// Save counter to dbuffer 
+{
+for(;;)
+    {
+    if(mask)	
+       {
+       if(~PINC & mask)		// Digital Input specified by mask
+         break;
+       }
+    else			// Mask = 0 means ACMP input
+      if(ACSR & (1<< ACO))	// When AIN- goes below 1.23V, ACO goes HIGH
+        break;
+ 
+    if(TCNT1 > TIMERSIZE)
+       {
+       TCNT1 = 0;
+       if(++HTM > TIMEOUTVAL)
+         {
+         dbuffer[0] = TIMEOUT;		// Timeout error
+         return FALSE;
+         }
+       }
+    }
+dbuffer[buf_index++] = TCNT1L;
+dbuffer[buf_index++] = TCNT1H;
+dbuffer[buf_index++] = HTM;
+return TRUE;
+}
+
+
+//------------------ Actions before capturing waveforms ------------------
+#define	ASET 		1
+#define	ACLR		2
+#define	APULSEHI	3
+#define	APULSELO	4
+#define	AWAITHI		5
+#define	AWAITLO		6
+#define	AWAITRISE	7
+#define	AWAITFALL	8
+
+void triggers(u8 ch, u16 tg)
+{
+	TCNT1 =0;
+	HTM = 0;
+	switch(action)
+		{
+		case 0:
+			for(tmp16 = 0; tmp16 < 200; ++ tmp16)	// NEED changes here
+				{
+				fast_read_sadc(ch);		// result stored in hi
+				lo = hi;
+				TCNT1 = 0;
+				while(TCNT1 < 20) ;
+				fast_read_sadc(ch);		// result stored in hi
+				if( (lo < hi) && (hi >= triglo) && (hi <= trighi) ) break;
+				}
+			break;
+
+		case ASET:
+	        PORTC |= actionmask;			// Set the output bits as per mask
+			break;
+
+      	case ACLR:
+	        PORTC &= ~actionmask;			// Clear the output bits as per mask
+			break;
+
+		case APULSEHI:
+	        PORTC |= actionmask;			// Set the output bits as per mask
+			TCNT1 = 0;
+			while(TCNT1 < pulse_width) ;	// delay			
+	        PORTC &= ~actionmask;			// Clear the output bits as per mask
+			break;
+
+        case APULSELO:
+	        PORTC &= ~actionmask; 			// Clear the output bit as per mask
+			TCNT1 = 0;
+			while(TCNT1 < pulse_width) ;	// delay			
+    	    PORTC |= actionmask;			// Set the output bits as per mask
+			break;
+
+		case AWAITHI:
+			wait_for_high(actionmask); 		// Wait for HIGH
+	        break;
+
+		case AWAITLO:
+	        wait_for_low(actionmask);  		// Wait for LOW
+	        break;
+
+		case AWAITRISE:
+	        wait_for_low(actionmask);  		// Wait for LOW and then
+			wait_for_high(actionmask); 		// Wait for HIGH
+	        break;
+
+		case AWAITFALL:
+			wait_for_high(actionmask); 		// Wait for HIGH and then
+	        wait_for_low(actionmask);  		// Wait for LOW
+	        break;
+        }
+	dbuffer[0] = 'D';						// Action timeout NOT an error ???
+}
+
+
+int main()
+{
+u8 cmd,ch;
+u16 ns, tg;				// Number of samples and Time gap
+DDRA = 31; 				// D0 to D4 are 555 capacitor switches. DDR will change.
+PORTA = 0;				// no pullups
+DDRB = 2+8;				// Serial OUT , OC0
+DDRC = 0xF0;			// 4 MSBs are outputs
+DDRD = 128+16+8+4;		// PWG, CSDAC, CSADC, CLOCK
+PORTC= 3;				// Enable pullup resistors for PC0 and PC1
+
+
+// Initialize the RS232 communication link to the PC 38400, 8, 1, E
+UCSRB = (1<<RXEN) | (1<<TXEN);
+//UCSRA = (1 << U2X);
+UBRRH = 0;
+UBRRL = 12;		// At 8MHz (12 =>38400) (25 => 19200)
+UCSRC = (1<<URSEL) | (1<<UPM1) | (1<<UCSZ1) | (1<<UCSZ0); // 8,1,E
+
+ACSR = (1<<ACBG);		// AIN(+) connected to Vbg = 1.23V
+TCCR1B = (1<<CS11);		// Counter1 Normal mode, 1 MHz
+
+buf_index = 0;
+for(;;)
+    {
+    while ( !(UCSRA & (1<<RXC)) ) ;			// wait for receiver data
+    dbuffer[buf_index++] = UDR;		    	// Put the byte in the buffer.
+
+    if(buf_index*GROUPSIZE > dbuffer[0])	// Process after required no. of arguments
+      {
+	  HTM = 0; TCNT1 = 0;		// Keep them in known state
+	  cmd = dbuffer[0];
+	  dbuffer[0] = 'D';			// Fill reply Assuming Success
+	  buf_index = 1;			// Filling of return Data from second byte onwards
+	  //PORTC |= 32; used for touble shooting
+      switch(cmd)
+		 {
+		 case CAPTURE_M32:
+			ch = dbuffer[1];					  // ATmega32 ADC channel
+	  		ns = dbuffer[2] | (dbuffer[3] << 8);  // Number of samples
+			tg = dbuffer[4] | (dbuffer[5] << 8) ; // Time gap
+			capture_m32(ch, ns, tg);
+			break;
+
+	     case CAPTURE:
+			ch = dbuffer[1];					  // ADC channel
+	  		ns = dbuffer[2] | (dbuffer[3] << 8);  // Number of samples
+			tg = dbuffer[4] | (dbuffer[5] << 8) ; // Time gap
+			if( (ns*adc_size > BUFSIZE) || (tg < MINTG) || (tg > MAXTG))
+				{
+          		dbuffer[0] = INVARG;
+          		break;
+				}
+			triggers(ch,tg);
+			dbuffer[buf_index++] = adc_size;	 // adc_size to the caller
+			capture(ch, ns, tg);    // dbuffer[1] is channel #		 
+		    break;
+
+	     case CAPTURE01:	// Captures ch0 & ch1
+	  		ns = dbuffer[1] | (dbuffer[2] << 8);  // Number of samples
+			tg = dbuffer[3] | (dbuffer[4] << 8);	 // Time gap
+			if( (2*ns*adc_size > BUFSIZE) || (tg < MINTG) ||(tg > MAXTG))
+				{
+          		dbuffer[0] = INVARG;
+          		break;
+				}
+			dbuffer[buf_index++] = adc_size;		 // adc_size to the caller
+			triggers(0,tg);
+			capture01(ns, tg); 		 
+		    break;
+
+         case QCAPTURE:
+			ch = dbuffer[1];
+	  		ns = dbuffer[2] | (dbuffer[3] << 8);  // Number of samples
+			tg = dbuffer[4];
+			if( (ns > BUFSIZE) || (tg < MINTGQ) || (tg > MAXTGQ))
+				{
+          		dbuffer[0] = INVARG;
+          		break;
+				}
+			triggers(ch,tg);
+			if(dbuffer[4] == 10)		// Special case Time gap
+				qcapture_min(ch, ns, dbuffer[4]);
+			else
+				qcapture(ch, ns, dbuffer[4]);
+			break;
+
+	     case QCAPTURE01:	// Captures ch0 & ch1
+	  		ns = dbuffer[1] | (dbuffer[2] << 8);   // Number of samples
+			tg = dbuffer[3];
+			if( (2*ns > BUFSIZE) || (tg < MINTGQ)|| (tg > MAXTGQ))
+				{
+          		dbuffer[0] = INVARG;
+          		break;
+				}
+			triggers(0,tg);
+			qcapture01(ns, dbuffer[3]); 	  // [3] is time gap
+		    break;
+
+ 	     case SETADCSIZE:		// 12 bit ADC, set to 1 or 2 bytes
+        	if(dbuffer[1] > 2)
+          		{
+          		dbuffer[0] = INVARG;
+          		break;
+          		}
+	        adc_size = dbuffer[1];
+    	    break;
+
+ 	     case SETSAMTIME:		// Serial ADC, sampling time, clock 6
+        	if(dbuffer[1] > 250)
+          		{
+          		dbuffer[0] = INVARG;
+          		break;
+          		}
+	        sampling_time = dbuffer[1];
+    	    break;
+
+	     case READADC:		// Reads the requested channel of MCP3208 ADC
+			tmp16 = read_sadc(dbuffer[1]);
+			tmp16 += read_sadc(dbuffer[1]);
+			tmp16 += read_sadc(dbuffer[1]);
+			tmp16 += read_sadc(dbuffer[1]);
+			tmp16 /= 4;
+			dbuffer[buf_index++] = tmp16 & 255;
+			dbuffer[buf_index++] = tmp16 >> 8;
+ 	        break;
+
+	     case SETDAC:			// Set the MCP4922 DAC
+	  		set_dac(dbuffer[1], dbuffer[2] | (dbuffer[3] << 8));
+      		break;
+
+	     case SETACTION:
+/* 
+action 1 to 4 : SET/CLR/PULSE Digital Outputs just before capturing waveform.
+action 5 to 8 : Waits on Levels/Edges on Digital Inputs.
+actionmask MSBs keep the Digout Bits. LSBs keep the Digin Bits.
+*/
+			if(dbuffer[1] <= 4)
+				{
+				action = dbuffer[1];
+				actionmask = dbuffer[2] << 4;	// keep in high nibble
+				}
+			else
+			if(dbuffer[1] <= 8)
+				{
+				action = dbuffer[1];
+				actionmask = dbuffer[2] & 15;	// Keep in low nibble
+				}
+			else
+          		dbuffer[0] = INVARG;
+	        break;
+
+    	 case ADCTRIGS:
+/* To get a stable display of periodic waveform, every time the digitization
+should start roughly at the same position of the waveform. Two levels are
+specified to allow rising and falling edge triggering.
+*/    
+	     	triglo = dbuffer[1];			// First Trigger level
+		    trighi = dbuffer[2];			// Second Trigger Level
+		    break;
+
+		 case ADC2CMP:	// ch > 7 means disconnect routing
+			if(dbuffer[1] <= 7)				
+				{
+		        ADMUX = dbuffer[1];		
+      			SFIOR = (1 << ACME);	 	// Route ADC input to AIN-
+		        ADCSRA = 0;					// Disable ADC
+				}
+			else
+				SFIOR = 0;					// Disconnect AIN- from ADC inputs 
+			break;			
+
+// Timer Counter related functions start here.
+	     case SETCOUNTER0:	// TC0 in CTC mode, caller sends CS bits & OCR0
+	        TCCR0 = (1<<WGM01) | (1<<COM00) | (dbuffer[1] & 7);	
+	        OCR0 = dbuffer[2];
+		    break;
+
+	     case SETCOUNTER2:	// TC2 in CTC mode, caller sends CS bits & OCR2
+	        TCCR2 = (1<<WGM21) | (1<<COM20) | (dbuffer[1] & 7);	
+	        OCR2 = dbuffer[2];
+		    break;
+
+	     case SETPWM:			// Set TC0 to 488 Hz PWM 
+			if(dbuffer[1] == 0) 
+				TCCR0 = 0;		// Switch off
+			else
+				{
+	      		OCR0 = dbuffer[1];
+    	  		TCCR0 = (1<<WGM01) | (1<<WGM00) | (1<<COM01) | (1<<CS01) | (1<<CS00); // Fast PWM mode
+				}
+      		break;
+
+	     case SETPWMDAC:			// Set TC0 to 31.25 kHz PWM 
+			if(dbuffer[1] == 0) 
+				TCCR0 = 0;		// Switch off
+			else
+				{
+	      		OCR0 = dbuffer[1];
+    	  		TCCR0 = (1<<WGM01) | (1<<WGM00) | (1<<COM01) | (1<<CS00); // Fast PWM mode
+				}
+      		break;
+
+
+	     case IRSEND:
+			// Infrared communication vis SQR1 output
+			// Sets TC2 in CTC mode, as per the byte to send
+			#define SPACE 500
+			OCR2 = 104;  										// f = 1e6/256/OCR2
+			TCCR2 = (1<<WGM21) | (1<<COM20) | ( 1 << CS20);		// Counter2 CTC mode, pre-scale = 1
+			TCNT1 = 0; while(TCNT1 < SPACE*5);		// beginning
+			TCCR2 = 0;
+			TCNT1 = 0; while(TCNT1 < SPACE);
+
+			lo = dbuffer[1];			// byte to transmit
+			for(hi=0; hi < 8; ++hi)
+				{
+				TCCR2 = (1<<WGM21) | (1<<COM20) | ( 1 << CS20);		// Counter2 CTC mode, pre-scale = 1
+				TCNT1 = 0; while(TCNT1 < SPACE) ;
+				TCCR2 = 0;
+				TCNT1 = 0; while(TCNT1 < SPACE);
+				if(lo & 128)
+					{
+					TCNT1 = 0; while(TCNT1 < SPACE);			
+					}
+				lo <<= 1;
+				}
+			TCCR2 = (1<<WGM21) | (1<<COM20) | ( 1 << CS20);		// Counter2 CTC mode, pre-scale = 1
+			TCNT1 = 0; while(TCNT1 < SPACE) ;
+			TCCR2 = 0;
+			TCNT1 = 0; while(TCNT1 < SPACE) ;
+		    break;
+
+/*-------------------- Passive Time Interval Measurements.-----------------
+ Time interval measurement functions using DIGITAL I/O and ACOMP Sockets.
+The 16 bit Timer/Counter is used for time measurements. A 1 MHz clock is fed
+to the counter and the variable HTM is incremented after when it reaches 50000.
+Timeout is provided on all time measurements.
+Measures the time interval between a Level Transition one Digital Input to 
+another. The 8 bit input data specifies the Input Sockets to wait for.
+The HIGH 4 bits contain the Sockets to look for the First Transition.
+For example dbuffer[1] = 00100001, time between a transition on D1 to a 
+transition on D0 is measured.
+In fact it is possible to wait for more than one sockets at the same time and 
+which ever come first can be taken. At the moment the Python function sets only 
+a single bit in each half. The Start and Stop inputs could be same or different.
+
+A special case arise when all the 4 bits are zero. In that case transition on
+the Analog Comparator input Socket is waited for. Using the ADC2CMP function,
+it is possible to route ADC input pins to Analog Comaparator Input.
+*/ 
+	     case R2RTIME:
+			hi = dbuffer[1] >> 4;		// 4 MSBs start pins
+     		lo = dbuffer[1] & 15;		// 4 LSBs end pins
+      		if(wait_for_low(hi))		// Just make sure the level is LOW
+        	  if(clear_on_rise(hi))		// Clear counter on rising edge src pin 
+          		if(wait_for_low(lo))	// DST pin should be LOW
+            	  mark_on_rise(lo);		// Store counters at rising edge dst pin
+      		break;
+
+	     case F2FTIME:
+			hi = dbuffer[1] >> 4;		// 4 MSBs start pins
+     		lo = dbuffer[1] & 15;		// 4 LSBs end pins
+      		if(wait_for_high(hi))		// Just make sure the level is HIGH
+        	  if(clear_on_fall(hi))		// Clear counter on falling edge src pin 
+          		if(wait_for_high(lo))	// DST pin should be HIGH
+            	  mark_on_fall(lo);		// Store counters at falling edge dst pin
+      		break;
+
+	     case R2FTIME:
+			hi = dbuffer[1] >> 4;		// 4 MSBs start pins
+     		lo = dbuffer[1] & 15;		// 4 LSBs end pins
+      		if(wait_for_low(hi))		// Just make sure the level is LOW
+        	  if(clear_on_rise(hi))		// Clear counter on rising edge src pin 
+            	mark_on_fall(lo);		// Store counters at falling edge dst pin
+      		break;
+
+	     case F2RTIME:
+			hi = dbuffer[1] >> 4;		// 4 MSBs start pins
+     		lo = dbuffer[1] & 15;		// 4 LSBs end pins
+      		if(wait_for_high(hi))		// Just make sure the level is HIGH
+        	  if(clear_on_fall(hi))		// Clear counter on falling edge src pin 
+            	mark_on_rise(lo);		// Store counters at rising edge dst pin
+      		break;
+		
+	     case MULTIR2R:	
+/* Measures the time interval between two rising edges on the same Input Socket.
+The 4 LSBs of the first argument specifies the Input Socket to look for.
+The second argument specifies the number of rising edges to be skipped in between
+the two edges measured. For example dbuffer[2] = 9 returns the time taken
+for 10 cycles. Averaging is useful for  better measurement accuracy.
+*/    
+	      	lo = dbuffer[1] & 15;		// pin number in 4 LSBs 
+      		TCNT1 =0;
+      		HTM = 0;
+      		if(!wait_for_low(lo)) break;	// Make sure the level is LOW
+      		if(!clear_on_rise(lo))break;	// Clear counter on rising edge src pin 
+      		if(!wait_for_low(lo)) break;
+     
+      		while (dbuffer[2]--)
+      			{
+		        if(!wait_for_high(lo))break;
+        		if(!wait_for_low(lo)) break;
+        		}
+			if(dbuffer[0] == TIMEOUT) break;
+  			mark_on_rise(lo);				// Store counters at rising edge
+		    break;
+
+/*-------------------- Active Time Interval Measurements ----------------------
+Sets the DIGITAL Output Sockets as per the 4 MSBs of the argument and measures 
+the time from that to a Level Transition on the Input Socket specified by the 4 LSBs 
+of the argument. 4 LSBs zero means Analog Comparator.
+*/  
+		 case SET2RTIME:
+        	PORTC |= dbuffer[1] & 0xF0;		// SET as per 4 MSBs 
+      		HTM = 0;
+      		TCNT1 = 0;
+      		mark_on_rise(dbuffer[1] & 15);	// Wait as per 4 LSBs
+    		break;
+
+		 case SET2FTIME:
+        	PORTC |= dbuffer[1] & 0xF0;		// SET as per 4 MSBs 
+      		HTM = 0;
+      		TCNT1 = 0;
+      		mark_on_fall(dbuffer[1] & 15);	// Wait as per 4 LSBs
+    		break;
+
+		 case CLR2RTIME:
+        	PORTC &= ~(dbuffer[1] & 0xF0);	// CLR as per 4 MSBs 
+      		HTM = 0;
+      		TCNT1 = 0;
+      		mark_on_rise(dbuffer[1] & 15);	// Wait as per 4 LSBs
+    		break;
+
+		 case CLR2FTIME:
+        	PORTC &= ~(dbuffer[1] & 0xF0);	// CLR as per 4 MSBs 
+      		HTM = 0;
+      		TCNT1 = 0;
+      		mark_on_fall(dbuffer[1] & 15);	// Wait as per 4 LSBs
+    		break;
+
+		 case SETPULSEWID:
+			pulse_width = dbuffer[1];
+		    break;
+
+    	 case SETPULSEPOL:			// For the PULSE2* functions
+	     	pulse_pol = dbuffer[1];
+      		break;
+
+		 case USOUND:					// Sends a Pulse of PC5, look on PC2	
+       		PORTC |= (1 << PC4);			// set OD0
+			TCNT1 = 0;
+			while(TCNT1L < pulse_width) ;	//kill time
+	        PORTC &= ~(1 << PC4);			// Clear OD0
+		    HTM = 0;
+			TCNT1 = 0;     
+			while(TCNT1 < DEADTIME) ;		// Wait to settle noise
+		    mark_on_rise(1<<PC2);			// Store counters at rising of PC2
+			break;			
+
+		 case PULSE2RTIME:	
+		 case PULSE2FTIME:	
+			hi = dbuffer[1] & 0xF0;		// 4 MSBs start pins
+     		lo = dbuffer[1] & 15;		// 4 LSBs end pins
+		    if(pulse_pol)				// HIGH TRUE pulse
+        		{
+        		PORTC |= hi;			// Set source bit
+				TCNT1 = 0;
+				while(TCNT1L < pulse_width) ;	//kill time
+		        PORTC &= ~hi;			// Restore old value
+        		}
+     		else						// LOW TRUE pulse
+        		{
+	        	PORTC &= ~hi;			// Clear source bit
+				TCNT1 = 0;
+				while(TCNT1L < pulse_width) ;	//kill time
+		        PORTC |= hi;			// Restore old value
+        		}
+		    HTM = 0;
+			TCNT1 = 0;     
+			while(TCNT1 < DEADTIME) ;	// Wait to settle noise
+			if(cmd == PULSE2RTIME)
+			    mark_on_rise(lo);		// Store counters at rising of dst
+			else
+			    mark_on_fall(lo);		// Store counters at falling of dst
+
+		    break;
+
+//-----------------------Digital I/O functions-------------------------
+	     case DIGOUT:
+     		PORTC = (dbuffer[1] << 4) | 3;   // Only PCO & PC1 need to be pulled up
+		    break;
+
+	     case DIGIN:			// 3 bits of PortC, 4th is analog comparator output
+	        ADMUX = 5;					// SENSOR output is on ADC input 5		
+   			SFIOR = (1 << ACME);	 	// Route ADC input to AIN-
+	        ADCSRA = 0;					// Disable ADC
+     		dbuffer[buf_index++] = (PINC & 7) | ((ACSR & (1<<ACO))>>2);
+     		break;
+
+	     case WREEPROM:
+			tmp16 = dbuffer[2] << 8;	// 16 bit Internal SEEPROM address by
+		    tmp16 |= dbuffer[1];		// combining low and high bytes
+			eeprom_write_byte ( (u8ptr)tmp16, dbuffer[3]);
+	        break;
+
+	     case RDEEPROM:
+			tmp16 = dbuffer[2] << 8;	// 16 bit Internal SEEPROM address by
+		    tmp16 |= dbuffer[1];		// combining low and high bytes
+			ch = dbuffer[3];
+			for(lo=0; lo < ch; ++lo)
+				dbuffer[buf_index++] = eeprom_read_byte ((u8ptr)(tmp16+lo));
+	        break;
+
+//----------------------- Low level PORT access functions----------------
+	     case SETDDR:
+      		if(dbuffer[1] == 0) DDRA = dbuffer[2];
+      		else if(dbuffer[1] == 1) DDRB = dbuffer[2];
+		    else if(dbuffer[1] == 2) DDRC = dbuffer[2];
+      		else if(dbuffer[1] == 3) DDRD = dbuffer[2];
+		    break;
+
+	     case SETPORT:
+      		if(dbuffer[1] == 0) PORTA = dbuffer[2];
+      		else if(dbuffer[1] == 1) PORTB = dbuffer[2];
+      		else if(dbuffer[1] == 2) PORTC = dbuffer[2];
+      		else if(dbuffer[1] == 3) PORTD = dbuffer[2];
+      		break;
+	     
+		 case GETPORT:
+      		if(dbuffer[1] == 0) dbuffer[buf_index++] = PINA;
+      		else if(dbuffer[1] == 1) dbuffer[buf_index++] = PINB;
+      		else if(dbuffer[1] == 2) dbuffer[buf_index++] = PINC;
+      		else if(dbuffer[1] == 3) dbuffer[buf_index++] = PIND;
+      		break;
+
+		 case GETVERSION:
+      		memcpy_P(&dbuffer[1], version,5);
+      		buf_index += 5;
+      		break;
+
+    	 default:
+      		dbuffer[0] = INVCMD;		// Invalid Command
+      		break;	
+         }
+
+   	  while( !(UCSRA & (1 <<UDRE) ) );
+      UDR = dbuffer[0];					// Send the response byte in all cases
+	  // If no error, send the data bytes to the PC. No handshake used.
+	  if(dbuffer[0] == 'D')
+	 	 for(tmp16=1; tmp16 < buf_index; ++tmp16)	
+      		{
+    		while( !(UCSRA & (1 <<UDRE) ) );
+    		UDR = dbuffer[tmp16];
+			}
+      buf_index = 0;
+	  //PORTC &= ~32;		used for trouble shooting only
+      }
+    }
+return 0;
+}
diff --git a/microhope/firmware/Bootloader.c b/microhope/firmware/Bootloader.c
new file mode 100644
index 0000000..688cfd8
--- /dev/null
+++ b/microhope/firmware/Bootloader.c
@@ -0,0 +1,994 @@
+/**********************************************************/
+/* Serial Bootloader for Atmel megaAVR Controllers        */
+/*                                                        */
+/* tested with ATmega8, ATmega128 and ATmega168           */
+/* should work with other mega's, see code for details    */
+/*                                                        */
+/* ATmegaBOOT.c                                           */
+/*                                                        */
+/* 20070626: hacked for Arduino Diecimila (which auto-    */
+/*           resets when a USB connection is made to it)  */
+/*           by D. Mellis                                 */
+/* 20060802: hacked for Arduino by D. Cuartielles         */
+/*           based on a previous hack by D. Mellis        */
+/*           and D. Cuartielles                           */
+/*                                                        */
+/* Monitor and debug functions were added to the original */
+/* code by Dr. Erik Lins, chip45.com. (See below)         */
+/*                                                        */
+/* Thanks to Karl Pitrich for fixing a bootloader pin     */
+/* problem and more informative LED blinking!             */
+/*                                                        */
+/* For the latest version see:                            */
+/* http://www.chip45.com/                                 */
+/*                                                        */
+/* ------------------------------------------------------ */
+/*                                                        */
+/* based on stk500boot.c                                  */
+/* Copyright (c) 2003, Jason P. Kyle                      */
+/* All rights reserved.                                   */
+/* see avr1.org for original file and information         */
+/*                                                        */
+/* This program is free software; you can redistribute it */
+/* and/or modify it under the terms of the GNU General    */
+/* Public License as published by the Free Software       */
+/* Foundation; either version 2 of the License, or        */
+/* (at your option) any later version.                    */
+/*                                                        */
+/* This program is distributed in the hope that it will   */
+/* be useful, but WITHOUT ANY WARRANTY; without even the  */
+/* implied warranty of MERCHANTABILITY or FITNESS FOR A   */
+/* PARTICULAR PURPOSE.  See the GNU General Public        */
+/* License for more details.                              */
+/*                                                        */
+/* You should have received a copy of the GNU General     */
+/* Public License along with this program; if not, write  */
+/* to the Free Software Foundation, Inc.,                 */
+/* 59 Temple Place, Suite 330, Boston, MA  02111-1307 USA */
+/*                                                        */
+/* Licence can be viewed at                               */
+/* http://www.fsf.org/licenses/gpl.txt                    */
+/*                                                        */
+/* Target = Atmel AVR m128,m64,m32,m16,m8,m162,m163,m169, */
+/* m8515,m8535. ATmega161 has a very small boot block so  */
+/* isn't supported.                                       */
+/*                                                        */
+/* Tested with m168                                       */
+/**********************************************************/
+
+/* $Id$ */
+
+
+/* some includes */
+#include <inttypes.h>
+#include <avr/io.h>
+#include <avr/pgmspace.h>
+#include <avr/interrupt.h>
+#include <avr/wdt.h>
+#include <util/delay.h>
+
+/* the current avr-libc eeprom functions do not support the ATmega168 */
+/* own eeprom write/read functions are used instead */
+#if !defined(__AVR_ATmega168__) || !defined(__AVR_ATmega328P__)
+#include <avr/eeprom.h>
+#endif
+
+/* Use the F_CPU defined in Makefile */
+
+/* 20060803: hacked by DojoCorp */
+/* 20070626: hacked by David A. Mellis to decrease waiting time for auto-reset */
+/* set the waiting time for the bootloader */
+/* get this from the Makefile instead */
+/* #define MAX_TIME_COUNT (F_CPU>>4) */
+
+/* 20070707: hacked by David A. Mellis - after this many errors give up and launch application */
+#define MAX_ERROR_COUNT 5
+
+/* set the UART baud rate */
+/* 20060803: hacked by DojoCorp */
+//#define BAUD_RATE   115200
+//#ifndef BAUD_RATE
+#define BAUD_RATE   19200
+//#endif
+
+
+/* SW_MAJOR and MINOR needs to be updated from time to time to avoid warning message from AVR Studio */
+/* never allow AVR Studio to do an update !!!! */
+#define HW_VER	 0x02
+#define SW_MAJOR 0x01
+#define SW_MINOR 0x10
+
+
+/* Adjust to suit whatever pin your hardware uses to enter the bootloader */
+/* ATmega128 has two UARTS so two pins are used to enter bootloader and select UART */
+/* BL0... means UART0, BL1... means UART1 */
+#ifdef __AVR_ATmega128__
+#define BL_DDR  DDRF
+#define BL_PORT PORTF
+#define BL_PIN  PINF
+#define BL0     PINF7
+#define BL1     PINF6
+#else
+/* other ATmegas have only one UART, so only one pin is defined to enter bootloader */
+#define BL_DDR  DDRD
+#define BL_PORT PORTD
+#define BL_PIN  PIND
+#define BL      PIND6
+#endif
+
+
+/* onboard LED is used to indicate, that the bootloader was entered (3x flashing) */
+/* if monitor functions are included, LED goes on after monitor was entered */
+#ifdef __AVR_ATmega128__
+/* Onboard LED is connected to pin PB7 (e.g. Crumb128, PROBOmega128, Savvy128) */
+#define LED_DDR  DDRB
+#define LED_PORT PORTB
+#define LED_PIN  PINB
+#define LED      PINB7
+#else
+/* Onboard LED is connected to pin PB2 (e.g. Crumb8, Crumb168) */
+#define LED_DDR  DDRB
+#define LED_PORT PORTB
+#define LED_PIN  PINB
+/* 20060803: hacked by DojoCorp, LED pin is B5 in Arduino */
+/* #define LED      PINB2 */
+#define LED      PINB0
+#endif
+
+
+/* monitor functions will only be compiled when using ATmega128, due to bootblock size constraints */
+#ifdef __AVR_ATmega128__
+#define MONITOR
+#endif
+
+
+/* define various device id's */
+/* manufacturer byte is always the same */
+#define SIG1	0x1E	// Yep, Atmel is the only manufacturer of AVR micros.  Single source :(
+
+#if defined __AVR_ATmega128__
+#define SIG2	0x97
+#define SIG3	0x02
+#define PAGE_SIZE	0x80U	//128 words
+
+#elif defined __AVR_ATmega64__
+#define SIG2	0x96
+#define SIG3	0x02
+#define PAGE_SIZE	0x80U	//128 words
+
+#elif defined __AVR_ATmega32__
+#define SIG2	0x95
+#define SIG3	0x02
+#define PAGE_SIZE	0x40U	//64 words
+
+#elif defined __AVR_ATmega16__
+#define SIG2	0x94
+#define SIG3	0x03
+#define PAGE_SIZE	0x40U	//64 words
+
+#elif defined __AVR_ATmega8__
+#define SIG2	0x93
+#define SIG3	0x07
+#define PAGE_SIZE	0x20U	//32 words
+
+#elif defined __AVR_ATmega88__
+#define SIG2	0x93
+#define SIG3	0x0a
+#define PAGE_SIZE	0x20U	//32 words
+
+#elif defined __AVR_ATmega168__
+#define SIG2	0x94
+#define SIG3	0x06
+#define PAGE_SIZE	0x40U	//64 words
+
+#elif defined __AVR_ATmega328P__
+#define SIG2	0x95
+#define SIG3	0x0F
+#define PAGE_SIZE	0x40U	//64 words
+
+#elif defined __AVR_ATmega162__
+#define SIG2	0x94
+#define SIG3	0x04
+#define PAGE_SIZE	0x40U	//64 words
+
+#elif defined __AVR_ATmega163__
+#define SIG2	0x94
+#define SIG3	0x02
+#define PAGE_SIZE	0x40U	//64 words
+
+#elif defined __AVR_ATmega169__
+#define SIG2	0x94
+#define SIG3	0x05
+#define PAGE_SIZE	0x40U	//64 words
+
+#elif defined __AVR_ATmega8515__
+#define SIG2	0x93
+#define SIG3	0x06
+#define PAGE_SIZE	0x20U	//32 words
+
+#elif defined __AVR_ATmega8535__
+#define SIG2	0x93
+#define SIG3	0x08
+#define PAGE_SIZE	0x20U	//32 words
+#endif
+
+
+/* function prototypes */
+void putch(char);
+char getch(void);
+void getNch(uint8_t);
+void byte_response(uint8_t);
+void nothing_response(void);
+char gethex(void);
+void puthex(char);
+void flash_led(uint8_t);
+
+/* some variables */
+union address_union {
+	uint16_t word;
+	uint8_t  byte[2];
+} address;
+
+union length_union {
+	uint16_t word;
+	uint8_t  byte[2];
+} length;
+
+struct flags_struct {
+	unsigned eeprom : 1;
+	unsigned rampz  : 1;
+} flags;
+
+uint8_t buff[256];
+uint8_t address_high;
+
+uint8_t pagesz=0x80;
+
+uint8_t i;
+uint8_t bootuart = 0;
+
+uint8_t error_count = 0;
+
+void (*app_start)(void) = 0x0000;
+
+
+/* main program starts here */
+int main(void)
+{
+	uint8_t ch,ch2;
+	uint16_t w;
+
+#ifdef WATCHDOG_MODS
+	ch = MCUSR;
+	MCUSR = 0;
+
+	WDTCSR |= _BV(WDCE) | _BV(WDE);
+	WDTCSR = 0;
+
+	// Check if the WDT was used to reset, in which case we dont bootload and skip straight to the code. woot.
+	if (! (ch &  _BV(EXTRF))) // if its a not an external reset...
+		app_start();  // skip bootloader
+#else
+	asm volatile("nop\n\t");
+#endif
+
+	/* set pin direction for bootloader pin and enable pullup */
+	/* for ATmega128, two pins need to be initialized */
+#ifdef __AVR_ATmega128__
+	BL_DDR &= ~_BV(BL0);
+	BL_DDR &= ~_BV(BL1);
+	BL_PORT |= _BV(BL0);
+	BL_PORT |= _BV(BL1);
+#else
+	/* We run the bootloader regardless of the state of this pin.  Thus, don't
+	put it in a different state than the other pins.  --DAM, 070709
+	BL_DDR &= ~_BV(BL);
+	BL_PORT |= _BV(BL);
+	*/
+#endif
+
+
+#ifdef __AVR_ATmega128__
+	/* check which UART should be used for booting */
+	if(bit_is_clear(BL_PIN, BL0)) {
+		bootuart = 1;
+	}
+	else if(bit_is_clear(BL_PIN, BL1)) {
+		bootuart = 2;
+	}
+#endif
+
+	/* check if flash is programmed already, if not start bootloader anyway */
+	if(pgm_read_byte_near(0x0000) != 0xFF) {
+
+#ifdef __AVR_ATmega128__
+		/* no UART was selected, start application */
+		if(!bootuart) {
+			app_start();
+		}
+#else
+		/* check if bootloader pin is set low */
+		/* we don't start this part neither for the m8, nor m168 */
+		//if(bit_is_set(BL_PIN, BL)) {
+		//	app_start();
+		//}
+#endif
+	}
+
+#ifdef __AVR_ATmega128__
+	/* no bootuart was selected, default to uart 0 */
+	if(!bootuart) {
+		bootuart = 1;
+	}
+#endif
+
+
+	/* initialize UART(s) depending on CPU defined */
+#ifdef __AVR_ATmega128__
+	if(bootuart == 1) {
+		UBRR0L = (uint8_t)(F_CPU/(BAUD_RATE*16L)-1);
+		UBRR0H = (F_CPU/(BAUD_RATE*16L)-1) >> 8;
+		UCSR0A = 0x00;
+		UCSR0C = 0x06;
+		UCSR0B = _BV(TXEN0)|_BV(RXEN0);
+	}
+	if(bootuart == 2) {
+		UBRR1L = (uint8_t)(F_CPU/(BAUD_RATE*16L)-1);
+		UBRR1H = (F_CPU/(BAUD_RATE*16L)-1) >> 8;
+		UCSR1A = 0x00;
+		UCSR1C = 0x06;
+		UCSR1B = _BV(TXEN1)|_BV(RXEN1);
+	}
+#elif defined __AVR_ATmega163__
+	UBRR = (uint8_t)(F_CPU/(BAUD_RATE*16L)-1);
+	UBRRHI = (F_CPU/(BAUD_RATE*16L)-1) >> 8;
+	UCSRA = 0x00;
+	UCSRB = _BV(TXEN)|_BV(RXEN);	
+#elif defined(__AVR_ATmega168__) || defined(__AVR_ATmega328P__)
+	UBRR0L = (uint8_t)(F_CPU/(BAUD_RATE*16L)-1);
+	UBRR0H = (F_CPU/(BAUD_RATE*16L)-1) >> 8;
+	UCSR0B = (1<<RXEN0) | (1<<TXEN0);
+	UCSR0C = (1<<UCSZ00) | (1<<UCSZ01);
+
+	/* Enable internal pull-up resistor on pin D0 (RX), in order
+	to supress line noise that prevents the bootloader from
+	timing out (DAM: 20070509) */
+	DDRD &= ~_BV(PIND0);
+	PORTD |= _BV(PIND0);
+#elif defined __AVR_ATmega8__
+	/* m8 */
+	UBRRH = (((F_CPU/BAUD_RATE)/16)-1)>>8; 	// set baud rate
+	UBRRL = (((F_CPU/BAUD_RATE)/16)-1);
+	UCSRB = (1<<RXEN)|(1<<TXEN);  // enable Rx & Tx
+	UCSRC = (1<<URSEL)|(1<<UCSZ1)|(1<<UCSZ0);  // config USART; 8N1
+#else
+	/* m16,m32,m169,m8515,m8535 */
+	UBRRH = (((F_CPU/BAUD_RATE)/16)-1)>>8; 	// set baud rate
+	UBRRL = (((F_CPU/BAUD_RATE)/16)-1);
+	UCSRB = (1<<RXEN)|(1<<TXEN);  // enable Rx & Tx
+	UCSRC = (1<<URSEL)|(1<<UCSZ1)|(1<<UCSZ0);  // config USART; 8N1
+#endif
+
+	/* set LED pin as output */
+	LED_DDR |= _BV(LED);
+
+	/* flash onboard LED to signal entering of bootloader */
+#ifdef __AVR_ATmega128__
+	// 4x for UART0, 5x for UART1
+	flash_led(NUM_LED_FLASHES + bootuart);
+#else
+	flash_led(NUM_LED_FLASHES);
+#endif
+
+	/* 20050803: by DojoCorp, this is one of the parts provoking the
+	system to stop listening, cancelled from the original */
+	//putch('\0');
+
+
+	/* forever loop */
+	for (;;) {
+
+	/* get character from UART */
+	ch = getch();
+
+	/* A bunch of if...else if... gives smaller code than switch...case ! */
+
+	/* Hello is anyone home ? */ 
+	if(ch=='0') {
+		nothing_response();
+	}
+
+
+	/* Request programmer ID */
+	/* Not using PROGMEM string due to boot block in m128 being beyond 64kB boundry  */
+	/* Would need to selectively manipulate RAMPZ, and it's only 9 characters anyway so who cares.  */
+	else if(ch=='1') {
+		if (getch() == ' ') {
+			putch(0x14);
+			putch('A');
+			putch('V');
+			putch('R');
+			putch(' ');
+			putch('I');
+			putch('S');
+			putch('P');
+			putch(0x10);
+		} else {
+			if (++error_count == MAX_ERROR_COUNT)
+				app_start();
+		}
+	}
+
+
+	/* AVR ISP/STK500 board commands  DON'T CARE so default nothing_response */
+	else if(ch=='@') {
+		ch2 = getch();
+		if (ch2>0x85) getch();
+		nothing_response();
+	}
+
+
+	/* AVR ISP/STK500 board requests */
+	else if(ch=='A') {
+		ch2 = getch();
+		if(ch2==0x80) byte_response(HW_VER);		// Hardware version
+		else if(ch2==0x81) byte_response(SW_MAJOR);	// Software major version
+		else if(ch2==0x82) byte_response(SW_MINOR);	// Software minor version
+		else if(ch2==0x98) byte_response(0x03);		// Unknown but seems to be required by avr studio 3.56
+		else byte_response(0x00);				// Covers various unnecessary responses we don't care about
+	}
+
+
+	/* Device Parameters  DON'T CARE, DEVICE IS FIXED  */
+	else if(ch=='B') {
+		getNch(20);
+		nothing_response();
+	}
+
+
+	/* Parallel programming stuff  DON'T CARE  */
+	else if(ch=='E') {
+		getNch(5);
+		nothing_response();
+	}
+
+
+	/* P: Enter programming mode  */
+	/* R: Erase device, don't care as we will erase one page at a time anyway.  */
+	else if(ch=='P' || ch=='R') {
+		nothing_response();
+	}
+
+
+	/* Leave programming mode  */
+	else if(ch=='Q') {
+		nothing_response();
+#ifdef WATCHDOG_MODS
+		// autoreset via watchdog (sneaky!)
+		WDTCSR = _BV(WDE);
+		while (1); // 16 ms
+#endif
+	}
+
+
+	/* Set address, little endian. EEPROM in bytes, FLASH in words  */
+	/* Perhaps extra address bytes may be added in future to support > 128kB FLASH.  */
+	/* This might explain why little endian was used here, big endian used everywhere else.  */
+	else if(ch=='U') {
+		address.byte[0] = getch();
+		address.byte[1] = getch();
+		nothing_response();
+	}
+
+
+	/* Universal SPI programming command, disabled.  Would be used for fuses and lock bits.  */
+	else if(ch=='V') {
+		if (getch() == 0x30) {
+			getch();
+			ch = getch();
+			getch();
+			if (ch == 0) {
+				byte_response(SIG1);
+			} else if (ch == 1) {
+				byte_response(SIG2); 
+			} else {
+				byte_response(SIG3);
+			} 
+		} else {
+			getNch(3);
+			byte_response(0x00);
+		}
+	}
+
+
+	/* Write memory, length is big endian and is in bytes  */
+	else if(ch=='d') {
+		length.byte[1] = getch();
+		length.byte[0] = getch();
+		flags.eeprom = 0;
+		if (getch() == 'E') flags.eeprom = 1;
+		for (w=0;w<length.word;w++) {
+			buff[w] = getch();	                        // Store data in buffer, can't keep up with serial data stream whilst programming pages
+		}
+		if (getch() == ' ') {
+			if (flags.eeprom) {		                //Write to EEPROM one byte at a time
+				address.word <<= 1;
+				for(w=0;w<length.word;w++) {
+#if defined(__AVR_ATmega168__)  || defined(__AVR_ATmega328P__)
+					while(EECR & (1<<EEPE));
+					EEAR = (uint16_t)(void *)address.word;
+					EEDR = buff[w];
+					EECR |= (1<<EEMPE);
+					EECR |= (1<<EEPE);
+#else
+					eeprom_write_byte((void *)address.word,buff[w]);
+#endif
+					address.word++;
+				}			
+			}
+			else {					        //Write to FLASH one page at a time
+				if (address.byte[1]>127) address_high = 0x01;	//Only possible with m128, m256 will need 3rd address byte. FIXME
+				else address_high = 0x00;
+#ifdef __AVR_ATmega128__
+				RAMPZ = address_high;
+#endif
+				address.word = address.word << 1;	        //address * 2 -> byte location
+				/* if ((length.byte[0] & 0x01) == 0x01) length.word++;	//Even up an odd number of bytes */
+				if ((length.byte[0] & 0x01)) length.word++;	//Even up an odd number of bytes
+				cli();					//Disable interrupts, just to be sure
+				// HACKME: EEPE used to be EEWE
+#if defined(__AVR_ATmega168__)  || defined(__AVR_ATmega328P__)				
+				while(bit_is_set(EECR,EEPE));			//Wait for previous EEPROM writes to complete
+#else
+				while(bit_is_set(EECR,EEWE));			
+#endif				
+				asm volatile(
+					 "clr	r17		\n\t"	//page_word_count
+					 "lds	r30,address	\n\t"	//Address of FLASH location (in bytes)
+					 "lds	r31,address+1	\n\t"
+					 "ldi	r28,lo8(buff)	\n\t"	//Start of buffer array in RAM
+					 "ldi	r29,hi8(buff)	\n\t"
+					 "lds	r24,length	\n\t"	//Length of data to be written (in bytes)
+					 "lds	r25,length+1	\n\t"
+					 "length_loop:		\n\t"	//Main loop, repeat for number of words in block							 							 
+					 "cpi	r17,0x00	\n\t"	//If page_word_count=0 then erase page
+					 "brne	no_page_erase	\n\t"						 
+					 "wait_spm1:		\n\t"
+					 "lds	r16,%0		\n\t"	//Wait for previous spm to complete
+					 "andi	r16,1           \n\t"
+					 "cpi	r16,1           \n\t"
+					 "breq	wait_spm1       \n\t"
+					 "ldi	r16,0x03	\n\t"	//Erase page pointed to by Z
+					 "sts	%0,r16		\n\t"
+					 "spm			\n\t"							 
+#ifdef __AVR_ATmega163__
+					 ".word 0xFFFF		\n\t"
+					 "nop			\n\t"
+#endif
+					 "wait_spm2:		\n\t"
+					 "lds	r16,%0		\n\t"	//Wait for previous spm to complete
+					 "andi	r16,1           \n\t"
+					 "cpi	r16,1           \n\t"
+					 "breq	wait_spm2       \n\t"									 
+
+					 "ldi	r16,0x11	\n\t"	//Re-enable RWW section
+					 "sts	%0,r16		\n\t"						 			 
+					 "spm			\n\t"
+#ifdef __AVR_ATmega163__
+					 ".word 0xFFFF		\n\t"
+					 "nop			\n\t"
+#endif
+					 "no_page_erase:		\n\t"							 
+					 "ld	r0,Y+		\n\t"	//Write 2 bytes into page buffer
+					 "ld	r1,Y+		\n\t"							 
+								 
+					 "wait_spm3:		\n\t"
+					 "lds	r16,%0		\n\t"	//Wait for previous spm to complete
+					 "andi	r16,1           \n\t"
+					 "cpi	r16,1           \n\t"
+					 "breq	wait_spm3       \n\t"
+					 "ldi	r16,0x01	\n\t"	//Load r0,r1 into FLASH page buffer
+					 "sts	%0,r16		\n\t"
+					 "spm			\n\t"
+								 
+					 "inc	r17		\n\t"	//page_word_count++
+					 "cpi r17,%1	        \n\t"
+					 "brlo	same_page	\n\t"	//Still same page in FLASH
+					 "write_page:		\n\t"
+					 "clr	r17		\n\t"	//New page, write current one first
+					 "wait_spm4:		\n\t"
+					 "lds	r16,%0		\n\t"	//Wait for previous spm to complete
+					 "andi	r16,1           \n\t"
+					 "cpi	r16,1           \n\t"
+					 "breq	wait_spm4       \n\t"
+#ifdef __AVR_ATmega163__
+					 "andi	r30,0x80	\n\t"	// m163 requires Z6:Z1 to be zero during page write
+#endif							 							 
+					 "ldi	r16,0x05	\n\t"	//Write page pointed to by Z
+					 "sts	%0,r16		\n\t"
+					 "spm			\n\t"
+#ifdef __AVR_ATmega163__
+					 ".word 0xFFFF		\n\t"
+					 "nop			\n\t"
+					 "ori	r30,0x7E	\n\t"	// recover Z6:Z1 state after page write (had to be zero during write)
+#endif
+					 "wait_spm5:		\n\t"
+					 "lds	r16,%0		\n\t"	//Wait for previous spm to complete
+					 "andi	r16,1           \n\t"
+					 "cpi	r16,1           \n\t"
+					 "breq	wait_spm5       \n\t"									 
+					 "ldi	r16,0x11	\n\t"	//Re-enable RWW section
+					 "sts	%0,r16		\n\t"						 			 
+					 "spm			\n\t"					 		 
+#ifdef __AVR_ATmega163__
+					 ".word 0xFFFF		\n\t"
+					 "nop			\n\t"
+#endif
+					 "same_page:		\n\t"							 
+					 "adiw	r30,2		\n\t"	//Next word in FLASH
+					 "sbiw	r24,2		\n\t"	//length-2
+					 "breq	final_write	\n\t"	//Finished
+					 "rjmp	length_loop	\n\t"
+					 "final_write:		\n\t"
+					 "cpi	r17,0		\n\t"
+					 "breq	block_done	\n\t"
+					 "adiw	r24,2		\n\t"	//length+2, fool above check on length after short page write
+					 "rjmp	write_page	\n\t"
+					 "block_done:		\n\t"
+					 "clr	__zero_reg__	\n\t"	//restore zero register
+#if defined(__AVR_ATmega168__)  || defined(__AVR_ATmega328P__)
+					 : "=m" (SPMCSR) : "M" (PAGE_SIZE) : "r0","r16","r17","r24","r25","r28","r29","r30","r31"
+#else
+					 : "=m" (SPMCR) : "M" (PAGE_SIZE) : "r0","r16","r17","r24","r25","r28","r29","r30","r31"
+#endif
+					 );
+				/* Should really add a wait for RWW section to be enabled, don't actually need it since we never */
+				/* exit the bootloader without a power cycle anyhow */
+			}
+			putch(0x14);
+			putch(0x10);
+		} else {
+			if (++error_count == MAX_ERROR_COUNT)
+				app_start();
+		}		
+	}
+
+
+	/* Read memory block mode, length is big endian.  */
+	else if(ch=='t') {
+		length.byte[1] = getch();
+		length.byte[0] = getch();
+#if defined __AVR_ATmega128__
+		if (address.word>0x7FFF) flags.rampz = 1;		// No go with m256, FIXME
+		else flags.rampz = 0;
+#endif
+		address.word = address.word << 1;	        // address * 2 -> byte location
+		if (getch() == 'E') flags.eeprom = 1;
+		else flags.eeprom = 0;
+		if (getch() == ' ') {		                // Command terminator
+			putch(0x14);
+			for (w=0;w < length.word;w++) {		        // Can handle odd and even lengths okay
+				if (flags.eeprom) {	                        // Byte access EEPROM read
+#if defined(__AVR_ATmega168__)  || defined(__AVR_ATmega328P__)
+					while(EECR & (1<<EEPE));
+					EEAR = (uint16_t)(void *)address.word;
+					EECR |= (1<<EERE);
+					putch(EEDR);
+#else
+					putch(eeprom_read_byte((void *)address.word));
+#endif
+					address.word++;
+				}
+				else {
+
+					if (!flags.rampz) putch(pgm_read_byte_near(address.word));
+#if defined __AVR_ATmega128__
+					else putch(pgm_read_byte_far(address.word + 0x10000));
+					// Hmmmm, yuck  FIXME when m256 arrvies
+#endif
+					address.word++;
+				}
+			}
+			putch(0x10);
+		}
+	}
+
+
+	/* Get device signature bytes  */
+	else if(ch=='u') {
+		if (getch() == ' ') {
+			putch(0x14);
+			putch(SIG1);
+			putch(SIG2);
+			putch(SIG3);
+			putch(0x10);
+		} else {
+			if (++error_count == MAX_ERROR_COUNT)
+			app_start();
+		}
+	}
+
+
+	/* Read oscillator calibration byte */
+	else if(ch=='v') {
+		byte_response(0x00);
+	}
+
+
+#ifdef MONITOR
+
+	/* here come the extended monitor commands by Erik Lins */
+
+	/* check for three times exclamation mark pressed */
+	else if(ch=='!') {
+		ch = getch();
+		if(ch=='!') {
+		ch = getch();
+		if(ch=='!') {
+
+#ifdef __AVR_ATmega128__
+			uint16_t extaddr;
+#endif
+			uint8_t addrl, addrh;
+
+#ifdef CRUMB128
+			PGM_P welcome = {"ATmegaBOOT / Crumb128 - (C) J.P.Kyle, E.Lins - 050815\n\r"};
+#elif defined PROBOMEGA128
+			PGM_P welcome = {"ATmegaBOOT / PROBOmega128 - (C) J.P.Kyle, E.Lins - 050815\n\r"};
+#elif defined SAVVY128
+			PGM_P welcome = {"ATmegaBOOT / Savvy128 - (C) J.P.Kyle, E.Lins - 050815\n\r"};
+#endif
+
+			/* turn on LED */
+			LED_DDR |= _BV(LED);
+			LED_PORT &= ~_BV(LED);
+
+			/* print a welcome message and command overview */
+			for(i=0; welcome[i] != '\0'; ++i) {
+				putch(welcome[i]);
+			}
+
+			/* test for valid commands */
+			for(;;) {
+				putch('\n');
+				putch('\r');
+				putch(':');
+				putch(' ');
+
+				ch = getch();
+				putch(ch);
+
+				/* toggle LED */
+				if(ch == 't') {
+					if(bit_is_set(LED_PIN,LED)) {
+						LED_PORT &= ~_BV(LED);
+						putch('1');
+					} else {
+						LED_PORT |= _BV(LED);
+						putch('0');
+					}
+				} 
+
+				/* read byte from address */
+				else if(ch == 'r') {
+					ch = getch(); putch(ch);
+					addrh = gethex();
+					addrl = gethex();
+					putch('=');
+					ch = *(uint8_t *)((addrh << 8) + addrl);
+					puthex(ch);
+				}
+
+				/* write a byte to address  */
+				else if(ch == 'w') {
+					ch = getch(); putch(ch);
+					addrh = gethex();
+					addrl = gethex();
+					ch = getch(); putch(ch);
+					ch = gethex();
+					*(uint8_t *)((addrh << 8) + addrl) = ch;
+				}
+
+				/* read from uart and echo back */
+				else if(ch == 'u') {
+					for(;;) {
+						putch(getch());
+					}
+				}
+#ifdef __AVR_ATmega128__
+				/* external bus loop  */
+				else if(ch == 'b') {
+					putch('b');
+					putch('u');
+					putch('s');
+					MCUCR = 0x80;
+					XMCRA = 0;
+					XMCRB = 0;
+					extaddr = 0x1100;
+					for(;;) {
+						ch = *(volatile uint8_t *)extaddr;
+						if(++extaddr == 0) {
+							extaddr = 0x1100;
+						}
+					}
+				}
+#endif
+
+				else if(ch == 'j') {
+					app_start();
+				}
+
+			} /* end of monitor functions */
+
+		}
+		}
+	}
+	/* end of monitor */
+#endif
+	else if (++error_count == MAX_ERROR_COUNT) {
+		app_start();
+	}
+	} /* end of forever loop */
+
+}
+
+
+char gethexnib(void) {
+	char a;
+	a = getch(); putch(a);
+	if(a >= 'a') {
+		return (a - 'a' + 0x0a);
+	} else if(a >= '0') {
+		return(a - '0');
+	}
+	return a;
+}
+
+
+char gethex(void) {
+	return (gethexnib() << 4) + gethexnib();
+}
+
+
+void puthex(char ch) {
+	char ah;
+
+	ah = ch >> 4;
+	if(ah >= 0x0a) {
+		ah = ah - 0x0a + 'a';
+	} else {
+		ah += '0';
+	}
+	
+	ch &= 0x0f;
+	if(ch >= 0x0a) {
+		ch = ch - 0x0a + 'a';
+	} else {
+		ch += '0';
+	}
+	
+	putch(ah);
+	putch(ch);
+}
+
+
+void putch(char ch)
+{
+#ifdef __AVR_ATmega128__
+	if(bootuart == 1) {
+		while (!(UCSR0A & _BV(UDRE0)));
+		UDR0 = ch;
+	}
+	else if (bootuart == 2) {
+		while (!(UCSR1A & _BV(UDRE1)));
+		UDR1 = ch;
+	}
+#elif defined(__AVR_ATmega168__)  || defined(__AVR_ATmega328P__)
+	while (!(UCSR0A & _BV(UDRE0)));
+	UDR0 = ch;
+#else
+	/* m8,16,32,169,8515,8535,163 */
+	while (!(UCSRA & _BV(UDRE)));
+	UDR = ch;
+#endif
+}
+
+
+char getch(void)
+{
+#ifdef __AVR_ATmega128__
+	if(bootuart == 1) {
+		while(!(UCSR0A & _BV(RXC0)));
+		return UDR0;
+	}
+	else if(bootuart == 2) {
+		while(!(UCSR1A & _BV(RXC1)));
+		return UDR1;
+	}
+	return 0;
+#elif defined(__AVR_ATmega168__)  || defined(__AVR_ATmega328P__)
+	uint32_t count = 0;
+	while(!(UCSR0A & _BV(RXC0))){
+		/* 20060803 DojoCorp:: Addon coming from the previous Bootloader*/               
+		/* HACKME:: here is a good place to count times*/
+		count++;
+		if (count > MAX_TIME_COUNT)
+			app_start();
+	}
+	return UDR0;
+#else
+	/* m8,16,32,169,8515,8535,163 */
+	uint32_t count = 0;
+	while(!(UCSRA & _BV(RXC))){
+		/* 20060803 DojoCorp:: Addon coming from the previous Bootloader*/               
+		/* HACKME:: here is a good place to count times*/
+		count++;
+		if (count > MAX_TIME_COUNT)
+			app_start();
+	}
+	return UDR;
+#endif
+}
+
+
+void getNch(uint8_t count)
+{
+	while(count--) {
+#ifdef __AVR_ATmega128__
+		if(bootuart == 1) {
+			while(!(UCSR0A & _BV(RXC0)));
+			UDR0;
+		} 
+		else if(bootuart == 2) {
+			while(!(UCSR1A & _BV(RXC1)));
+			UDR1;
+		}
+#elif defined(__AVR_ATmega168__)  || defined(__AVR_ATmega328P__)
+		getch();
+#else
+		/* m8,16,32,169,8515,8535,163 */
+		/* 20060803 DojoCorp:: Addon coming from the previous Bootloader*/               
+		//while(!(UCSRA & _BV(RXC)));
+		//UDR;
+		getch(); // need to handle time out
+#endif		
+	}
+}
+
+
+void byte_response(uint8_t val)
+{
+	if (getch() == ' ') {
+		putch(0x14);
+		putch(val);
+		putch(0x10);
+	} else {
+		if (++error_count == MAX_ERROR_COUNT)
+			app_start();
+	}
+}
+
+
+void nothing_response(void)
+{
+	if (getch() == ' ') {
+		putch(0x14);
+		putch(0x10);
+	} else {
+		if (++error_count == MAX_ERROR_COUNT)
+			app_start();
+	}
+}
+
+void flash_led(uint8_t count)
+{
+	while (count--) {
+		LED_PORT |= _BV(LED);
+		_delay_ms(100);
+		LED_PORT &= ~_BV(LED);
+		_delay_ms(100);
+	}
+}
+
+
+/* end of file ATmegaBOOT.c */
diff --git a/microhope/firmware/Makefile b/microhope/firmware/Makefile
new file mode 100644
index 0000000..f12c524
--- /dev/null
+++ b/microhope/firmware/Makefile
@@ -0,0 +1,210 @@
+# Makefile for ATmegaBOOT
+# E.Lins, 18.7.2005
+# $Id$
+#
+# Instructions
+#
+# To make bootloader .hex file:
+# make diecimila
+# make lilypad
+# make ng
+# etc...
+#
+# To burn bootloader .hex file:
+# make diecimila_isp
+# make lilypad_isp
+# make ng_isp
+# etc...
+
+# program name should not be changed...
+PROGRAM    = Bootloader
+
+# enter the parameters for the avrdude isp tool
+ISPTOOL	   = avrisp2
+ISPPORT	   = /dev/tty.PL2303-00001004
+ISPSPEED   = -b 115200
+
+MCU_TARGET = atmega168
+LDSECTION  = --section-start=.text=0x3800
+
+# the efuse should really be 0xf8; since, however, only the lower
+# three bits of that byte are used on the atmega168, avrdude gets
+# confused if you specify 1's for the higher bits, see:
+# http://tinker.it/now/2007/02/24/the-tale-of-avrdude-atmega168-and-extended-bits-fuses/
+#
+# similarly, the lock bits should be 0xff instead of 0x3f (to
+# unlock the bootloader section) and 0xcf instead of 0x0f (to
+# lock it), but since the high two bits of the lock byte are
+# unused, avrdude would get confused.
+
+ISPFUSES    = avrdude -c $(ISPTOOL) -p $(MCU_TARGET) -P $(ISPPORT) $(ISPSPEED) \
+-e -u -U lock:w:0x3f:m  -U hfuse:w:0x$(HFUSE):m -U lfuse:w:0x$(LFUSE):m
+# -U efuse:w:0x$(EFUSE):m
+ISPFLASH    = avrdude -c $(ISPTOOL) -p $(MCU_TARGET) -P $(ISPPORT) $(ISPSPEED) \
+-U flash:w:$(PROGRAM)_$(TARGET).hex -U lock:w:0x0f:m
+
+STK500 = "C:\Program Files\Atmel\AVR Tools\STK500\Stk500.exe"
+STK500-1 = $(STK500) -e -d$(MCU_TARGET) -pf -vf -if$(PROGRAM)_$(TARGET).hex \
+-lFF -LFF -f$(HFUSE)$(LFUSE) -EF8 -ms -q -cUSB -I200kHz -s -wt
+STK500-2 = $(STK500) -d$(MCU_TARGET) -ms -q -lCF -LCF -cUSB -I200kHz -s -wt
+
+
+OBJ        = $(PROGRAM).o
+OPTIMIZE   = -O2
+
+DEFS       = 
+LIBS       =
+
+CC         = avr-gcc
+
+# Override is only needed by avr-lib build system.
+
+override CFLAGS        = -g -Wall $(OPTIMIZE) -mmcu=$(MCU_TARGET) -DF_CPU=$(AVR_FREQ) $(DEFS)
+override LDFLAGS       = -Wl,$(LDSECTION)
+#override LDFLAGS       = -Wl,-Map,$(PROGRAM).map,$(LDSECTION)
+
+OBJCOPY        = avr-objcopy
+OBJDUMP        = avr-objdump
+
+all:
+
+lilypad: TARGET = lilypad
+lilypad: CFLAGS += '-DMAX_TIME_COUNT=F_CPU>>1' '-DNUM_LED_FLASHES=3'
+lilypad: AVR_FREQ = 8000000L
+lilypad: $(PROGRAM)_lilypad.hex
+
+lilypad_isp: lilypad
+lilypad_isp: TARGET = lilypad
+lilypad_isp: HFUSE = DD
+lilypad_isp: LFUSE = E2
+lilypad_isp: EFUSE = 00
+lilypad_isp: isp
+
+lilypad_resonator: TARGET = lilypad_resonator
+lilypad_resonator: CFLAGS += '-DMAX_TIME_COUNT=F_CPU>>4' '-DNUM_LED_FLASHES=3'
+lilypad_resonator: AVR_FREQ = 8000000L
+lilypad_resonator: $(PROGRAM)_lilypad_resonator.hex
+
+lilypad_resonator_isp: lilypad_resonator
+lilypad_resonator_isp: TARGET = lilypad_resonator
+lilypad_resonator_isp: HFUSE = DD
+lilypad_resonator_isp: LFUSE = C6
+lilypad_resonator_isp: EFUSE = 00
+lilypad_resonator_isp: isp
+
+pro8: TARGET = pro_8MHz
+pro8: CFLAGS += '-DMAX_TIME_COUNT=F_CPU>>4' '-DNUM_LED_FLASHES=1' '-DWATCHDOG_MODS'
+pro8: AVR_FREQ = 8000000L
+pro8: $(PROGRAM)_pro_8MHz.hex
+
+pro8_isp: pro8
+pro8_isp: TARGET = pro_8MHz
+pro8_isp: HFUSE = DD
+pro8_isp: LFUSE = C6
+pro8_isp: EFUSE = 00
+pro8_isp: isp
+
+pro16: TARGET = pro_16MHz
+pro16: CFLAGS += '-DMAX_TIME_COUNT=F_CPU>>4' '-DNUM_LED_FLASHES=1' '-DWATCHDOG_MODS'
+pro16: AVR_FREQ = 16000000L
+pro16: $(PROGRAM)_pro_16MHz.hex
+
+pro16_isp: pro16
+pro16_isp: TARGET = pro_16MHz
+pro16_isp: HFUSE = DD
+pro16_isp: LFUSE = C6
+pro16_isp: EFUSE = 00
+pro16_isp: isp
+
+pro20: TARGET = pro_20mhz
+pro20: CFLAGS += '-DMAX_TIME_COUNT=F_CPU>>4' '-DNUM_LED_FLASHES=1' '-DWATCHDOG_MODS'
+pro20: AVR_FREQ = 20000000L
+pro20: $(PROGRAM)_pro_20mhz.hex
+
+pro20_isp: pro20
+pro20_isp: TARGET = pro_20mhz
+pro20_isp: HFUSE = DD
+pro20_isp: LFUSE = C6
+pro20_isp: EFUSE = 00
+pro20_isp: isp
+
+diecimila: TARGET = diecimila
+diecimila: CFLAGS += '-DMAX_TIME_COUNT=F_CPU>>4' '-DNUM_LED_FLASHES=1'
+diecimila: AVR_FREQ = 16000000L 
+diecimila: $(PROGRAM)_diecimila.hex
+
+diecimila_isp: diecimila
+diecimila_isp: TARGET = diecimila
+diecimila_isp: HFUSE = DD
+diecimila_isp: LFUSE = FF
+diecimila_isp: EFUSE = 00
+diecimila_isp: isp
+
+ng: TARGET = ng
+ng: CFLAGS += '-DMAX_TIME_COUNT=F_CPU>>1' '-DNUM_LED_FLASHES=3'
+ng: AVR_FREQ = 16000000L
+ng: $(PROGRAM)_ng.hex
+
+ng_isp: ng
+ng_isp: TARGET = ng
+ng_isp: HFUSE = DD
+ng_isp: LFUSE = FF
+ng_isp: EFUSE = 00
+ng_isp: isp
+
+atmega328: TARGET = atmega328
+atmega328: MCU_TARGET = atmega328p
+atmega328: CFLAGS += '-DMAX_TIME_COUNT=F_CPU>>4' '-DNUM_LED_FLASHES=1' -DBAUD_RATE=57600
+atmega328: AVR_FREQ = 16000000L 
+atmega328: LDSECTION  = --section-start=.text=0x7800
+atmega328: $(PROGRAM)_atmega328.hex
+
+atmega328_isp: atmega328
+atmega328_isp: TARGET = atmega328
+atmega328_isp: MCU_TARGET = atmega328p
+atmega328_isp: HFUSE = DA
+atmega328_isp: LFUSE = FF
+atmega328_isp: EFUSE = 05
+atmega328_isp: isp
+
+atmega32: TARGET = atmega32
+atmega32: MCU_TARGET = atmega32
+atmega32: CFLAGS += '-DMAX_TIME_COUNT=F_CPU>>4' '-DNUM_LED_FLASHES=1' 
+atmega32: AVR_FREQ = 8000000L 
+atmega32: LDSECTION  = --section-start=.text=0x7800
+atmega32: $(PROGRAM)_atmega32.hex
+
+atmega32_isp: atmega32
+atmega32_isp: TARGET = atmega32
+atmega32_isp: MCU_TARGET = atmega32
+atmega32_isp: HFUSE = DA
+atmega32_isp: LFUSE = FF
+atmega32_isp: isp
+
+
+isp: $(TARGET)
+	$(ISPFUSES)
+	$(ISPFLASH)
+
+isp-stk500: $(PROGRAM)_$(TARGET).hex
+	$(STK500-1)
+	$(STK500-2)
+
+%.elf: $(OBJ)
+	$(CC) $(CFLAGS) $(LDFLAGS) -o $@ $^ $(LIBS)
+
+clean:
+	rm -rf *.o *.elf *.lst *.map *.sym *.lss *.eep *.srec *.bin *.hex
+
+%.lst: %.elf
+	$(OBJDUMP) -h -S $< > $@
+
+%.hex: %.elf
+	$(OBJCOPY) -j .text -j .data -O ihex $< $@
+
+%.srec: %.elf
+	$(OBJCOPY) -j .text -j .data -O srec $< $@
+
+%.bin: %.elf
+	$(OBJCOPY) -j .text -j .data -O binary $< $@
+	
diff --git a/microhope/firmware/setboot-by-usbasp.sh b/microhope/firmware/setboot-by-usbasp.sh
new file mode 100755
index 0000000..0f968d3
--- /dev/null
+++ b/microhope/firmware/setboot-by-usbasp.sh
@@ -0,0 +1,7 @@
+#! /bin/sh
+pushd /usr/share/microhope/firmware
+avrdude -c usbasp -patmega32 -U flash:w:Bootloader_atmega32.hex
+avrdude -c usbasp -patmega32 -U lfuse:w:0xff:m -U hfuse:w:0xda:m
+#avrdude -b 19200 -P /dev/ttyUSB0 -pm32 -c stk500v1 -n
+
+
diff --git a/microhope/firmware/setboot.sh b/microhope/firmware/setboot.sh
new file mode 100755
index 0000000..9d4e47a
--- /dev/null
+++ b/microhope/firmware/setboot.sh
@@ -0,0 +1,7 @@
+#! /bin/sh
+pushd /usr/share/microhope/firmware
+avrdude -c dapa -patmega32 -U flash:w:Bootloader_atmega32.hex
+avrdude -c dapa -patmega32 -U lfuse:w:0xff:m -U hfuse:w:0xda:m
+avrdude -b 19200 -P /dev/ttyUSB0 -pm32 -c stk500v1 -n
+
+
diff --git a/microhope/freq-counter.c b/microhope/freq-counter.c
new file mode 100644
index 0000000..1aa1a81
--- /dev/null
+++ b/microhope/freq-counter.c
@@ -0,0 +1,21 @@
+#include "mh-utils.c"
+#include "mh-timer.c"
+#include "mh-lcd.c"
+
+// Connect input to PB1, test frequency available on PD7
+
+int main()
+{
+uint32_t f;
+
+set_sqr_tc2(1500);    // Set a square wave on TC2 output (PD7)
+lcd_init();
+while(1)
+   {
+   f = measure_freq();   // Measures on T1 (PB1)
+   lcd_clear();
+   lcd_put_long(f);
+   delay_ms(200);
+   }
+return 0;
+}
diff --git a/microhope/hello-blink.c b/microhope/hello-blink.c
new file mode 100644
index 0000000..a9c7646
--- /dev/null
+++ b/microhope/hello-blink.c
@@ -0,0 +1,13 @@
+#include "mh-lcd.c"
+#include "mh-utils.c"
+int main()
+{
+lcd_init();
+for(;;)
+	{
+	lcd_put_string("Hello World..");
+        delay_ms(300);
+	lcd_clear();
+        delay_ms(300);
+        }
+}
diff --git a/microhope/hello.c b/microhope/hello.c
new file mode 100644
index 0000000..c552616
--- /dev/null
+++ b/microhope/hello.c
@@ -0,0 +1,7 @@
+#include "mh-lcd.c"
+
+int main()
+{
+lcd_init();
+lcd_put_string("MicroHOPE");
+}
diff --git a/microhope/int0.c b/microhope/int0.c
new file mode 100644
index 0000000..407e774
--- /dev/null
+++ b/microhope/int0.c
@@ -0,0 +1,17 @@
+#include <avr/io.h>
+#include <avr/interrupt.h>
+
+volatile uint8_t i=0;
+
+ISR(INT0_vect)
+{
+PORTB = ++i;
+}
+int main (void)
+  {
+  DDRB = 255;
+  PORTD = 15;
+  GICR |= (1 << INT0);
+  sei();
+  for(;;);
+}
diff --git a/microhope/ir-recv.c b/microhope/ir-recv.c
new file mode 100644
index 0000000..3b05e27
--- /dev/null
+++ b/microhope/ir-recv.c
@@ -0,0 +1,64 @@
+/*
+IR Receiver on Atmega32. Uses TSOP1738 IR receiver output connected on PD2 (INT0)
+Tested on microHOPE running at 8MHz, using  ordinary TV remotes.
+Author : Jithin B P, IISER, MOhali, jithinbp at gmail.com
+License : GPL v3
+
+TSOP1738 connections:
+----- PD2
+----- blank space
+----- 5V
+----- GND
+*/
+
+#define   NBYTES   3               // 3 for TV remotes,  1 for expEYES irsend1(byte)
+
+#include <avr/io.h>
+#include <avr/interrupt.h>
+#include "mh-lcd.c"
+
+
+volatile uint8_t val=0,rb=0;
+SIGNAL (SIG_INTERRUPT0)		// interrupt triggered on a falling edge on PD2
+{
+uint16_t time;
+time=TCNT1;
+TCNT1=0;		
+
+if(time>10000)		// Detected start pulse > 10 msec   ~13.5ms
+		{
+		rb=0;		//Set bit count to zero
+		val=0;		//set receive buffer to zero
+		return;
+		}
+else				
+	rb+=1;			//increment bit count in case of short pulses
+
+if(time >2000 && time < 2800)		// A binary 1 lies in this time interval of low pulse
+	val = (val<<1)|1;
+if(time>900 && time < 1500) // A binary zero has around this length acc. to protocol
+	val = (val<<1);
+
+if(rb==NBYTES*8) // Recived 1 byte. Display it on PORTA LEDs
+	{
+	lcd_clear();
+	lcd_put_byte(val);
+	}
+}
+
+
+int main()
+{
+lcd_init();
+
+PORTD = (1 << PD2);  // Enable internal pullup resistor
+
+TCCR1B = (1<<CS01);		// Set TC1 to 1MHz. Divide 8MHz clock by 8. timer initialized!!
+TCNT1=0;
+
+MCUCR = (1<<ISC01);		// Falling edge on INT0
+GICR = (1<<INT0);		// Enable INT0
+sei();   				//enable interrupt
+
+for(;;) ;  // infinite loop. Job is done inside the ISR only
+}
diff --git a/microhope/isr-test.c b/microhope/isr-test.c
new file mode 100644
index 0000000..0b9e816
--- /dev/null
+++ b/microhope/isr-test.c
@@ -0,0 +1,18 @@
+#include "mh-timer2.c"
+#include "mh-lcd.c"
+#include "mh-utils.c"
+
+ 
+int main()
+{
+uint32_t x;
+
+DDRB = 1;
+
+lcd_init();
+start_timer();
+delay_ms(950);
+x = read_timer();
+lcd_put_long(x);
+return 0;
+}
diff --git a/microhope/lcd16.c b/microhope/lcd16.c
new file mode 100644
index 0000000..084716c
--- /dev/null
+++ b/microhope/lcd16.c
@@ -0,0 +1,138 @@
+// Used by phoenix.c only. Not for the microHOPE LCD
+
+#define LCDDATA	PORTA
+#define LCDCTL	PORTD
+#define EN      PD2
+#define RW      PD3
+#define RS      PD4
+
+#define LCDDATADIR	DDRA
+#define LCDCTLDIR	DDRD
+#define	LCDDATAMASK	0xf0	// for setting port Direction
+#define LCDCTLMASK	0x1c
+
+
+void delay (uint16_t k)	 // roughly 2 usec per loop at 8 MHz system clock
+{
+  volatile uint16_t x = k;
+  while (x)  --x;
+}
+
+/*
+(void d100us (uint16_t k)	 // 100 usecs for k = 1
+{
+  volatile uint16_t x = k * 47;
+  while (x)  --x;
+}
+*/
+
+void
+commandLCD (uint8_t cmd)
+{
+  cbi (LCDCTL, RS);
+  cbi (LCDCTL, RW);
+  LCDDATA = (LCDDATA & 15) | (cmd & 0xf0);
+  sbi (LCDCTL, EN);
+  sbi (LCDCTL, EN);
+  cbi (LCDCTL, EN);
+  LCDDATA = (LCDDATA & 15) | (cmd << 4);
+  sbi (LCDCTL, EN);
+  sbi (LCDCTL, EN);
+  cbi (LCDCTL, EN);
+  delay (1000);
+}
+
+uint8_t cpos;
+
+void
+initDisplay ()
+{
+  LCDDATADIR |= LCDDATAMASK;
+  LCDCTLDIR |= LCDCTLMASK;
+  delay (1000);
+  commandLCD (0x2c);		// 4 bit data mode
+  delay (10000);
+  commandLCD (1);			// clear display
+  delay (10000);
+  commandLCD (0xe);		// display ON, no cursor
+  delay (10000);
+  commandLCD (6);			// cursor home
+  delay (10000);
+  cpos = 0;
+}
+
+
+void
+writeLCD (char c)
+{
+  sbi (LCDCTL, RS);
+  cbi (LCDCTL, RW);
+  LCDDATA = (LCDDATA & 15) | (c & 0xf0);
+  sbi (LCDCTL, EN);
+  sbi (LCDCTL, EN);
+  cbi (LCDCTL, EN);
+  LCDDATA = (LCDDATA & 15) | (c << 4);
+  sbi (LCDCTL, EN);
+  sbi (LCDCTL, EN);
+  cbi (LCDCTL, EN);
+  delay(100);
+
+  ++cpos;
+  if(cpos == 8)
+    commandLCD(128+40);
+}  
+
+
+void write16(uint16_t i)
+{
+uint16_t pos = 0;
+
+if(i/10000)
+  {
+  pos = 1;
+  writeLCD('0' + i/10000);
+  i %= 10000;
+  }
+  
+if( (i/1000) || pos)
+  {
+  pos = 1;
+  writeLCD('0' + i/1000);
+  i %= 1000;
+  }
+  
+if( (i/100) || pos)
+  {
+  pos = 1;
+  writeLCD('0' + i/100);
+  i %= 100;
+  }
+  
+if( (i/10) || pos)
+  {
+  writeLCD('0' + i/10);
+  i %= 10;
+  }
+
+writeLCD('0' + i);
+}
+
+void writeByte(uint8_t i)
+{
+uint8_t pos100 = 0;
+
+if(i/100)
+  {
+  pos100 = 1;
+  writeLCD('0' + i/100);
+  i %= 100;
+  }
+
+if( (i/10) || pos100)
+  {
+  writeLCD('0' + i/10);
+  i %= 10;
+  }
+writeLCD('0' + i);
+}
+
diff --git a/microhope/mh-adc.c b/microhope/mh-adc.c
new file mode 100644
index 0000000..12d5138
--- /dev/null
+++ b/microhope/mh-adc.c
@@ -0,0 +1,65 @@
+/* adc.c -- routines for handling the Analog to Digital converter
+
+   Copyright (C) 2008 Ajith Kumar, Inter-University Accelerator Centre,
+   New Delhi and Pramode C.E, GnuVision.com.
+
+   This program is free software; you can redistribute it and/or modify
+   it under the terms of the GNU General Public License as published by
+   the Free Software Foundation; either version 3, or (at your option)
+   any later version.
+*/
+
+#include <avr/io.h>
+
+#ifndef BV
+  #define BV(bit)  (1 << (bit))
+#endif
+
+#define REF_EXT		0	// Feed reference voltage externally
+#define REF_INT		(3<<6)	// use the 2.56 V internal reference
+#define REF_AVCC	(1<<6)	// Connect AVCC internally to reference
+#define ADMAX		7	// channels 0 to 7 
+#define ADC_SPEED	7	// ADCClk = (8 MHz/ 128) = 62.5 KHz =>208 usec
+
+
+uint8_t adc_active = 0;
+uint8_t adc_ref = REF_AVCC;		// Default is to use AVCC
+
+void adc_enable(void)
+{
+	ADCSRA = (1 << ADEN);		// Enable the ADC
+	ADMUX = REF_AVCC;		// Use AVCC as reference
+	adc_active = 1;
+}
+
+void adc_disable(void)
+{
+	ADCSRA = 0;			// Disable the ADC
+	adc_active = 0;
+}
+
+
+void adc_set_ref(uint8_t val)
+{
+	ADMUX &= 0x3f;		// Clear reference selection bits
+	ADMUX |= val;		// Set the selected reference source
+}
+
+uint16_t read_adc(uint8_t ch)	// Returns 10 bit number
+{
+	uint8_t low;
+	uint16_t val;
+
+	if (!adc_active)
+  	adc_enable();
+	if (ch > ADMAX)
+  	return 0;
+	ADMUX =  adc_ref | ch;			// Set channel & reference
+	ADCSRA = (1 << ADEN) | (1 << ADSC) | ADC_SPEED;
+	while ( !(ADCSRA & (1<<ADIF)) ) ;	// wait for ADC conversion
+	ADCSRA |= ADIF;
+	low = ADCL;
+	val = ADCH;
+	return (val << 8) | low;
+}
+
diff --git a/microhope/mh-digital.c b/microhope/mh-digital.c
new file mode 100644
index 0000000..4e55f81
--- /dev/null
+++ b/microhope/mh-digital.c
@@ -0,0 +1,32 @@
+/* mh-digital.c -- routines for manipulating the I/O pins. 
+
+   Copyright (C) 2008 Ajith Kumar, Inter-University Accelerator Centre,
+
+   This program is free software; you can redistribute it and/or modify
+   it under the terms of the GNU General Public License as published by
+   the Free Software Foundation; either version 3, or (at your option)
+   any later version.
+*/
+
+#include <avr/io.h>
+
+
+// Macro to calculate the binary value of a bit (specify 0 to 7)
+#ifndef BITVAL
+  #define BITVAL(bit)  (1 << (bit))
+#endif
+
+// Macro to clear a bit (specify 0 to 7)
+#ifndef CLRBIT
+	#define CLRBIT(sfr, bit) (_SFR_BYTE(sfr) &= ~BITVAL(bit))
+#endif
+
+// Macro to set a bit (specify 0 to 7)
+#ifndef SETBIT
+  #define SETBIT(sfr, bit) (_SFR_BYTE(sfr) |= BITVAL(bit))
+#endif
+
+// Macro to read a bit (specify 0 to 7)
+#ifndef GETBIT
+	#define GETBIT(sfr, bit) (_SFR_BYTE(sfr) & BITVAL(bit))
+#endif
diff --git a/microhope/mh-ide.py b/microhope/mh-ide.py
new file mode 100644
index 0000000..08c5861
--- /dev/null
+++ b/microhope/mh-ide.py
@@ -0,0 +1,226 @@
+'''
+MicroHOPE IDE program, a tkinter text widget with File I/O, Compile and Upload options  
+author : Ajith Kumar B.P., ajith at iuac.res.in  
+Licence : GPL version 3
+Date: 21-Oct-2013
+last edit : 6-Dec-2013
+'''
+
+from Tkinter import *
+from tkFileDialog import *
+import commands
+   
+# Global variables   
+filename = ''  			 # Currently active file
+device   = ''            # User should choose this from the list
+mcu = 'atmega32'		 # mcu  
+
+file_opt = {'defaultextension':'.c', 'initialdir':'~/microhope',\
+		'filetypes': [('All files', '.*'),('C files', '.c'),('asm files', '.s'), ('text files', '.txt')]}
+
+def show(s, col='blue'):
+	Res.config(text =s, fg=col)
+	Res.update()
+	
+def show_status():	
+	global filename, device
+	f = filename
+	d = device
+	if filename == '': f = 'Not Selected'
+	if device == '': d = 'Right-Click to Select'
+	root.title('MicroHOPE: File->%s : Device-> %s'%(f, d))
+	show('File->%s : Device-> %s'%(f, d))
+
+def newFile():
+	global filename
+	tw.delete(0.0, END)	
+	mw.delete(0.0, END)
+	filename = ''
+
+def saveAs():
+	global filename
+	f = asksaveasfile(mode='w', **file_opt)
+	if f == None: return
+	text = tw.get(0.0, END).rstrip() # contents of the text widget, no trailing whitespaces
+	f.write(text + '\n')
+	filename = f.name
+	show_status()
+	
+def saveFile():
+	global filename
+	if filename == '':
+		saveAs()
+		return
+	f = open(filename, 'w')
+	text = tw.get(0.0, END).rstrip() # contents of the text widget, no trailing whitespaces
+	f.write(text + '\n')
+	show('File Saved')
+
+def openFile():
+	global filename
+	f = askopenfile(mode='r', **file_opt)
+	if f == None: return
+	data = f.read() 			# Get all the text from file.
+	tw.delete(0.0, END)
+	tw.insert(0.0, data)    
+   	filename = f.name
+	show_status()
+	mw.delete(0.0, END)
+	
+def Assemble():
+	if filename == '' :
+		show('No file selected', 'red')
+		return
+	saveFile()
+	fname = filename.split(".")[0]
+	cmd = 'avr-gcc -Wall -O2 -mmcu=%s -o %s %s.s' %(mcu,fname,fname)
+	res = commands.getstatusoutput(cmd)
+	if res[0] != 0:
+		show('Assembler Error','red')
+		mw.insert(END, res[1])
+		return
+	cmd = 'avr-objcopy -j .text -j .data -O ihex %s %s.hex' %(fname,fname)
+
+	res = commands.getstatusoutput(cmd)
+	cmd = 'avr-objdump -S %s > %s.lst'%(fname,fname)
+
+	res = commands.getstatusoutput(cmd)
+	mw.insert(END, res[1])
+	show('Assembing Done')
+
+def Compile():
+	if filename == '' :
+		show('No file selected', 'red')
+		return
+	saveFile()
+	fname = filename.split(".")[0]
+	cmd = 'avr-gcc -Wall -O2 -mmcu=%s -o %s %s' %(mcu,fname,filename)
+	res = commands.getstatusoutput(cmd)
+	if res[0] != 0:
+		show('Compile/Assemble Error','red')
+		mw.insert(END, res[1])
+		return
+	cmd = 'avr-objcopy -j .text -j .data -O ihex %s %s.hex' %(fname,fname)
+	res = commands.getstatusoutput(cmd)
+
+	# added 2 lines
+	cmd = 'avr-objdump -S %s > %s.lst'%(fname,fname)
+	res = commands.getstatusoutput(cmd)
+
+	mw.insert(END, res[1])
+	show('Done, generated HEX file')
+
+def pulseRTS(dev):
+	import serial, time
+	fd = serial.Serial(dev, 38400, stopbits=1, timeout = 1.0)
+	fd.setRTS(0)
+	fd.setRTS(1)
+	fd.setRTS(0)
+	fd.close()
+	
+def Upload():
+	global device
+	if device == None:
+		show('Hardware device not selected','red')
+		return
+	pulseRTS(device)               # Sending a pulse on RTS pin to reset the uC
+	show('Starting Upload....')
+	fname = filename.split(".")[0]
+	cmd= 'avrdude -b 19200 -P %s -pm32 -c stk500v1 -U flash:w:%s.hex'%(device, fname)
+	res = commands.getstatusoutput(cmd)
+	mw.insert(END, res[1])
+	if res[0] != 0:
+		show('Upload Error: Try pressing nicroHOPE Reset button just before Uploading')
+		return
+	show('Upload Completed')
+	   
+def upload_usbasp():
+	if filename == '' :
+		show('No file selected', 'red')
+		return
+	show('Starting Upload via USBASP....')
+	fname = filename.split(".")[0]
+	cmd= 'avrdude -c usbasp -patmega32 -U flash:w:%s.hex'%(fname)
+	print cmd
+	res = commands.getstatusoutput(cmd)
+	if res[0] != 0:
+		show('Upload Error: Make use USBASP programmer is connected', 'red')
+		return
+	mw.insert(END, res[1])	
+	show('Upload Completed')
+	
+def set_device(d):
+	global device
+	device = d
+	show_status()
+		   
+def select_device():
+	cmd = "ls /dev/ttyUSB*"         # search for MCP2200 type
+	res = commands.getstatusoutput(cmd)   # get the device name, mostly on USB0
+	devs = []
+	if res[0] == 0:
+		devs = res[1].split('\n')
+	cmd = "ls /dev/ttyACM*"			# search for FT232 type
+	res = commands.getstatusoutput(cmd)   # get the device name, mostly on USB0
+	if res[0] == 0:
+		devs += res[1].split('\n')
+	print devs
+	if devs == []:
+		show('microHOPE hardware not found?', 'red')
+		return
+	popup = Menu(root, tearoff=0)
+	for k in devs:
+		popup.add_command(label=k , command= lambda dev=k :set_device(dev), font=('Monospace', 12))
+	# display the popup menu
+	try:
+		print tw.winfo_rooty()
+		popup.tk_popup(tw.winfo_rootx()+130, tw.winfo_rooty()+15, 0)
+	finally:
+		popup.grab_release()	   
+			   
+root = Tk()
+root.minsize(width=500,height=200)
+                   
+# Set up basic Menu
+menubar = Menu(root)
+
+filemenu = Menu(menubar,tearoff=0,font=('Monospace', 12))
+filemenu.add_command(label="New File", command=newFile, accelerator="Ctrl+N")
+filemenu.add_command(label="Open", command=openFile, accelerator="Ctrl+O")
+filemenu.add_command(label="Save", command=saveFile, accelerator="Ctrl+s")
+filemenu.add_command(label="Save As", command=saveAs, accelerator="Ctrl+Shift+S")
+filemenu.add_separator()
+filemenu.add_command(label="Upload using USBASP", command = upload_usbasp)
+menubar.add_cascade(label="File", menu=filemenu,font=('Monospace', 12))
+root.config(menu=menubar)
+
+#menubar.add_command(label='Assemble', command=Assemble, font=('Monospace', 12))
+X = menubar.add_command(label='Detect-uHOPE', command=select_device, font=('Monospace', 12))
+menubar.add_command(label='Compile/Assemble', command=Compile, font=('Monospace', 12))
+menubar.add_command(label='Upload',  command=Upload, font=('Monospace', 12))
+ 
+# Top Frame and scrollable editor text widget inside that
+top = Frame(root)
+top.pack(side=TOP, expand=YES, fill=BOTH)
+sb1 = Scrollbar(top)
+sb1.pack(side=RIGHT, fill=BOTH)
+tw = Text(top, height= 22, font=('Monospace', 11), bg='ivory', yscrollcommand=sb1.set)
+tw.pack(expand=YES, fill=BOTH) 
+sb1.config(command=tw.yview)
+#tw.bind("<Button-3>", select_device)
+
+
+Res = Label(root, fg = 'blue')
+Res.pack(side=TOP, expand=NO, fill=X)
+
+bot = Frame(root)
+bot.pack(side=TOP, expand=YES, fill=BOTH)
+sb2 = Scrollbar(bot)
+sb2.pack(side=RIGHT, fill=Y)
+mw = Text(bot, height = 7, yscrollcommand=sb2.set, bg='black', fg='white')
+mw.pack(side = TOP, expand=YES, fill=BOTH)
+sb2.config(command=mw.yview)
+
+show_status()
+root.mainloop()
+
diff --git a/microhope/mh-lcd.c b/microhope/mh-lcd.c
new file mode 100644
index 0000000..742df77
--- /dev/null
+++ b/microhope/mh-lcd.c
@@ -0,0 +1,148 @@
+
+/* lcd.c -- routines for handling a text mode LCD display
+
+   Copyright (C) 2008 Ajith Kumar, Inter-University Accelerator Centre,
+   New Delhi and Pramode C.E, GnuVision.com.
+
+   This program is free software; you can redistribute it and/or modify
+   it under the terms of the GNU General Public License as published by
+   the Free Software Foundation; either version 3, or (at your option)
+   any later version.
+*/
+
+#include <avr/io.h>
+#include <stdint.h>
+
+// LCD control bits of Port C on Phoenix MDK. Refer to the Schematic
+#define ENBIT 0x8  	
+#define RWBIT 0x4  
+#define RSBIT 0x2  
+
+void delay(uint16_t k)
+{
+    volatile uint16_t x = k;
+    while(x)
+        --x;
+}
+
+
+uint8_t cpos = 0;		// LCD cursor position
+
+void lcd_command (uint8_t cmd)
+{
+	PORTC &= 1;				// Clear bits used by LCD
+	PORTC |= (cmd & 0xF0);			// Put 4 MSBs, RS, RW & EN Low
+	PORTC |= ENBIT;  PORTC &= ~ENBIT;	// Pulse on EN pin
+	PORTC &= 1;
+	PORTC |= (cmd << 4);			// Put 4 LSBs 
+	PORTC |= ENBIT;  PORTC &= ~ENBIT;	// Pulse on EN pin
+	delay (10000);
+}
+
+
+void lcd_init (void)  // This needs rewriting
+{
+	delay(10000);
+	DDRC |= 254;			// Except PC0 all are outputs
+	lcd_command (32 + 8 + 4);	// 4 bit data mode
+	lcd_command (4 + 2);		// Entry mode
+	lcd_command (8 + 4);		// display ON, no cursor
+	lcd_command (1);		// Clear
+	cpos = 0;			// Set cursor position variable
+	delay(10000);
+	DDRC |= 254;			// Except PC0 all are outputs
+	lcd_command (32 + 8 + 4);	// 4 bit data mode
+	lcd_command (4 + 2);		// Entry mode
+	lcd_command (8 + 4);		// display ON, no cursor
+	lcd_command (1);		// Clear
+	cpos = 0;			// Set cursor position variable
+}
+
+
+void lcd_clear (void)
+{
+	lcd_command(1);
+}
+
+
+void lcd_put_char (char c)
+{
+	PORTC &= 1;				// Clear bits used by LCD
+	PORTC |= RSBIT | (c & 0xF0);		// Put 4 MSBs, RS High, RW & EN Low
+	PORTC |= ENBIT;  PORTC &= ~ENBIT;	// Pulse on EN pin
+	PORTC &= 1;
+	PORTC |= RSBIT | (c << 4);		// Put 4 LSBs 
+	PORTC |= ENBIT;  PORTC &= ~ENBIT;	// Pulse on EN pin
+	delay(1000);
+	++cpos;  if(cpos == 8) 
+		lcd_command(128 + 32 + 8);	// 1 x 16 display
+}  
+
+
+void lcd_put_string(char *p)
+{
+	while(*p) {
+		lcd_put_char(*p);
+		++p;
+	}
+}
+
+void lcd_put_byte(uint8_t i)
+{
+	uint8_t pos100 = 0;
+
+	if(i/100) {
+		pos100 = 1;
+		lcd_put_char('0' + i/100);
+		i %= 100;
+	}
+
+	if( (i/10) || pos100) {
+		lcd_put_char('0' + i/10);
+		i %= 10;
+	}
+	lcd_put_char('0' + i);
+}
+
+void lcd_put_int(uint16_t val)
+{
+	char 	ss[8];
+	uint8_t	k;
+
+	if(val ==0) {
+		lcd_put_char('0');
+		return;
+	}
+
+	k = 0;
+	while(val > 0) {
+		ss[k++] = '0' + (val % 10);
+		val /= 10;
+	}
+	while(k) {
+		lcd_put_char(ss[k-1]);
+		--k;
+	}
+}
+
+void lcd_put_long(uint32_t val)
+{
+	char 	ss[8];
+	uint8_t	k;
+
+	if(val ==0) {
+		lcd_put_char('0');
+		return;
+	}
+
+	k = 0;
+	while(val > 0) {
+		ss[k++] = '0' + (val % 10);
+		val /= 10;
+	}
+	while(k) {
+		lcd_put_char(ss[k-1]);
+		--k;
+	}
+}
+
diff --git a/microhope/mh-soft-uart.c b/microhope/mh-soft-uart.c
new file mode 100644
index 0000000..8d995ea
--- /dev/null
+++ b/microhope/mh-soft-uart.c
@@ -0,0 +1,126 @@
+/*
+mh-soft-uart.c  :  Soft Serial Communication functions for Atmega32 on MicroHOPE
+Tx on PD3
+Rx on PD2 (uses INT0)
+
+Author  :  Ajith Kumar, Inter-University Accelerator Centre,   New Delhi 
+Licence :  GNU General Public License  version 3 or above
+Date :  5-Feb-2014
+*/
+
+#include <avr/io.h>
+#include <avr/interrupt.h>
+
+#define RXBIT  PD2
+#define TXBIT  PD3
+
+	//delay between bits for a given baudrate, valid only for 8MHz clock
+void bit_delay (uint16_t  baudrate)   
+{
+  volatile uint16_t   x;
+switch(baudrate) {
+      case 38400:            // this is only to mark the first middle point
+                 x=9; 
+                 while (x--);
+                 break;
+      case 19200:
+                 x=22; 
+                 while (x--);
+                 break;
+      case 9600:                    // 104 usecs per bit
+                 x=50; 
+                 while (x--);
+                 break;
+      case 4800:
+                 x=105; 
+                 while (x--);
+                 break;
+      case 2400:
+                 x=215; 
+                 while (x--);
+                 break;
+      default:
+                 break;
+         }
+}
+
+#define    UBSIZE     128
+uint16_t   baudrate  = 9600;
+uint8_t     uart_buf[UBSIZE];                               // Rx buffer
+volatile    uint8_t   ubrd, ubwrt, ubcount;   // read/write indices and count
+
+SIGNAL (SIG_INTERRUPT0)		// interrupt triggered on a falling edge on PD2
+{
+uint8_t bit, val = 0;
+
+if(ubcount == UBSIZE) return;	// Rx buffer is full
+
+bit_delay(baudrate*2);       // wait till the middle of the start bit
+if ( PIND & 4) return;      // False  trigger
+
+for(bit =0;  bit <= 7;  ++bit)
+	{
+    bit_delay(baudrate);
+    if (PIND & (1 << RXBIT))    val  |=  (1 << bit);
+    }
+bit_delay(baudrate);
+if (PIND & (1 << RXBIT))     // stop bit high ?
+	{
+	if (ubwrt == UBSIZE) ubwrt = 0;
+	if(ubcount++ < UBSIZE)
+	        uart_buf[ubwrt++] = val;
+	}
+ }
+
+void disable_uart(uint16_t baud)   // Only  2400, 4800, 9600 and 19200 are allowed
+{
+DDRD    &=  ~(1 << TXBIT);       // Transmit pin as input
+GICR &= ~(1<<INT0);		 // Disable INT0
+cli();   				                  //disable interrupt globally
+}
+
+void enable_uart(uint16_t baud)   // Only  2400, 4800, 9600 and 19200 are allowed
+{
+baudrate = baud;
+ubrd = ubwrt = ubcount =0;
+DDRD    |=  (1 << TXBIT);         // Transmit pin as output
+PORTD  |=  (1 << TXBIT);        // and set it HIGH
+
+PORTD = (1 <<  RXBIT);         // Enable  pullup on PD2 (INT0 pin) receive pin
+MCUCR = (1<<ISC01);		  // Falling edge on INT0
+GICR = (1<<INT0);		          // Enable INT0
+sei();   				                  //enable interrupt globally
+}
+
+uint8_t  uart_read()            // Should be called only if ubcount > 0
+{
+if (!ubcount) return 0;
+--ubcount;
+if (ubrd == UBSIZE) ubrd = 0;
+return uart_buf[ubrd++];
+}
+
+uint8_t  uart_rxdata()
+{
+return ubcount;
+}
+
+void uart_write(uint8_t ch)
+{
+uint8_t bit;
+
+PORTD &= ~(1 << TXBIT);
+bit_delay(baudrate);
+for(bit=0; bit <= 7; ++bit)
+	{
+	if(ch & 1)
+		PORTD |= (1 << TXBIT);
+	else
+		PORTD &= ~(1 << TXBIT);
+	ch >>= 1;
+	bit_delay(baudrate);
+	}
+PORTD |= (1 << TXBIT);	// stop bit
+bit_delay(baudrate);
+}
+
diff --git a/microhope/mh-timer.c b/microhope/mh-timer.c
new file mode 100644
index 0000000..a36abea
--- /dev/null
+++ b/microhope/mh-timer.c
@@ -0,0 +1,143 @@
+/* 
+mh-timer.c -- simple functions for handling the Timer/Counter
+Author : Ajith Kumar, Inter-University Accelerator Centre, New Delhi. 
+License : GNU GPL version 3 or later
+Date : 23-Oct-2013
+*/
+
+#include <avr/io.h>
+#include <avr/interrupt.h>
+
+void sqwave_tc0(uint8_t csb, uint8_t ocrval) 
+{
+// Set TCCR0 in the CTC mode
+  TCCR0 = (1 << WGM01) | (1 << COM00) | csb;	
+  OCR0 = ocrval;
+  TCNT0 = 0;
+  DDRB |= (1 << PB3);
+}
+
+
+void pwm_tc0(uint8_t csb, uint8_t ocrval) 
+{
+// Set TCCR0 in the Fast PWM mode
+  TCCR0 =(1 << WGM01) | (1 << WGM00) | (1 << COM01) | csb;
+  OCR0 = ocrval;
+  TCNT0 = 0;
+  DDRB |= (1 << PB3);
+}
+
+void sqwave_tc1(uint8_t csb, uint16_t ocra) 
+{
+		// This can set very low values of freqency on the output
+  TCCR1A = (1 << COM1A0);    // Set TCCR1A in the CTC mode
+  TCCR1B = (1 << WGM12) | csb;	
+  OCR1A = ocra;     // Output Compare register values
+  TCNT1 = 0;
+  DDRD |= (1 << PD5);   // Set pin OC1A as output
+}
+
+void pwm10_tc1(uint8_t csb, uint16_t ocra) 
+{
+  TCCR1A = (1 << COM1A1) | (1 << WGM11) |(1 << WGM10);  // Set 10bit PWM mode
+  TCCR1B = csb;	
+  OCR1A = ocra;     // Output Compare register values
+  TCNT1 = 0;
+  DDRD |= (1 << PD5);   // Set pin OC1A as output
+}
+
+//------------------------- Square wave on TC2 -------------------------
+
+#define FLIMIT  4000000          // 8 MHz clock /2
+static uint16_t f[] = {1,8,32,64,128,256,1024};
+ 
+uint32_t set_sqr_tc2(uint32_t freq)  // freq must be from 15  to 100000 Hz, no checking done 
+{
+	uint32_t tmp;
+	uint8_t ocr, k;
+
+	DDRD |= (1 << PD7);    // Make PD7 as output
+  	k = 0;
+  	while(k < 7) 
+  	  {
+      tmp = FLIMIT / f[k];	// maximum value for the chosen prescaler
+      if (tmp/freq <= 256) 
+        {
+      	TCCR2 = (1 << WGM21) | (1 << COM20) | (k+1);	// CTC mode
+      	ocr = tmp/freq;
+      	tmp = tmp/ocr;	// the value actually set
+      	if (ocr) 
+        	--ocr;
+      	OCR2 = ocr;
+      	return tmp;
+        }
+      k = k + 1;
+  }
+	return 0;  
+}
+
+
+
+//------------------- Frequency measurement ----------------------------
+
+#define MTIME	250 	// We count 100  on TC0
+
+uint32_t measure_freq(void)
+{ 
+  volatile uint16_t x, k = 500;
+  DDRB &= ~(1 << PB1);      // Timer/Counter1 clock in T1 (PB1) as input
+  TCCR1B = (1 << CS12) | (1 << CS11) | (1 << CS10);	// External clock on T1 pin 
+  TCNT1 = 0;			    // Clear TCNT1
+  while(k--) {x=532; while (x--);}
+  TCCR1B = 0;               // Stop counter
+  return TCNT1 * 2;         // freq = Counts / 500 mS x 2
+}
+
+//----------------------- Time interval measurement--------------------------
+
+volatile uint16_t HIWORD;
+ISR(TIMER1_COMPA_vect)	// TIMER1 Compare Match A Interrupt
+{
+TCNT1 = 0;
+++HIWORD;
+}
+
+void start_timer()
+{
+/*
+When TCNT1 reaches OCR1A, the ISR will run. It will clear TCNT1 and increment HIWORD.
+The total time elapsed between start_timer and get_timer = HIWORD * 50000 + TCNT1
+*/
+ TCCR1B = (1 << CS11);   // Normal mode, with 1MHz clock
+ HIWORD = 0;
+ OCR1A = 50000;        
+ OCR1B = 0xffff;
+ TIMSK = (1 <<  OCIE1A);   // Enable compare match interrupt
+ TIFR = (1 << OCF1A); 
+ TCNT1 = 0;
+ sei();
+}
+
+uint32_t read_timer()
+{
+ uint32_t x;
+ 
+ TCCR1B = 0;    // stop TC1 clock
+ x = HIWORD * 50000 + TCNT1;
+ cli();
+ return x;
+}
+
+uint32_t r2ftime(uint8_t bit)  
+{
+// measures rising edge to falling edge time on any bit of PORTB. If no pulse input, program may go in infinite loop
+ uint32_t x;
+ 
+ DDRB &= ~(1 << bit);   // set the selected bit as input, on PORT B   
+ while( (PINB & (1 << bit)) != 0 ) ;   // Do nothing until the bit is low
+ while( (PINB & (1 << bit)) == 0 ) ;   // Wait for a rising edge
+ start_timer();
+ while( (PINB & (1 << bit)) != 0 ) ;   // Wait for a falling edge
+ return read_timer();
+}
+
diff --git a/microhope/mh-timer2.c b/microhope/mh-timer2.c
new file mode 100644
index 0000000..59498c0
--- /dev/null
+++ b/microhope/mh-timer2.c
@@ -0,0 +1,62 @@
+/* 
+mh-timer.c -- Advanced functions using Timer/Counter
+Author : Ajith Kumar, Inter-University Accelerator Centre, New Delhi. 
+License : GNU GPL version 3 or later
+Date : 23-Oct-2013
+*/
+
+#include <avr/io.h>
+#include <avr/interrupt.h>
+
+//------------------- Frequency measurement ----------------------------
+
+#define MTIME	250 	// We count 100  on TC0
+
+uint32_t measure_freq(void)
+{ 
+  DDRB &= ~(1 << PB1);              // Timer/Counter1 clock in T1 (PB1) as input
+  TCCR0 = (1 << CS02) |(1 << CS00);	// Normal mode , CPU Clock / 1024 
+  TIFR |= (1 << OCF0);      // Clear OCF flag for T0
+  TCCR1B = (1 << CS12) | (1 << CS11) | (1 << CS10);	// External clock on T1 pin 
+  TCNT0 = 0;			    // Clear TCNT0
+  TCNT1 = 0;			    // Clear TCNT1
+  while(TCNT0 < MTIME) ;
+  TCCR1B = 0;  
+  return TCNT1;
+}
+
+//----------------------- Time interval measurement--------------------------
+volatile uint16_t HIWORD;
+ISR(TIMER1_COMPA_vect)	// TIMER1 Compare Match A Interrupt
+{
+TCNT1 = 0;
+++HIWORD;
+PORTB = 1;
+}
+
+void start_timer()
+{
+/*
+When TCNT1 reaches OCR1A, the ISR will run. It will clear TCNT1 and increment HIWORD.
+The total time elapsed between start_timer and get_timer = HIWORD * 50000 + TCNT1
+*/
+ TCCR1B = (1 << CS11);   // Normal mode, with 1MHz clock
+ HIWORD = 0;
+ OCR1A = 50000;        
+ OCR1B = 0xffff;
+ TIMSK = (1 <<  OCIE1A);   // Enable compare match interrupt
+ TIFR = (1 << OCF1A); 
+ TCNT1 = 0;
+ sei();
+}
+
+uint32_t read_timer()
+{
+ uint32_t x;
+ 
+ TCCR1B = 0;    // stop TC1 clock
+ x = HIWORD * 50000 + TCNT1;
+ cli();
+ return x;
+}
+
diff --git a/microhope/mh-uart.c b/microhope/mh-uart.c
new file mode 100644
index 0000000..04bf380
--- /dev/null
+++ b/microhope/mh-uart.c
@@ -0,0 +1,40 @@
+                                                                               
+/* uart.c -- routines for manipulating the ATmega16 UART.
+
+   Copyright (C) 2008 Ajith Kumar, Inter-University Accelerator Centre,
+   New Delhi and Pramode C.E, GnuVision.com.
+
+   This program is free software; you can redistribute it and/or modify
+   it under the terms of the GNU General Public License as published by
+   the Free Software Foundation; either version 3, or (at your option)
+   any later version.
+*/
+
+#include <avr/io.h>
+
+#define CPU_CLOCK	8000000		// 8 MHz clock is assumed
+#define COMPUTE_BAUD(b) ((uint32_t)(CPU_CLOCK)/((uint32_t)(b)*16) - 1)
+
+
+    //Initialise UART: format 8 data bits, No parity, 1 stop bit
+void uart_init(uint16_t baud)
+{
+    UCSRB = (1 << TXEN) | (1 << RXEN);
+    UBRRH = (COMPUTE_BAUD(baud) >> 8) & 0xff;
+    UBRRL = (COMPUTE_BAUD(baud)) & 0xff;
+    UCSRC = (1 << URSEL) | (1 << UCSZ1) | (1 << UCSZ0);
+}
+
+
+uint8_t uart_recv_byte(void)
+{
+    while( !(UCSRA & (1 <<RXC)) );
+    return UDR;
+}
+
+void uart_send_byte(uint8_t c)
+{
+    while( !(UCSRA & (1 <<UDRE) ) );
+    UDR = c;
+}
+
diff --git a/microhope/mh-upload.sh b/microhope/mh-upload.sh
new file mode 100755
index 0000000..04caa6f
--- /dev/null
+++ b/microhope/mh-upload.sh
@@ -0,0 +1,3 @@
+echo "Uploading  $1.hex to ATmega32 on microHOPE via /dev/ttyUSB0"
+avrdude -b 19200 -P /dev/ttyUSB0 -pm32 -c stk500v1 -U flash:w:$1.hex
+
diff --git a/microhope/mh-utils.c b/microhope/mh-utils.c
new file mode 100644
index 0000000..7be1824
--- /dev/null
+++ b/microhope/mh-utils.c
@@ -0,0 +1,28 @@
+/* utils.c -- various utilities for microHOPE
+
+   Copyright (C) 2008 Ajith Kumar, Inter-University Accelerator Centre,
+
+   This program is free software; you can redistribute it and/or modify
+   it under the terms of the GNU General Public License as published by
+   the Free Software Foundation; either version 3, or (at your option)
+   any later version.
+*/
+
+#include <avr/io.h>
+
+
+void delay_100us (uint16_t k)  	 // k* 100 usecs delay, valid only for 8MHz clock
+{
+  volatile uint16_t x;
+  while (k--) {x=52; while (x--);}
+}
+
+
+void delay_ms (uint16_t k)  // idle for k milliseconds, only for 8MHz clock
+    {
+    volatile uint16_t x;
+    while(k--) {x=532; while (x--);}
+    }
+    
+
+
diff --git a/microhope/microhope b/microhope/microhope
new file mode 100644
index 0000000..c144497
--- /dev/null
+++ b/microhope/microhope
@@ -0,0 +1,2 @@
+#! /bin/sh
+exec python /usr/share/microhope/mh-ide.py
diff --git a/microhope/microhope-doc/Makefile b/microhope/microhope-doc/Makefile
new file mode 100644
index 0000000..53eef2f
--- /dev/null
+++ b/microhope/microhope-doc/Makefile
@@ -0,0 +1,55 @@
+DESTDIR =
+SOURCES = $(shell ls *.lyx)
+PDFS    = $(patsubst %.lyx, %.pdf, $(SOURCES))
+TEXS    = $(patsubst %.lyx, %.tex, $(SOURCES))
+WARNING=LaTeX Warning: Label(s) may have changed. Rerun
+
+DB2MAN = /usr/share/sgml/docbook/stylesheet/xsl/docbook-xsl/manpages/docbook.xsl
+XP     = xsltproc --nonet --param man.charmap.use.subset "0"
+
+all: microhope-en.pdf
+
+manpages: ../create-microhope-env.1 ../microhope.1 microhope-doc.1 ../uhope.1
+
+../create-microhope-env.1: ../create-microhope-env.xml
+	cd ..; $(XP) $(DB2MAN) create-microhope-env.xml
+
+../microhope.1: ../microhope.xml
+	cd ..; $(XP) $(DB2MAN) microhope.xml
+
+../uhope.1: ../uhope.xml
+	cd ..; $(XP) $(DB2MAN) uhope.xml
+
+microhope-doc.1: microhope-doc.xml
+	$(XP) $(DB2MAN) $<
+
+microhope-en.pdf: $(PDFS)
+	cp microhope-proofread-gk.pdf $@
+
+install: all
+	install -m 644 microhope-en.pdf  $(DESTDIR)
+
+%.pdf: %.lyx
+	@echo -n "Exporting $< to a LaTeX file ... "
+	@lyx -e latex $< >/dev/null 2>&1
+	@echo Done.
+	@echo -n "Running PDFLaTeX"
+	@ok=0; lyxfile=$<; \
+	logfile=$$(echo $${lyxfile} | sed 's/\.lyx/.log/'); \
+	texfile=$$(echo $${lyxfile} | sed 's/\.lyx/.tex/'); \
+	while [ $$ok = 0 ]; do \
+	  echo -n " ... "; \
+	  pdflatex -interaction=nonstopmode $${texfile} >/dev/null 2>&1 || true; \
+	  if ! grep -q "$(WARNING)" $${logfile}; then \
+	    ok=1; \
+	  fi; \
+	done
+	@echo Done.
+
+clean:
+	rm -f *~ *.aux *.log *.toc *.lof *.tex
+
+distclean: clean
+	rm -f $(PDFS) microhope-*.pdf pics/*.eps
+
+.PHONY: clean distclean all manpages install
diff --git a/microhope/microhope-doc/microhope-doc b/microhope/microhope-doc/microhope-doc
new file mode 100644
index 0000000..ac2be5e
--- /dev/null
+++ b/microhope/microhope-doc/microhope-doc
@@ -0,0 +1,9 @@
+#! /bin/sh
+
+loc=$(locale | sed -n 's/LANG=\(.*\)$/\1/ p' | cut -c 1-2)
+# $loc should be something like fr, en, etc.
+loc=en
+# there is only one locale available now
+
+exec evince /usr/share/microhope/doc/microhope-${loc}.pdf
+
diff --git a/microhope/microhope-doc/microhope-doc.1 b/microhope/microhope-doc/microhope-doc.1
new file mode 100644
index 0000000..b0da228
--- /dev/null
+++ b/microhope/microhope-doc/microhope-doc.1
@@ -0,0 +1,59 @@
+'\" t
+.\"     Title: MICROHOPE-DOC
+.\"    Author: Georges Khaznadar <georgesk at debian.org>
+.\" Generator: DocBook XSL Stylesheets v1.78.1 <http://docbook.sf.net/>
+.\"      Date: 01/03/2014
+.\"    Manual: microhope-doc
+.\"    Source: microhope-doc
+.\"  Language: English
+.\"
+.TH "MICROHOPE\-DOC" "1" "01/03/2014" "microhope-doc" "microhope-doc"
+.\" -----------------------------------------------------------------
+.\" * Define some portability stuff
+.\" -----------------------------------------------------------------
+.\" ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
+.\" http://bugs.debian.org/507673
+.\" http://lists.gnu.org/archive/html/groff/2009-02/msg00013.html
+.\" ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
+.ie \n(.g .ds Aq \(aq
+.el       .ds Aq '
+.\" -----------------------------------------------------------------
+.\" * set default formatting
+.\" -----------------------------------------------------------------
+.\" disable hyphenation
+.nh
+.\" disable justification (adjust text to left margin only)
+.ad l
+.\" -----------------------------------------------------------------
+.\" * MAIN CONTENT STARTS HERE *
+.\" -----------------------------------------------------------------
+.SH "NAME"
+microhope-doc \- Documentation for the program microHOPE\&.
+.SH "SYNOPSIS"
+.HP \w'\fBmicrohope\-doc\fR\ 'u
+\fBmicrohope\-doc\fR
+.SH "DESCRIPTION"
+.PP
+\fBmicrohope\-doc\fR
+launches a PDF viewer to browse the documentation of microHOPE\&. MicroHOPE is an Integrated Development Environment (IDE) which allows the user to develop several small programs for the ATmega32 microcontroller\&. This environment comes with useful examples and libraries which make the learn process easy\&.
+.SH "SEE ALSO"
+.PP
+\fBmicrohope\fR(1)\&.
+.SH "AUTHOR"
+.PP
+\fBGeorges Khaznadar\fR <\&georgesk at debian\&.org\&>
+.RS 4
+Wrote this manpage for the Debian system\&.
+.RE
+.SH "COPYRIGHT"
+.br
+Copyright \(co 2014 Georges Khaznadar
+.br
+.PP
+This manual page was written for the Debian system (and may be used by others)\&.
+.PP
+Permission is granted to copy, distribute and/or modify this document under the terms of the GNU General Public License, Version 2 or (at your option) any later version published by the Free Software Foundation\&.
+.PP
+On Debian systems, the complete text of the GNU General Public License can be found in
+/usr/share/common\-licenses/GPL\&.
+.sp
diff --git a/microhope/microhope-doc/microhope-doc.xml b/microhope/microhope-doc/microhope-doc.xml
new file mode 100644
index 0000000..1826119
--- /dev/null
+++ b/microhope/microhope-doc/microhope-doc.xml
@@ -0,0 +1,124 @@
+<?xml version='1.0' encoding='UTF-8'?>
+<!DOCTYPE refentry PUBLIC "-//OASIS//DTD DocBook XML V4.5//EN"
+"http://www.oasis-open.org/docbook/xml/4.5/docbookx.dtd" [
+
+<!--
+
+`xsltproc -''-nonet \
+          -''-param man.charmap.use.subset "0" \
+          -''-param make.year.ranges "1" \
+          -''-param make.single.year.ranges "1" \
+          /usr/share/xml/docbook/stylesheet/docbook-xsl/manpages/docbook.xsl \
+          manpage.xml'
+
+A manual page <package>.<section> will be generated. You may view the
+manual page with: nroff -man <package>.<section> | less'. A typical entry
+in a Makefile or Makefile.am is:
+
+DB2MAN = /usr/share/sgml/docbook/stylesheet/xsl/docbook-xsl/manpages/docbook.xsl
+XP     = xsltproc -''-nonet -''-param man.charmap.use.subset "0"
+
+manpage.1: manpage.xml
+        $(XP) $(DB2MAN) $<
+
+The xsltproc binary is found in the xsltproc package. The XSL files are in
+docbook-xsl. A description of the parameters you can use can be found in the
+docbook-xsl-doc-* packages. Please remember that if you create the nroff
+version in one of the debian/rules file targets (such as build), you will need
+to include xsltproc and docbook-xsl in your Build-Depends control field.
+Alternatively use the xmlto command/package. That will also automatically
+pull in xsltproc and docbook-xsl.
+
+Notes for using docbook2x: docbook2x-man does not automatically create the
+AUTHOR(S) and COPYRIGHT sections. In this case, please add them manually as
+<refsect1> ... </refsect1>.
+
+To disable the automatic creation of the AUTHOR(S) and COPYRIGHT sections
+read /usr/share/doc/docbook-xsl/doc/manpages/authors.html. This file can be
+found in the docbook-xsl-doc-html package.
+
+Validation can be done using: `xmllint -''-noout -''-valid manpage.xml`
+
+General documentation about man-pages and man-page-formatting:
+man(1), man(7), http://www.tldp.org/HOWTO/Man-Page/
+
+-->
+
+  <!-- Fill in your name for FIRSTNAME and SURNAME. -->
+  <!ENTITY dhfirstname "Georges">
+  <!ENTITY dhsurname   "Khaznadar">
+  <!-- dhusername could also be set to "&dhfirstname; &dhsurname;". -->
+  <!ENTITY dhusername  "Georges Khaznadar">
+  <!ENTITY dhemail     "georgesk at debian.org">
+  <!-- SECTION should be 1-8, maybe w/ subsection other parameters are
+       allowed: see man(7), man(1) and
+       http://www.tldp.org/HOWTO/Man-Page/q2.html. -->
+  <!ENTITY dhsection   "1">
+  <!-- TITLE should be something like "User commands" or similar (see
+       http://www.tldp.org/HOWTO/Man-Page/q2.html). -->
+  <!ENTITY dhtitle     "microhope-doc">
+  <!ENTITY dhucpackage "MICROHOPE-DOC">
+  <!ENTITY dhpackage   "microhope-doc">
+]>
+
+<refentry>
+  <refentryinfo>
+    <title>&dhtitle;</title>
+    <productname>&dhpackage;</productname>
+    <authorgroup>
+      <author>
+       <firstname>&dhfirstname;</firstname>
+        <surname>&dhsurname;</surname>
+        <contrib>Wrote this manpage for the Debian system.</contrib>
+        <address>
+          <email>&dhemail;</email>
+        </address>
+      </author>
+    </authorgroup>
+    <copyright>
+      <year>2014</year>
+      <holder>&dhusername;</holder>
+    </copyright>
+    <legalnotice>
+      <para>This manual page was written for the Debian system
+        (and may be used by others).</para>
+      <para>Permission is granted to copy, distribute and/or modify this
+        document under the terms of the GNU General Public License,
+        Version 2 or (at your option) any later version published by
+        the Free Software Foundation.</para>
+      <para>On Debian systems, the complete text of the GNU General Public
+        License can be found in
+        <filename>/usr/share/common-licenses/GPL</filename>.</para>
+    </legalnotice>
+  </refentryinfo>
+  <refmeta>
+    <refentrytitle>&dhucpackage;</refentrytitle>
+    <manvolnum>&dhsection;</manvolnum>
+  </refmeta>
+  <refnamediv>
+    <refname>&dhpackage;</refname>
+    <refpurpose>Documentation for the program microHOPE.</refpurpose>
+  </refnamediv>
+  <refsynopsisdiv>
+    <cmdsynopsis>
+      <command>&dhpackage;</command>
+    </cmdsynopsis>
+  </refsynopsisdiv>
+  <refsect1 id="description">
+    <title>DESCRIPTION</title>
+    <para><command>&dhpackage;</command> launches a PDF viewer to browse
+    the documentation of microHOPE. MicroHOPE is an Integrated Development
+    Environment (IDE) which allows the user to develop several small programs
+    for the ATmega32 microcontroller. This environment comes with useful
+    examples and libraries which make the learn process easy.</para>
+  </refsect1>
+  <refsect1 id="see_also">
+    <title>SEE ALSO</title>
+    <para><citerefentry>
+        <refentrytitle>microhope</refentrytitle>
+        <manvolnum>1</manvolnum>
+      </citerefentry>.
+    </para>
+  </refsect1>
+</refentry>
+
diff --git a/microhope/microhope-doc/microhope.lyx b/microhope/microhope-doc/microhope.lyx
new file mode 100644
index 0000000..6826206
--- /dev/null
+++ b/microhope/microhope-doc/microhope.lyx
@@ -0,0 +1,6884 @@
+#LyX 2.0 created this file. For more info see http://www.lyx.org/
+\lyxformat 413
+\begin_document
+\begin_header
+\textclass book
+\begin_preamble
+\usepackage{wrapfig}
+ \setlength{\intextsep}{0cm plus1cm minus1cm}
+\newcommand{\menuitem}[1]{\textbf{\emph{#1}}}
+\end_preamble
+\use_default_options false
+\maintain_unincluded_children false
+\language american
+\language_package default
+\inputencoding auto
+\fontencoding global
+\font_roman default
+\font_sans default
+\font_typewriter default
+\font_default_family default
+\use_non_tex_fonts false
+\font_sc false
+\font_osf false
+\font_sf_scale 100
+\font_tt_scale 100
+
+\graphics default
+\default_output_format default
+\output_sync 0
+\bibtex_command default
+\index_command default
+\paperfontsize 12
+\spacing single
+\use_hyperref false
+\papersize a4paper
+\use_geometry true
+\use_amsmath 1
+\use_esint 1
+\use_mhchem 0
+\use_mathdots 1
+\cite_engine basic
+\use_bibtopic false
+\use_indices false
+\paperorientation portrait
+\suppress_date false
+\use_refstyle 0
+\index Index
+\shortcut idx
+\color #008000
+\end_index
+\leftmargin 3cm
+\topmargin 3cm
+\rightmargin 2cm
+\bottommargin 2cm
+\secnumdepth 3
+\tocdepth 3
+\paragraph_separation indent
+\paragraph_indentation default
+\quotes_language english
+\papercolumns 1
+\papersides 1
+\paperpagestyle default
+\tracking_changes false
+\output_changes false
+\html_math_output 0
+\html_css_as_file 0
+\html_be_strict false
+\end_header
+
+\begin_body
+
+\begin_layout Standard
+\begin_inset ERT
+status open
+
+\begin_layout Plain Layout
+
+
+\backslash
+thispagestyle{empty}
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+\begin_inset VSpace 3in
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+\align center
+
+\size giant
+MicroHOPE
+\end_layout
+
+\begin_layout Standard
+\align center
+\begin_inset Graphics
+	filename pics/microhope-with-lcd.jpg
+	lyxscale 30
+	width 10cm
+
+\end_inset
+
+
+\begin_inset Newline newline
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+\align center
+
+\size large
+User's Manual 
+\begin_inset Newline newline
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+\align center
+
+\size largest
+Micro-controllers
+\begin_inset Newline newline
+\end_inset
+
+for
+\begin_inset Newline newline
+\end_inset
+
+Hobby Projects and Education
+\begin_inset Newline newline
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+\align center
+Inter-University Accelerator Centre 
+\begin_inset Newline newline
+\end_inset
+
+(A Research Centre of UGC)
+\begin_inset Newline newline
+\end_inset
+
+New Delhi 110 067
+\begin_inset Newline newline
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+\align center
+Visit http://expeyes.in/microhope for updates
+\end_layout
+
+\begin_layout Chapter
+Introduction
+\end_layout
+
+\begin_layout Standard
+Most of computer systems in use today are embedded in other machinery, such
+ as automobiles, telephones, appliances, and peripherals for computer systems.
+ Tasks requiring smaller amounts of processing power and memory are generally
+ implemented using micro-controllers (uC).
+ A micro-controller is a small computer on a single integrated circuit consistin
+g of a CPU combined with program and data memory, peripherals like analog
+ to digital converters, timer/counters, serial communication ports and general
+ purpose Input/Output ports.
+ Intel 8051, Atmel AVR, PIC etc.
+ are popular micro controllers available in the market.
+ To design the MicroHOPE hardware, we have chosen ATmega32 micro-controller
+ from Atmel AVR series, after considering the hardware resources available
+ on it and the support of Free Software tools like GNU assembler and C compiler.
+ 
+\end_layout
+
+\begin_layout Subsubsection*
+Why microHOPE ?
+\end_layout
+
+\begin_layout Standard
+Many people who write programs that run on a PC find it difficult to get
+ started on coding for a microcontroller, mainly due to:
+\end_layout
+
+\begin_layout Enumerate
+Programming a uC requires some knowledge about the target hardware.
+\end_layout
+
+\begin_layout Enumerate
+Transferring the program from the PC to the target device requires some
+ special hardware and software.
+\end_layout
+
+\begin_layout Standard
+There are plenty of micro-controller development kits in the market, but
+ most of them focus on explaining the hardware and software of the development
+ kit rather than the micro-controller.
+ They teach programming the I/O pins of the development board using the
+ library functions provided and the user can get things done without understandi
+ng anything about the micro-controller.
+ The objective of this work is to help learning uC architecture and programming,
+ not the MicroHOPE hardware or software.
+ The focus will be on the features of the micro-controller without hiding
+ its details from the user.
+ 
+\end_layout
+
+\begin_layout Standard
+A simple Graphical User Interface is provided to Edit, Compile (or Assemble)
+ and upload the program.
+ We start by programming the Input/Output ports of Atmega32, which require
+ some basic knowledge of binary number system and C language, with its bit
+ manipulation operators.
+ After that we will proceed to the programming of the peripherals like ADC,
+ Timer/Counter etc.
+ Since they are more complex, we will start with a software library, in
+ the form of C source files, that can be included in your program
+\begin_inset Foot
+status collapsed
+
+\begin_layout Plain Layout
+We are very much aware of the drawback of this method.
+ When you include a file all the functions in that will get added to your
+ executable, increasing its size.
+ Once the code is working, copy the necessary functions to your source file,
+ instead of including the whole file, to get rid of this difficulty.
+\end_layout
+
+\end_inset
+
+.
+ Once you learn how to program the peripherals, using the Special Function
+ Registers, there is no need to use these library functions.
+\end_layout
+
+\begin_layout Standard
+MicroHOPE allows you to code in assembly language.
+ This feature is included mainly to get a better idea about the architechture
+ of the uC, by playing with the registers and assembly instructions directly.
+ The content of registers can be displayed using LEDs connected to the I/O
+ ports of the micro-controller.
+\end_layout
+
+\begin_layout Standard
+Since microHOPE comes with a bootloader pre-installed inside the program
+ memory of Atmega32, you can upload code using the USB interface with a
+ single click, from the GUI provided.
+ At the same time, executing the compile and upload programs from a text
+ terminal are also explained.
+ For compiling the C program we use the 
+\series bold
+avr-gcc
+\series default
+ compiler and 
+\series bold
+avrdude
+\series default
+ for uploading it to the target.
+ 
+\end_layout
+
+\begin_layout Section
+MicroHOPE Hardware
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status collapsed
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/mh-block.png
+	width 8cm
+
+\end_inset
+
+ 
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+(a)MicroHOPE Block diagram.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:MicroHOPE-Block-diagram."
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status collapsed
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/microhope-photo-horiz.jpg
+	lyxscale 30
+	width 7cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+MicroHOPE board
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:MicroHOPE-board"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status collapsed
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/circuit-mh.png
+	lyxscale 30
+	width 9cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Circuit schematic of microHOPE
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Circuit-schematic-of"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+A block diagram of microHOPE hardware is shown in figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:MicroHOPE-Block-diagram."
+
+\end_inset
+
+.
+ Programs can be uploaded from the PC through the USB port, with the help
+ of the pre-loaded boot-loader code on the uC.
+ To load a new program, the PC asserts the RTS signal of MCP2200, generating
+ a pulse that resets ATmega32.
+ On reset, the boot loader code will start, waiting for new code from the
+ PC.
+ If new code is available it is loaded and control is transferred to it,
+ otherwise the existing code will start running.
+\end_layout
+
+\begin_layout Standard
+Atmega32 has 32 Input/Output pins, organized as 4 ports, each 8 bit wide.
+ The IC is available in DIP package, that can be socket mounted.
+ The ATmega32 has 32 kB of Flash memory, 512 bytes EEPROM and 2 kB Static
+ RAM.
+ Three Timer/Counters, a serial interface (USART), a byte oriented Two-wire
+ Serial Interface, an 8-channel 10-bit ADC and an SPI serial port are some
+ of the peripheral devices on the chip.
+\end_layout
+
+\begin_layout Standard
+The processor on the microHOPE board runs at 8MHz, using the external crystal.
+ All the I/O pins (except the two bits of port D that are used by the UART
+ Rx/Tx pins) are available to the user on the four I/O connectors.
+ An LED is connected to Bit 0 of Port B, for quick testing of the board.
+ A reset button is also provided.
+ The 5V USB power, via a fuse, is connected to both VCC and AVCC inputs.
+ A jumper is provided to disable the reset option from the PC, required
+ when the board is running programs that need to communicate with a PC,
+ like a data logger or oscilloscope.
+ The circuit schematic is shown in figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Circuit-schematic-of"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Accessories
+\end_layout
+
+\begin_layout Standard
+There are several accessory boards, that can be plugged in to the I/O sockets.
+ Some of them are explained below.
+ Visit the website to know about new additions.
+\end_layout
+
+\begin_layout Subsection
+Digital Output Board, 8 LEDs
+\end_layout
+
+\begin_layout Standard
+This can be plugged into any of the four ports to monitor the output, useful
+ for debugging code.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status collapsed
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/led8-schematic.png
+	lyxscale 50
+	width 7cm
+
+\end_inset
+
+ 
+\begin_inset Graphics
+	filename pics/led8.jpg
+	lyxscale 30
+	width 3cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+The digital output Board
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:The-digital-output"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection
+Alphanumeric LCD 
+\end_layout
+
+\begin_layout Standard
+For some applications, it is necessary to have a local display.
+ The HD44780 controller, or compatible IC, based LCD displays are widely
+ available.
+ They come with a 16 pin connector and the transfer protocol is well documented
+ 
+\begin_inset Foot
+status collapsed
+
+\begin_layout Plain Layout
+For details refer to http://en.wikipedia.org/wiki/Hitachi_HD44780_LCD_controller
+\end_layout
+
+\end_inset
+
+.
+ The connections between microHOPE and the LCD display are shown in figure
+ 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:LCD-display-board"
+
+\end_inset
+
+(a).
+ Pins 4,5 and 7 of the LCD display are control lines, connected to PC1,
+ PC2 and PC4.
+ The ASCII codes are transferred in the 4bit mode, using pins 11 to 14 connected
+ to PC4, PC5, PC6 and PC7.
+ The LCD should be connected to port C socket, to use the C library functions
+ to access the display.
+ 
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status collapsed
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/lcd-schematics.png
+	lyxscale 40
+	width 5cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/lcd-photo.jpg
+	lyxscale 30
+	width 6cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+LCD display board
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:LCD-display-board"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status collapsed
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/H-bridge.png
+	lyxscale 50
+	width 6cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/mh-hbridge.jpg
+	lyxscale 30
+	width 4cm
+
+\end_inset
+
+ 
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+H-Bridge board
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:H-Bridge-board"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection
+Motor Control Board
+\end_layout
+
+\begin_layout Standard
+The motor control board consists of 2 H-bridges (IC L293D).
+ Board can be powered from outside or from the MicroHOPE socket.
+ An INT/EXT jumper is provided to select the power option.
+ The voltage level at the for outputs of L293 is decided by the four LSBs
+ of the port on which it is connected.
+ The outputs (A,B,C & D) can be used for controlling 2 DC motors or one
+ stepper motor.
+\end_layout
+
+\begin_layout Subsection
+USBASP Programmer board
+\end_layout
+
+\begin_layout Standard
+This is an open sourced ISP (In-System Programming) programmer available
+ from http://www.fischl.de/usbasp/ .
+ This is provided as an accessory to MicroHOPE due to several reasons.
+ If you want to develop programs that uses the UART of Atmega32, you need
+ to upload code using ISP.
+ It can be used for programming other AVR micro-controllers also.
+ It can be used for burning the boot loader.
+ The LED on the board indicates power.
+ It goes off while uploding code, giving an additional indication.
+ More details are given in chapter 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "chap:Programming-details"
+
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Standard
+\align center
+\begin_inset Graphics
+	filename pics/mh-usbasp.jpg
+	lyxscale 30
+	width 9cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+MicroHOPE Software
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status collapsed
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/mh-IDE.png
+	lyxscale 50
+	width 12cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+MicroHOPE User Interface
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:MicroHOPE-User-Interface"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+MicroHOPE's software requirements are a text editor, a cross compiler and
+ assembler, avr C library, a code uploader and other associated programs.
+ We have written a minimal text editor (that is our IDE) that can invoke
+ the compiler, uploader etc.
+ and also detect the MicroHOPE hardware.
+ It can edit, compile/assemble and upload programs.
+ It is available for both GNU/Linux and MS Windows platforms
+\begin_inset Foot
+status collapsed
+
+\begin_layout Plain Layout
+Download from http://expeyes.in/microhope
+\end_layout
+
+\end_inset
+
+.
+ On MSwindows, you need to install the Winavr package and the driver for
+ MCP2200 IC from Microchip.
+\end_layout
+
+\begin_layout Subsection
+All GNU/Linux Systems
+\end_layout
+
+\begin_layout Standard
+Download and install avr-gcc, avrlib and avrdude from the repositories of
+ your GNU/Linux distribution.
+ Download the source file uhope.c and the Makefile from MicroHOPE website.
+ The command 
+\end_layout
+
+\begin_layout Standard
+$ make 
+\end_layout
+
+\begin_layout Standard
+will compile and create the executable uhope, you need to install gtk library.
+ Copy it to /usr/bin.
+\end_layout
+
+\begin_layout Standard
+$ uhope
+\end_layout
+
+\begin_layout Standard
+will start the program
+\end_layout
+
+\begin_layout Subsubsection
+Debian and derivatives, like Ubuntu
+\end_layout
+
+\begin_layout Standard
+Debian package is available on the website.
+ After installing the package, run 'create-microhope-env' from a terminal
+ to copy the example programs to a directory named microhope, inside your
+ home directory.
+ The MicroHOPE program can be started from the applications menu.
+ A screen shot of the microhope IDE is shown in figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:MicroHOPE-User-Interface"
+
+\end_inset
+
+.
+ By default it looks for files inside a subdirectory named 'microhope',
+ inside your home directory.
+ The IDE allows you to load/save files, detect the hardware, compile/assemble
+ the code and upload the output.
+ 
+\end_layout
+
+\begin_layout Standard
+The examples given in this document will appear inside the directory named
+ 'microhope'.
+ All files starting with 
+\series bold
+mh-
+\series default
+ are the files containing library functions to access the various peripherals
+ of Atmega32.
+ To make the source code visible to the user, they are not compiled as a
+ library file.
+ Do not modify the files starting with 
+\series bold
+mh-
+\series default
+.
+ 
+\end_layout
+
+\begin_layout Standard
+You can select any of the example programs, compile/assemble and upload
+ them using the menu.
+ Correct all the errors before doing Upload.
+ You also need to detect the hardware once before uploading.
+ For assembly language programs, the file name extension should be .s or
+ .S (The pre-processor is invoked if .S is used.)
+\end_layout
+
+\begin_layout Subsection
+MS Windows
+\end_layout
+
+\begin_layout Quote
+Download and install the software from 
+\end_layout
+
+\begin_layout Quote
+http://www.expeyes.herobo.com/microhope.php .
+ 
+\end_layout
+
+\begin_layout Quote
+The requirements are the USB to Serial IC drivers, 
+\series bold
+winavr
+\series default
+ package from sourceforge.net and the microHOPE installer.
+\end_layout
+
+\begin_layout Chapter
+Getting Started
+\end_layout
+
+\begin_layout Standard
+After installing the required software packages, you must have copied the
+ examples to a directory named microhope inside your home directory.
+ Start the microHOPE IDE.
+ Choosing File->Open from the menubar will display all the C files inside
+ the 
+\series bold
+microhope
+\series default
+ directory.
+ You can open any of the examples (do not modify the files starting with
+ mh-), compile/assemble and upload from the IDE.
+ We will start by programming the digital Input/Output ports of Atmega32,
+ and them proceed to the peripheral devices.
+ 
+\begin_inset Foot
+status collapsed
+
+\begin_layout Plain Layout
+For complete details of Atmega32 refer to http://www.atmel.in/Images/doc2503.pdf
+\end_layout
+
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Section
+Testing the Hardware
+\end_layout
+
+\begin_layout Standard
+Connect MicroHOPE hardware to a USB port and start the microHOPE IDE from
+ the menu.
+ Click on Detect-MH to get a popup menu of the available USB to Serial devices.
+ It will contain entries like '/dev/ttyACM0', '/dev/ttyACM1' etc
+\begin_inset Foot
+status open
+
+\begin_layout Plain Layout
+For old model of microHOPE using FT232, it will be ttyUSB*
+\end_layout
+
+\end_inset
+
+.
+ If you are running expEYES, find out the device descriptor used by it from
+ the expEYES GUI titlebar and avoid using the same.
+\end_layout
+
+\begin_layout Standard
+Using File->Open from the menubar, load 
+\series bold
+blink.c
+\series default
+ from the 
+\series bold
+microhope
+\series default
+ directory.
+ Compile and Upload the program by clicking on the menubar.
+ In case of error, check the USB connections first.
+ If problem persists, try pressing and releasing the microHOPE resent button
+ at the same time when you click on Upload.
+ Make sure that the PCRST jumper is closed.
+\end_layout
+
+\begin_layout Standard
+Once the program is uploaded, the LED connected to PB0 should blink at 1
+ Hz rate.
+ 
+\series bold
+\bar under
+If not, press the reset button on the board.
+\end_layout
+
+\begin_layout Section
+Input/Output ports of Atmega32
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status collapsed
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/avr-block.png
+	width 8cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Atmega32 Pinout
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Atmega32-Pinout"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+The pinout diagram of Atmega32 is shown in figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Atmega32-Pinout"
+
+\end_inset
+
+.
+ There are 32 pins organized as four ports named A, B, C and D, each 8 bit
+ wide.
+ Each pin can be configured as an input or output.
+ The data direction and transfer are done by writing to the registers DDRX,
+ PORTX and PINX (where X stands for A, B, C or D).
+ The avr-gcc compiler allows us to program the registers and their individual
+ bits using the same names given in the Atmega32 manual.
+ The C compiler allows you to access them just like normal variables.
+ For example, the statement PORTB = 15 , writes the number 15 to Port B.
+ The individual pins are referred using names like PA0, means BIT 0 of Port
+ A.
+ 
+\end_layout
+
+\begin_layout Itemize
+DDRX : Direction of every pin of an I/O port is decided by the state of
+ corresponding bit in the Data Direction registers DDRX.
+ To configure a pin as output, make the bit 1, and to make it as input make
+ it zero.
+ For example, DDRA = 1 will configure BIT 0 of Port A (PA0) as output, and
+ all other pins as input.
+\end_layout
+
+\begin_layout Itemize
+PORTX : For pins that are configured as ouput, assigning a value to PORTX
+ will set that data on them.
+ For example PORTA = 1 will make PA0 high, that can be measured on the pin
+ number 40 of the IC.
+ 
+\end_layout
+
+\begin_layout Itemize
+PINX : For the pins configured as inputs, PINX will read the status of the
+ external voltage level connected to the pins.
+ For pins that are configured as outputs, PINX will return the data written
+ to PORTX.
+\end_layout
+
+\begin_layout Standard
+If the pins configured as inputs are left unconnected, there could be unwanted
+ level changes due to electrical noise, this can be prevented by enabling
+ the internal pull-up resistor.
+ For pins that are configured as inputs, setting/clearing the bits in PORTX
+ will enable/disable the corresponding internal pullup resistor.
+ 
+\end_layout
+
+\begin_layout Standard
+The operations described above can be understood easily with some examples.
+ For a quick test, MicroHOPE hardware has an LED connected to PB0, with
+ a series resistor for current limiting.
+\end_layout
+
+\begin_layout Subsection
+Reading and Writing Ports
+\end_layout
+
+\begin_layout Standard
+The program 
+\series bold
+copy.c
+\series default
+ reads the voltage level at PA0 (Pin 0 of Port A) and sets the same on PB0,
+ where we have the LED.
+ We will enable the internal pullup resistor on PA0 so that and it will
+ go LOW only when it is connected to ground using a piece of wire.
+\end_layout
+
+\begin_layout LyX-Code
+
+\size small
+#include <avr/io.h>   // Include file for I/O operations
+\end_layout
+
+\begin_layout LyX-Code
+
+\size small
+int main (void)
+\end_layout
+
+\begin_layout LyX-Code
+
+\size small
+{
+\end_layout
+
+\begin_layout LyX-Code
+
+\size small
+DDRA = 0;             // Port A as Input
+\end_layout
+
+\begin_layout LyX-Code
+PORTA = 1;          // Enable pullup on PA0
+\end_layout
+
+\begin_layout LyX-Code
+
+\size small
+DDRB = 1;             // Configure PB0 as output  
+\end_layout
+
+\begin_layout LyX-Code
+
+\size small
+for(;;)
+\end_layout
+
+\begin_layout LyX-Code
+
+\size small
+     PORTB = PINA;   // Read Port A and write it to Port B
+\end_layout
+
+\begin_layout LyX-Code
+
+\size small
+}
+\end_layout
+
+\begin_layout Standard
+To test this example, open 
+\series bold
+copy.c
+\series default
+ from the File menu of microHOPE IDE, Click on Compile and then Upload from
+ the menubar The LED on PB0 should start glowing after uploading the program.
+ LED will be off when you connect PA0 to ground.
+ You may rewrite the program so that the LED may be controlled by some other
+ bit configured as input.
+ 
+\end_layout
+
+\begin_layout Standard
+The simple program given above has certain drawbacks.
+ It changes PORTB as a whole instead of acting on PB0 alone.
+ Suppose we have something else connected to the other pins of Port B, they
+ also will be affected by the action of 
+\begin_inset Formula $PORTB=PINA$
+\end_inset
+
+.
+ To avoid such problems, we should manipulate individual bits.
+ The include file 
+\series bold
+mh-digital.c
+\series default
+ contains macros for setting and clearing bits by specifying their position.
+\end_layout
+
+\begin_layout Subsection
+Bit manipulation macros
+\begin_inset Foot
+status collapsed
+
+\begin_layout Plain Layout
+The macros are implemented using:
+\begin_inset Newline newline
+\end_inset
+
+#define BITVAL(bit) (1 << (bit))
+\begin_inset Newline newline
+\end_inset
+
+#define CLRBIT(sfr, bit) (_SFR_BYTE(sfr) &= ~BITVAL(bit))
+\begin_inset Newline newline
+\end_inset
+
+#define SETBIT(sfr, bit) (_SFR_BYTE(sfr) |= BITVAL(bit))
+\begin_inset Newline newline
+\end_inset
+
+#define GETBIT(sfr, bit) (_SFR_BYTE(sfr) & BITVAL(bit))
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+These macros can be used on variables, defined in the program, and also
+ on registers like DDRX, PORTX etc.
+\end_layout
+
+\begin_layout Subsubsection*
+BITVAL(bit position)
+\end_layout
+
+\begin_layout Standard
+The value of bit position could be 0 to 7 in the case of 8 bit integers
+ and 0 to 15 for 16 bit integers.
+ This macro returns (1 << bit position).
+ For example BITVAL(3), will give 8, that is binary 1000, obtained by left
+ shifting of 1 thrice.
+\end_layout
+
+\begin_layout Subsubsection*
+SETBIT(variable, bit position)
+\end_layout
+
+\begin_layout Standard
+This macro SETS the specified bit in the given variable, without affecting
+ the other bits.
+ For example SETBIT(DDRB, 7), will make the last bit of DDRB high.
+\end_layout
+
+\begin_layout Subsubsection*
+CLRBIT(variable, bit position)
+\end_layout
+
+\begin_layout Standard
+This macro clears the specified bit of the given variable.
+ For example CLRBIT(val, 0), clears the least significant bit of 'val',
+ that is an integer type variable.
+\end_layout
+
+\begin_layout Subsubsection*
+GETBIT(variable, bit position)
+\end_layout
+
+\begin_layout Standard
+This macro returns the value the specified bit if the specified bit of the
+ variable is 1, else it returns zero.
+ For example: if x = 3, GETBIT(x, 1) will return 2 and GETBIT(x,3) will
+ return zero.
+\end_layout
+
+\begin_layout Standard
+Let us rewrite the previous program as 
+\series bold
+copy2.c
+\series default
+, using these macros as:
+\end_layout
+
+\begin_layout LyX-Code
+
+\size small
+#include <avr/io.h>
+\end_layout
+
+\begin_layout LyX-Code
+
+\size small
+  int main (void)
+\end_layout
+
+\begin_layout LyX-Code
+
+\size small
+  {
+\end_layout
+
+\begin_layout LyX-Code
+
+\size small
+  uint8_t  val;
+\end_layout
+
+\begin_layout LyX-Code
+
+\size small
+  DDRA = 0;             // Port A as Input
+\end_layout
+
+\begin_layout LyX-Code
+  PORTA = 1;          // Enable pullup on PORTA, bit 0
+\end_layout
+
+\begin_layout LyX-Code
+
+\size small
+  DDRB = 1;             // Pin 0 of Port B as output
+\end_layout
+
+\begin_layout LyX-Code
+
+\size small
+  for(;;)
+\end_layout
+
+\begin_deeper
+\begin_layout LyX-Code
+{
+\end_layout
+
+\end_deeper
+\begin_layout LyX-Code
+
+\size small
+     val = GETBIT(PORTA, 0);
+\end_layout
+
+\begin_deeper
+\begin_layout LyX-Code
+if (val != 0)
+\end_layout
+
+\begin_layout LyX-Code
+   SETBIT(PORTB, 0);
+\end_layout
+
+\begin_layout LyX-Code
+else
+\end_layout
+
+\begin_deeper
+\begin_layout LyX-Code
+CLRBIT(PORTB, 0);
+\end_layout
+
+\end_deeper
+\begin_layout LyX-Code
+}
+\end_layout
+
+\end_deeper
+\begin_layout LyX-Code
+
+\size small
+  }
+\end_layout
+
+\begin_layout Standard
+The same can be done, without using the bit manipulation macros, as shown
+ in 
+\series bold
+copy3.c
+\end_layout
+
+\begin_layout LyX-Code
+
+\size small
+#include <avr/io.h>   // Include file for I/O operations
+\end_layout
+
+\begin_layout LyX-Code
+
+\size small
+int main (void)
+\end_layout
+
+\begin_layout LyX-Code
+
+\size small
+{
+\end_layout
+
+\begin_layout LyX-Code
+
+\size small
+uint8_t  val;         // 8 bit unsigned word
+\end_layout
+
+\begin_layout LyX-Code
+
+\size small
+DDRA = 0;             // Port A as Input
+\end_layout
+
+\begin_layout LyX-Code
+PORTA = 1;          // Enable pullup on PA0
+\end_layout
+
+\begin_layout LyX-Code
+
+\size small
+DDRB = 1;             // Configure PB0 as output  
+\end_layout
+
+\begin_layout LyX-Code
+
+\size small
+for(;;)
+\end_layout
+
+\begin_layout LyX-Code
+   if(PINA & 1)        // If PA0 is set
+\end_layout
+
+\begin_layout LyX-Code
+       PORTB |= 1;     // Set PB0, by ORing with 00000001b
+\end_layout
+
+\begin_layout LyX-Code
+   else                // otherwise clear PB0
+\end_layout
+
+\begin_layout LyX-Code
+       PORTB &= ~1;    // by ANDing with 11111110b (~00000001b)
+\end_layout
+
+\begin_layout LyX-Code
+
+\size small
+}
+\end_layout
+
+\begin_layout Standard
+The code fragment shown above uses the Bitwise AND, OR and NOT operators.
+\end_layout
+
+\begin_layout Subsection
+Blinking LED
+\end_layout
+
+\begin_layout Standard
+Making pin PB0 HIGH and LOW in a closed loop result in the blinking of the
+ LED conencted to it.
+ We need to slow down the rate of blinking so that it can be perceived by
+ our eyes.
+ This can be done by making the processor wait for a while between writing
+ to PORTB.
+ There are some delay functions provided for this.
+ The file 
+\series bold
+mh-utils.c
+\series default
+ contains the following functions:
+\end_layout
+
+\begin_layout Subsubsection*
+delay_100us(int n)
+\end_layout
+
+\begin_layout Standard
+This function will make the CPU idle for n x100 microseconds.
+ For example to insert a 200 microsecond delay, call delay_100us(2)
+\end_layout
+
+\begin_layout Subsubsection*
+delay_ms(int n)
+\end_layout
+
+\begin_layout Standard
+This function will make the CPU idle for n milliseconds.
+ For example to insert a 500 millisecond delay, call delay_ms(500)
+\end_layout
+
+\begin_layout Standard
+The program blink.c lis listed below:
+\end_layout
+
+\begin_layout LyX-Code
+
+\size small
+#include 
+\begin_inset Quotes eld
+\end_inset
+
+mh-utils.c
+\begin_inset Quotes erd
+\end_inset
+
+
+\end_layout
+
+\begin_layout LyX-Code
+
+\end_layout
+
+\begin_layout LyX-Code
+
+\size small
+int main (void)
+\end_layout
+
+\begin_layout LyX-Code
+
+\size small
+{
+\end_layout
+
+\begin_layout LyX-Code
+
+\size small
+   DDRB = 1;     // configure PB0 as output
+\end_layout
+
+\begin_layout LyX-Code
+
+\size small
+   for(;;)
+\end_layout
+
+\begin_layout LyX-Code
+
+\size small
+   {
+\end_layout
+
+\begin_layout LyX-Code
+
+\size small
+   PORTB = 1; 
+\end_layout
+
+\begin_layout LyX-Code
+
+\size small
+   delay_ms(500);
+\end_layout
+
+\begin_layout LyX-Code
+
+\size small
+   PORTB = 0;
+\end_layout
+
+\begin_layout LyX-Code
+
+\size small
+   delay_ms(500);
+\end_layout
+
+\begin_layout LyX-Code
+
+\size small
+   }
+\end_layout
+
+\begin_layout LyX-Code
+
+\size small
+}
+\end_layout
+
+\begin_layout Standard
+If everything goes fine, you should see the LED blinking.
+ You can remove the delay statements and watch the high frequency pulses
+ on PB0 using an oscilloscope.
+\end_layout
+
+\begin_layout Section
+The LCD Display
+\end_layout
+
+\begin_layout Standard
+The file 
+\series bold
+mh-lcd.c
+\series default
+ contains functions to access the display, connected to port C.
+ The example program 
+\series bold
+hello.c
+\series default
+ listed below demonstrates the usage of the LCD display.
+\end_layout
+
+\begin_layout LyX-Code
+
+\size small
+#include "mh-lcd.c"
+\end_layout
+
+\begin_layout LyX-Code
+
+\size small
+int main()
+\end_layout
+
+\begin_layout LyX-Code
+
+\size small
+{
+\end_layout
+
+\begin_layout LyX-Code
+
+\size small
+lcd_init();
+\end_layout
+
+\begin_layout LyX-Code
+
+\size small
+lcd_put_string("Hello World");
+\end_layout
+
+\begin_layout LyX-Code
+
+\size small
+}
+\end_layout
+
+\begin_layout Standard
+The file mh-lcd.c provides the following functions :
+\end_layout
+
+\begin_layout Itemize
+lcd_init() : Initializes the LCD display, must be called once in the beginning
+\end_layout
+
+\begin_layout Itemize
+lcd_clear() : Clears the display
+\end_layout
+
+\begin_layout Itemize
+lcd_put_char(char ch) : Outputs a single character to the LCD display
+\end_layout
+
+\begin_layout Itemize
+lcd_put_string(char* s) : Displays a string to the LCD
+\end_layout
+
+\begin_layout Itemize
+lcd_put_byte(uint8_t i) : Diplays an 8 bit unsigned integer
+\end_layout
+
+\begin_layout Itemize
+lcd_put_int(uint16_t i) : Displays a 16 bit unsigned integer
+\end_layout
+
+\begin_layout Itemize
+lcd_put_long(uint32_t i) : Displays a 32 bit unsigned integer
+\end_layout
+
+\begin_layout Standard
+The file mh-lcd-float.c provides lcd_put_float(float val, uint8_t ndec),
+ where ndec is the number of decimal places, restricted to 3.
+ Defining float type data increases the program size a lot.
+\end_layout
+
+\begin_layout Section
+Analog to Digital Converter
+\end_layout
+
+\begin_layout Standard
+Most of the I/O PORT pins of Atmega32 have alternate functions.
+ PA0 to PA7 can be used as ADC inputs by enabling the built-in ADC.
+ All the pins configured as inputs in the DDRA will become ADC inputs, but
+ the ones configured as outputs will remain as digital output pins.
+ The ADC converts the analog input voltage in to a 10-bit number.
+ The minimum value represents GND and the maximum value represents the ADC
+ reference voltage.
+ The reference inputs could be AVCC, an internal 2.56V or a voltage connected
+ to the AREF pin.
+ The selection is done in software.
+ The ADC operation is controlled via the registers ADMUX and ADCSRA.
+ The data is read from ADCH and ADCL.
+\end_layout
+
+\begin_layout Standard
+The include file 'mh-adc.c' provides the following functions:
+\end_layout
+
+\begin_layout Enumerate
+adc_enable() : Enables the ADC
+\end_layout
+
+\begin_layout Enumerate
+adc_disable() : Disables the ADC
+\end_layout
+
+\begin_layout Enumerate
+adc_set_ref(ref) : Select the reference, where ref is REF_EXT is an external
+ voltage is applied to the AVREF pin, REF_INT to use the internal 2.56 V
+ reference and REF_AVCC to connect the AVCC supply internally to AVREF.
+\end_layout
+
+\begin_layout Enumerate
+read_adc(ch) : Converts the voltage on channel ch and returns it in a 16
+ bit number.
+\end_layout
+
+\begin_layout Subsection
+Reading an Analog Voltage
+\end_layout
+
+\begin_layout Standard
+The example program 
+\emph on
+adc.c
+\emph default
+ , reads an ADC input and display the result on the LCD.
+\end_layout
+
+\begin_layout LyX-Code
+
+\size small
+#include "mh-lcd.c"
+\end_layout
+
+\begin_layout LyX-Code
+
+\size small
+#include "mh-adc.c"
+\end_layout
+
+\begin_layout LyX-Code
+
+\size small
+main()
+\end_layout
+
+\begin_layout LyX-Code
+
+\size small
+{
+\end_layout
+
+\begin_layout LyX-Code
+
+\size small
+uint16_t data;
+\end_layout
+
+\begin_layout LyX-Code
+
+\size small
+lcd_init();
+\end_layout
+
+\begin_layout LyX-Code
+
+\size small
+adc_enable();
+\end_layout
+
+\begin_layout LyX-Code
+
+\size small
+data = read_adc(0);
+\end_layout
+
+\begin_layout LyX-Code
+
+\size small
+lcd_put_int(data);
+\end_layout
+
+\begin_layout LyX-Code
+
+\size small
+}
+\end_layout
+
+\begin_layout Subsection
+Programmig ADC registers
+\end_layout
+
+\begin_layout Standard
+The operation of the ADC is controlled mainly by the registers ADCSRA and
+ ADMUX.
+ Setting ADEN will enable the ADC and setting ADSC will start a conversion.
+ The bit ADIF is set after a conversion and this bit can be cleared by writing
+ a '1' to it.
+ The ADSP bits decide the speed of operation of the ADC, by pre-scaling
+ the clock input.
+ The channel number is selected by the MUX0 to MUX4 bits in the ADMUX rregister.
+ The reference input is selected by the REFS0 and REFS1 bits.
+\end_layout
+
+\begin_layout Standard
+\align center
+\begin_inset Graphics
+	filename pics/adcsra.png
+	width 10cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+\align center
+\begin_inset Graphics
+	filename pics/admux.png
+	width 10cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+The program adc-v2.c, demonstrates the usage of these registers.
+\end_layout
+
+\begin_layout LyX-Code
+#include <avr/io.h> 
+\end_layout
+
+\begin_layout LyX-Code
+#include "mh-lcd.c"
+\end_layout
+
+\begin_layout LyX-Code
+
+\end_layout
+
+\begin_layout LyX-Code
+// convert channel 0, set pre-scaler to 7 
+\end_layout
+
+\begin_layout LyX-Code
+main()
+\end_layout
+
+\begin_layout LyX-Code
+{ 
+\end_layout
+
+\begin_layout LyX-Code
+uint16_t data; 
+\end_layout
+
+\begin_layout LyX-Code
+
+\end_layout
+
+\begin_layout LyX-Code
+lcd_init();
+\end_layout
+
+\begin_layout LyX-Code
+ADCSRA = (1 << ADEN) |  7;      // Enable ADC, set clock pre-scaler
+\end_layout
+
+\begin_layout LyX-Code
+ADMUX =  (1 << REFS0);		  // AVCC reference, channel 0 	
+\end_layout
+
+\begin_layout LyX-Code
+ADCSRA |=  (1 <<ADSC);          // Start ADC 
+\end_layout
+
+\begin_layout LyX-Code
+while ( !(ADCSRA & (1<<ADIF)) ) ;	 // wait for ADC conversion
+\end_layout
+
+\begin_layout LyX-Code
+data = (ADCH << 8) | ADCL;    // make 10 bit data from ADCL and ADCH 
+\end_layout
+
+\begin_layout LyX-Code
+lcd_put_int(data);
+\end_layout
+
+\begin_layout LyX-Code
+}
+\end_layout
+
+\begin_layout LyX-Code
+
+\end_layout
+
+\begin_layout Subsection
+Reading in a Loop
+\end_layout
+
+\begin_layout Standard
+The example program 
+\series bold
+adc-loop.c
+\series default
+ , reads an ADC input in a loop and display the result on the LCD.
+ If the input is left unconnected, the displayed value could be anywhere
+ between 0 an 1023.
+ Connecting PA0 to 5V will display 1023, the maximum output.
+\end_layout
+
+\begin_layout LyX-Code
+
+\size small
+#include "mh-lcd.c"
+\end_layout
+
+\begin_layout LyX-Code
+
+\size small
+#include "mh-adc.c"
+\end_layout
+
+\begin_layout LyX-Code
+
+\size small
+#include "mh-utils.c"
+\end_layout
+
+\begin_layout LyX-Code
+
+\end_layout
+
+\begin_layout LyX-Code
+
+\size small
+main()
+\end_layout
+
+\begin_layout LyX-Code
+
+\size small
+{
+\end_layout
+
+\begin_layout LyX-Code
+
+\size small
+uint16_t data;
+\end_layout
+
+\begin_layout LyX-Code
+
+\size small
+lcd_init();
+\end_layout
+
+\begin_layout LyX-Code
+
+\size small
+adc_enable();
+\end_layout
+
+\begin_layout LyX-Code
+for (;;)
+\end_layout
+
+\begin_layout LyX-Code
+   {
+\end_layout
+
+\begin_layout LyX-Code
+
+\size small
+    data = read_adc(0);
+\end_layout
+
+\begin_layout LyX-Code
+    lcd_clear();
+\end_layout
+
+\begin_layout LyX-Code
+
+\size small
+    lcd_put_int(data);
+\end_layout
+
+\begin_layout LyX-Code
+    delay_ms(100);
+\end_layout
+
+\begin_layout LyX-Code
+   }
+\end_layout
+
+\begin_layout LyX-Code
+
+\size small
+}
+\end_layout
+
+\begin_layout Standard
+Modify the code for reading other ADC channels.
+\end_layout
+
+\begin_layout Subsection
+Temperature Control
+\end_layout
+
+\begin_layout Standard
+The program adc-loop.c can be easily modified to make a temperature monitor/contr
+oller using the LM35 temperature sensor.
+ Connect LM35 output to PA0.
+ At 100
+\begin_inset Formula $^{0}$
+\end_inset
+
+C , the output of LM35 will be 1 volt.
+ With the internal 2.56 volts as reference, the ADC output will be around
+ 400 (1.0 / 2.56 * 1023).
+\end_layout
+
+\begin_layout Standard
+Drive the relay contact controlling the heater from PB0, via a transistor.
+ Insert the following line in the beginning
+\end_layout
+
+\begin_layout LyX-Code
+DDRB = 1       
+\end_layout
+
+\begin_layout Standard
+and within the loop:
+\end_layout
+
+\begin_layout LyX-Code
+if (data > 400)       // switch off heater 
+\end_layout
+
+\begin_deeper
+\begin_layout LyX-Code
+PORTB = 0;
+\end_layout
+
+\end_deeper
+\begin_layout LyX-Code
+else if (data < 395)   // switch on heater
+\end_layout
+
+\begin_deeper
+\begin_layout LyX-Code
+PORTB = 1;
+\end_layout
+
+\end_deeper
+\begin_layout Standard
+The heater will be switched OFF when the ADC output is greater than 400.
+ It will be switched ON only when the output goes below 395.
+ The window of 6 is given to avoid the relay chattering.
+\end_layout
+
+\begin_layout Section
+Timer/Counters
+\end_layout
+
+\begin_layout Standard
+ATmega16 has three counter/timer units.
+ Two of them are of 8 bit size and one is 16 bit.
+ The counter input could be derived from the internal clock or from an external
+ source.
+ The output of the counter is compared with setpoint registers and different
+ types of actions are taken on compare match.
+ The mode of operation of Counter/Timer is programmed by setting the bits
+ in the control registers.
+ These circuits are useful for time interval measurements and generating
+ different kinds of waveforms.
+\end_layout
+
+\begin_layout Subsection
+8 bit Timer/Counter0
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/TCNT0.png
+	lyxscale 50
+	width 10cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+8 bit Timer/Counter0 Schematic
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:8-bit-Timer/Counter0"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+A block diagram of Timer/Counter0 is shown in figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:8-bit-Timer/Counter0"
+
+\end_inset
+
+.
+ The counter TCNT0 gets its input and control signals from the control logic
+ circuit.
+ The counter output is compared with a Output Compare Register OCR0 and
+ a compare match can trigger different types of actions, like generating
+ a waveform on OC0 (pin 4 of Atmega32, same as PB3).
+ The mode of operation is decided by the register TCCR0, shown below:
+\end_layout
+
+\begin_layout Standard
+\align center
+\begin_inset Graphics
+	filename pics/tccr0.png
+	width 9cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+Let us start using Timer/Counter0 with the help of the following functions.
+\end_layout
+
+\begin_layout Subsubsection*
+sqwave_tc0(csb, ocrval) 
+\end_layout
+
+\begin_layout Standard
+This function generates a square wave on OC0, whose frequency is decided
+ by the clock select bits (csb) and ocrval.
+ Example 
+\series bold
+sqwave-tc0.c
+\series default
+ listed below demonstrates the usage of this function.
+\end_layout
+
+\begin_layout LyX-Code
+// example : sqwave-tc0.c
+\end_layout
+
+\begin_layout LyX-Code
+#include "mh-timer.c"
+\end_layout
+
+\begin_layout LyX-Code
+csb = 2;       // Clock select bits 
+\end_layout
+
+\begin_layout LyX-Code
+ocrval = 99;   // Output Compare register vaule
+\end_layout
+
+\begin_layout LyX-Code
+int main() 
+\end_layout
+
+\begin_layout LyX-Code
+{ 
+\end_layout
+
+\begin_layout LyX-Code
+sqwave_tc0(csb, ocrval);
+\end_layout
+
+\begin_layout LyX-Code
+}
+\end_layout
+
+\begin_layout Standard
+The 8MHz system clock is divided by 8 (csb =2, refer to table below) to
+ get a 1MHz input to the counter.
+ The OCR0 register is set to 99.
+ The mode bits are set such that the when the counter value reaches the
+ OCR0, the output is toggled and counter is cleared.
+ This will result in the waveform generator output toggles after every 100
+ clock cycles, giving a 5kHz sqaurewave on pin OC0 (PB3).
+ You may view this on an oscilloscope.
+ If you do not have one, connect a loudspeaker with a 100
+\begin_inset Formula $\Omega$
+\end_inset
+
+ series resistor from PB3 to ground.
+ We have used expEYES for viewing and characterizing the waveforms generated
+ by microHOPE.
+\end_layout
+
+\begin_layout Standard
+Changing ocrval to 199 will give output 2.5kHz on the output.
+ The output frequency is given by the relation
+\begin_inset Formula 
+\[
+f=\frac{f_{clock}}{2.N.(1+OCR0)}
+\]
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+where 
+\begin_inset Formula $f_{clock}$
+\end_inset
+
+ is the system clock and 
+\begin_inset Formula $N$
+\end_inset
+
+ is the clock division factor, as shown below.
+\end_layout
+
+\begin_layout Standard
+\align center
+\begin_inset Graphics
+	filename pics/tc0-clockselect.png
+	width 9cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsubsection*
+pwm_tc0(csb, ocrval) 
+\end_layout
+
+\begin_layout Standard
+This function generates a Pulse Width Modulated waveform on OC0, whose frequency
+ is decided by the clock select bits (csb) and the duty cycle by the ocrval.
+ The output OC0 is cleared when the counter reaches the OCR0 value, the
+ counter proceeds upto 255 and then sets OC0.
+ The program 
+\series bold
+pwm-tc0.c
+\series default
+ generates a 3.9 kHz PWM with 25% dutycycle.
+\end_layout
+
+\begin_layout LyX-Code
+// example : pwm-tc0.c
+\end_layout
+
+\begin_layout LyX-Code
+#include "mh-timer.c"
+\end_layout
+
+\begin_layout LyX-Code
+uint8_t  csb = 2;   // Clock select bits uint8_t  
+\end_layout
+
+\begin_layout LyX-Code
+ocrval = 63;       // Output Compare register vaule
+\end_layout
+
+\begin_layout LyX-Code
+int main()
+\end_layout
+
+\begin_layout LyX-Code
+{ 
+\end_layout
+
+\begin_layout LyX-Code
+  pwm_tc0(csb, ocrval);
+\end_layout
+
+\begin_layout LyX-Code
+}
+\end_layout
+
+\begin_layout Standard
+PWM waveforms are often used for generating analog DC voltages, in 0 to
+ 5 volts range, by filtering it using an RC circuit.
+ It is better to set a higher frequency so that the filter RC value could
+ be small.
+ The frequency can be made 31.25kHz by setting csb=1.
+ The DC level is decided by the value of OCR0, ranging from 0 to 255.
+ Once you learn howto manipulate the control registers, the same thing can
+ be done without calling the library function, as shown below.
+\end_layout
+
+\begin_layout LyX-Code
+// example : pwm-tc0-v2.c
+\end_layout
+
+\begin_layout LyX-Code
+#include <avr/io.h>
+\end_layout
+
+\begin_layout LyX-Code
+uint8_t csb = 1;     // Clock select bits uint8_t 
+\end_layout
+
+\begin_layout LyX-Code
+ocrval = 254/4;      // Output Compare register vaule
+\end_layout
+
+\begin_layout LyX-Code
+
+\end_layout
+
+\begin_layout LyX-Code
+int main() 
+\end_layout
+
+\begin_layout LyX-Code
+{ 
+\end_layout
+
+\begin_layout LyX-Code
+// Set TCCR0 in the Fast PWM mode 
+\end_layout
+
+\begin_layout LyX-Code
+TCCR0 =(1 << WGM01) | (1 << WGM00) | (1 << COM01) | csb; 
+\end_layout
+
+\begin_layout LyX-Code
+OCR0 = ocrval; 
+\end_layout
+
+\begin_layout LyX-Code
+TCNT0 = 0; 
+\end_layout
+
+\begin_layout LyX-Code
+DDRB |= (1 << PB3); // Set PB3(OC0) as output 
+\end_layout
+
+\begin_layout LyX-Code
+}
+\end_layout
+
+\begin_layout Standard
+Connect a 1k resistor and 100uF capacitor in series from PB3 to ground,as
+ shown below, and measure the voltage across the capacitor using a voltmeter.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename pics/pwm-rc.png
+	height 1cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection
+16 bit Timer/Counter1
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/tc1-block.png
+	lyxscale 50
+	width 10cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+16 bit Timer/Counter1 schematic
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:16-bit-Timer/Counter1"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+The Timer/Counter1 has more features like two Output Compare Registers,
+ Input Capture unit etc., as shown in figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:16-bit-Timer/Counter1"
+
+\end_inset
+
+.
+ The frequency and duty cycle of the waveforms can be controlled better
+ due to the 16 bit size of the counters.
+ Some C functions to use the T/C1 are given below.
+\end_layout
+
+\begin_layout Subsubsection*
+sqwave_tc1(csb, OCRA) 
+\end_layout
+
+\begin_layout LyX-Code
+// example : sqwave-tc1.c
+\end_layout
+
+\begin_layout LyX-Code
+#include "mh-timer.c" 
+\end_layout
+
+\begin_layout LyX-Code
+uint8_t  csb = 2;       // 2 is divide by 8 option, 1MHz clock in 
+\end_layout
+
+\begin_layout LyX-Code
+uint16_t  ocra = 50000;  // Output Compare register A
+\end_layout
+
+\begin_layout LyX-Code
+
+\end_layout
+
+\begin_layout LyX-Code
+int main() 
+\end_layout
+
+\begin_deeper
+\begin_layout LyX-Code
+{ 
+\end_layout
+
+\begin_layout LyX-Code
+sqwave_tc1(csb, ocra);
+\end_layout
+
+\begin_layout LyX-Code
+}
+\end_layout
+
+\end_deeper
+\begin_layout Subsubsection*
+pwm10_tc1(csb, OCRA) 
+\end_layout
+
+\begin_layout Standard
+This function generates a PWM waveform with 10bit resolution.
+ The value of ocra should be from 0 to 1023 to set the duty cycle.
+\end_layout
+
+\begin_layout LyX-Code
+// example : pwm-tc1.c
+\end_layout
+
+\begin_layout LyX-Code
+#include "mh-timer.c"
+\end_layout
+
+\begin_layout LyX-Code
+uint8_t  csb = 1;        // 1 => 8MHz clock in 
+\end_layout
+
+\begin_layout LyX-Code
+uint16_t  ocra = 1024/3;  // Duty cycle arounf 33%
+\end_layout
+
+\begin_layout LyX-Code
+
+\end_layout
+
+\begin_layout LyX-Code
+int main() 
+\end_layout
+
+\begin_layout LyX-Code
+  { 
+\end_layout
+
+\begin_layout LyX-Code
+  pwm10_tc1(csb, ocra);
+\end_layout
+
+\begin_layout LyX-Code
+  }
+\end_layout
+
+\begin_layout Subsection
+8 bit Timer/Counter2
+\end_layout
+
+\begin_layout Standard
+This one is similar to Timer/Counter0.
+ 
+\end_layout
+
+\begin_layout Subsubsection*
+sqwave_tc2(uint32_t freq) 
+\end_layout
+
+\begin_layout Standard
+This function generates a square wave on OC2.
+ The clock selction bits and the OCR2 value are calculated.
+ It is not possible to set all frequency values using this method.
+ The actual frequency set is returned and displayed on the LCD.
+\end_layout
+
+\begin_layout LyX-Code
+//Example sqwave-tc2.c
+\end_layout
+
+\begin_layout LyX-Code
+#include "mh-timer.c" 
+\end_layout
+
+\begin_layout LyX-Code
+#include "mh-lcd.c"
+\end_layout
+
+\begin_layout LyX-Code
+int main() 
+\end_layout
+
+\begin_layout LyX-Code
+{ 
+\end_layout
+
+\begin_layout LyX-Code
+uint32_t f;
+\end_layout
+
+\begin_layout LyX-Code
+lcd_init();
+\end_layout
+
+\begin_layout LyX-Code
+f = set_sqr_tc2(1500);
+\end_layout
+
+\begin_layout LyX-Code
+lcd_put_long(f);
+\end_layout
+
+\begin_layout LyX-Code
+} 
+\end_layout
+
+\begin_layout Subsubsection*
+PWM by programming the registers
+\end_layout
+
+\begin_layout Standard
+The example given below demonstrates the usage of T/C2 as a PWM waveform
+ generator, by setting the control register bits.
+ The duty cycle is set to 25% by setting the OCR2 to one fourth of the maximum.
+\end_layout
+
+\begin_layout LyX-Code
+// example : pwm-tc2.c
+\end_layout
+
+\begin_layout LyX-Code
+#include <avr/io.h>
+\end_layout
+
+\begin_layout LyX-Code
+uint8_t csb = 2;     // Clock select bits uint8_t 
+\end_layout
+
+\begin_layout LyX-Code
+ocrval = 255/4;      // Output Compare register vaule
+\end_layout
+
+\begin_layout LyX-Code
+
+\end_layout
+
+\begin_layout LyX-Code
+int main() 
+\end_layout
+
+\begin_layout LyX-Code
+{ 
+\end_layout
+
+\begin_layout LyX-Code
+// Set TCCR2 in the Fast PWM mode 
+\end_layout
+
+\begin_layout LyX-Code
+TCCR2 =(1 << WGM21) | (1 << WGM20) | (1 << COM21) | csb; 
+\end_layout
+
+\begin_layout LyX-Code
+OCR2 = ocrval; 
+\end_layout
+
+\begin_layout LyX-Code
+TCNT0 = 0; 
+\end_layout
+
+\begin_layout LyX-Code
+DDRD |= (1 << PD7); // Set PD7(OC2) as output 
+\end_layout
+
+\begin_layout LyX-Code
+}
+\end_layout
+
+\begin_layout Subsection
+More applications of Timer/Counter
+\end_layout
+
+\begin_layout Standard
+Timer/Counter can be used for timing applications, like measuring the time
+ elapsed between two events or counting the number of pulse inputs during
+ a specified time interval.
+ 
+\end_layout
+
+\begin_layout Subsubsection*
+measure_frequency()
+\end_layout
+
+\begin_layout Standard
+This function counts the number of pulses received on the external input
+ of Timer/Counter1 (PB1) during 500 milliseconds to calculates the frequency
+ of the input pulse.
+\end_layout
+
+\begin_layout LyX-Code
+// Example freq-counter.c
+\end_layout
+
+\begin_layout LyX-Code
+#include "mh-utils.c" 
+\end_layout
+
+\begin_layout LyX-Code
+#include "mh-timer.c" 
+\end_layout
+
+\begin_layout LyX-Code
+#include "mh-lcd.c"
+\end_layout
+
+\begin_layout LyX-Code
+
+\end_layout
+
+\begin_layout LyX-Code
+int main() 
+\end_layout
+
+\begin_layout LyX-Code
+{ 
+\end_layout
+
+\begin_layout LyX-Code
+uint32_t f; 
+\end_layout
+
+\begin_layout LyX-Code
+set_sqr_tc2(1500);    // Set a square wave on TC2 output (PD7)
+\end_layout
+
+\begin_layout LyX-Code
+lcd_init(); 
+\end_layout
+
+\begin_layout LyX-Code
+while(1)    
+\end_layout
+
+\begin_layout LyX-Code
+   {
+\end_layout
+
+\begin_layout LyX-Code
+   f = measure_freq();
+\end_layout
+
+\begin_layout LyX-Code
+   lcd_clear();
+\end_layout
+
+\begin_layout LyX-Code
+   lcd_put_long(f);
+\end_layout
+
+\begin_layout LyX-Code
+   delay_ms(200);
+\end_layout
+
+\begin_layout LyX-Code
+   }
+\end_layout
+
+\begin_layout LyX-Code
+return 0;
+\end_layout
+
+\begin_layout LyX-Code
+}
+\end_layout
+
+\begin_layout Standard
+Connect PD7 to PB1 and upload the program 
+\series bold
+freq-counter.c
+\series default
+ to read the frequency on the LCD display.
+ You can also connect PB1 to an external pulse source to measure its frequency.
+ The maximum frequency that can be measured is limited by the size of the
+ counter, that is 63535, means we it can handle upto around 126 kHz.
+\end_layout
+
+\begin_layout Subsubsection*
+Time Interval Measurement
+\end_layout
+
+\begin_layout Standard
+The T/C units can be programmed to keep track of time interval between two
+ events.
+ The program 
+\series bold
+r2ftime.c
+\series default
+ measures the rising edge to falling edge time on PB1.
+\end_layout
+
+\begin_layout LyX-Code
+// Example r2ftime.c
+\end_layout
+
+\begin_layout LyX-Code
+#include "mh-utils.c"
+\end_layout
+
+\begin_layout LyX-Code
+#include "mh-timer.c"
+\end_layout
+
+\begin_layout LyX-Code
+#include "mh-lcd.c"
+\end_layout
+
+\begin_layout LyX-Code
+
+\end_layout
+
+\begin_layout LyX-Code
+int main()
+\end_layout
+
+\begin_layout LyX-Code
+{
+\end_layout
+
+\begin_layout LyX-Code
+lcd_init();
+\end_layout
+
+\begin_layout LyX-Code
+set_sqr_tc2(500);    // Test signal on PD7
+\end_layout
+
+\begin_layout LyX-Code
+
+\end_layout
+
+\begin_layout LyX-Code
+while(1)
+\end_layout
+
+\begin_layout LyX-Code
+   {
+\end_layout
+
+\begin_layout LyX-Code
+   lcd_clear();
+\end_layout
+
+\begin_layout LyX-Code
+   lcd_put_long(r2ftime(PB1));
+\end_layout
+
+\begin_layout LyX-Code
+   delay_ms(100);
+\end_layout
+
+\begin_layout LyX-Code
+   }
+\end_layout
+
+\begin_layout LyX-Code
+}
+\end_layout
+
+\begin_layout LyX-Code
+
+\end_layout
+
+\begin_layout Standard
+The function r2ftime() uses two other functions, called start_timer() and
+ read_timer(), that are explained below.
+\end_layout
+
+\begin_layout Itemize
+void start_timer() : Start the counter with a 1 MHz clock input.
+ An interrupt service routine is activated when the count reached 50000,
+ that increments another interger.
+\end_layout
+
+\begin_layout Itemize
+uint32_t read_timer() : Stops the counter and returns the microseconds elapsed
+ after calling start_timer().
+ There will be an error of 2 to 3 microseconds, that is due to the overhead
+ of the function calls.
+\end_layout
+
+\begin_layout Subsubsection
+Distance Measurement
+\end_layout
+
+\begin_layout Standard
+This technique is used for measuring distance using an ultrasound echo module
+ HY-SRF05
+\begin_inset Foot
+status collapsed
+
+\begin_layout Plain Layout
+http://www.robot-electronics.co.uk/htm/srf05tech.htm
+\end_layout
+
+\end_inset
+
+, using 
+\series bold
+ultra-sound-echo.c
+\series default
+.
+ The trigger is connected to PB0 and the echo is connected to PB1.
+ The distance is measured by 
+\end_layout
+
+\begin_layout LyX-Code
+// Example ultra-sound-echo.c
+\end_layout
+
+\begin_layout LyX-Code
+#include "mh-utils.c"
+\end_layout
+
+\begin_layout LyX-Code
+#include "mh-timer.c"
+\end_layout
+
+\begin_layout LyX-Code
+#include "mh-lcd.c"
+\end_layout
+
+\begin_layout LyX-Code
+int vsby2 = 17;  // velocity of sound in air = 34 mS/cm
+\end_layout
+
+\begin_layout LyX-Code
+
+\end_layout
+
+\begin_layout LyX-Code
+int main()
+\end_layout
+
+\begin_layout LyX-Code
+{ 
+\end_layout
+
+\begin_layout LyX-Code
+uint32_t x;
+\end_layout
+
+\begin_layout LyX-Code
+DDRB |=  (1 << PB0);  // set PB0 as output
+\end_layout
+
+\begin_layout LyX-Code
+DDRB &= ~(1 << PB1);  // and PB1 as inpt    
+\end_layout
+
+\begin_layout LyX-Code
+lcd_init();
+\end_layout
+
+\begin_layout LyX-Code
+
+\end_layout
+
+\begin_layout LyX-Code
+while(1)
+\end_layout
+
+\begin_layout LyX-Code
+   {
+\end_layout
+
+\begin_layout LyX-Code
+   PORTB |=  (1 << PB0);   // set PB0 HIGH
+\end_layout
+
+\begin_layout LyX-Code
+   delay_100us(1);
+\end_layout
+
+\begin_layout LyX-Code
+   PORTB &=  ~(1 << PB0);  // set PB0 LOW
+\end_layout
+
+\begin_layout LyX-Code
+   delay_100us(5);         // Wait for a while to avoid false triggering
+\end_layout
+
+\begin_layout LyX-Code
+   start_timer();    
+\end_layout
+
+\begin_layout LyX-Code
+   while( (PINB & 2) != 0 ) ;   // Wait for LOW on PB1
+\end_layout
+
+\begin_layout LyX-Code
+   x = read_timer() + 400;
+\end_layout
+
+\begin_layout LyX-Code
+   lcd_clear();
+\end_layout
+
+\begin_layout LyX-Code
+   lcd_put_long(x*vsby2/1000);  // distance in cm
+\end_layout
+
+\begin_layout LyX-Code
+   delay_ms(500);
+\end_layout
+
+\begin_layout LyX-Code
+   }
+\end_layout
+
+\begin_layout LyX-Code
+}
+\end_layout
+
+\begin_layout Section
+Talking to the PC, via USB
+\end_layout
+
+\begin_layout Standard
+On the microHOPE board, the Rx/Tx pins of ATmega32 are connected to the
+ USB to Serial Converter IC.
+ User programs also can use this path to communicate to the PC via the USB
+ port.
+\end_layout
+
+\begin_layout Standard
+The following functions are available for handling the UART
+\end_layout
+
+\begin_layout Enumerate
+uart_init(baud) : 38400 is the maximum baudrate supported.
+ You can use any submultiple of that.
+ We use 1 Stop Bit and the parity is Even.
+\end_layout
+
+\begin_layout Enumerate
+uart_recv_byte() : Waits on the UART receiver for a character and returns
+ it
+\end_layout
+
+\begin_layout Enumerate
+uart_send_byte(c) : Sends one character over the UART transmitter.
+\end_layout
+
+\begin_layout Standard
+On the PC side, we use a simple Python program to communicate to the micro-contr
+oller.
+ The USB to Serial interface will appear as a virtual COM port on the PC,
+ on GNU/Linux systems it can be accessed as /dev/ttyACM0.
+ You need to install Python interpreter and the python-serial module on
+ the PC for this to work.
+ These Python programs should be terminated before using MicroHOPE again
+ to upload programs.
+\end_layout
+
+\begin_layout Subsection
+Send/receive Characters
+\end_layout
+
+\begin_layout Standard
+The program 
+\series bold
+echo.c
+\series default
+ waits for data from the PC, vis the USB to serial converter, increment
+ it by one and sends it back.
+ The received data is also displayed on the local LCD display.
+\end_layout
+
+\begin_layout LyX-Code
+#include "mh-lcd.c" 
+\end_layout
+
+\begin_layout LyX-Code
+#include "mh-uart.c"
+\end_layout
+
+\begin_layout LyX-Code
+
+\end_layout
+
+\begin_layout LyX-Code
+int main(void) 
+\end_layout
+
+\begin_layout LyX-Code
+{ 
+\end_layout
+
+\begin_layout LyX-Code
+uint8_t data;
+\end_layout
+
+\begin_layout LyX-Code
+lcd_init(); 
+\end_layout
+
+\begin_layout LyX-Code
+uart_init(38400);
+\end_layout
+
+\begin_layout LyX-Code
+for(;;)   
+\end_layout
+
+\begin_layout LyX-Code
+     {
+\end_layout
+
+\begin_layout LyX-Code
+      data = uart_recv_byte();
+\end_layout
+
+\begin_layout LyX-Code
+      lcd_put_char(data);
+\end_layout
+
+\begin_layout LyX-Code
+      uart_send_byte(data);
+\end_layout
+
+\begin_layout LyX-Code
+     }
+\end_layout
+
+\begin_layout LyX-Code
+} 
+\end_layout
+
+\begin_layout Standard
+After uploading this program, open a terminal window, change to the directory
+ named microhope and run the python program 
+\series bold
+echo.py
+\series default
+ listed below, using the commands:
+\begin_inset Foot
+status collapsed
+
+\begin_layout Plain Layout
+If you are using old microHOPE with FT232 IC, edit echo.py to replace ttyACM0
+ with ttyUSB0.
+ The same thing applies to programs like cro.py, pymicro.py etc.
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+$ cd microhope
+\end_layout
+
+\begin_layout Standard
+$ python echo.py 
+\end_layout
+
+\begin_layout LyX-Code
+import serial
+\end_layout
+
+\begin_layout LyX-Code
+fd = serial.Serial('/dev/ttyACM0', 38400, stopbits=1, 
+\backslash
+
+\end_layout
+
+\begin_layout LyX-Code
+    timeout = 1.0)
+\end_layout
+
+\begin_layout LyX-Code
+while 1:   
+\end_layout
+
+\begin_layout LyX-Code
+    c = raw_input('Enter the character to send: ')
+\end_layout
+
+\begin_layout LyX-Code
+    fd.write(c)	   
+\end_layout
+
+\begin_layout LyX-Code
+    print 'Receiced ', fd.read() 
+\end_layout
+
+\begin_layout Standard
+We can rewrite echo.c without using the library functions.
+ The program 
+\series bold
+echo-v2.c
+\series default
+ listed below id functionally identical to echo.c
+\end_layout
+
+\begin_layout LyX-Code
+#include "mh-lcd.c"
+\end_layout
+
+\begin_layout LyX-Code
+int main(void)
+\end_layout
+
+\begin_layout LyX-Code
+{
+\end_layout
+
+\begin_layout LyX-Code
+  uint8_t data;
+\end_layout
+
+\begin_layout LyX-Code
+  lcd_init();
+\end_layout
+
+\begin_layout LyX-Code
+  //38400 baudrate, 8 databit, 1 stopbit, No parity
+\end_layout
+
+\begin_layout LyX-Code
+  UCSRB = (1 << RXEN) | (1 << TXEN);
+\end_layout
+
+\begin_layout LyX-Code
+  UBRRH = 0;
+\end_layout
+
+\begin_layout LyX-Code
+  UBRRL = 12;            // At 8MHz (12 =>38400)
+\end_layout
+
+\begin_layout LyX-Code
+  UCSRC = (1<<URSEL) | (1<<UCSZ1) | (1<< UCSZ0); 
+\end_layout
+
+\begin_layout LyX-Code
+  for(;;)
+\end_layout
+
+\begin_layout LyX-Code
+     {
+\end_layout
+
+\begin_layout LyX-Code
+     while ( !(UCSRA & (1<<RXC)) );  //wait on Rx
+\end_layout
+
+\begin_layout LyX-Code
+     data = UDR;                     // read a byte
+\end_layout
+
+\begin_layout LyX-Code
+     lcd_put_char(data);
+\end_layout
+
+\begin_layout LyX-Code
+     while ( !(UCSRA & (1<<UDRE)) ); // Rx Empty ?
+\end_layout
+
+\begin_layout LyX-Code
+     UDR = data;
+\end_layout
+
+\begin_layout LyX-Code
+  }
+\end_layout
+
+\begin_layout LyX-Code
+}
+\end_layout
+
+\begin_layout Subsection
+Sending ADC data
+\end_layout
+
+\begin_layout Standard
+The program 
+\series bold
+remote-adc.c
+\series default
+, listed below, on receiving a channel number, in 0 to 7 range, reads the
+ corresponding channel and send the data to the PC using the UART, via the
+ USB to Serial converter.
+ Use the Python program 
+\series bold
+remote-adc.py
+\series default
+ on the PC side.
+\end_layout
+
+\begin_layout LyX-Code
+#include "mh-lcd.c"
+\end_layout
+
+\begin_layout LyX-Code
+#include "mh-uart.c"
+\end_layout
+
+\begin_layout LyX-Code
+#include "mh-adc.c"
+\end_layout
+
+\begin_layout LyX-Code
+
+\end_layout
+
+\begin_layout LyX-Code
+int main(void)
+\end_layout
+
+\begin_layout LyX-Code
+{
+\end_layout
+
+\begin_layout LyX-Code
+uint8_t chan, low, hi;
+\end_layout
+
+\begin_layout LyX-Code
+uint16_t adcval;
+\end_layout
+
+\begin_layout LyX-Code
+lcd_init();
+\end_layout
+
+\begin_layout LyX-Code
+uart_init(38400);
+\end_layout
+
+\begin_layout LyX-Code
+adc_enable();
+\end_layout
+
+\begin_layout LyX-Code
+for(;;)
+\end_layout
+
+\begin_layout LyX-Code
+    {
+\end_layout
+
+\begin_layout LyX-Code
+    data = uart_recv_byte();
+\end_layout
+
+\begin_layout LyX-Code
+    if (chan <= 7)
+\end_layout
+
+\begin_layout LyX-Code
+      {
+\end_layout
+
+\begin_layout LyX-Code
+      adcval = read_adc(chan);
+\end_layout
+
+\begin_layout LyX-Code
+	  lcd_clear();
+\end_layout
+
+\begin_layout LyX-Code
+      lcd_put_int(low);
+\end_layout
+
+\begin_layout LyX-Code
+      low = adcval & 255;
+\end_layout
+
+\begin_layout LyX-Code
+      hi = adcval >> 8;
+\end_layout
+
+\begin_layout LyX-Code
+      uart_send_byte(low);      // send LOW byte
+\end_layout
+
+\begin_layout LyX-Code
+      uart_send_byte(hi);       // send HI byte
+\end_layout
+
+\begin_layout LyX-Code
+      }
+\end_layout
+
+\begin_layout LyX-Code
+  }
+\end_layout
+
+\begin_layout LyX-Code
+}
+\end_layout
+
+\begin_layout Subsection
+A simple Oscilloscope
+\end_layout
+
+\begin_layout Standard
+The program 
+\series bold
+cro.c
+\series default
+ can waits for a command byte from the PC.
+ On receiving a '1', it digitizes the input at PA0 500 times, with 100 microseco
+nds in between samples, and sends the data to the PC.
+ The program 
+\series bold
+cro.py
+\series default
+ sends the necessary command, receives the data and displays it as shown
+ in the figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Oscilloscope-screen-shot"
+
+\end_inset
+
+.
+ While running cro.py , the PCRST jumper should be open.
+ The C program running on the micro-controller is listed below.
+\end_layout
+
+\begin_layout LyX-Code
+#include <avr/io.h>
+\end_layout
+
+\begin_layout LyX-Code
+#define	READBLOCK  1    // code for readblock is 1
+\end_layout
+
+\begin_layout LyX-Code
+#define NS			500  // upto1800 for ATmega32
+\end_layout
+
+\begin_layout LyX-Code
+#define TG			100  // 100 usec between samples
+\end_layout
+
+\begin_layout LyX-Code
+uint8_t tmp8, dbuffer[NS];
+\end_layout
+
+\begin_layout LyX-Code
+uint16_t tmp16;
+\end_layout
+
+\begin_layout LyX-Code
+
+\end_layout
+
+\begin_layout LyX-Code
+int main (void)
+\end_layout
+
+\begin_layout LyX-Code
+{   
+\end_layout
+
+\begin_layout LyX-Code
+// UART at 38400 baud, 8, 1stop, No parity
+\end_layout
+
+\begin_layout LyX-Code
+UCSRB = (1 << RXEN) | (1 << TXEN);   UBRRH = 0;
+\end_layout
+
+\begin_layout LyX-Code
+UBRRL = 12;
+\end_layout
+
+\begin_layout LyX-Code
+UCSRC = (1 <<URSEL) | (1 << UCSZ1) | (1 << UCSZ0);
+\end_layout
+
+\begin_layout LyX-Code
+ADCSRA = (1 << ADEN); // Enable ADC
+\end_layout
+
+\begin_layout LyX-Code
+for(;;)
+\end_layout
+
+\begin_layout LyX-Code
+    {
+\end_layout
+
+\begin_layout LyX-Code
+    while ( !(UCSRA & (1<<RXC)) ); // wait for the PC
+\end_layout
+
+\begin_layout LyX-Code
+    if(UDR == 1)  // '1' is our command
+\end_layout
+
+\begin_layout LyX-Code
+      {
+\end_layout
+
+\begin_layout LyX-Code
+      TCCR1B = (1 << CS11);
+\end_layout
+
+\begin_layout LyX-Code
+      ADMUX = (1 << REFS0) |(1 << ADLAR) | 0; 
+\end_layout
+
+\begin_layout LyX-Code
+      ADCSRA |= ADIF; 
+\end_layout
+
+\begin_layout LyX-Code
+      for(tmp16 = 0; tmp16 < NS; ++tmp16) 
+\end_layout
+
+\begin_layout LyX-Code
+        {
+\end_layout
+
+\begin_layout LyX-Code
+        TCNT1 = 1; // counter for TG
+\end_layout
+
+\begin_layout LyX-Code
+        ADCSRA |= (1 << ADSC) | 1; // Start ADC
+\end_layout
+
+\begin_layout LyX-Code
+        while ( !(ADCSRA & (1<<ADIF)) ) ; // Done ?
+\end_layout
+
+\begin_layout LyX-Code
+        dbuffer[tmp16] = ADCH; // Collect Data 
+\end_layout
+
+\begin_layout LyX-Code
+        ADCSRA |= ADIF; // reset ADC DONE flag
+\end_layout
+
+\begin_layout LyX-Code
+	    while(TCNT1L < TG) ; // Wait TG usecs
+\end_layout
+
+\begin_layout LyX-Code
+        }
+\end_layout
+
+\begin_layout LyX-Code
+    while( !(UCSRA & (1 <<UDRE) ) );  // Wait Tx empty
+\end_layout
+
+\begin_layout LyX-Code
+    UDR = 'D';	// Send a 'D' first
+\end_layout
+
+\begin_layout LyX-Code
+    for(tmp16=0; tmp16 < NS; ++tmp16) // Send to the PC
+\end_layout
+
+\begin_layout LyX-Code
+   	{
+\end_layout
+
+\begin_layout LyX-Code
+   	while( !(UCSRA & (1 <<UDRE) ) );
+\end_layout
+
+\begin_layout LyX-Code
+   	UDR = dbuffer[tmp16];
+\end_layout
+
+\begin_layout LyX-Code
+   	}
+\end_layout
+
+\begin_layout LyX-Code
+     }
+\end_layout
+
+\begin_layout LyX-Code
+   }
+\end_layout
+
+\begin_layout LyX-Code
+}
+\end_layout
+
+\begin_layout Standard
+The Python program cro.py 
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status collapsed
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/mh-cro-screen.png
+	lyxscale 40
+	width 9cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Oscilloscope screen shot
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Oscilloscope-screen-shot"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout LyX-Code
+import serial, struct, time 
+\end_layout
+
+\begin_layout LyX-Code
+import numpy as np 
+\end_layout
+
+\begin_layout LyX-Code
+import matplotlib.pyplot as plt
+\end_layout
+
+\begin_layout LyX-Code
+NP = 500 
+\end_layout
+
+\begin_layout LyX-Code
+TG = 100
+\end_layout
+
+\begin_layout LyX-Code
+fd=serial.Serial('/dev/ttyACM0',38400,stopbits=1,timeout = 1.0) 
+\end_layout
+
+\begin_layout LyX-Code
+fd.flush()
+\end_layout
+
+\begin_layout LyX-Code
+fig=plt.figure()
+\end_layout
+
+\begin_layout LyX-Code
+plt.axis([0, NP*TG/1000, 0, 5])
+\end_layout
+
+\begin_layout LyX-Code
+plt.ion()
+\end_layout
+
+\begin_layout LyX-Code
+plt.show()
+\end_layout
+
+\begin_layout LyX-Code
+va =ta = range(NP)
+\end_layout
+
+\begin_layout LyX-Code
+line, = plt.plot(ta,va)
+\end_layout
+
+\begin_layout LyX-Code
+while 1:
+\end_layout
+
+\begin_layout LyX-Code
+  fd.write(chr(1)) # command for the uC
+\end_layout
+
+\begin_layout LyX-Code
+  print fd.read()  # This must be a 'D'
+\end_layout
+
+\begin_layout LyX-Code
+  data = fd.read(NP)
+\end_layout
+
+\begin_layout LyX-Code
+  raw = struct.unpack('B'* NP, data) # convert to byte array
+\end_layout
+
+\begin_layout LyX-Code
+  ta = [] 	
+\end_layout
+
+\begin_layout LyX-Code
+  va = [] 	
+\end_layout
+
+\begin_layout LyX-Code
+  for i in range(NP):
+\end_layout
+
+\begin_layout LyX-Code
+    ta.append(0.001 * i * TG) # micro to milliseconds
+\end_layout
+
+\begin_layout LyX-Code
+    va.append(raw[i] * 5.0 / 255)
+\end_layout
+
+\begin_layout LyX-Code
+  line.set_xdata(ta)
+\end_layout
+
+\begin_layout LyX-Code
+  line.set_ydata(va)
+\end_layout
+
+\begin_layout LyX-Code
+  plt.draw()
+\end_layout
+
+\begin_layout LyX-Code
+  time.sleep(0.05)
+\end_layout
+
+\begin_layout Standard
+Modifed versions (cro2.c and cro2.py), that allows changing NS and TG from
+ the Python program are also provided.
+\end_layout
+
+\begin_layout Subsection
+Controlling the uC from Python
+\end_layout
+
+\begin_layout Standard
+This section demonstrates a simple method to read/write the Input/Output
+ ports and other registers of the micro-controller, from the PC using Python.
+ A program called 
+\series bold
+pymicro.c
+\series default
+ runs on the micro-controller.
+ It listens over the serial port for two commands, READB or WRITEB.
+ The first one should be followed by the address of the register to be read.
+ The WRITE command is followed by the register address and the data to be
+ written.
+\end_layout
+
+\begin_layout Standard
+On the PC side, 
+\series bold
+pymicro.py
+\series default
+ handles the communication to the micro-controller.
+ It defines a class named atm32, that contains the communication routines.
+ The example program listed below demonstrates a blinking LED code in Python
+\end_layout
+
+\begin_layout LyX-Code
+import time 
+\end_layout
+
+\begin_layout LyX-Code
+from pymicro import * 
+\end_layout
+
+\begin_layout LyX-Code
+u=atm32()
+\end_layout
+
+\begin_layout LyX-Code
+while 1: 	
+\end_layout
+
+\begin_deeper
+\begin_layout LyX-Code
+u.outb(PORTB, 1)
+\end_layout
+
+\begin_layout LyX-Code
+time.sleep(0.5)
+\end_layout
+
+\begin_layout LyX-Code
+u.outb(PORTB, 0)
+\end_layout
+
+\begin_layout LyX-Code
+time.sleep(0.5)
+\end_layout
+
+\end_deeper
+\begin_layout Standard
+To run this program, compile and upload pymicro.c, remove the PCRST jumper
+ and then run blink.py.
+ It is very easy to implement some programs, for example a stepper motor
+ controller in Python, using this method.
+\end_layout
+
+\begin_layout Section
+Motor Control, H-bridge
+\end_layout
+
+\begin_layout Standard
+The H-bridge accessory is useful for controlling DC and stepper motors.
+ The circuit schematic is shown in figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:H-bridge-schematic"
+
+\end_inset
+
+.
+ One can use the pymicro.c program to test the H-bridge.
+ After uploading pymicro, you can control the motor control outputs from
+ Python interpreter.
+ For example, connect the board to port A and a DC motor (with series resistor
+ for current limiting) between the H-bridge output pins A and B.
+ The following Python code will rotate the motor.
+\end_layout
+
+\begin_layout LyX-Code
+from pymicro import * 
+\end_layout
+
+\begin_layout LyX-Code
+p=atm32()
+\end_layout
+
+\begin_layout LyX-Code
+p.outb(DDRA,15)
+\end_layout
+
+\begin_layout LyX-Code
+p.outb(PORTA,1) 
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status collapsed
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/H-bridge.png
+	lyxscale 50
+	width 9cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+H-bridge schematic
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:H-bridge-schematic"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Section
+Infrared Receiver
+\end_layout
+
+\begin_layout Standard
+The program ir-recv.c can receive data using the TSOP1738 IR receiver.
+ The output of the chip is connected to bit 2 of PORTD.
+ The received byte is displayed on the LCD display.
+ The receiver tested using TV remote controls.
+ To test ir-recv.c, make the connections as shown below:
+\end_layout
+
+\begin_layout Standard
+\begin_inset Graphics
+	filename pics/ir-receiver.png
+	width 3cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+Press some buttons on the remote control panel.
+ The received number will be displayed on the LCD display of microHOPE.
+ The code ir-recv.c is available on the website.
+ It can be modified to work with the single byte IR transmitted from expEYES.
+\end_layout
+
+\begin_layout Section
+Alternate Serial Port
+\end_layout
+
+\begin_layout Standard
+The Atmega32 controller has only one Serial Port (UART), that is already
+ connected to the USB to Serial converter.
+ In order to communicate to other devices that supports serial communication,
+ we have a simple library that will convert PD2 to a Transmit pin and PD3
+ a Receive pin.
+ The functions available are:
+\end_layout
+
+\begin_layout Itemize
+enable_uart(9600) // baudrates 2400,4800, 9600 & 19200 only
+\end_layout
+
+\begin_layout Itemize
+uart_read() , returns one byte from the receiver buffer, call only when
+ variable ubcount is nonzero
+\end_layout
+
+\begin_layout Itemize
+uart_write(uint8_t) , writes a byte to the transmitter
+\end_layout
+
+\begin_layout Itemize
+disable_uart() , disable the interrupts
+\end_layout
+
+\begin_layout Standard
+The Soft Serial code is tested by connecting PD2 (soft Rx) and PD3 (soft
+ Tx) to a computer through the USB to Serial converter MCP2200 (by using
+ another microHOPE board with the uC removed).
+ The Transmit output from MCP2200 that appears on pin 14 of the uC socket
+ is connected to PD2.
+ Receive input (on pin15) is connected to PD3.
+ The program 
+\series bold
+soft-echo.c,
+\series default
+ listed below, waits for data from the PC and the received data is send
+ to the LCD display and also to the PC via PD3.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/soft-serial-test.jpg
+	lyxscale 40
+	width 10cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Connection to PC via soft serial port
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Connection-to-PC"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout LyX-Code
+#include "mh-soft-uart.c"
+\end_layout
+
+\begin_layout LyX-Code
+#include "mh-lcd.c"
+\end_layout
+
+\begin_layout LyX-Code
+
+\end_layout
+
+\begin_layout LyX-Code
+int main()
+\end_layout
+
+\begin_layout LyX-Code
+  {
+\end_layout
+
+\begin_layout LyX-Code
+  uint8_t x=0;
+\end_layout
+
+\begin_layout LyX-Code
+  lcd_init();
+\end_layout
+
+\begin_layout LyX-Code
+  enable_uart(9600); // 2400,4800, 9600 & 19200 allowed
+\end_layout
+
+\begin_layout LyX-Code
+  for(;;)
+\end_layout
+
+\begin_layout LyX-Code
+	{
+\end_layout
+
+\begin_layout LyX-Code
+    while(!ubcount) ;  // wait for Rx data
+\end_layout
+
+\begin_layout LyX-Code
+    x = uart_read();
+\end_layout
+
+\begin_layout LyX-Code
+    lcd_put_char(x);
+\end_layout
+
+\begin_layout LyX-Code
+    uart_write(x);
+\end_layout
+
+\begin_layout LyX-Code
+    }
+\end_layout
+
+\begin_layout LyX-Code
+  }
+\end_layout
+
+\begin_layout Standard
+The Python echo.py is used on the PC side.
+ The device name is shown as /dev/ttyACM1, assuming that /dev/ttyACM0 is
+ already taken by the microhope board used for program development.
+\end_layout
+
+\begin_layout LyX-Code
+import serial
+\end_layout
+
+\begin_layout LyX-Code
+fd = serial.Serial('/dev/ttyACM1', 9600, stopbits=1, 
+\backslash
+
+\end_layout
+
+\begin_layout LyX-Code
+    timeout = 1.0)
+\end_layout
+
+\begin_layout LyX-Code
+while 1:   
+\end_layout
+
+\begin_layout LyX-Code
+    c = raw_input('Enter the character to send: ')
+\end_layout
+
+\begin_layout LyX-Code
+    fd.write(c)	   
+\end_layout
+
+\begin_layout LyX-Code
+    print 'Receiced ', fd.read() 
+\end_layout
+
+\begin_layout Standard
+Even though this code has been tested, it seems to be having severe limitations.
+ Receiver cannot handle data coming a high rates, require at least 2 to
+ 3 milliseconds gap between bytes.
+\end_layout
+
+\begin_layout Chapter
+Coding in Assembly Language
+\end_layout
+
+\begin_layout Standard
+Main objective of this chapter is to learn the architecture of the micro-control
+ler rather than developing large programs.
+ Some examples justifying coding in assembly for better performance will
+ be demonstrated.
+ One concern with assembly or machine language coding is that the work is
+ specific to the architecture of the selected device.
+ The approach will be to examine the architecture in a generic manner and
+ provide some example programs that are more or less common to any kind
+ of processors.
+\begin_inset Foot
+status collapsed
+
+\begin_layout Plain Layout
+http://sourceware.org/binutils/docs/as/
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/avr-architecture.png
+	lyxscale 40
+	width 10cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+A block diagram of Micro-controller
+\begin_inset CommandInset label
+LatexCommand label
+name "fig: uC-block-diagram"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+Major components of a micro-controller are shown in figure
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig: uC-block-diagram"
+
+\end_inset
+
+.
+ After powering up (or Reset) the Program Counter in initialized to zero,
+ so that it points to the beginning of the Program Memory.
+ The instruction stored at that location is brought to the Instruction Decoder
+ and executed.
+ This could be operations like; moving data between the Working Registers
+ and RAM, performing some arithmetic and logical operations, changing the
+ content of the program counter, etc.
+ Writing to the Special Function Registers control the Input/Output pins
+ and also the operation of peripheral devices like ADC, Timer/Counter etc.
+ The popular family of micro-controllers like 8051, AVR and PIC follows
+ the same architechture, even though the details may differ.
+ Understanding them from a generic point of view makes switching from one
+ type of device to another easier.
+\end_layout
+
+\begin_layout Standard
+To program in assembly language, we need to have some understanding about
+ the Instruction Set, the Registers and the memory configuration of the
+ micro-controller.
+ We also need to know the syntax supported by the assembler we use, there
+ is usually small differences between various assemblers.
+ Since we are using Atmega32, belonging to the AVR family, and the GNU assember
+ for AVR, further discussions will be restricted to them.
+\end_layout
+
+\begin_layout Section
+Format of an Assembler Program
+\end_layout
+
+\begin_layout Standard
+A single line of code may have a
+\end_layout
+
+\begin_layout Itemize
+Label: , always terminated by a colon
+\end_layout
+
+\begin_layout Itemize
+The instruction
+\end_layout
+
+\begin_layout Itemize
+The operands (could be 0, 1 or 2 of them)
+\end_layout
+
+\begin_layout Itemize
+A comment starting with a semicolon
+\end_layout
+
+\begin_layout LyX-Code
+lab1: INC  R1  ;increment the content of Register r1
+\end_layout
+
+\begin_layout Standard
+The instruction and operand is not case sensitive but the labels are case
+ sensitive, Lab1 is not the same as lab1.
+ A complete program is shown below.
+\end_layout
+
+\begin_layout LyX-Code
+;first.s , example assembler program, for avr-gcc.
+\end_layout
+
+\begin_layout LyX-Code
+work = 1
+\end_layout
+
+\begin_layout LyX-Code
+  .equ DDRB, 0x37  ; memory mapped addresses
+\end_layout
+
+\begin_layout LyX-Code
+  .equ PORTB, 0x38 ; of DDRB and PORTB
+\end_layout
+
+\begin_layout LyX-Code
+
+\end_layout
+
+\begin_layout LyX-Code
+  .section .data ; the data section
+\end_layout
+
+\begin_layout LyX-Code
+var1:
+\end_layout
+
+\begin_layout LyX-Code
+  .byte 15  ; global variable var1
+\end_layout
+
+\begin_layout LyX-Code
+
+\end_layout
+
+\begin_layout LyX-Code
+  .section .text  ; The code section
+\end_layout
+
+\begin_layout LyX-Code
+  .global __do_copy_data  ; initialize variables 
+\end_layout
+
+\begin_layout LyX-Code
+  .global __do_clear_bss  ; and setup stack 
+\end_layout
+
+\begin_layout LyX-Code
+
+\end_layout
+
+\begin_layout LyX-Code
+  .global main ; declare label main as global
+\end_layout
+
+\begin_layout LyX-Code
+main:
+\end_layout
+
+\begin_layout LyX-Code
+  lds work, var1  ; load var1 to R1
+\end_layout
+
+\begin_layout LyX-Code
+  sts DDRB, work  ; PB0 as output
+\end_layout
+
+\begin_layout LyX-Code
+  sts PORTB, work ; set  PB0  HIGH
+\end_layout
+
+\begin_layout LyX-Code
+  .end       
+\end_layout
+
+\begin_layout Enumerate
+The Working registers (R1 to R31) and the SFRs can be assigned different
+ names, as shown in the beginning.
+\end_layout
+
+\begin_layout Enumerate
+.data, starts a data section, initialized RAM variables.
+\end_layout
+
+\begin_layout Enumerate
+.text, starts a text section, code and ROM constants.
+\end_layout
+
+\begin_layout Enumerate
+.byte, allocates single byte constants.
+\end_layout
+
+\begin_layout Enumerate
+.ascii, allocates a non-terminated string.
+\end_layout
+
+\begin_layout Enumerate
+.asciz, allocates a 
+\backslash
+0-terminated string.
+\end_layout
+
+\begin_layout Enumerate
+.set declares a symbol as a constant expression (identical to .equ)
+\end_layout
+
+\begin_layout Enumerate
+.global, declares a public symbol that is visible to the linker
+\end_layout
+
+\begin_layout Enumerate
+.end, singifies the end of the program
+\end_layout
+
+\begin_layout Standard
+The lines .global __do_copy_data and .global __do_clear_bss will tell the
+ compiler to insert code for initializing variables, which is a must for
+ programs having initialized data.
+ Assembling and uploading first.s will set the 4 LSBs of port B.
+\end_layout
+
+\begin_layout Section
+AVR Architecture
+\end_layout
+
+\begin_layout Standard
+A schematic of the AVR architecture is shown in figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig: uC-block-diagram"
+
+\end_inset
+
+.
+ The 32 General Purpose Registers (R1 to R31, 8 bit wide) are also called
+ the Register File.
+ Data is moved between the Registers and the memory.
+ Addressing memory locations above 255 is done by combining two 8bit registers
+ to form a 16 bit register.
+ R26 and R27 combined is the X register, R28 with R29 is the Y register,
+ and R30 with R31 is the Z register.
+ Different types of addressing modes are defined for transferring data between
+ the Registers and the memory locations, mostly the SRAM.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/avr-data-memory-map.png
+	lyxscale 40
+	width 8cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/avr-flash-memory.png
+	lyxscale 40
+	width 4cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+AVR memory maps.(a)Data memory.(b) Program memory
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:AVR-memory-maps.(a)Data"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+In the AVR data memory space (figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:AVR-memory-maps.(a)Data"
+
+\end_inset
+
+), locations 0 to 31 (0x1F)are occupied by the Register File.
+ Generally the assembler refers to them by names R1 to R31, not by the adresses.
+ Location 0x20 to 0x5F (32 to 95) are occupied by the Special Function Registers
+ (SFR), like the Status Register, the Stack Pointer and the control/status
+ registers of the peripherals.
+ The Special Function Registers can also be accessed using the I/O address
+ space ranging from 0 to 0x3F, using IN and OUT insructions.
+ Some of the special function registers are shown in figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:AVR-Special-Function"
+
+\end_inset
+
+(b), refer to the Atmega32 data sheet for a complete list.
+ Use the address given inside the parantheses to access them as memory locations.
+ 
+\end_layout
+
+\begin_layout Standard
+The first Register is SREG, the status register that holds the flags resulting
+ from the last executed arithmetic or logical instruction.
+ There are several instructions whose results depend on the status of the
+ bits inside SREG.
+ Availability of SREG as a special function register allows us to examine
+ the status of various flags, after arithmetic and logical operations.
+ Stack Pointer is used as a pointer to the data address space.
+ PUSH and POP instructions are used for moving data between the register
+ file and location specified by the stack pointer.
+\end_layout
+
+\begin_layout Standard
+All the peripherals and the general purpose I/O ports are operated by accessing
+ the corresponding SFRs.
+ We will be using ports A and B to view data written to them using 8 LEDs.
+ The SFRs used often in the example programs are listed below.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Tabular
+<lyxtabular version="3" rows="8" columns="4">
+<features tabularvalignment="middle">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<column alignment="center" valignment="top" width="0">
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Name
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+I/O Addr.
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Mem Addr
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Function
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+DDRA
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+0x1A
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+0x3A
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Data Direction of Port A
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+PORTA
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+0x1B
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+0x3B
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Output to Port A
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+PINA
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+0x19
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+0x39
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Input from Port A
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+DDRB
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+0x17
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+0x37
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Data Direction of Port B
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+PORTB
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+0x18
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+0x38
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Output to Port B
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+PINB
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+0x16
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+0x36
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Input from Port B
+\end_layout
+
+\end_inset
+</cell>
+</row>
+<row>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+SREG
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+0x3F
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+0x5F
+\end_layout
+
+\end_inset
+</cell>
+<cell alignment="center" valignment="top" topline="true" bottomline="true" leftline="true" rightline="true" usebox="none">
+\begin_inset Text
+
+\begin_layout Plain Layout
+Status Register
+\end_layout
+
+\end_inset
+</cell>
+</row>
+</lyxtabular>
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/avr-spreg1.png
+	lyxscale 50
+	width 14cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+AVR Special Function Registers.
+ 
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:AVR-Special-Function"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection
+The Program Memory Space
+\end_layout
+
+\begin_layout Section
+Atmega32 Instruction Set
+\end_layout
+
+\begin_layout Standard
+For a complete list of instructions supported by Atmega32, refer to the
+ data sheet.
+ We will only examine some of them to demonstrate different types of memory
+ addressing and the arithmetic and logical operations.
+\end_layout
+
+\begin_layout Section
+Addressing Modes
+\end_layout
+
+\begin_layout Standard
+The micro-controller spends most of the time transferring data between the
+ Register File, SFRs and the RAM.
+ Let us examine the different modes of addressing the Registers and Memory.
+\end_layout
+
+\begin_layout Subsection
+Register Direct (Single Register)
+\end_layout
+
+\begin_layout Standard
+The contents of the register is read, specified operation is performed on
+ it and the result is written back to the same register.
+ For example
+\end_layout
+
+\begin_layout LyX-Code
+Lab1:  INC  R2   ; increments Register 2 
+\end_layout
+
+\begin_layout Standard
+The line above shows the format a line of code in assembly language.
+ The label field is required only if the program needs to jump to that line.
+ Everything after the semicolon is comment only.
+\end_layout
+
+\begin_layout Subsection
+Register Direct (Two Registers )
+\end_layout
+
+\begin_layout Standard
+The contents of the source and destination registers are read, specified
+ operation is performed and the result is written back to the destination
+ register.
+ The format is to specify the destination first.
+ For example
+\end_layout
+
+\begin_layout LyX-Code
+MOV  R2, R5   ; content of R5 is copied to R2
+\end_layout
+
+\begin_layout LyX-Code
+ADD  R1, R2   ; r1 + r2 stored to r1
+\end_layout
+
+\begin_layout Subsection
+I/O Direct 
+\end_layout
+
+\begin_layout Standard
+These type of instructions are to transfer data between the Registers (r1
+ to r31) and the Special Function Registers, that can also be accessed as
+ I/O ports.
+ The following example demonstrates this.
+ At this point we are writing a complete example program, 
+\series bold
+io-direct.s
+\series default
+ .
+\end_layout
+
+\begin_layout LyX-Code
+    .section .text    ; denotes code section         
+\end_layout
+
+\begin_deeper
+\begin_layout LyX-Code
+.global main                           
+\end_layout
+
+\end_deeper
+\begin_layout LyX-Code
+main: 	
+\end_layout
+
+\begin_deeper
+\begin_layout LyX-Code
+clr  r1
+\end_layout
+
+\begin_layout LyX-Code
+inc  r1         ; R1 now contains 1
+\end_layout
+
+\begin_layout LyX-Code
+out  0x17, r1   ; using I/O address, DDRB and
+\end_layout
+
+\begin_layout LyX-Code
+out  0x18, r1   ; PORTB.
+ LED should glow
+\end_layout
+
+\begin_layout LyX-Code
+.end
+\end_layout
+
+\end_deeper
+\begin_layout Standard
+Executing this program should switch ON the LED connected to the LSB of
+ Port B.
+ Modify the program to remove the INC instruction, assemble and upload it
+ again, the LED should go off.
+ 
+\end_layout
+
+\begin_layout Subsection
+Immediate 
+\end_layout
+
+\begin_layout Standard
+In this mode, data to be transferred from/to any of the Registers, is part
+ of the instruction itself.
+ Registers below r16 cannot be used under this mode.
+\end_layout
+
+\begin_layout LyX-Code
+; 
+\series bold
+immed.s
+\series default
+  , demonstrate Load Immediate mode
+\end_layout
+
+\begin_layout LyX-Code
+  .section .text    ; denotes code section
+\end_layout
+
+\begin_layout LyX-Code
+  .global main
+\end_layout
+
+\begin_layout LyX-Code
+main:
+\end_layout
+
+\begin_layout LyX-Code
+  ldi r16, 255      ; load r16 with 255
+\end_layout
+
+\begin_layout LyX-Code
+  out 0x17, r16  ; Display content of R16
+\end_layout
+
+\begin_layout LyX-Code
+  out 0x18, r16  ; using LEDs on port B
+\end_layout
+
+\begin_layout LyX-Code
+  .end
+\end_layout
+
+\begin_layout Standard
+Assembling and running 
+\series bold
+immed.s
+\series default
+ listed above makes all port B bits HIGH, can be viewed using the LED board.
+\end_layout
+
+\begin_layout Subsection
+Data Direct 
+\end_layout
+
+\begin_layout Standard
+In this mode, the address of the memory location containing the data is
+ specified, instead of the data itself.
+ Data could be transferred from the specified location to a register (LDS)
+ or from a register to the memory location (STS).
+ The instruction mnemonics are LDS, for moving data from RAM to Register,
+ and STS for storing Register content to RAM.
+ The example 
+\series bold
+data-direct.s
+\series default
+ demonstrates the usage of LDS and STS instructions.
+ First we use the immediate mode to initialize R17 with some value.
+ 
+\end_layout
+
+\begin_layout LyX-Code
+; data-direct.s,demonstrate data direct mode
+\end_layout
+
+\begin_layout LyX-Code
+DDRB = 0x37 
+\end_layout
+
+\begin_layout LyX-Code
+PORTB = 0x38
+\end_layout
+
+\begin_layout LyX-Code
+   .section .data  
+\end_layout
+
+\begin_layout LyX-Code
+var1:
+\end_layout
+
+\begin_layout LyX-Code
+	
+\end_layout
+
+\begin_layout LyX-Code
+   .section .text    ; denotes code section
+\end_layout
+
+\begin_layout LyX-Code
+   .global main
+\end_layout
+
+\begin_layout LyX-Code
+main:
+\end_layout
+
+\begin_layout LyX-Code
+  ldi  R17, 0xf0      ; set r17 to 11110000b
+\end_layout
+
+\begin_layout LyX-Code
+  sts  var1, r17      ; store r17 to location var1 
+\end_layout
+
+\begin_layout LyX-Code
+  lds  r16, var1      ; content of RAM at var1 to r16
+\end_layout
+
+\begin_layout LyX-Code
+  sts  DDRB, r16      ; store R16 to DDRB & PORTB 
+\end_layout
+
+\begin_layout LyX-Code
+  sts  PORTB, r16     ; using their memory addresses
+\end_layout
+
+\begin_layout LyX-Code
+  .end
+\end_layout
+
+\begin_layout Standard
+The actual address of the memory location is not known to us, it is decided
+ by the linker.
+ The label 'var1', defined inside the data section is used inside the code.
+ The actual value can be seen from the .lst file generated by the avr-objdumb
+ program.
+ Generated machine language code for the section 'main' is shown below.
+ It can be seen that the label 'var1' is given the RAM address of 0x0060.
+ Also note that the main is at address 0x0000006c in the program address
+ space.
+ Examine the .lst file to have a look at the complete code, including the
+ sections added by the assembler.
+ Moving data from R16 to DDRB and PORTB is done using both the I/O space
+ address and the memory space address.
+ The generated code is smaller in the case of I/O space addressing using
+ the OUT instruction.
+\end_layout
+
+\begin_layout LyX-Code
+0000006c <main>:
+\end_layout
+
+\begin_layout LyX-Code
+  6c:	10 ef       	ldi	r17, 0xF0	
+\end_layout
+
+\begin_layout LyX-Code
+  6e:    10 93 60 00 	sts	0x0060, r17
+\end_layout
+
+\begin_layout LyX-Code
+  72:	00 91 60 00 	lds	r16, 0x0060
+\end_layout
+
+\begin_layout LyX-Code
+  76:	07 bb       	out	0x17, r16	
+\end_layout
+
+\begin_layout LyX-Code
+  78:	08 bb       	out	0x18, r16	
+\end_layout
+
+\begin_layout LyX-Code
+  7a:	00 93 37 00 	sts	0x0037, r16
+\end_layout
+
+\begin_layout LyX-Code
+  7e:	00 93 38 00 	sts	0x0038, r16
+\end_layout
+
+\begin_layout Subsection
+Data Indirect 
+\end_layout
+
+\begin_layout Standard
+In the previous mode, the address of the memory location is part of the
+ instruction word.
+ In Data Indirect mode the address of the memory location is taken from
+ the contents of the X, Y or Z registers.
+ This mode has several variations like pre and post incrementing of the
+ register or adding an offset to it.
+ 
+\end_layout
+
+\begin_layout LyX-Code
+ ; 
+\series bold
+data-indirect.s
+\series default
+, addressing using pointer 
+\end_layout
+
+\begin_layout LyX-Code
+  .section .data    ; data section starts here
+\end_layout
+
+\begin_layout LyX-Code
+var1:
+\end_layout
+
+\begin_layout LyX-Code
+   .section .text    ; denotes code section
+\end_layout
+
+\begin_layout LyX-Code
+   .global main
+\end_layout
+
+\begin_layout LyX-Code
+main:
+\end_layout
+
+\begin_layout LyX-Code
+   ldi  r17, 0b10101010 ; set r17 to 10101010b
+\end_layout
+
+\begin_layout LyX-Code
+   sts  var1, r17       ; store it to RAM at var1
+\end_layout
+
+\begin_layout LyX-Code
+   ldi  r26, lo8(var1)  ; lower byte and
+\end_layout
+
+\begin_layout LyX-Code
+   ldi  r27, hi8(var1)  ; higher byte of the address
+\end_layout
+
+\begin_layout LyX-Code
+   ld   r16, X          ; data from where X is pointing to
+\end_layout
+
+\begin_layout LyX-Code
+   out  0x17, r16
+\end_layout
+
+\begin_layout LyX-Code
+   out  0x18, r16
+\end_layout
+
+\begin_layout LyX-Code
+   .end
+\end_layout
+
+\begin_layout Standard
+The operators lo8() and hi8() are provided by the assembler to extract the
+ high and low bytes of the 16bit memory address.
+\end_layout
+
+\begin_layout Section
+Variable Initialization
+\end_layout
+
+\begin_layout Standard
+In the previous examples, we have not initialized the global variable 'var1'
+ inside the program.
+ The example 
+\series bold
+global-init.s
+\series default
+ listed below demonstrates this feature.
+\end_layout
+
+\begin_layout LyX-Code
+; global-init.s, variable initialization
+\end_layout
+
+\begin_layout LyX-Code
+DDRB = 0x37 
+\end_layout
+
+\begin_layout LyX-Code
+PORTB = 0x38
+\end_layout
+
+\begin_layout LyX-Code
+   .section .data  
+\end_layout
+
+\begin_layout LyX-Code
+var1:
+\end_layout
+
+\begin_layout LyX-Code
+   .byte 0xee
+\end_layout
+
+\begin_layout LyX-Code
+   .section .text    ; denotes code section
+\end_layout
+
+\begin_layout LyX-Code
+
+\end_layout
+
+\begin_layout LyX-Code
+   .global main
+\end_layout
+
+\begin_layout LyX-Code
+   .global __do_copy_data ; initialize global variables 
+\end_layout
+
+\begin_layout LyX-Code
+   .global __do_clear_bss ; and setup stack pointer
+\end_layout
+
+\begin_layout LyX-Code
+main:
+\end_layout
+
+\begin_layout LyX-Code
+  lds  r16, var1      ; content of RAM at var1 to r16
+\end_layout
+
+\begin_layout LyX-Code
+  sts  DDRB, r16      ; store R16 to DDRB & PORTB 
+\end_layout
+
+\begin_layout LyX-Code
+  sts  PORTB, r16     ; using their memory addresses
+\end_layout
+
+\begin_layout LyX-Code
+  .end
+\end_layout
+
+\begin_layout Standard
+The lines 
+\end_layout
+
+\begin_layout LyX-Code
+.global __do_copy_data ; initialize global variables 
+\end_layout
+
+\begin_layout LyX-Code
+.global __do_clear_bss ; and setup stack pointer
+\end_layout
+
+\begin_layout Standard
+are for initializing variables and setting up the stack, essential for programs
+ with initialized data.
+\end_layout
+
+\begin_layout Section
+Program Flow Control
+\end_layout
+
+\begin_layout Standard
+The programs written so far has an execution flow from beginning to end,
+ without any branching or subroutine calls, generally required in all practical
+ programs.
+ The execution flow can be controlled by CALL and JMP
+\end_layout
+
+\begin_layout Subsection
+Calling a Subroutine
+\end_layout
+
+\begin_layout Standard
+The subroutine call can be relative or direct.
+ For a direct call, the content of the Program Counter is replaced by the
+ operand of the CALL instruction.
+ For an indirect call, the operand is added to the current value of the
+ Program Counter.
+ In both cases the current value of the PC is pushed into the memory location
+ pointed by the Stack Pointer register.
+ The RET instruction, inside the called subroutine, pops the stored PC to
+ resume execution from the called point.
+ Program sub-routine.s listed below demonstrates this feature.
+\end_layout
+
+\begin_layout LyX-Code
+   
+\end_layout
+
+\begin_layout LyX-Code
+  ; 
+\series bold
+sub-routine.s
+\series default
+  , CALL instruction
+\end_layout
+
+\begin_layout LyX-Code
+IO_DDRB = 0x17
+\end_layout
+
+\begin_layout LyX-Code
+IO_PORTB = 0x18
+\end_layout
+
+\begin_layout LyX-Code
+  
+\end_layout
+
+\begin_layout LyX-Code
+   .section .text    ; code section starts
+\end_layout
+
+\begin_layout LyX-Code
+disp:                ; subroutine
+\end_layout
+
+\begin_layout LyX-Code
+   inc r1          
+\end_layout
+
+\begin_layout LyX-Code
+   out  0x18, r1   ; PORTB
+\end_layout
+
+\begin_layout LyX-Code
+   ret
+\end_layout
+
+\begin_layout LyX-Code
+
+\end_layout
+
+\begin_layout LyX-Code
+   .global main
+\end_layout
+
+\begin_layout LyX-Code
+main:
+\end_layout
+
+\begin_layout LyX-Code
+   ldi  r16, 255
+\end_layout
+
+\begin_layout LyX-Code
+   out  0x17, r16   ; DDRB
+\end_layout
+
+\begin_layout LyX-Code
+   clr  r1 
+\end_layout
+
+\begin_layout LyX-Code
+   rcall disp   ; relative call
+\end_layout
+
+\begin_layout LyX-Code
+   ;call disp    ; direct call
+\end_layout
+
+\begin_layout LyX-Code
+   .end
+\end_layout
+
+\begin_layout Standard
+The LED connected to PB0 will light up.
+ Uncomment the CALL DISP and find out the difference in the generated code,
+ from the .lst file.
+ Functionally both are same but relative jump is possible only if the offset
+ is less than 256.
+ 
+\end_layout
+
+\begin_layout Subsection
+Jump instructions
+\end_layout
+
+\begin_layout Standard
+The program counter can be modified to change the flow of execution of the
+ code.
+\end_layout
+
+\begin_layout LyX-Code
+  .section .data    ; data section starts here
+\end_layout
+
+\begin_layout LyX-Code
+  .section .text    ; denotes code section
+\end_layout
+
+\begin_layout LyX-Code
+  .global main
+\end_layout
+
+\begin_layout LyX-Code
+main:
+\end_layout
+
+\begin_layout LyX-Code
+  ldi  r16, 255
+\end_layout
+
+\begin_layout LyX-Code
+  out  0x17, r16   ; DDRB
+\end_layout
+
+\begin_layout LyX-Code
+  jmp lab1
+\end_layout
+
+\begin_layout LyX-Code
+  ldi r16, 15 ; load 15 ro r16
+\end_layout
+
+\begin_layout LyX-Code
+lab1:
+\end_layout
+
+\begin_layout LyX-Code
+  out  0x18, r16    ; r16 to PortB
+\end_layout
+
+\begin_layout LyX-Code
+  .end
+\end_layout
+
+\begin_layout Standard
+Running this code, 
+\series bold
+jump.s
+\series default
+, will put on all the LEDs.
+ Comment the JMP instruction and execute the code again to figure out the
+ difference it is making.
+ Jumps can be conditional also, like:
+\end_layout
+
+\begin_layout LyX-Code
+CPI   R16, 100
+\end_layout
+
+\begin_layout LyX-Code
+BREQ  loop1
+\end_layout
+
+\begin_layout Standard
+The branching will happen only if R16 is equal to 100.
+\end_layout
+
+\begin_layout Subsection
+Interrupt, Call from anywhere
+\end_layout
+
+\begin_layout Standard
+So far we have seen that the execution flow is decided by the program instructio
+ns.
+ There are situations where the uC should respond to external events, stopping
+ the current program temporarily.
+ This is done using Interrupts, that are external signals, either from the
+ I/O pins or from from some of the peripheral devices.
+ On receiving an interrupt signal, the processor stores the current Program
+ Counter to the memory location pointed to by the Stack Pointer and jumps
+ to the corresponding interrupt vector location, as shown in figure .
+ For example, the processor will jump to location 0x0002 (0x0004 if you
+ count them as bytes), if external interrupt pin INT0 is activated, provided
+ the interrupt is enabled by the processor beforehand.
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status collapsed
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/avr-interrupt-table.png
+	lyxscale 40
+	width 8cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+Interrupt vectors of Atmega32.
+ Addresses according to a 2byte word arrangement.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:Interrupt-vectors-of"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+The interrupt vector location is filled with the address of the subroutine
+ handling the interrupt.
+ For the interrupts that are not used by the program, the assembler fills
+ some default values.
+ After executing the Interrupt Service Routine, the program execution resumes
+ at the point where it was interrupted.
+ The program 
+\series bold
+interrpt.s
+\series default
+ listed below shows the usage of interrupts.
+ Connect 8 LEDs to Port B and run the code.
+ Connect PD2 to ground momentarily and watch the LEDs.
+ 
+\end_layout
+
+\begin_layout LyX-Code
+  .section .data    ; data section starts here
+\end_layout
+
+\begin_layout LyX-Code
+  .section .text    ; denotes code section
+\end_layout
+
+\begin_layout LyX-Code
+
+\end_layout
+
+\begin_layout LyX-Code
+  .global __vector_1 ; INT0_vect 
+\end_layout
+
+\begin_layout LyX-Code
+__vector_1:
+\end_layout
+
+\begin_layout LyX-Code
+  inc r1
+\end_layout
+
+\begin_layout LyX-Code
+  out 0x18, r1
+\end_layout
+
+\begin_layout LyX-Code
+  reti
+\end_layout
+
+\begin_layout LyX-Code
+
+\end_layout
+
+\begin_layout LyX-Code
+  .global main
+\end_layout
+
+\begin_layout LyX-Code
+main:
+\end_layout
+
+\begin_layout LyX-Code
+	ldi  r16, 255
+\end_layout
+
+\begin_layout LyX-Code
+	out  0x17, r16   ; DDRB
+\end_layout
+
+\begin_layout LyX-Code
+	out  0x12, r16   ; Port D pullup
+\end_layout
+
+\begin_layout LyX-Code
+	ldi  r16, 0x40   ; enable INT0
+\end_layout
+
+\begin_layout LyX-Code
+	out  0x3b, r16
+\end_layout
+
+\begin_layout LyX-Code
+	clr r1
+\end_layout
+
+\begin_layout LyX-Code
+    sei
+\end_layout
+
+\begin_layout LyX-Code
+loop:
+\end_layout
+
+\begin_layout LyX-Code
+	rjmp loop
+\end_layout
+
+\begin_layout LyX-Code
+	.end
+\end_layout
+
+\begin_layout Section
+Output of the Assembler
+\end_layout
+
+\begin_layout Standard
+We have learned howto write, assemble and execute simple assembler programs.
+ Let us assemble a program with a single instruction, as shown below.
+\end_layout
+
+\begin_layout LyX-Code
+ ; test.s , an single line program 
+\end_layout
+
+\begin_layout LyX-Code
+  .section .data ; data section starts here 
+\end_layout
+
+\begin_layout LyX-Code
+  .section .text ; denotes code section 
+\end_layout
+
+\begin_layout LyX-Code
+  .global main 
+\end_layout
+
+\begin_layout LyX-Code
+main: 
+\end_layout
+
+\begin_layout LyX-Code
+  clr r1
+\end_layout
+
+\begin_layout LyX-Code
+  .end
+\end_layout
+
+\begin_layout Standard
+The generated machine language output can be examined by looking at the
+ .lst output, shown below, generated by the objdump program.
+ It can be seen that the assembler generates some code that is required
+ for the proper operation of the uC.
+ In the Atmega32 Program memory, the first 80 (50hex) bytes are supposed
+ to be filled with the addresses of the 20 interrupt vectors.
+ It can be seen that, the program jumps to location __ctors_end (54hex).
+ The porcessor status register (0x3F) is cleared and the Stack Pointer is
+ initialized to 0x085F (the last RAM location), before calling our main
+ section.
+ After returning from the main, it jumps to _exit (0x6e), clears the interrupt
+ flag and then enters an infinite loop.
+ That means we need to end the main section with an infinite loop, if our
+ program uses interrupts.
+\end_layout
+
+\begin_layout LyX-Code
+/home/ajith/microhope/ASM/test:     file format elf32-avr
+\end_layout
+
+\begin_layout LyX-Code
+Disassembly of section .text:
+\end_layout
+
+\begin_layout LyX-Code
+00000000 <__vectors>:
+\end_layout
+
+\begin_layout LyX-Code
+   0:	0c 94 2a 00 	jmp	0x54	; 0x54 <__ctors_end>
+\end_layout
+
+\begin_layout LyX-Code
+   4:	0c 94 34 00 	jmp	0x68	; 0x68 <__bad_interrupt>
+\end_layout
+
+\begin_layout LyX-Code
+   8:	0c 94 34 00 	jmp	0x68	; 0x68 <__bad_interrupt>
+\end_layout
+
+\begin_layout LyX-Code
+   c:	0c 94 34 00 	jmp	0x68	; 0x68 <__bad_interrupt>
+\end_layout
+
+\begin_layout LyX-Code
+  10:	0c 94 34 00 	jmp	0x68	; 0x68 <__bad_interrupt>
+\end_layout
+
+\begin_layout LyX-Code
+  14:	0c 94 34 00 	jmp	0x68	; 0x68 <__bad_interrupt>
+\end_layout
+
+\begin_layout LyX-Code
+  18:	0c 94 34 00 	jmp	0x68	; 0x68 <__bad_interrupt>
+\end_layout
+
+\begin_layout LyX-Code
+  1c:	0c 94 34 00 	jmp	0x68	; 0x68 <__bad_interrupt>
+\end_layout
+
+\begin_layout LyX-Code
+  20:	0c 94 34 00 	jmp	0x68	; 0x68 <__bad_interrupt>
+\end_layout
+
+\begin_layout LyX-Code
+  24:	0c 94 34 00 	jmp	0x68	; 0x68 <__bad_interrupt>
+\end_layout
+
+\begin_layout LyX-Code
+  28:	0c 94 34 00 	jmp	0x68	; 0x68 <__bad_interrupt>
+\end_layout
+
+\begin_layout LyX-Code
+  2c:	0c 94 34 00 	jmp	0x68	; 0x68 <__bad_interrupt>
+\end_layout
+
+\begin_layout LyX-Code
+  30:	0c 94 34 00 	jmp	0x68	; 0x68 <__bad_interrupt>
+\end_layout
+
+\begin_layout LyX-Code
+  34:	0c 94 34 00 	jmp	0x68	; 0x68 <__bad_interrupt>
+\end_layout
+
+\begin_layout LyX-Code
+  38:	0c 94 34 00 	jmp	0x68	; 0x68 <__bad_interrupt>
+\end_layout
+
+\begin_layout LyX-Code
+  3c:	0c 94 34 00 	jmp	0x68	; 0x68 <__bad_interrupt>
+\end_layout
+
+\begin_layout LyX-Code
+  40:	0c 94 34 00 	jmp	0x68	; 0x68 <__bad_interrupt>
+\end_layout
+
+\begin_layout LyX-Code
+  44:	0c 94 34 00 	jmp	0x68	; 0x68 <__bad_interrupt>
+\end_layout
+
+\begin_layout LyX-Code
+  48:	0c 94 34 00 	jmp	0x68	; 0x68 <__bad_interrupt>
+\end_layout
+
+\begin_layout LyX-Code
+  4c:	0c 94 34 00 	jmp	0x68	; 0x68 <__bad_interrupt>
+\end_layout
+
+\begin_layout LyX-Code
+  50:	0c 94 34 00 	jmp	0x68	; 0x68 <__bad_interrupt>
+\end_layout
+
+\begin_layout LyX-Code
+00000054 <__ctors_end>:
+\end_layout
+
+\begin_layout LyX-Code
+  54:	11 24       	eor	r1, r1
+\end_layout
+
+\begin_layout LyX-Code
+  56:	1f be       	out	0x3f, r1	; 63
+\end_layout
+
+\begin_layout LyX-Code
+  58:	cf e5       	ldi	r28, 0x5F	; 95
+\end_layout
+
+\begin_layout LyX-Code
+  5a:	d8 e0       	ldi	r29, 0x08	; 8
+\end_layout
+
+\begin_layout LyX-Code
+  5c:	de bf       	out	0x3e, r29	; 62
+\end_layout
+
+\begin_layout LyX-Code
+  5e:	cd bf       	out	0x3d, r28	; 61
+\end_layout
+
+\begin_layout LyX-Code
+  60:	0e 94 36 00 	call	0x6c	; 0x6c <main>
+\end_layout
+
+\begin_layout LyX-Code
+  64:	0c 94 3e 00 	jmp	0x7c	; 0x7c <_exit>
+\end_layout
+
+\begin_layout LyX-Code
+
+\end_layout
+
+\begin_layout LyX-Code
+00000068 <__bad_interrupt>:
+\end_layout
+
+\begin_layout LyX-Code
+  68:	0c 94 00 00 	jmp	0	; 0x0 <__vectors>
+\end_layout
+
+\begin_layout LyX-Code
+
+\end_layout
+
+\begin_layout LyX-Code
+0000006c <main>:
+\end_layout
+
+\begin_layout LyX-Code
+  6c:	88 27       	eor	r16, r16
+\end_layout
+
+\begin_layout LyX-Code
+
+\end_layout
+
+\begin_layout LyX-Code
+0000006e <_exit>:
+\end_layout
+
+\begin_layout LyX-Code
+  6e:	f8 94       	cli
+\end_layout
+
+\begin_layout LyX-Code
+
+\end_layout
+
+\begin_layout LyX-Code
+00000070 <__stop_program>:
+\end_layout
+
+\begin_layout LyX-Code
+  70:	ff cf       	rjmp	.-2; 0x70 <__stop_program>
+\end_layout
+
+\begin_layout Section
+Using Pre-processor, .s and .S
+\end_layout
+
+\begin_layout Standard
+The examples described so far used the 
+\series bold
+.s 
+\series default
+extension for the filenames.
+ The program 
+\series bold
+square-wave-tc0.s
+\series default
+ listed below generates a 15.93 kHz square wave on PB3.
+\end_layout
+
+\begin_layout LyX-Code
+TCCR0 = 0x53
+\end_layout
+
+\begin_layout LyX-Code
+WGM01 =  3
+\end_layout
+
+\begin_layout LyX-Code
+COM00 = 4
+\end_layout
+
+\begin_layout LyX-Code
+OCR0 = 0x5C
+\end_layout
+
+\begin_layout LyX-Code
+DDRB = 0x37
+\end_layout
+
+\begin_layout LyX-Code
+PB3 =  3
+\end_layout
+
+\begin_layout LyX-Code
+  .section .text  ;code section
+\end_layout
+
+\begin_layout LyX-Code
+  .global main
+\end_layout
+
+\begin_layout LyX-Code
+main:
+\end_layout
+
+\begin_layout LyX-Code
+  ldi r16,  (1 << WGM01) | (1 << COM00) |  1  ;CTC mode
+\end_layout
+
+\begin_layout LyX-Code
+  sts TCCR0 , r16
+\end_layout
+
+\begin_layout LyX-Code
+  ldi r16, 100   
+\end_layout
+
+\begin_layout LyX-Code
+  sts OCR0, r16
+\end_layout
+
+\begin_layout LyX-Code
+  ldi r16, (1 <<  PB3)
+\end_layout
+
+\begin_layout LyX-Code
+  sts DDRB, r16
+\end_layout
+
+\begin_layout LyX-Code
+  .end
+\end_layout
+
+\begin_layout Standard
+The addresses of the Special Function Registers and the various bits inside
+ them are defined inside the program (first 6 lines).
+ Instead of entering them like this, we can use the corresponding include
+ file.
+ We need to use the 
+\series bold
+.S
+\series default
+ file extension to tell avr-gcc to call the assembler with the suitable
+ pre-processor options.
+ The same program re-written with .S extension
+\series bold
+, square-wave-tc0.S
+\series default
+, is listed below.
+\end_layout
+
+\begin_layout LyX-Code
+#include <avr/io.h>
+\end_layout
+
+\begin_layout LyX-Code
+  .section .text
+\end_layout
+
+\begin_layout LyX-Code
+  .global main 
+\end_layout
+
+\begin_layout LyX-Code
+main:
+\end_layout
+
+\begin_layout LyX-Code
+  ldi r16,(1 << WGM01) | (1 << COM00) | 1 ; CTC mode
+\end_layout
+
+\begin_layout LyX-Code
+  sts TCCR0 , r16
+\end_layout
+
+\begin_layout LyX-Code
+  ldi r16, 250
+\end_layout
+
+\begin_layout LyX-Code
+  sts OCR0, r16
+\end_layout
+
+\begin_layout LyX-Code
+  ldi r16, (1 << PB3)
+\end_layout
+
+\begin_layout LyX-Code
+  sts DDRB, r16
+\end_layout
+
+\begin_layout LyX-Code
+  .end
+\end_layout
+
+\begin_layout Standard
+The second method is advisable if you plan to develop larger assembler programs
+ for practical applications.
+\end_layout
+
+\begin_layout Section
+Example Programs
+\end_layout
+
+\begin_layout Standard
+The programs described below performs better than their C counterparts.
+ 
+\end_layout
+
+\begin_layout Subsection
+R2R DAC on Port B
+\end_layout
+
+\begin_layout Standard
+A R2R network, as shown in figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:R2R-DAC-on"
+
+\end_inset
+
+(a), is connected to port B.
+ The program writes the content of R1 to port B in an infinite loop.
+ R1 ia incremented every time and after reaching 255, it will become 0,
+ resulting in a ramp at the output of the R-2R network, figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:R2R-DAC-on"
+
+\end_inset
+
+(b).
+ The frequency of the ramp generated is around 8 kHz.
+\end_layout
+
+\begin_layout LyX-Code
+; program 
+\series bold
+ramp-on-R2RDAC.S
+\series default
+ , generates ramp on Port B
+\end_layout
+
+\begin_layout LyX-Code
+#include <avr/io.h>
+\end_layout
+
+\begin_layout LyX-Code
+
+\end_layout
+
+\begin_layout LyX-Code
+   .section .text  
+\end_layout
+
+\begin_layout LyX-Code
+   .global main
+\end_layout
+
+\begin_layout LyX-Code
+main:
+\end_layout
+
+\begin_layout LyX-Code
+   ldi r16, 255
+\end_layout
+
+\begin_layout LyX-Code
+   sts DDRB, r16 ; all bits of DDRB set
+\end_layout
+
+\begin_layout LyX-Code
+loop:
+\end_layout
+
+\begin_layout LyX-Code
+   inc r1  
+\end_layout
+
+\begin_layout LyX-Code
+   sts PORTB, r1   ; R1 to PORTB.
+ LEDs 
+\end_layout
+
+\begin_layout LyX-Code
+   rjmp loop
+\end_layout
+
+\begin_layout LyX-Code
+   .end
+\end_layout
+
+\begin_layout Standard
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/r2r-dac.png
+	lyxscale 30
+	width 8cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/ramp-screenshop.png
+	lyxscale 40
+	width 6cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+R2R DAC on port B (a) schematic (b) output waveform
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:R2R-DAC-on"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection
+Sine wave Generator
+\end_layout
+
+\begin_layout Standard
+The program 
+\series bold
+sine-wave.S
+\series default
+ listed below uses Timer/Counter 0 to trigger an interrupt when the counter
+ reaches the set point register OCR0.
+ Register X is pointed to a sine table stored in SRAM.
+ On an interrupt the value from sine table, pointed to by X, is written
+ to Port B where the R-2R DAC is connected.
+ Register R22 is used for reseting the pointer after 32 increments.
+ The R-2R DAC on port B generates the DC values, that makes the sine wave.
+\end_layout
+
+\begin_layout LyX-Code
+#include <avr/io.h>
+\end_layout
+
+\begin_layout LyX-Code
+   .section .data
+\end_layout
+
+\begin_layout LyX-Code
+   .global stab
+\end_layout
+
+\begin_layout LyX-Code
+stab:	; sine table
+\end_layout
+
+\begin_layout LyX-Code
+   .byte 128,150,171,191,209,223,234,240,242,240,234,
+\backslash
+
+\end_layout
+
+\begin_layout LyX-Code
+	223,209,191,171,150,128,105,84,64,46,32,21,
+\backslash
+
+\end_layout
+
+\begin_layout LyX-Code
+    15,13,15,21,32,46,64,84,105,127
+\end_layout
+
+\begin_layout LyX-Code
+   .section .text   ; code section
+\end_layout
+
+\begin_layout LyX-Code
+   .global __do_copy_data
+\end_layout
+
+\begin_layout LyX-Code
+   .global __do_clear_bss
+\end_layout
+
+\begin_layout LyX-Code
+
+\end_layout
+
+\begin_layout LyX-Code
+   .global  TIMER0_COMP_vect
+\end_layout
+
+\begin_layout LyX-Code
+TIMER0_COMP_vect:     ; ISR
+\end_layout
+
+\begin_layout LyX-Code
+   ld   r24, X+	; load from table, increment
+\end_layout
+
+\begin_layout LyX-Code
+   sts  PORTB, r24 ; write it to PORTB
+\end_layout
+
+\begin_layout LyX-Code
+   inc  r22 ; increment r22
+\end_layout
+
+\begin_layout LyX-Code
+   CPSE r20,r22	; reached the end ?
+\end_layout
+
+\begin_layout LyX-Code
+   reti	        ; Skip if equal 
+\end_layout
+
+\begin_layout LyX-Code
+   clr  r22		; ready for next round
+\end_layout
+
+\begin_layout LyX-Code
+   subi r26,32 	; set X to table start
+\end_layout
+
+\begin_layout LyX-Code
+   reti
+\end_layout
+
+\begin_layout LyX-Code
+   
+\end_layout
+
+\begin_layout LyX-Code
+   .global main
+\end_layout
+
+\begin_layout LyX-Code
+main:
+\end_layout
+
+\begin_layout LyX-Code
+   ldi  r16, 255
+\end_layout
+
+\begin_layout LyX-Code
+   sts  DDRB, r16
+\end_layout
+
+\begin_layout LyX-Code
+   ldi  r16, (1 << WGM01) |  1  ; TCCR0 to CTC mode
+\end_layout
+
+\begin_layout LyX-Code
+   sts  TCCR0 , r16
+\end_layout
+
+\begin_layout LyX-Code
+   ldi  r16, 50   ; Set point reg to 50       
+\end_layout
+
+\begin_layout LyX-Code
+   sts  OCR0, r16
+\end_layout
+
+\begin_layout LyX-Code
+   ldi  r16, (1 << OCIE0)  ; set TC0 compare interrupt enable
+\end_layout
+
+\begin_layout LyX-Code
+   sts  TIMSK, r16
+\end_layout
+
+\begin_layout LyX-Code
+   ldi  r16, (1 << OCF0)   ; interrupt enable bit
+\end_layout
+
+\begin_layout LyX-Code
+   sts  TIFR, r16 
+\end_layout
+
+\begin_layout LyX-Code
+   ldi  XL, lo8(stab)  ; point X to the sine table
+\end_layout
+
+\begin_layout LyX-Code
+   ldi  XH, hi8(stab)
+\end_layout
+
+\begin_layout LyX-Code
+   clr  r22  ; R22 will keep track of the  location in table
+\end_layout
+
+\begin_layout LyX-Code
+   ldi  r20,32  ; Store size of the table in R20
+\end_layout
+
+\begin_layout LyX-Code
+   sei
+\end_layout
+
+\begin_layout LyX-Code
+loop:
+\end_layout
+
+\begin_layout LyX-Code
+   rjmp loop   ; infinite loop
+\end_layout
+
+\begin_layout LyX-Code
+   .end
+\end_layout
+
+\begin_layout Chapter
+Programming details
+\begin_inset CommandInset label
+LatexCommand label
+name "chap:Programming-details"
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+MicroHOPE does program loading through the Rx/Tx pins of the UART, with
+ the help of the pre-loaded boot loader program.
+ The boot loader code is first loaded using the In-System Programming (ISP)
+ feature of the uC, implemented using the Serial Peripheral Interface (SPI)
+ interface of the micro-controller.
+ Both the methods are explained below.
+\end_layout
+
+\begin_layout Section
+Compile and Upload, using bootloader
+\end_layout
+
+\begin_layout Standard
+Even though the IDE does the job, it is a good idea to learn about the programs
+ used behind the seen, to compile and upload the code.
+ The software packages used are:
+\end_layout
+
+\begin_layout Itemize
+avr-gcc : To compile the C program, also require the C library avr-libc
+\end_layout
+
+\begin_layout Itemize
+avr-objcopy : To generate the HEX file
+\end_layout
+
+\begin_layout Itemize
+avrdude : To upload the Hex file
+\end_layout
+
+\begin_layout Standard
+These packages are available under GNU/Linux.
+ For Debian/Ubuntu distributions they can be installed from the repository
+ using the commands:
+\end_layout
+
+\begin_layout LyX-Code
+# apt-get install avr-libc
+\end_layout
+
+\begin_layout LyX-Code
+# apt-get install avrdude
+\end_layout
+
+\begin_layout LyX-Code
+# chmod u+s avrdude
+\end_layout
+
+\begin_layout Standard
+Insatlling avr-libc, automatically installs gcc-avr and other required packages.
+ The last command will enable non-root users to use avrdude.
+ The installed programs can be invoked from the command line.
+ Use a text editor to create your source program, for example 
+\series bold
+blink.c
+\series default
+, and compile it using:
+\end_layout
+
+\begin_layout LyX-Code
+$ avr-gcc  -Wall -O2 -mmcu=atmega32 -o blink blink.c
+\end_layout
+
+\begin_layout Standard
+We have asked the compiler to print all the warnings, optimize to level
+ 2, generate code for atmega32.
+ The executable output stored in blink and input taken from blink.c.
+ The executable file is converted into Intel Hex format using the following
+ command:
+\end_layout
+
+\begin_layout LyX-Code
+$ avr-objcopy -j .text -j .data -O ihex blink blink.hex
+\end_layout
+
+\begin_layout Standard
+The Hex file is now ready for upload.
+ This can be done using the command:
+\end_layout
+
+\begin_layout LyX-Code
+$ 
+\size footnotesize
+avrdude -b 19200 -P /dev/ttyACM0 -pm32 -c stk500v1 -U flash:w:blink.hex
+\end_layout
+
+\begin_layout Standard
+We have specified a baudrate of 19200, the output device is /dev/ttyACM0,
+ m32 processor and the transfer protocol stk500v1.
+ 
+\end_layout
+
+\begin_layout Subsubsection*
+CDC ACM Device
+\end_layout
+
+\begin_layout Standard
+The PC is connected to the uC through the USB to Serial Converter IC, MCP2200.
+ This chip implements the Communication Device Class (CDC) protocol of USB
+ and is classified as an Abstract Control Model (ACM) device.
+ It apprears as a virtual COM port to the application program.
+ They get the device names /dev/ttyACM0, /dev/ttyACM1 etc.
+ in the order in which they are plugged in.
+ Remember to close the application programs before disconnecting the device,
+ otherwise it will get higher numbers when connected again.
+\end_layout
+
+\begin_layout Subsection
+Batch files
+\end_layout
+
+\begin_layout Standard
+Since a lot of command line arguments are required to specify the compiler,
+ linker and loader options, it is convenient to put them in small batch
+ files or shell scripts.
+ These files can be found inside the 
+\series bold
+microhope
+\series default
+ directory, once the package is installed.
+ The compilation of C code and generation of Intel Hex format file for uploading
+ is done by 
+\series bold
+compile-mega32.sh
+\series default
+, listed below.
+ 
+\end_layout
+
+\begin_layout Quote
+$ avr-gcc  -Wall -O2 -mmcu=atmega32 -Wl,-Map,$1.map -o $1 $1.c 
+\end_layout
+
+\begin_layout Quote
+$ avr-objcopy -j .text -j .data -O ihex $1 $1.hex 
+\end_layout
+
+\begin_layout Quote
+$ avr-objdump -S $1 > $1.lst 
+\end_layout
+
+\begin_layout Standard
+For example, to compile a program named 'hello.c', it should be invoked from
+ the command line as;
+\end_layout
+
+\begin_layout LyX-Code
+$./compile-mega32.sh hello
+\end_layout
+
+\begin_layout Standard
+The .c extension should not be specified.
+ The script also generates the linker MAP file and a listing file, that
+ may be used for examining the generated output.
+\end_layout
+
+\begin_layout Standard
+Under GNU/Linux, microhope on the USB port will appear as file '/dev/ttyACM0'
+\begin_inset Foot
+status collapsed
+
+\begin_layout Plain Layout
+For the old model of microhope using FT232 IC, this will be /dev/ttyUSB0
+\end_layout
+
+\end_inset
+
+ and program uploading is done by 
+\series bold
+mh-upload.sh
+\series default
+, listed below
+\end_layout
+
+\begin_layout LyX-Code
+$ avrdude -b 19200 -P /dev/ttyACM0 -pm32 -c stk500v1 -U flash:w:$1.hex 
+\end_layout
+
+\begin_layout Standard
+To upload hello.hex, use the command
+\end_layout
+
+\begin_layout LyX-Code
+$./mh-upload hello
+\end_layout
+
+\begin_layout Subsection*
+Running from DOS prompt
+\end_layout
+
+\begin_layout Standard
+Use a text editor like notepad to edit the source program and save it with
+ a .c extension.
+ The commands for compilation and uploading are:
+\end_layout
+
+\begin_layout LyX-Code
+C:
+\backslash
+> avr-gcc  -Wall -O2 -mmcu=atmega32 -o blink blink.c
+\end_layout
+
+\begin_layout LyX-Code
+C:
+\backslash
+> avr-objcopy -j .text -j .data -O ihex blink blink.hex
+\end_layout
+
+\begin_layout LyX-Code
+C:
+\backslash
+> avrdude -b 19200 -P COMxx -pm32 -c stk500v1 -U flash:w:blink.hex
+\end_layout
+
+\begin_layout Standard
+Where COMxx is the virtual com port number assigned by Windows.
+ We have found it very difficult due to the arbitrary numbering of the COM
+ ports.
+\end_layout
+
+\begin_layout Section
+Serial Loading of Program memory
+\end_layout
+
+\begin_layout Standard
+Most of the uCs have the In-System Programming (ISP) feature, implemented
+ using three pins, Serial ClocK (SCK), Master-In–Slave-Out (MISO) and Master-Out
+–Slave- In (MOSI).
+ All types of memory on the micro-controller can be accessed using the SCK,
+ MISO and MOSI pins, while holding the RESET pin LOW.
+ These pins, along with ground, are available on the 5 pin header J7 on
+ the microHOPE board.
+ For details, refer to the circuit schematic shown in figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:Circuit-schematic-of"
+
+\end_inset
+
+.
+\end_layout
+
+\begin_layout Standard
+The SPI pins can be accessed by connecting to the Parallel port of the PC,
+ using a cable as shown is figure 
+\begin_inset CommandInset ref
+LatexCommand ref
+reference "fig:PC-Parallel-port"
+
+\end_inset
+
+.
+ We can also use In-System Programmers that can be connected to the USB
+ port of the PC.
+ We are using an ISP called the USBASP, that is open hardware.
+ 
+\end_layout
+
+\begin_layout Standard
+The microHOPE IDE can upload programs using the USBASP programmer
+\end_layout
+
+\begin_layout Standard
+\align left
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/minimum_circuit.eps
+	width 6cm
+
+\end_inset
+
+ 
+\begin_inset Graphics
+	filename pics/prog_cable.jpg
+	lyxscale 50
+	width 5cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+PC Parallel port cable for Serial loading of program memory.
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:PC-Parallel-port"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+\align left
+\begin_inset Float figure
+wide false
+sideways false
+status open
+
+\begin_layout Plain Layout
+\align center
+\begin_inset Graphics
+	filename pics/usbasp.png
+	width 5cm
+
+\end_inset
+
+
+\begin_inset Graphics
+	filename pics/usbasp-plugin.jpg
+	lyxscale 30
+	width 7cm
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Plain Layout
+\begin_inset Caption
+
+\begin_layout Plain Layout
+USBASP programmer.(a) block diagram (b) with MicroHOPE
+\begin_inset CommandInset label
+LatexCommand label
+name "fig:USBASP-programmer.(a)-block"
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\end_inset
+
+
+\end_layout
+
+\begin_layout Subsection
+Software
+\end_layout
+
+\begin_layout Standard
+The program 
+\series bold
+avrdude
+\series default
+ can be used for programming the micro-controller by using Parallal port
+ or the USBASP programmer.
+ The commands to use, as root user, are:
+\end_layout
+
+\begin_layout LyX-Code
+# avrdude -c dapa -patmega32 -U flash:w:blink.hex
+\end_layout
+
+\begin_layout LyX-Code
+# avrdude -c usbasp -patmega32 -U flash:w:blink.hex
+\end_layout
+
+\begin_layout Standard
+The -c option is used for specifying the programmer to be used.
+ The commands should be given from a terminal, after changing to the directory
+ 'microhope', where all the data files are kept.
+\end_layout
+
+\begin_layout Subsubsection*
+Setting up the Boot Loader
+\end_layout
+
+\begin_layout Standard
+We can use one of these methods for uploading the bootloader program of
+ microHOPE.
+ The commands for uploading the hex file and setting the fuses, using the
+ parallel port cable, are:
+\end_layout
+
+\begin_layout Quote
+avrdude -c dapa -patmega32 -U flash:w:ATmegaBOOT_168_atmega32.hex 
+\end_layout
+
+\begin_layout Quote
+avrdude -c dapa -patmega32 -U lfuse:w:0xef:m -U hfuse:w:0xda:m 
+\end_layout
+
+\begin_layout Standard
+If you are using USBASP, use:
+\end_layout
+
+\begin_layout Quote
+avrdude -B10 -c usbasp -patmega32 -U flash:w:ATmegaBOOT_168_atmega32.hex
+ 
+\end_layout
+
+\begin_layout Quote
+avrdude -B10 -c usbasp -patmega32 -U lfuse:w:0xef:m -U hfuse:w:0xda:m 
+\end_layout
+
+\begin_layout Standard
+For more details refer to the microhope section of the website expeyes.in
+ 
+\end_layout
+
+\begin_layout Standard
+\begin_inset Newpage newpage
+\end_inset
+
+
+\end_layout
+
+\begin_layout Standard
+Latest version of this document can be downloaded from expeyes.in/microhope.
+ This product is from the PHOENIX project of IUAC, New Delhi, with contributions
+ from the academic community.
+\end_layout
+
+\begin_layout LyX-Code
+People involved in development and testing:
+\end_layout
+
+\begin_layout LyX-Code
+\align left
+Ajith Kumar B P
+\end_layout
+
+\begin_layout LyX-Code
+\align left
+V V V Satyanarayana
+\end_layout
+
+\begin_layout LyX-Code
+Ambar Chatterjee
+\end_layout
+
+\begin_layout LyX-Code
+Jithin B P
+\end_layout
+
+\begin_layout LyX-Code
+Georges Khaznadar
+\end_layout
+
+\begin_layout LyX-Code
+Jeffrey Antony
+\end_layout
+
+\begin_layout LyX-Code
+Kishore T
+\end_layout
+
+\begin_layout LyX-Code
+Pramode C E
+\end_layout
+
+\begin_layout LyX-Code
+Arun Jayan
+\end_layout
+
+\begin_layout LyX-Code
+Akshay M
+\end_layout
+
+\end_body
+\end_document
diff --git a/microhope/microhope-doc/pics/H-bridge.png b/microhope/microhope-doc/pics/H-bridge.png
new file mode 100644
index 0000000..0271a99
Binary files /dev/null and b/microhope/microhope-doc/pics/H-bridge.png differ
diff --git a/microhope/microhope-doc/pics/Screenshot-isr.png b/microhope/microhope-doc/pics/Screenshot-isr.png
new file mode 100644
index 0000000..0b5059b
Binary files /dev/null and b/microhope/microhope-doc/pics/Screenshot-isr.png differ
diff --git a/microhope/microhope-doc/pics/TCNT0.png b/microhope/microhope-doc/pics/TCNT0.png
new file mode 100644
index 0000000..23229fa
Binary files /dev/null and b/microhope/microhope-doc/pics/TCNT0.png differ
diff --git a/microhope/microhope-doc/pics/adcsra.png b/microhope/microhope-doc/pics/adcsra.png
new file mode 100644
index 0000000..a8c9cdf
Binary files /dev/null and b/microhope/microhope-doc/pics/adcsra.png differ
diff --git a/microhope/microhope-doc/pics/admux.png b/microhope/microhope-doc/pics/admux.png
new file mode 100644
index 0000000..3935a01
Binary files /dev/null and b/microhope/microhope-doc/pics/admux.png differ
diff --git a/microhope/microhope-doc/pics/avr-architecture.png b/microhope/microhope-doc/pics/avr-architecture.png
new file mode 100644
index 0000000..2165276
Binary files /dev/null and b/microhope/microhope-doc/pics/avr-architecture.png differ
diff --git a/microhope/microhope-doc/pics/avr-block.png b/microhope/microhope-doc/pics/avr-block.png
new file mode 100644
index 0000000..359e9fe
Binary files /dev/null and b/microhope/microhope-doc/pics/avr-block.png differ
diff --git a/microhope/microhope-doc/pics/avr-data-memory-map.png b/microhope/microhope-doc/pics/avr-data-memory-map.png
new file mode 100644
index 0000000..889b16d
Binary files /dev/null and b/microhope/microhope-doc/pics/avr-data-memory-map.png differ
diff --git a/microhope/microhope-doc/pics/avr-flash-memory.png b/microhope/microhope-doc/pics/avr-flash-memory.png
new file mode 100644
index 0000000..161bba5
Binary files /dev/null and b/microhope/microhope-doc/pics/avr-flash-memory.png differ
diff --git a/microhope/microhope-doc/pics/avr-interrupt-table.png b/microhope/microhope-doc/pics/avr-interrupt-table.png
new file mode 100644
index 0000000..e3df74a
Binary files /dev/null and b/microhope/microhope-doc/pics/avr-interrupt-table.png differ
diff --git a/microhope/microhope-doc/pics/avr-regs.png b/microhope/microhope-doc/pics/avr-regs.png
new file mode 100644
index 0000000..c382b0f
Binary files /dev/null and b/microhope/microhope-doc/pics/avr-regs.png differ
diff --git a/microhope/microhope-doc/pics/avr-spreg1.png b/microhope/microhope-doc/pics/avr-spreg1.png
new file mode 100644
index 0000000..3dd2357
Binary files /dev/null and b/microhope/microhope-doc/pics/avr-spreg1.png differ
diff --git a/microhope/microhope-doc/pics/avr-sreg.png b/microhope/microhope-doc/pics/avr-sreg.png
new file mode 100644
index 0000000..0eb4ca0
Binary files /dev/null and b/microhope/microhope-doc/pics/avr-sreg.png differ
diff --git a/microhope/microhope-doc/pics/circuit-mh.png b/microhope/microhope-doc/pics/circuit-mh.png
new file mode 100644
index 0000000..00547b2
Binary files /dev/null and b/microhope/microhope-doc/pics/circuit-mh.png differ
diff --git a/microhope/microhope-doc/pics/clock_bits.png b/microhope/microhope-doc/pics/clock_bits.png
new file mode 100644
index 0000000..bc14c3f
Binary files /dev/null and b/microhope/microhope-doc/pics/clock_bits.png differ
diff --git a/microhope/microhope-doc/pics/clock_frequency.png b/microhope/microhope-doc/pics/clock_frequency.png
new file mode 100644
index 0000000..1179d01
Binary files /dev/null and b/microhope/microhope-doc/pics/clock_frequency.png differ
diff --git a/microhope/microhope-doc/pics/fuse_high.png b/microhope/microhope-doc/pics/fuse_high.png
new file mode 100644
index 0000000..ea7bf20
Binary files /dev/null and b/microhope/microhope-doc/pics/fuse_high.png differ
diff --git a/microhope/microhope-doc/pics/fuse_low.png b/microhope/microhope-doc/pics/fuse_low.png
new file mode 100644
index 0000000..17e7910
Binary files /dev/null and b/microhope/microhope-doc/pics/fuse_low.png differ
diff --git a/microhope/microhope-doc/pics/ir-receiver.eps b/microhope/microhope-doc/pics/ir-receiver.eps
new file mode 100644
index 0000000..fc67a04
--- /dev/null
+++ b/microhope/microhope-doc/pics/ir-receiver.eps
@@ -0,0 +1,199 @@
+%!PS-Adobe-3.0 EPSF-3.0
+%%Title: ir-receiver.eps
+%%Creator: XCircuit v3.7 rev26
+%%CreationDate: Thu Feb  6 12:23:38 2014
+%%Pages: 1
+%%BoundingBox: 68 68 341 166
+%%DocumentNeededResources: font Helvetica 
+%%EndComments
+%%BeginProlog
+%
+%  PostScript prolog for output from xcircuit
+%  Version: 3.7
+%
+%  Electrical circuit (and otherwise general) drawing program
+%
+%  Written by Tim Edwards 8/5/93--11/1/10  (tim.edwards at multigig.com)
+%  The Johns Hopkins University (1993-2004)
+%  MultiGiG, Inc. (2004-present)
+%
+%%BeginResource: procset XCIRCproc 3.7 2
+%
+% supporting definitions --- these are the primary xcircuit types.
+
+/XCIRCsave save def
+/topmat matrix currentmatrix def
+
+/fontslant { /slant exch def [1 0 slant 1 0 0] 
+    exch findfont exch makefont dup length dict /ndict exch def
+    { 1 index /FID ne { ndict 3 1 roll put } { pop pop } ifelse } forall
+    ndict definefont pop} def
+/ul { dup type /stringtype eq showflag 1 eq and { gsave 
+   currentpoint topmat setmatrix 0 0 moveto 2 index stringwidth pop (_)
+   false charpath flattenpath pathbbox grestore exch pop 1 index
+   sub setlinewidth exch pop currentpoint 3 -1 roll add moveto 0
+   rlineto stroke moveto } if } def
+/ol { dup type /stringtype eq showflag 1 eq and { gsave gsave
+   currentpoint topmat setmatrix 2 index stringwidth pop 3 index
+   true charpath flattenpath pathbbox grestore exch pop
+   exch pop topmat setmatrix (_) true charpath pathbbox grestore
+   exch pop 1 index sub setlinewidth exch pop currentpoint
+   exch 4 1 roll exch sub add moveto pop 0 rlineto stroke
+   moveto } if } def
+/stW { gsave currentpoint newpath moveto true charpath flattenpath
+	pathbbox pop exch pop sub grestore } def
+/Ts {mark Tabs aload pop counttomark 1 add array astore /Tabs exch def Tabs
+	0 currentpoint pop put} def
+/Tbn {mark Tabs aload pop counttomark dup 2 add 1 roll cleartomark 1 sub} def
+/Tb { 0 1 Tbn {Tabs exch get dup currentpoint pop lt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/Tf { Tbn -1 0 {Tabs exch get dup currentpoint pop gt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/qS { (aa) stW (a a) stW sub 4 div 0 Kn } def
+/hS { qS qS } def
+/pspc 0 def
+/cf0 { scalefont setfont } bind def
+/Kn { dup kY add /kY exch def rmoveto } bind def
+/ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.33 mul neg Kn} def
+/Ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.67 mul Kn } def
+/ns { 0 kY neg Kn /kY 0 def /fscale 1.0 def xfont0 1.0 cf0 } def
+/CR { ns 0 /Bline Bline fscale0 neg add def Bline moveto } def
+/cf { dup type /realtype ne {1.0} if exch findfont exch kY 0 eq
+	{ 40 mul dup /fscale0 exch def cf0 /xfont0 currentfont def}
+	{fscale0 mul fscale mul cf0} ifelse } def
+/ctmk { counttomark dup 2 add -1 roll pop } bind def
+/label { gsave translate 0 0 moveto dup scale neg /rotval exch def
+	/just exch def just 384 and 0 gt {/mshow {pop} def} {/mshow {show}
+	def} ifelse just 16 and 0 gt {gsave rotval rotate 0 1 dtransform
+	gsave pagemat setmatrix idtransform exch grestore 1 0 dtransform
+	gsave pagemat setmatrix idtransform exch grestore dup abs 1e-9 lt
+	{pop mul 0 gt} {3 1 roll pop pop 0 lt} ifelse grestore {-1 /rotval
+	rotval neg def /just just dup 3 and 1 ne {3 xor} if def} {1} ifelse
+	exch -1e-9 lt {-1 /rotval rotval neg def /just just dup 12 and
+	4 ne {12 xor} if def} {1} ifelse scale } if /showflag 0 def
+	/fspc pspc def /Bline 0 def /Tabs 0 array def /fscale 1.0 def
+	/kY 0 def gsave dup 1 add copy 0 exch 1 0 dtransform exch atan rotate
+	{exch dup type /stringtype eq {true charpath flattenpath} {dup type
+	/arraytype eq {exec} {12 string cvs true charpath flattenpath} ifelse}
+	ifelse} repeat pop pathbbox grestore 3 -1 roll pop 3 1 roll just
+	1 and 0 gt {just 2 and 0 gt {exch pop neg fspc sub} {exch sub 0.5
+	mul neg} ifelse} {pop neg fspc add} ifelse exch Bline exch just 4
+	and 0 gt {just 8 and 0 gt {exch pop neg fspc sub} {add 0.5 mul neg}
+	ifelse} {pop neg fspc add} ifelse rotval rotate Kn currentpoint
+	translate /showflag 1 def /Bline 0 def /Tabs 0 array def /fscale
+	1.0 def /kY 0 def {dup type /stringtype eq {mshow} {dup type
+	/arraytype eq {exec} {12 string cvs mshow} ifelse} ifelse} repeat
+	grestore endclip} def
+/pinlabel { 4 index 32 and 0 ne hlevel 0 eq or { /pspc 10 def label
+	/pspc 0 def } { pop pop pop pop pop {pop} repeat } ifelse } def
+/pinglobal { pinlabel } def
+/infolabel { pinlabel } def
+/graphic { gsave 4 index cvx exec /DataSource get resetfile translate 0 0
+	moveto neg rotate dup scale cvx exec image grestore endclip} def
+
+/scb { setrgbcolor } bind def  /sce { defColor aload pop scb } bind def
+/cRedef {/defColor currentcolor 3 array astore def} def
+/begingate {dup type /dicttype ne {1 dict} if begin	% default params
+	dup type /dicttype ne {1 dict} if begin		% instanced params
+	/hlevel hlevel 1 add def /defColor currentcolor sce 3 array astore
+	def gsave sce translate 0 0 moveto neg rotate dup type /nametype
+	eq not { dup abs currentlinewidth exch div setlinewidth } { pop }
+	ifelse dup abs scale clipped 1 and 1 eq {/clipped clipped 1 add def}
+	if} bind def
+/endgate { /hlevel hlevel 1 sub def grestore defColor aload pop cRedef
+	scb end end endclip} bind def
+
+/hlevel 0 def
+/endclip {clipped 1 and 1 eq {grestore /clipped clipped 1 sub def} if} def
+/tmpa [1 0 0 1 0 0] def
+/gar {8 8 true tmpa {<c0 c0 00 00 0c 0c 00 00>} imagemask} bind
+{8 8 true tmpa {<30 70 60 02 03 07 06 20>} imagemask} bind
+{8 8 true tmpa {<0c 1e 1e 0c c0 e1 e1 c0>} imagemask} bind
+{8 8 true tmpa {<0f 0f 0f 0f f0 f0 f0 f0>} imagemask} bind
+{8 8 true tmpa {<3f f3 e1 e1 f3 3f 1e 1e>} imagemask} bind
+{8 8 true tmpa {<df cf 8f 9f fd fc f8 f9>} imagemask} bind
+{8 8 true tmpa {<ff 3f 3f ff ff f3 f3 ff>} imagemask} bind 7 array astore def
+/ppaint { gsave clip tmpa dup setmatrix pathbbox neg exch neg 4 2 roll
+  neg 4 -1 roll 2 copy gt {exch} if 8 div ceiling 8 mul 4 2 roll neg 2 copy
+  gt {exch} if 8 div ceiling 8 mul 3 -1 roll -8 5 -1 roll
+  { 3 index exch 5 exch put dup -8 3 index { 3 index
+  exch 4 exch put 3 index exec } for } for pop pop pop pop grestore } bind def
+/setstyles {
+  currentlinewidth mul setlinewidth /style exch def style 2048 and 0 gt
+  clipped 1 and 0 eq and {clip newpath /clipped clipped 1 add def} {
+  style 1 and 0 gt not {closepath} if
+  style 1024 and 0 gt {2 setlinecap} if
+  style 2 and 0 gt {currentlinewidth 4 mul dup 2 array astore 0 setdash} if
+  style 4 and 0 gt {0.5 currentlinewidth 4 mul 2 array astore 0 setdash} if
+  gsave style 16 and 0 gt { style 224 and -5 bitshift style 256 and 0 gt {
+  7 exch sub 8 div dup 1 exch sub currentrgbcolor 3 array astore
+  {3 copy mul add 4 1 roll pop} forall pop pop setrgbcolor eofill}
+  {dup 7 lt {gar exch get ppaint} {pop eofill} ifelse} ifelse}
+  {style 256 and 0 gt {1 setgray eofill} if} ifelse grestore style 8 and 0 gt 
+  style 512 eq or {newpath} {stroke} ifelse grestore endclip} ifelse} def     
+
+/addtoy {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll add
+	4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtoy1 {1 exch addtoy pop} def
+/addtoy3 {3 exch addtoy pop} def
+/addtoy4 {4 exch addtoy pop} def
+/addtox {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll 3 -1 roll
+	add exch 4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtox1 {1 exch addtox pop} def
+/addtox3 {3 exch addtox pop} def
+/addtox4 {4 exch addtox pop} def
+/polygon { gsave /num exch def moveto num 1 sub {lineto} repeat setstyles } def
+/xcarc   { gsave newpath arc setstyles } def
+/elb { matrix currentmatrix 7 -1 roll 7 -1 roll translate 5 1 roll 4 -1 roll
+	3 index div 1 scale } def
+/ele { 0 4 1 roll 0 4 1 roll } bind def
+/ellipse { gsave elb newpath ele arc setmatrix setstyles } def
+/pellip  { elb ele arc setmatrix } def
+/nellip  { elb ele arcn setmatrix } def
+/spline  { gsave moveto curveto setstyles } def
+/polyc   { {lineto} repeat } bind def
+/beginpath { gsave moveto } bind def
+/endpath { setstyles } bind def
+/bop	 { 1 setlinecap 0 setlinejoin 6 setmiterlimit 0 0 0 scb cRedef
+	/clipped 0 def} def
+/psinsertion {/PSobj save def /showpage {} def /setpagedevice {pop} def bop
+	rotate translate dup scale} def
+/end_insert {PSobj restore} def
+/setpagemat {/pagemat matrix currentmatrix def} def
+/inchscale  {setpagemat 0.375 mul dup scale} def
+/cmscale    {setpagemat 0.35433071 mul dup scale} def
+
+%%EndResource
+%%EndProlog
+
+% XCircuit output starts here.
+
+%%BeginSetup
+
+
+%%EndSetup
+
+%%Page: ir-receiver 1
+%%PageOrientation: Portrait
+/pgsave save def bop
+1.0000 inchscale
+2.6000 setlinewidth 352 400 translate
+
+{/Helvetica cf} 1 16 0 1.000 -160 32 label
+(TSOP1738) {/Helvetica cf} 2 16 270 1.000 48 -192 label
+0 1.000 -16 -208 -16 16 80 16 80 -208 4 polygon
+1 1.000 80 -192 176 -192 2 polygon
+1 1.000 80 -128 176 -128 2 polygon
+1 1.000 80 -16 176 -16 2 polygon
+(PD2) {/Helvetica cf} 2 16 0 1.000 192 -32 label
+(5V) {/Helvetica cf} 2 16 0 1.000 192 -144 label
+(GND) {/Helvetica cf} 2 16 0 1.000 176 -208 label
+{/Helvetica cf} 1 16 0 1.000 192 -48 label
+(of microHOPE) {/Helvetica cf} 2 16 0 1.000 288 -32 label
+pgsave restore showpage
+
+%%Trailer
+XCIRCsave restore
+%%EOF
diff --git a/microhope/microhope-doc/pics/ir-receiver.png b/microhope/microhope-doc/pics/ir-receiver.png
new file mode 100644
index 0000000..ae6db6e
Binary files /dev/null and b/microhope/microhope-doc/pics/ir-receiver.png differ
diff --git a/microhope/microhope-doc/pics/l293d.png b/microhope/microhope-doc/pics/l293d.png
new file mode 100644
index 0000000..2c8b659
Binary files /dev/null and b/microhope/microhope-doc/pics/l293d.png differ
diff --git a/microhope/microhope-doc/pics/lcd-con.eps b/microhope/microhope-doc/pics/lcd-con.eps
new file mode 100644
index 0000000..b67fba0
--- /dev/null
+++ b/microhope/microhope-doc/pics/lcd-con.eps
@@ -0,0 +1,253 @@
+%!PS-Adobe-3.0 EPSF-3.0
+%%Title: lcd-con.eps
+%%Creator: XCircuit v3.7 rev26
+%%CreationDate: Tue Oct 22 17:04:30 2013
+%%Pages: 1
+%%BoundingBox: 68 68 436 220
+%%DocumentNeededResources: font Helvetica 
+%%EndComments
+%%BeginProlog
+%
+%  PostScript prolog for output from xcircuit
+%  Version: 3.7
+%
+%  Electrical circuit (and otherwise general) drawing program
+%
+%  Written by Tim Edwards 8/5/93--11/1/10  (tim.edwards at multigig.com)
+%  The Johns Hopkins University (1993-2004)
+%  MultiGiG, Inc. (2004-present)
+%
+%%BeginResource: procset XCIRCproc 3.7 2
+%
+% supporting definitions --- these are the primary xcircuit types.
+
+/XCIRCsave save def
+/topmat matrix currentmatrix def
+
+/fontslant { /slant exch def [1 0 slant 1 0 0] 
+    exch findfont exch makefont dup length dict /ndict exch def
+    { 1 index /FID ne { ndict 3 1 roll put } { pop pop } ifelse } forall
+    ndict definefont pop} def
+/ul { dup type /stringtype eq showflag 1 eq and { gsave 
+   currentpoint topmat setmatrix 0 0 moveto 2 index stringwidth pop (_)
+   false charpath flattenpath pathbbox grestore exch pop 1 index
+   sub setlinewidth exch pop currentpoint 3 -1 roll add moveto 0
+   rlineto stroke moveto } if } def
+/ol { dup type /stringtype eq showflag 1 eq and { gsave gsave
+   currentpoint topmat setmatrix 2 index stringwidth pop 3 index
+   true charpath flattenpath pathbbox grestore exch pop
+   exch pop topmat setmatrix (_) true charpath pathbbox grestore
+   exch pop 1 index sub setlinewidth exch pop currentpoint
+   exch 4 1 roll exch sub add moveto pop 0 rlineto stroke
+   moveto } if } def
+/stW { gsave currentpoint newpath moveto true charpath flattenpath
+	pathbbox pop exch pop sub grestore } def
+/Ts {mark Tabs aload pop counttomark 1 add array astore /Tabs exch def Tabs
+	0 currentpoint pop put} def
+/Tbn {mark Tabs aload pop counttomark dup 2 add 1 roll cleartomark 1 sub} def
+/Tb { 0 1 Tbn {Tabs exch get dup currentpoint pop lt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/Tf { Tbn -1 0 {Tabs exch get dup currentpoint pop gt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/qS { (aa) stW (a a) stW sub 4 div 0 Kn } def
+/hS { qS qS } def
+/pspc 0 def
+/cf0 { scalefont setfont } bind def
+/Kn { dup kY add /kY exch def rmoveto } bind def
+/ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.33 mul neg Kn} def
+/Ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.67 mul Kn } def
+/ns { 0 kY neg Kn /kY 0 def /fscale 1.0 def xfont0 1.0 cf0 } def
+/CR { ns 0 /Bline Bline fscale0 neg add def Bline moveto } def
+/cf { dup type /realtype ne {1.0} if exch findfont exch kY 0 eq
+	{ 40 mul dup /fscale0 exch def cf0 /xfont0 currentfont def}
+	{fscale0 mul fscale mul cf0} ifelse } def
+/ctmk { counttomark dup 2 add -1 roll pop } bind def
+/label { gsave translate 0 0 moveto dup scale neg /rotval exch def
+	/just exch def just 384 and 0 gt {/mshow {pop} def} {/mshow {show}
+	def} ifelse just 16 and 0 gt {gsave rotval rotate 0 1 dtransform
+	gsave pagemat setmatrix idtransform exch grestore 1 0 dtransform
+	gsave pagemat setmatrix idtransform exch grestore dup abs 1e-9 lt
+	{pop mul 0 gt} {3 1 roll pop pop 0 lt} ifelse grestore {-1 /rotval
+	rotval neg def /just just dup 3 and 1 ne {3 xor} if def} {1} ifelse
+	exch -1e-9 lt {-1 /rotval rotval neg def /just just dup 12 and
+	4 ne {12 xor} if def} {1} ifelse scale } if /showflag 0 def
+	/fspc pspc def /Bline 0 def /Tabs 0 array def /fscale 1.0 def
+	/kY 0 def gsave dup 1 add copy 0 exch 1 0 dtransform exch atan rotate
+	{exch dup type /stringtype eq {true charpath flattenpath} {dup type
+	/arraytype eq {exec} {12 string cvs true charpath flattenpath} ifelse}
+	ifelse} repeat pop pathbbox grestore 3 -1 roll pop 3 1 roll just
+	1 and 0 gt {just 2 and 0 gt {exch pop neg fspc sub} {exch sub 0.5
+	mul neg} ifelse} {pop neg fspc add} ifelse exch Bline exch just 4
+	and 0 gt {just 8 and 0 gt {exch pop neg fspc sub} {add 0.5 mul neg}
+	ifelse} {pop neg fspc add} ifelse rotval rotate Kn currentpoint
+	translate /showflag 1 def /Bline 0 def /Tabs 0 array def /fscale
+	1.0 def /kY 0 def {dup type /stringtype eq {mshow} {dup type
+	/arraytype eq {exec} {12 string cvs mshow} ifelse} ifelse} repeat
+	grestore endclip} def
+/pinlabel { 4 index 32 and 0 ne hlevel 0 eq or { /pspc 10 def label
+	/pspc 0 def } { pop pop pop pop pop {pop} repeat } ifelse } def
+/pinglobal { pinlabel } def
+/infolabel { pinlabel } def
+/graphic { gsave 4 index cvx exec /DataSource get resetfile translate 0 0
+	moveto neg rotate dup scale cvx exec image grestore endclip} def
+
+/scb { setrgbcolor } bind def  /sce { defColor aload pop scb } bind def
+/cRedef {/defColor currentcolor 3 array astore def} def
+/begingate {dup type /dicttype ne {1 dict} if begin	% default params
+	dup type /dicttype ne {1 dict} if begin		% instanced params
+	/hlevel hlevel 1 add def /defColor currentcolor sce 3 array astore
+	def gsave sce translate 0 0 moveto neg rotate dup type /nametype
+	eq not { dup abs currentlinewidth exch div setlinewidth } { pop }
+	ifelse dup abs scale clipped 1 and 1 eq {/clipped clipped 1 add def}
+	if} bind def
+/endgate { /hlevel hlevel 1 sub def grestore defColor aload pop cRedef
+	scb end end endclip} bind def
+
+/hlevel 0 def
+/endclip {clipped 1 and 1 eq {grestore /clipped clipped 1 sub def} if} def
+/tmpa [1 0 0 1 0 0] def
+/gar {8 8 true tmpa {<c0 c0 00 00 0c 0c 00 00>} imagemask} bind
+{8 8 true tmpa {<30 70 60 02 03 07 06 20>} imagemask} bind
+{8 8 true tmpa {<0c 1e 1e 0c c0 e1 e1 c0>} imagemask} bind
+{8 8 true tmpa {<0f 0f 0f 0f f0 f0 f0 f0>} imagemask} bind
+{8 8 true tmpa {<3f f3 e1 e1 f3 3f 1e 1e>} imagemask} bind
+{8 8 true tmpa {<df cf 8f 9f fd fc f8 f9>} imagemask} bind
+{8 8 true tmpa {<ff 3f 3f ff ff f3 f3 ff>} imagemask} bind 7 array astore def
+/ppaint { gsave clip tmpa dup setmatrix pathbbox neg exch neg 4 2 roll
+  neg 4 -1 roll 2 copy gt {exch} if 8 div ceiling 8 mul 4 2 roll neg 2 copy
+  gt {exch} if 8 div ceiling 8 mul 3 -1 roll -8 5 -1 roll
+  { 3 index exch 5 exch put dup -8 3 index { 3 index
+  exch 4 exch put 3 index exec } for } for pop pop pop pop grestore } bind def
+/setstyles {
+  currentlinewidth mul setlinewidth /style exch def style 2048 and 0 gt
+  clipped 1 and 0 eq and {clip newpath /clipped clipped 1 add def} {
+  style 1 and 0 gt not {closepath} if
+  style 1024 and 0 gt {2 setlinecap} if
+  style 2 and 0 gt {currentlinewidth 4 mul dup 2 array astore 0 setdash} if
+  style 4 and 0 gt {0.5 currentlinewidth 4 mul 2 array astore 0 setdash} if
+  gsave style 16 and 0 gt { style 224 and -5 bitshift style 256 and 0 gt {
+  7 exch sub 8 div dup 1 exch sub currentrgbcolor 3 array astore
+  {3 copy mul add 4 1 roll pop} forall pop pop setrgbcolor eofill}
+  {dup 7 lt {gar exch get ppaint} {pop eofill} ifelse} ifelse}
+  {style 256 and 0 gt {1 setgray eofill} if} ifelse grestore style 8 and 0 gt 
+  style 512 eq or {newpath} {stroke} ifelse grestore endclip} ifelse} def     
+
+/addtoy {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll add
+	4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtoy1 {1 exch addtoy pop} def
+/addtoy3 {3 exch addtoy pop} def
+/addtoy4 {4 exch addtoy pop} def
+/addtox {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll 3 -1 roll
+	add exch 4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtox1 {1 exch addtox pop} def
+/addtox3 {3 exch addtox pop} def
+/addtox4 {4 exch addtox pop} def
+/polygon { gsave /num exch def moveto num 1 sub {lineto} repeat setstyles } def
+/xcarc   { gsave newpath arc setstyles } def
+/elb { matrix currentmatrix 7 -1 roll 7 -1 roll translate 5 1 roll 4 -1 roll
+	3 index div 1 scale } def
+/ele { 0 4 1 roll 0 4 1 roll } bind def
+/ellipse { gsave elb newpath ele arc setmatrix setstyles } def
+/pellip  { elb ele arc setmatrix } def
+/nellip  { elb ele arcn setmatrix } def
+/spline  { gsave moveto curveto setstyles } def
+/polyc   { {lineto} repeat } bind def
+/beginpath { gsave moveto } bind def
+/endpath { setstyles } bind def
+/bop	 { 1 setlinecap 0 setlinejoin 6 setmiterlimit 0 0 0 scb cRedef
+	/clipped 0 def} def
+/psinsertion {/PSobj save def /showpage {} def /setpagedevice {pop} def bop
+	rotate translate dup scale} def
+/end_insert {PSobj restore} def
+/setpagemat {/pagemat matrix currentmatrix def} def
+/inchscale  {setpagemat 0.375 mul dup scale} def
+/cmscale    {setpagemat 0.35433071 mul dup scale} def
+
+%%EndResource
+%%EndProlog
+
+% XCircuit output starts here.
+
+%%BeginSetup
+
+
+%%EndSetup
+
+%%Page: lcd-con 1
+%%PageOrientation: Portrait
+/pgsave save def bop
+1.0000 inchscale
+2.6000 setlinewidth 512 358 translate
+
+{/Helvetica cf} 1 16 0 1.000 -112 -96 label
+(16) {/Helvetica cf} 2 16 0 1.000 496 -96 label
+0 1.000 496 -48 496 -112 544 -112 544 -48 4 polygon
+0 1.000 448 -48 448 -112 496 -112 496 -48 4 polygon
+0 1.000 400 -48 400 -112 448 -112 448 -48 4 polygon
+0 1.000 352 -48 352 -112 400 -112 400 -48 4 polygon
+0 1.000 304 -48 304 -112 352 -112 352 -48 4 polygon
+0 1.000 256 -48 256 -112 304 -112 304 -48 4 polygon
+0 1.000 208 -48 208 -112 256 -112 256 -48 4 polygon
+0 1.000 160 -48 160 -112 208 -112 208 -48 4 polygon
+0 1.000 112 -48 112 -112 160 -112 160 -48 4 polygon
+0 1.000 64 -48 64 -112 112 -112 112 -48 4 polygon
+0 1.000 16 -48 16 -112 64 -112 64 -48 4 polygon
+0 1.000 -32 -48 -32 -112 16 -112 16 -48 4 polygon
+0 1.000 -80 -48 -80 -112 -32 -112 -32 -48 4 polygon
+0 1.000 -128 -48 -128 -112 -80 -112 -80 -48 4 polygon
+0 1.000 -176 -48 -176 -112 -128 -112 -128 -48 4 polygon
+0 1.000 -224 -48 -224 -112 -176 -112 -176 -48 4 polygon
+(15) {/Helvetica cf} 2 16 0 1.000 448 -96 label
+(14) {/Helvetica cf} 2 16 0 1.000 400 -96 label
+(13) {/Helvetica cf} 2 16 0 1.000 352 -96 label
+(12) {/Helvetica cf} 2 16 0 1.000 304 -96 label
+(11) {/Helvetica cf} 2 16 0 1.000 256 -96 label
+(10) {/Helvetica cf} 2 16 0 1.000 208 -96 label
+(9) {/Helvetica cf} 2 16 0 1.000 176 -96 label
+(8) {/Helvetica cf} 2 16 0 1.000 128 -96 label
+(7) {/Helvetica cf} 2 16 0 1.000 80 -96 label
+(6) {/Helvetica cf} 2 16 0 1.000 32 -96 label
+(5) {/Helvetica cf} 2 16 0 1.000 -16 -96 label
+(1) {/Helvetica cf} 2 16 0 1.000 -208 -96 label
+(2) {/Helvetica cf} 2 16 0 1.000 -160 -96 label
+(3) {/Helvetica cf} 2 16 0 1.000 -112 -96 label
+(4) {/Helvetica cf} 2 16 0 1.000 -64 -96 label
+(GND) {/Helvetica cf} 2 16 0 1.000 -320 128 label
+(5V) {/Helvetica cf} 2 16 0 1.000 -192 128 label
+(PC7) {/Helvetica cf} 2 16 0 1.000 544 128 label
+(PC6) {/Helvetica cf} 2 16 0 1.000 448 128 label
+0 1.000 -224 160 -224 112 -128 112 -128 160 4 polygon
+0 1.000 -128 160 -128 112 -32 112 -32 160 4 polygon
+0 1.000 -32 160 -32 112 64 112 64 160 4 polygon
+0 1.000 64 160 64 112 160 112 160 160 4 polygon
+0 1.000 160 160 160 112 256 112 256 160 4 polygon
+0 1.000 256 160 256 112 352 112 352 160 4 polygon
+0 1.000 352 160 352 112 448 112 448 160 4 polygon
+0 1.000 448 160 448 112 544 112 544 160 4 polygon
+0 1.000 544 160 544 112 640 112 640 160 4 polygon
+0 1.000 -320 160 -320 112 -224 112 -224 160 4 polygon
+(PC5) {/Helvetica cf} 2 16 0 1.000 352 128 label
+(PC4) {/Helvetica cf} 2 16 0 1.000 256 128 label
+(PC3) {/Helvetica cf} 2 16 0 1.000 160 128 label
+(PC2) {/Helvetica cf} 2 16 0 1.000 80 128 label
+(PC1) {/Helvetica cf} 2 16 0 1.000 -16 128 label
+(PC0) {/Helvetica cf} 2 16 0 1.000 -112 128 label
+1 1.000 -176 112 -176 32 -160 32 -160 -48 4 polygon
+1 1.000 -272 112 -272 32 -208 32 -208 -48 4 polygon
+1 1.000 -192 -112 -192 -144 -96 -144 -96 -112 4 polygon
+(PORTC connector of MicroHOPE) {/Helvetica cf} 2 16 0 1.000 -144 192 label
+(16 pin connector of LCD display) {/Helvetica cf} 2 16 0 1.000 -64 -160 label
+1 1.000 432 -48 432 0 592 0 592 112 4 polygon
+1 1.000 368 -48 368 16 368 32 496 32 496 112 5 polygon
+1 1.000 320 -48 320 64 400 64 400 112 4 polygon
+1 1.000 272 -48 272 112 2 polygon
+1 1.000 16 112 16 64 -64 64 -64 -48 -64 -32 5 polygon
+1 1.000 -16 -48 -16 16 -16 32 112 32 112 112 5 polygon
+1 1.000 48 -48 48 0 192 0 192 112 4 polygon
+pgsave restore showpage
+
+%%Trailer
+XCIRCsave restore
+%%EOF
diff --git a/microhope/microhope-doc/pics/lcd-con.png b/microhope/microhope-doc/pics/lcd-con.png
new file mode 100644
index 0000000..6bf8bfd
Binary files /dev/null and b/microhope/microhope-doc/pics/lcd-con.png differ
diff --git a/microhope/microhope-doc/pics/lcd-photo.jpg b/microhope/microhope-doc/pics/lcd-photo.jpg
new file mode 100644
index 0000000..6ea9843
Binary files /dev/null and b/microhope/microhope-doc/pics/lcd-photo.jpg differ
diff --git a/microhope/microhope-doc/pics/lcd-schematics.png b/microhope/microhope-doc/pics/lcd-schematics.png
new file mode 100644
index 0000000..4f561ae
Binary files /dev/null and b/microhope/microhope-doc/pics/lcd-schematics.png differ
diff --git a/microhope/microhope-doc/pics/led8-schematic.png b/microhope/microhope-doc/pics/led8-schematic.png
new file mode 100644
index 0000000..33b6077
Binary files /dev/null and b/microhope/microhope-doc/pics/led8-schematic.png differ
diff --git a/microhope/microhope-doc/pics/led8.jpg b/microhope/microhope-doc/pics/led8.jpg
new file mode 100644
index 0000000..d55b473
Binary files /dev/null and b/microhope/microhope-doc/pics/led8.jpg differ
diff --git a/microhope/microhope-doc/pics/lm35-package.jpg b/microhope/microhope-doc/pics/lm35-package.jpg
new file mode 100644
index 0000000..7ea05a3
Binary files /dev/null and b/microhope/microhope-doc/pics/lm35-package.jpg differ
diff --git a/microhope/microhope-doc/pics/mh-IDE.png b/microhope/microhope-doc/pics/mh-IDE.png
new file mode 100644
index 0000000..d289e84
Binary files /dev/null and b/microhope/microhope-doc/pics/mh-IDE.png differ
diff --git a/microhope/microhope-doc/pics/mh-block.eps b/microhope/microhope-doc/pics/mh-block.eps
new file mode 100644
index 0000000..fb9613e
--- /dev/null
+++ b/microhope/microhope-doc/pics/mh-block.eps
@@ -0,0 +1,292 @@
+%!PS-Adobe-3.0 EPSF-3.0
+%%Title: mh-block.eps
+%%Creator: XCircuit v3.7 rev26
+%%CreationDate: Fri Jan 10 10:19:35 2014
+%%Pages: 1
+%%BoundingBox: 68 68 664 384
+%%DocumentNeededResources: font Helvetica Times-Roman 
+%%EndComments
+%%BeginProlog
+%
+%  PostScript prolog for output from xcircuit
+%  Version: 3.7
+%
+%  Electrical circuit (and otherwise general) drawing program
+%
+%  Written by Tim Edwards 8/5/93--11/1/10  (tim.edwards at multigig.com)
+%  The Johns Hopkins University (1993-2004)
+%  MultiGiG, Inc. (2004-present)
+%
+%%BeginResource: procset XCIRCproc 3.7 2
+%
+% supporting definitions --- these are the primary xcircuit types.
+
+/XCIRCsave save def
+/topmat matrix currentmatrix def
+
+/fontslant { /slant exch def [1 0 slant 1 0 0] 
+    exch findfont exch makefont dup length dict /ndict exch def
+    { 1 index /FID ne { ndict 3 1 roll put } { pop pop } ifelse } forall
+    ndict definefont pop} def
+/ul { dup type /stringtype eq showflag 1 eq and { gsave 
+   currentpoint topmat setmatrix 0 0 moveto 2 index stringwidth pop (_)
+   false charpath flattenpath pathbbox grestore exch pop 1 index
+   sub setlinewidth exch pop currentpoint 3 -1 roll add moveto 0
+   rlineto stroke moveto } if } def
+/ol { dup type /stringtype eq showflag 1 eq and { gsave gsave
+   currentpoint topmat setmatrix 2 index stringwidth pop 3 index
+   true charpath flattenpath pathbbox grestore exch pop
+   exch pop topmat setmatrix (_) true charpath pathbbox grestore
+   exch pop 1 index sub setlinewidth exch pop currentpoint
+   exch 4 1 roll exch sub add moveto pop 0 rlineto stroke
+   moveto } if } def
+/stW { gsave currentpoint newpath moveto true charpath flattenpath
+	pathbbox pop exch pop sub grestore } def
+/Ts {mark Tabs aload pop counttomark 1 add array astore /Tabs exch def Tabs
+	0 currentpoint pop put} def
+/Tbn {mark Tabs aload pop counttomark dup 2 add 1 roll cleartomark 1 sub} def
+/Tb { 0 1 Tbn {Tabs exch get dup currentpoint pop lt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/Tf { Tbn -1 0 {Tabs exch get dup currentpoint pop gt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/qS { (aa) stW (a a) stW sub 4 div 0 Kn } def
+/hS { qS qS } def
+/pspc 0 def
+/cf0 { scalefont setfont } bind def
+/Kn { dup kY add /kY exch def rmoveto } bind def
+/ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.33 mul neg Kn} def
+/Ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.67 mul Kn } def
+/ns { 0 kY neg Kn /kY 0 def /fscale 1.0 def xfont0 1.0 cf0 } def
+/CR { ns 0 /Bline Bline fscale0 neg add def Bline moveto } def
+/cf { dup type /realtype ne {1.0} if exch findfont exch kY 0 eq
+	{ 40 mul dup /fscale0 exch def cf0 /xfont0 currentfont def}
+	{fscale0 mul fscale mul cf0} ifelse } def
+/ctmk { counttomark dup 2 add -1 roll pop } bind def
+/label { gsave translate 0 0 moveto dup scale neg /rotval exch def
+	/just exch def just 384 and 0 gt {/mshow {pop} def} {/mshow {show}
+	def} ifelse just 16 and 0 gt {gsave rotval rotate 0 1 dtransform
+	gsave pagemat setmatrix idtransform exch grestore 1 0 dtransform
+	gsave pagemat setmatrix idtransform exch grestore dup abs 1e-9 lt
+	{pop mul 0 gt} {3 1 roll pop pop 0 lt} ifelse grestore {-1 /rotval
+	rotval neg def /just just dup 3 and 1 ne {3 xor} if def} {1} ifelse
+	exch -1e-9 lt {-1 /rotval rotval neg def /just just dup 12 and
+	4 ne {12 xor} if def} {1} ifelse scale } if /showflag 0 def
+	/fspc pspc def /Bline 0 def /Tabs 0 array def /fscale 1.0 def
+	/kY 0 def gsave dup 1 add copy 0 exch 1 0 dtransform exch atan rotate
+	{exch dup type /stringtype eq {true charpath flattenpath} {dup type
+	/arraytype eq {exec} {12 string cvs true charpath flattenpath} ifelse}
+	ifelse} repeat pop pathbbox grestore 3 -1 roll pop 3 1 roll just
+	1 and 0 gt {just 2 and 0 gt {exch pop neg fspc sub} {exch sub 0.5
+	mul neg} ifelse} {pop neg fspc add} ifelse exch Bline exch just 4
+	and 0 gt {just 8 and 0 gt {exch pop neg fspc sub} {add 0.5 mul neg}
+	ifelse} {pop neg fspc add} ifelse rotval rotate Kn currentpoint
+	translate /showflag 1 def /Bline 0 def /Tabs 0 array def /fscale
+	1.0 def /kY 0 def {dup type /stringtype eq {mshow} {dup type
+	/arraytype eq {exec} {12 string cvs mshow} ifelse} ifelse} repeat
+	grestore endclip} def
+/pinlabel { 4 index 32 and 0 ne hlevel 0 eq or { /pspc 10 def label
+	/pspc 0 def } { pop pop pop pop pop {pop} repeat } ifelse } def
+/pinglobal { pinlabel } def
+/infolabel { pinlabel } def
+/graphic { gsave 4 index cvx exec /DataSource get resetfile translate 0 0
+	moveto neg rotate dup scale cvx exec image grestore endclip} def
+
+/scb { setrgbcolor } bind def  /sce { defColor aload pop scb } bind def
+/cRedef {/defColor currentcolor 3 array astore def} def
+/begingate {dup type /dicttype ne {1 dict} if begin	% default params
+	dup type /dicttype ne {1 dict} if begin		% instanced params
+	/hlevel hlevel 1 add def /defColor currentcolor sce 3 array astore
+	def gsave sce translate 0 0 moveto neg rotate dup type /nametype
+	eq not { dup abs currentlinewidth exch div setlinewidth } { pop }
+	ifelse dup abs scale clipped 1 and 1 eq {/clipped clipped 1 add def}
+	if} bind def
+/endgate { /hlevel hlevel 1 sub def grestore defColor aload pop cRedef
+	scb end end endclip} bind def
+
+/hlevel 0 def
+/endclip {clipped 1 and 1 eq {grestore /clipped clipped 1 sub def} if} def
+/tmpa [1 0 0 1 0 0] def
+/gar {8 8 true tmpa {<c0 c0 00 00 0c 0c 00 00>} imagemask} bind
+{8 8 true tmpa {<30 70 60 02 03 07 06 20>} imagemask} bind
+{8 8 true tmpa {<0c 1e 1e 0c c0 e1 e1 c0>} imagemask} bind
+{8 8 true tmpa {<0f 0f 0f 0f f0 f0 f0 f0>} imagemask} bind
+{8 8 true tmpa {<3f f3 e1 e1 f3 3f 1e 1e>} imagemask} bind
+{8 8 true tmpa {<df cf 8f 9f fd fc f8 f9>} imagemask} bind
+{8 8 true tmpa {<ff 3f 3f ff ff f3 f3 ff>} imagemask} bind 7 array astore def
+/ppaint { gsave clip tmpa dup setmatrix pathbbox neg exch neg 4 2 roll
+  neg 4 -1 roll 2 copy gt {exch} if 8 div ceiling 8 mul 4 2 roll neg 2 copy
+  gt {exch} if 8 div ceiling 8 mul 3 -1 roll -8 5 -1 roll
+  { 3 index exch 5 exch put dup -8 3 index { 3 index
+  exch 4 exch put 3 index exec } for } for pop pop pop pop grestore } bind def
+/setstyles {
+  currentlinewidth mul setlinewidth /style exch def style 2048 and 0 gt
+  clipped 1 and 0 eq and {clip newpath /clipped clipped 1 add def} {
+  style 1 and 0 gt not {closepath} if
+  style 1024 and 0 gt {2 setlinecap} if
+  style 2 and 0 gt {currentlinewidth 4 mul dup 2 array astore 0 setdash} if
+  style 4 and 0 gt {0.5 currentlinewidth 4 mul 2 array astore 0 setdash} if
+  gsave style 16 and 0 gt { style 224 and -5 bitshift style 256 and 0 gt {
+  7 exch sub 8 div dup 1 exch sub currentrgbcolor 3 array astore
+  {3 copy mul add 4 1 roll pop} forall pop pop setrgbcolor eofill}
+  {dup 7 lt {gar exch get ppaint} {pop eofill} ifelse} ifelse}
+  {style 256 and 0 gt {1 setgray eofill} if} ifelse grestore style 8 and 0 gt 
+  style 512 eq or {newpath} {stroke} ifelse grestore endclip} ifelse} def     
+
+/addtoy {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll add
+	4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtoy1 {1 exch addtoy pop} def
+/addtoy3 {3 exch addtoy pop} def
+/addtoy4 {4 exch addtoy pop} def
+/addtox {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll 3 -1 roll
+	add exch 4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtox1 {1 exch addtox pop} def
+/addtox3 {3 exch addtox pop} def
+/addtox4 {4 exch addtox pop} def
+/polygon { gsave /num exch def moveto num 1 sub {lineto} repeat setstyles } def
+/xcarc   { gsave newpath arc setstyles } def
+/elb { matrix currentmatrix 7 -1 roll 7 -1 roll translate 5 1 roll 4 -1 roll
+	3 index div 1 scale } def
+/ele { 0 4 1 roll 0 4 1 roll } bind def
+/ellipse { gsave elb newpath ele arc setmatrix setstyles } def
+/pellip  { elb ele arc setmatrix } def
+/nellip  { elb ele arcn setmatrix } def
+/spline  { gsave moveto curveto setstyles } def
+/polyc   { {lineto} repeat } bind def
+/beginpath { gsave moveto } bind def
+/endpath { setstyles } bind def
+/bop	 { 1 setlinecap 0 setlinejoin 6 setmiterlimit 0 0 0 scb cRedef
+	/clipped 0 def} def
+/psinsertion {/PSobj save def /showpage {} def /setpagedevice {pop} def bop
+	rotate translate dup scale} def
+/end_insert {PSobj restore} def
+/setpagemat {/pagemat matrix currentmatrix def} def
+/inchscale  {setpagemat 0.375 mul dup scale} def
+/cmscale    {setpagemat 0.35433071 mul dup scale} def
+
+%%EndResource
+%%EndProlog
+
+% XCircuit output starts here.
+
+%%BeginSetup
+
+/analog::crystal {
+begingate
+0 1.000 -24 -12 -24 12 24 12 24 -12 4 polygon
+1 1.000 0 48 0 24 2 polygon
+1 1.000 0 -48 0 -24 2 polygon
+1 1.000 -20 24 20 24 2 polygon
+1 1.000 -20 -24 20 -24 2 polygon
+1.000 0.000 0.000 scb
+(x.1) {/Times-Roman cf} 2 9 0 1.000 0 48 pinlabel
+(x.2) {/Times-Roman cf} 2 13 0 1.000 0 -48 pinlabel
+endgate
+} def
+
+/generic::dot {
+% trivial
+begingate
+248 1.000 0 0 6 0.000 360.000 xcarc
+1.000 0.000 0.000 scb
+(x) {/Times-Roman cf} 2 29 0 1.000 0 0 pinlabel
+endgate
+} def
+
+/analog::capacitor {
+begingate
+1 1.000 0 -64 0 -6 2 polygon
+1 1.000 0 64 0 6 2 polygon
+1 1.000 -32 6 32 6 2 polygon
+1 1.000 -32 -6 32 -6 2 polygon
+1.000 0.000 0.000 scb
+(c.1) {/Times-Roman cf} 2 9 0 1.000 0 64 pinlabel
+(c.2) {/Times-Roman cf} 2 13 0 1.000 0 -64 pinlabel
+0.180 0.545 0.341 scb
+(spice:C%i %pc.1 %pc.2 1.0P) {/Times-Roman cf} 2 0 0 1.000 -208 -160 infolabel
+(sim:c %pc.1 %pc.2) {/Times-Roman cf} 2 0 0 1.000 -208 -208 infolabel
+endgate
+} def
+
+
+%%EndSetup
+
+%%Page: mh-block 1
+%%PageOrientation: Portrait
+/pgsave save def bop
+1.0000 inchscale
+2.6000 setlinewidth 960 662 translate
+
+(ATMega32) {/Helvetica cf} 2 16 0 1.000 48 80 label
+0 1.000 -160 128 -160 -144 544 -144 544 128 4 polygon
+0 1.000 -160 352 -160 288 64 288 64 352 4 polygon
+0 1.000 256 352 256 288 544 288 544 352 4 polygon
+0 1.000 256 -256 256 -320 544 -320 544 -256 4 polygon
+0 1.000 -160 -256 -160 -320 128 -320 128 -256 4 polygon
+1 1.000 -32 -256 -32 -144 2 polygon
+1 1.000 384 -256 384 -144 2 polygon
+1 1.000 384 288 384 128 2 polygon
+1 1.000 -48 288 -48 128 2 polygon
+0 1.000 144 256 144 208 320 208 320 256 4 polygon
+(PROG) {/Helvetica cf} 2 16 0 1.000 176 224 label
+1 1.000 240 208 240 128 2 polygon
+(USB to) {/Helvetica cf} 2 16 0 1.000 -512 48 label
+(Serial) {/Helvetica cf} 2 16 0 1.000 -512 -16 label
+(Converter) {/Helvetica cf} 2 16 0 1.000 -512 -80 label
+0 1.000 -528 128 -528 -144 -320 -144 -320 128 4 polygon
+1 1.000 -320 64 -160 64 2 polygon
+1 1.000 -320 -64 -160 -64 2 polygon
+(PC) {/Helvetica cf} 2 16 0 1.000 -736 32 label
+0 1.000 -768 128 -768 -32 -640 -32 -640 128 4 polygon
+1 1.000 -640 32 -528 32 2 polygon
+(USB) {/Helvetica cf} 2 16 0 1.000 -624 48 label
+(PORTC) {/Helvetica cf} 2 16 0 1.000 -96 -304 label
+(PORTA) {/Helvetica cf} 2 16 0 1.000 336 -304 label
+(PORTB) {/Helvetica cf} 2 16 0 1.000 336 304 label
+(PORTD) {/Helvetica cf} 2 16 0 1.000 -112 304 label
+(RST) {/Helvetica cf} 2 16 0 1.000 176 -192 label
+(RTS) {/Helvetica cf} 2 16 0 1.000 -624 -80 label
+1 1.000 512 352 512 288 2 polygon
+(LED) {/Helvetica cf} 2 16 0 1.000 576 288 label
+1 1.000 528 320 592 320 2 polygon
+0 1.000 -112 48 -112 -112 48 -112 48 48 4 polygon
+{/Helvetica cf} 1 16 0 1.000 -80 -16 label
+(Memory) {/Helvetica cf} 2 16 0 1.000 -112 -32 label
+1.000 0 624 -16 analog::crystal
+1 1.000 544 48 624 48 624 16 3 polygon
+1 1.000 624 -64 624 -96 544 -96 3 polygon
+(8 MHz) {/Helvetica cf} 2 16 0 1.000 672 0 label
+(Crystal) {/Helvetica cf} 2 16 0 1.000 672 -48 label
+(AVR) {/Helvetica cf} 2 16 0 1.000 112 -16 label
+(CPU) {/Helvetica cf} 2 16 0 1.000 112 -64 label
+0 1.000 80 32 80 -80 224 -80 224 32 4 polygon
+{/Helvetica cf} 1 16 0 1.000 256 -48 label
+{/Helvetica cf} 1 16 0 1.000 304 16 label
+(Peripherals) {/Helvetica cf} 2 16 0 1.000 272 -32 label
+0 1.000 256 32 256 -80 496 -80 496 32 4 polygon
+1 1.000 -112 -80 48 -80 2 polygon
+1 1.000 -112 -112 -80 -80 2 polygon
+1 1.000 -80 -112 -48 -80 2 polygon
+1 1.000 -48 -112 -16 -80 2 polygon
+1 1.000 -16 -112 16 -80 2 polygon
+1 1.000 16 -112 48 -80 2 polygon
+(A portion of the Flash memory is occupied by the Boot Loader Code) 
+{/Helvetica cf} 2 16 0 1.000 -624 -464 label
+1 1.000 -528 -96 -624 -96 -624 -208 3 polygon
+1.000 0 -624 -208 generic::dot
+1.000 0 -624 -240 generic::dot
+(Reset) {/Helvetica cf} 2 16 0 1.000 -416 -240 label
+(Button) {/Helvetica cf} 2 16 0 1.000 -416 -288 label
+0 1.000 -432 -208 -432 -304 -288 -304 -288 -208 4 polygon
+1 1.000 -368 -304 -368 -368 2 polygon
+(Jumper) {/Helvetica cf} 2 16 0 1.000 -608 -224 label
+1.000 90 -528 -368 analog::capacitor
+1 1.000 -624 -240 -624 -368 -592 -368 3 polygon
+1 1.000 -464 -368 176 -368 176 -144 3 polygon
+(C) {/Helvetica cf} 2 16 0 1.000 -544 -320 label
+pgsave restore showpage
+
+%%Trailer
+XCIRCsave restore
+%%EOF
diff --git a/microhope/microhope-doc/pics/mh-block.png b/microhope/microhope-doc/pics/mh-block.png
new file mode 100644
index 0000000..287f396
Binary files /dev/null and b/microhope/microhope-doc/pics/mh-block.png differ
diff --git a/microhope/microhope-doc/pics/mh-cro-screen.png b/microhope/microhope-doc/pics/mh-cro-screen.png
new file mode 100644
index 0000000..04c7fd4
Binary files /dev/null and b/microhope/microhope-doc/pics/mh-cro-screen.png differ
diff --git a/microhope/microhope-doc/pics/mh-hbridge.jpg b/microhope/microhope-doc/pics/mh-hbridge.jpg
new file mode 100644
index 0000000..0a548da
Binary files /dev/null and b/microhope/microhope-doc/pics/mh-hbridge.jpg differ
diff --git a/microhope/microhope-doc/pics/mh-usbasp.jpg b/microhope/microhope-doc/pics/mh-usbasp.jpg
new file mode 100644
index 0000000..6c4b960
Binary files /dev/null and b/microhope/microhope-doc/pics/mh-usbasp.jpg differ
diff --git a/microhope/microhope-doc/pics/microhope-led8.jpg b/microhope/microhope-doc/pics/microhope-led8.jpg
new file mode 100644
index 0000000..c22d61e
Binary files /dev/null and b/microhope/microhope-doc/pics/microhope-led8.jpg differ
diff --git a/microhope/microhope-doc/pics/microhope-photo-horiz.jpg b/microhope/microhope-doc/pics/microhope-photo-horiz.jpg
new file mode 100644
index 0000000..8336f91
Binary files /dev/null and b/microhope/microhope-doc/pics/microhope-photo-horiz.jpg differ
diff --git a/microhope/microhope-doc/pics/microhope-with-lcd.jpg b/microhope/microhope-doc/pics/microhope-with-lcd.jpg
new file mode 100644
index 0000000..aeeb443
Binary files /dev/null and b/microhope/microhope-doc/pics/microhope-with-lcd.jpg differ
diff --git a/microhope/microhope-doc/pics/microhope.eps b/microhope/microhope-doc/pics/microhope.eps
new file mode 100644
index 0000000..531265f
--- /dev/null
+++ b/microhope/microhope-doc/pics/microhope.eps
@@ -0,0 +1,284 @@
+%!PS-Adobe-3.0 EPSF-3.0
+%%Title: microhope.eps
+%%Creator: XCircuit v3.7 rev26
+%%CreationDate: Wed Oct 16 07:22:13 2013
+%%Pages: 1
+%%BoundingBox: 68 68 453 214
+%%DocumentNeededResources: font Helvetica Times-Roman 
+%%EndComments
+%%BeginProlog
+%
+%  PostScript prolog for output from xcircuit
+%  Version: 3.7
+%
+%  Electrical circuit (and otherwise general) drawing program
+%
+%  Written by Tim Edwards 8/5/93--11/1/10  (tim.edwards at multigig.com)
+%  The Johns Hopkins University (1993-2004)
+%  MultiGiG, Inc. (2004-present)
+%
+%%BeginResource: procset XCIRCproc 3.7 2
+%
+% supporting definitions --- these are the primary xcircuit types.
+
+/XCIRCsave save def
+/topmat matrix currentmatrix def
+
+/fontslant { /slant exch def [1 0 slant 1 0 0] 
+    exch findfont exch makefont dup length dict /ndict exch def
+    { 1 index /FID ne { ndict 3 1 roll put } { pop pop } ifelse } forall
+    ndict definefont pop} def
+/ul { dup type /stringtype eq showflag 1 eq and { gsave 
+   currentpoint topmat setmatrix 0 0 moveto 2 index stringwidth pop (_)
+   false charpath flattenpath pathbbox grestore exch pop 1 index
+   sub setlinewidth exch pop currentpoint 3 -1 roll add moveto 0
+   rlineto stroke moveto } if } def
+/ol { dup type /stringtype eq showflag 1 eq and { gsave gsave
+   currentpoint topmat setmatrix 2 index stringwidth pop 3 index
+   true charpath flattenpath pathbbox grestore exch pop
+   exch pop topmat setmatrix (_) true charpath pathbbox grestore
+   exch pop 1 index sub setlinewidth exch pop currentpoint
+   exch 4 1 roll exch sub add moveto pop 0 rlineto stroke
+   moveto } if } def
+/stW { gsave currentpoint newpath moveto true charpath flattenpath
+	pathbbox pop exch pop sub grestore } def
+/Ts {mark Tabs aload pop counttomark 1 add array astore /Tabs exch def Tabs
+	0 currentpoint pop put} def
+/Tbn {mark Tabs aload pop counttomark dup 2 add 1 roll cleartomark 1 sub} def
+/Tb { 0 1 Tbn {Tabs exch get dup currentpoint pop lt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/Tf { Tbn -1 0 {Tabs exch get dup currentpoint pop gt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/qS { (aa) stW (a a) stW sub 4 div 0 Kn } def
+/hS { qS qS } def
+/pspc 0 def
+/cf0 { scalefont setfont } bind def
+/Kn { dup kY add /kY exch def rmoveto } bind def
+/ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.33 mul neg Kn} def
+/Ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.67 mul Kn } def
+/ns { 0 kY neg Kn /kY 0 def /fscale 1.0 def xfont0 1.0 cf0 } def
+/CR { ns 0 /Bline Bline fscale0 neg add def Bline moveto } def
+/cf { dup type /realtype ne {1.0} if exch findfont exch kY 0 eq
+	{ 40 mul dup /fscale0 exch def cf0 /xfont0 currentfont def}
+	{fscale0 mul fscale mul cf0} ifelse } def
+/ctmk { counttomark dup 2 add -1 roll pop } bind def
+/label { gsave translate 0 0 moveto dup scale neg /rotval exch def
+	/just exch def just 384 and 0 gt {/mshow {pop} def} {/mshow {show}
+	def} ifelse just 16 and 0 gt {gsave rotval rotate 0 1 dtransform
+	gsave pagemat setmatrix idtransform exch grestore 1 0 dtransform
+	gsave pagemat setmatrix idtransform exch grestore dup abs 1e-9 lt
+	{pop mul 0 gt} {3 1 roll pop pop 0 lt} ifelse grestore {-1 /rotval
+	rotval neg def /just just dup 3 and 1 ne {3 xor} if def} {1} ifelse
+	exch -1e-9 lt {-1 /rotval rotval neg def /just just dup 12 and
+	4 ne {12 xor} if def} {1} ifelse scale } if /showflag 0 def
+	/fspc pspc def /Bline 0 def /Tabs 0 array def /fscale 1.0 def
+	/kY 0 def gsave dup 1 add copy 0 exch 1 0 dtransform exch atan rotate
+	{exch dup type /stringtype eq {true charpath flattenpath} {dup type
+	/arraytype eq {exec} {12 string cvs true charpath flattenpath} ifelse}
+	ifelse} repeat pop pathbbox grestore 3 -1 roll pop 3 1 roll just
+	1 and 0 gt {just 2 and 0 gt {exch pop neg fspc sub} {exch sub 0.5
+	mul neg} ifelse} {pop neg fspc add} ifelse exch Bline exch just 4
+	and 0 gt {just 8 and 0 gt {exch pop neg fspc sub} {add 0.5 mul neg}
+	ifelse} {pop neg fspc add} ifelse rotval rotate Kn currentpoint
+	translate /showflag 1 def /Bline 0 def /Tabs 0 array def /fscale
+	1.0 def /kY 0 def {dup type /stringtype eq {mshow} {dup type
+	/arraytype eq {exec} {12 string cvs mshow} ifelse} ifelse} repeat
+	grestore endclip} def
+/pinlabel { 4 index 32 and 0 ne hlevel 0 eq or { /pspc 10 def label
+	/pspc 0 def } { pop pop pop pop pop {pop} repeat } ifelse } def
+/pinglobal { pinlabel } def
+/infolabel { pinlabel } def
+/graphic { gsave 4 index cvx exec /DataSource get resetfile translate 0 0
+	moveto neg rotate dup scale cvx exec image grestore endclip} def
+
+/scb { setrgbcolor } bind def  /sce { defColor aload pop scb } bind def
+/cRedef {/defColor currentcolor 3 array astore def} def
+/begingate {dup type /dicttype ne {1 dict} if begin	% default params
+	dup type /dicttype ne {1 dict} if begin		% instanced params
+	/hlevel hlevel 1 add def /defColor currentcolor sce 3 array astore
+	def gsave sce translate 0 0 moveto neg rotate dup type /nametype
+	eq not { dup abs currentlinewidth exch div setlinewidth } { pop }
+	ifelse dup abs scale clipped 1 and 1 eq {/clipped clipped 1 add def}
+	if} bind def
+/endgate { /hlevel hlevel 1 sub def grestore defColor aload pop cRedef
+	scb end end endclip} bind def
+
+/hlevel 0 def
+/endclip {clipped 1 and 1 eq {grestore /clipped clipped 1 sub def} if} def
+/tmpa [1 0 0 1 0 0] def
+/gar {8 8 true tmpa {<c0 c0 00 00 0c 0c 00 00>} imagemask} bind
+{8 8 true tmpa {<30 70 60 02 03 07 06 20>} imagemask} bind
+{8 8 true tmpa {<0c 1e 1e 0c c0 e1 e1 c0>} imagemask} bind
+{8 8 true tmpa {<0f 0f 0f 0f f0 f0 f0 f0>} imagemask} bind
+{8 8 true tmpa {<3f f3 e1 e1 f3 3f 1e 1e>} imagemask} bind
+{8 8 true tmpa {<df cf 8f 9f fd fc f8 f9>} imagemask} bind
+{8 8 true tmpa {<ff 3f 3f ff ff f3 f3 ff>} imagemask} bind 7 array astore def
+/ppaint { gsave clip tmpa dup setmatrix pathbbox neg exch neg 4 2 roll
+  neg 4 -1 roll 2 copy gt {exch} if 8 div ceiling 8 mul 4 2 roll neg 2 copy
+  gt {exch} if 8 div ceiling 8 mul 3 -1 roll -8 5 -1 roll
+  { 3 index exch 5 exch put dup -8 3 index { 3 index
+  exch 4 exch put 3 index exec } for } for pop pop pop pop grestore } bind def
+/setstyles {
+  currentlinewidth mul setlinewidth /style exch def style 2048 and 0 gt
+  clipped 1 and 0 eq and {clip newpath /clipped clipped 1 add def} {
+  style 1 and 0 gt not {closepath} if
+  style 1024 and 0 gt {2 setlinecap} if
+  style 2 and 0 gt {currentlinewidth 4 mul dup 2 array astore 0 setdash} if
+  style 4 and 0 gt {0.5 currentlinewidth 4 mul 2 array astore 0 setdash} if
+  gsave style 16 and 0 gt { style 224 and -5 bitshift style 256 and 0 gt {
+  7 exch sub 8 div dup 1 exch sub currentrgbcolor 3 array astore
+  {3 copy mul add 4 1 roll pop} forall pop pop setrgbcolor eofill}
+  {dup 7 lt {gar exch get ppaint} {pop eofill} ifelse} ifelse}
+  {style 256 and 0 gt {1 setgray eofill} if} ifelse grestore style 8 and 0 gt 
+  style 512 eq or {newpath} {stroke} ifelse grestore endclip} ifelse} def     
+
+/addtoy {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll add
+	4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtoy1 {1 exch addtoy pop} def
+/addtoy3 {3 exch addtoy pop} def
+/addtoy4 {4 exch addtoy pop} def
+/addtox {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll 3 -1 roll
+	add exch 4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtox1 {1 exch addtox pop} def
+/addtox3 {3 exch addtox pop} def
+/addtox4 {4 exch addtox pop} def
+/polygon { gsave /num exch def moveto num 1 sub {lineto} repeat setstyles } def
+/xcarc   { gsave newpath arc setstyles } def
+/elb { matrix currentmatrix 7 -1 roll 7 -1 roll translate 5 1 roll 4 -1 roll
+	3 index div 1 scale } def
+/ele { 0 4 1 roll 0 4 1 roll } bind def
+/ellipse { gsave elb newpath ele arc setmatrix setstyles } def
+/pellip  { elb ele arc setmatrix } def
+/nellip  { elb ele arcn setmatrix } def
+/spline  { gsave moveto curveto setstyles } def
+/polyc   { {lineto} repeat } bind def
+/beginpath { gsave moveto } bind def
+/endpath { setstyles } bind def
+/bop	 { 1 setlinecap 0 setlinejoin 6 setmiterlimit 0 0 0 scb cRedef
+	/clipped 0 def} def
+/psinsertion {/PSobj save def /showpage {} def /setpagedevice {pop} def bop
+	rotate translate dup scale} def
+/end_insert {PSobj restore} def
+/setpagemat {/pagemat matrix currentmatrix def} def
+/inchscale  {setpagemat 0.375 mul dup scale} def
+/cmscale    {setpagemat 0.35433071 mul dup scale} def
+
+%%EndResource
+%%EndProlog
+
+% XCircuit output starts here.
+
+%%BeginSetup
+
+/generic::circle {
+% trivial
+begingate
+1 1.000 16 0 6 0.000 360.000 xcarc
+1 1.000 0 0 10 0 2 polygon
+1.000 0.000 0.000 scb
+(out) {/Times-Roman cf} 2 4 0 1.000 16 0 pinlabel
+(out) {/Times-Roman cf} 2 7 0 1.000 0 0 pinlabel
+endgate
+} def
+
+/generic::arrowhead {
+% nonetwork
+begingate
+8 -28 beginpath
+3 -18 3 -15 0 0 curveto
+-3 -15 -3 -18 -8 -28 curveto
+-2 -26 2 -26 8 -28 curveto
+249 
+1.000 endpath
+endgate
+} def
+
+/analog::switch {
+% trivial
+begingate
+1 1.300 32 32 2 -43 2 polygon
+1 0.600 0 -48 57 49.000 90.000 xcarc
+-1.000 /sv 90 0 -64 generic::circle
+0.600 /sv 270 -12 8 generic::arrowhead
+1.000 90 0 48 generic::circle
+1.000 0.000 0.000 scb
+(sw.1) {/Times-Roman cf} 2 9 0 1.000 0 48 pinlabel
+(sw.2) {/Times-Roman cf} 2 13 0 1.000 0 -64 pinlabel
+endgate
+} def
+
+/generic::jumper {
+% trivial
+begingate
+1 1.000 0 0 10 -90.000 90.000 xcarc
+1 1.000 0 10 0 16 2 polygon
+1 1.000 0 -10 0 -16 2 polygon
+1.000 0.000 0.000 scb
+(a) {/Times-Roman cf} 2 1 0 1.000 0 16 pinlabel
+(a) {/Times-Roman cf} 2 13 0 1.000 0 -16 pinlabel
+endgate
+} def
+
+/analog::gnd {
+% trivial
+begingate
+1 1.000 0 0 0 -32 2 polygon
+1 1.000 -32 -32 32 -32 2 polygon
+1 1.000 -18 -46 18 -46 2 polygon
+1 1.000 -4 -60 4 -60 2 polygon
+0.933 0.604 0.000 scb
+(GND) {/Times-Roman cf} 2 1 0 1.000 0 0 pinglobal
+endgate
+} def
+
+
+%%EndSetup
+
+%%Page: 1 1
+%%PageOrientation: Portrait
+/pgsave save def bop
+1.0000 inchscale
+2.6000 setlinewidth 704 336 translate
+
+(USB to) {/Helvetica cf} 2 16 0 1.000 -256 96 label
+(Serial IC) {/Helvetica cf} 2 16 0 1.000 -256 48 label
+(FT232RL) {/Helvetica cf} 2 16 0 1.000 -256 0 label
+(ATMega32) {/Helvetica cf} 2 16 0 1.000 128 48 label
+0 1.000 32 128 32 -16 448 -16 448 128 4 polygon
+(PORTC) {/Helvetica cf} 2 16 0 1.000 48 0 label
+(PORTB) {/Helvetica cf} 2 16 0 1.000 304 96 label
+(PORTD) {/Helvetica cf} 2 16 0 1.000 48 96 label
+(PORTA) {/Helvetica cf} 2 16 0 1.000 288 0 label
+0 1.000 48 128 48 160 192 160 192 128 4 polygon
+0 1.000 288 128 288 160 432 160 432 128 4 polygon
+0 1.000 48 -16 48 -48 192 -48 192 -16 4 polygon
+0 1.000 288 -16 288 -48 432 -48 432 -16 4 polygon
+0 1.000 -288 144 -288 -16 -80 -16 -80 144 4 polygon
+1 1.000 -80 96 32 96 2 polygon
+1 1.000 32 32 -80 32 2 polygon
+(Rx) {/Helvetica cf} 2 16 0 1.000 -48 112 label
+(Tx) {/Helvetica cf} 2 16 0 1.000 -48 48 label
+(RST) {/Helvetica cf} 2 16 0 1.000 160 -96 label
+0 1.000 336 176 336 224 240 224 240 176 4 polygon
+(SPI) {/Helvetica cf} 2 16 0 1.000 256 192 label
+1 1.000 304 176 304 160 2 polygon
+(PC) {/Helvetica cf} 2 16 0 1.000 -496 64 label
+0 1.000 -512 128 -512 16 -432 16 -432 128 4 polygon
+(USB) {/Helvetica cf} 2 16 0 1.000 -400 80 label
+1 1.000 -432 64 -288 64 2 polygon
+(LED) {/Helvetica cf} 2 16 0 1.000 416 192 label
+1 1.000 416 192 416 160 2 polygon
+1.000 270 320 -112 analog::switch
+1 1.000 -32 -112 240 -112 240 -16 3 polygon
+1.000 270 -48 -112 generic::jumper
+1 1.000 -64 -112 -192 -112 -192 -16 3 polygon
+1 1.000 272 -112 240 -112 2 polygon
+1 1.000 384 -112 416 -112 2 polygon
+1.000 270 416 -112 analog::gnd
+pgsave restore showpage
+
+%%Trailer
+XCIRCsave restore
+%%EOF
diff --git a/microhope/microhope-doc/pics/microhope.png b/microhope/microhope-doc/pics/microhope.png
new file mode 100644
index 0000000..9f87018
Binary files /dev/null and b/microhope/microhope-doc/pics/microhope.png differ
diff --git a/microhope/microhope-doc/pics/minimum_circuit.eps b/microhope/microhope-doc/pics/minimum_circuit.eps
new file mode 100644
index 0000000..3464054
--- /dev/null
+++ b/microhope/microhope-doc/pics/minimum_circuit.eps
@@ -0,0 +1,244 @@
+%!PS-Adobe-3.0 EPSF-3.0
+%%Title: minimum_circuit.eps
+%%Creator: XCircuit v3.6 rev135
+%%CreationDate: Mon Jul  6 16:50:29 2009
+%%Pages: 1
+%%BoundingBox: 68 68 319 228
+%%DocumentNeededResources: font Helvetica Times-Roman 
+%%EndComments
+%%BeginProlog
+%
+%  PostScript prolog for output from xcircuit
+%  Version: 3.3
+%
+%  Electrical circuit (and otherwise general) drawing program
+%
+%  Written by Tim Edwards 8/5/93--7/13/05  (tim.edwards at multigig.com)
+%  The Johns Hopkins University (1993-2004)
+%  MultiGiG, Inc. (2004-present)
+%
+%%BeginResource: procset XCIRCproc 3.3 0
+%
+% supporting definitions --- these are the primary xcircuit types.
+
+/XCIRCsave save def
+/topmat matrix currentmatrix def
+
+/fontslant { /slant exch def [1 0 slant 1 0 0] 
+    exch findfont exch makefont dup length dict /ndict exch def
+    { 1 index /FID ne { ndict 3 1 roll put } { pop pop } ifelse } forall
+    ndict definefont pop} def
+/ul { dup type /stringtype eq showflag 1 eq and { gsave 
+   currentpoint topmat setmatrix 0 0 moveto 2 index stringwidth pop (_)
+   false charpath flattenpath pathbbox grestore exch pop 1 index
+   sub setlinewidth exch pop currentpoint 3 -1 roll add moveto 0
+   rlineto stroke moveto } if } def
+/ol { dup type /stringtype eq showflag 1 eq and { gsave gsave
+   currentpoint topmat setmatrix 2 index stringwidth pop 3 index
+   true charpath flattenpath pathbbox grestore exch pop
+   exch pop topmat setmatrix (_) true charpath pathbbox grestore
+   exch pop 1 index sub setlinewidth exch pop currentpoint
+   exch 4 1 roll exch sub add moveto pop 0 rlineto stroke
+   moveto } if } def
+/stW { gsave currentpoint newpath moveto true charpath flattenpath
+	pathbbox pop exch pop sub grestore } def
+/Ts {mark Tabs aload pop counttomark 1 add array astore /Tabs exch def Tabs
+	0 currentpoint pop put} def
+/Tbn {mark Tabs aload pop counttomark dup 2 add 1 roll cleartomark 1 sub} def
+/Tb { 0 1 Tbn {Tabs exch get dup currentpoint pop lt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/Tf { Tbn -1 0 {Tabs exch get dup currentpoint pop gt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/qS { (aa) stW (a a) stW sub 4 div 0 Kn } def
+/hS { qS qS } def
+/pspc 0 def
+/cf0 { scalefont setfont } bind def
+/Kn { dup kY add /kY exch def rmoveto } bind def
+/ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.33 mul neg Kn} def
+/Ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.67 mul Kn } def
+/ns { 0 kY neg Kn /kY 0 def /fscale 1.0 def xfont0 1.0 cf0 } def
+/CR { ns 0 /Bline Bline fscale0 neg add def Bline moveto } def
+/cf { dup type /realtype ne {1.0} if exch findfont exch kY 0 eq
+	{ 40 mul dup /fscale0 exch def cf0 /xfont0 currentfont def}
+	{fscale0 mul fscale mul cf0} ifelse } def
+/ctmk { counttomark dup 2 add -1 roll pop } bind def
+/label { gsave translate 0 0 moveto dup scale neg /rotval exch def
+	/just exch def just 384 and 0 gt {/mshow {pop} def} {/mshow {show}
+	def} ifelse just 16 and 0 gt {gsave rotval rotate 0 1 dtransform
+	gsave pagemat setmatrix idtransform exch grestore 1 0 dtransform
+	gsave pagemat setmatrix idtransform exch grestore dup abs 1e-9 lt
+	{pop mul 0 gt} {3 1 roll pop pop 0 lt} ifelse grestore {-1 /rotval
+	rotval neg def /just just dup 3 and 1 ne {3 xor} if def} {1} ifelse
+	exch -1e-9 lt {-1 /rotval rotval neg def /just just dup 12 and
+	4 ne {12 xor} if def} {1} ifelse scale } if /showflag 0 def
+	/fspc pspc def /Bline 0 def /Tabs 0 array def /fscale 1.0 def
+	/kY 0 def gsave dup 1 add copy 0 exch 1 0 dtransform exch atan rotate
+	{exch dup type /stringtype eq {true charpath flattenpath} {dup type
+	/arraytype eq {exec} {12 string cvs true charpath flattenpath} ifelse}
+	ifelse} repeat pop pathbbox grestore 3 -1 roll pop 3 1 roll just
+	1 and 0 gt {just 2 and 0 gt {exch pop neg fspc sub} {exch sub 0.5
+	mul neg} ifelse} {pop neg fspc add} ifelse exch Bline exch just 4
+	and 0 gt {just 8 and 0 gt {exch pop neg fspc sub} {add 0.5 mul neg}
+	ifelse} {pop neg fspc add} ifelse rotval rotate Kn currentpoint
+	translate /showflag 1 def /Bline 0 def /Tabs 0 array def /fscale
+	1.0 def /kY 0 def {dup type /stringtype eq {mshow} {dup type
+	/arraytype eq {exec} {12 string cvs mshow} ifelse} ifelse} repeat
+	grestore } def
+/pinlabel { 4 index 32 and 0 ne hlevel 0 eq or { /pspc 10 def label
+	/pspc 0 def } { pop pop pop pop pop {pop} repeat } ifelse } def
+/pinglobal { pinlabel } def
+/infolabel { pinlabel } def
+/graphic { gsave 4 index cvx exec /DataSource get resetfile translate
+	0 0 moveto neg rotate dup scale cvx exec image grestore } def
+
+/scb { setrgbcolor } bind def  /sce { defColor aload pop scb } bind def
+/cRedef {/defColor currentcolor 3 array astore def} def
+/begingate {dup type /dicttype ne {1 dict} if begin	% default params
+	dup type /dicttype ne {1 dict} if begin		% instanced params
+	/hlevel hlevel 1 add def /defColor currentcolor sce 3 array
+	astore def gsave sce translate 0 0 moveto neg rotate dup abs scale
+	} bind def
+/endgate { /hlevel hlevel 1 sub def grestore defColor aload pop cRedef
+	scb end end} bind def
+
+/hlevel 0 def
+/tmpa [1 0 0 1 0 0] def
+/gar {8 8 true tmpa {<c0 c0 00 00 0c 0c 00 00>} imagemask} bind
+{8 8 true tmpa {<30 70 60 02 03 07 06 20>} imagemask} bind
+{8 8 true tmpa {<0c 1e 1e 0c c0 e1 e1 c0>} imagemask} bind
+{8 8 true tmpa {<0f 0f 0f 0f f0 f0 f0 f0>} imagemask} bind
+{8 8 true tmpa {<3f f3 e1 e1 f3 3f 1e 1e>} imagemask} bind
+{8 8 true tmpa {<df cf 8f 9f fd fc f8 f9>} imagemask} bind
+{8 8 true tmpa {<ff 3f 3f ff ff f3 f3 ff>} imagemask} bind 7 array astore def
+/ppaint { gsave clip tmpa dup setmatrix pathbbox neg exch neg 4 2 roll
+  neg 4 -1 roll 2 copy gt {exch} if 8 div ceiling 8 mul 4 2 roll neg 2 copy
+  gt {exch} if 8 div ceiling 8 mul 3 -1 roll -8 5 -1 roll
+  { 3 index exch 5 exch put dup -8 3 index { 3 index
+  exch 4 exch put 3 index exec } for } for pop pop pop pop grestore } bind def
+/setstyles {
+  currentlinewidth mul setlinewidth /style exch def
+  style 1 and 0 gt not {closepath} if
+  style 1024 and 0 gt {2 setlinecap} if
+  style 2 and 0 gt {currentlinewidth 4 mul dup 2 array astore 0 setdash} if
+  style 4 and 0 gt {0.5 currentlinewidth 4 mul 2 array astore 0 setdash} if
+  gsave style 16 and 0 gt { style 224 and -5 bitshift style 256 and 0 gt {
+  7 exch sub 8 div dup 1 exch sub currentrgbcolor 3 array astore
+  {3 copy mul add 4 1 roll pop} forall pop pop setrgbcolor eofill}
+  {dup 7 lt {gar exch get ppaint} {pop eofill} ifelse} ifelse}
+  {style 256 and 0 gt {1 setgray eofill} if} ifelse grestore style 8 and 0 gt 
+  style 512 eq or {newpath} {stroke} ifelse grestore} def     
+
+/polygon { gsave /num exch def moveto num 1 sub {lineto} repeat setstyles } def
+/xcarc   { gsave newpath arc setstyles } def
+/elb { matrix currentmatrix 7 -1 roll 7 -1 roll translate 5 1 roll 4 -1 roll
+	3 index div 1 scale } def
+/ele { 0 4 1 roll 0 4 1 roll } bind def
+/ellipse { gsave elb newpath ele arc setmatrix setstyles } def
+/pellip  { elb ele arc setmatrix } def
+/nellip  { elb ele arcn setmatrix } def
+/spline  { gsave moveto curveto setstyles } def
+/polyc   { {lineto} repeat } bind def
+/beginpath { gsave moveto } bind def
+/endpath { setstyles } bind def
+/bop	 { 1 setlinecap 0 setlinejoin 6 setmiterlimit 0 0 0 scb cRedef } def
+/psinsertion {/PSobj save def /showpage {} def /setpagedevice {pop} def bop
+	rotate translate dup scale} def
+/end_insert {PSobj restore} def
+/setpagemat {/pagemat matrix currentmatrix def} def
+/inchscale  {setpagemat 0.375 mul dup scale} def
+/cmscale    {setpagemat 0.35433071 mul dup scale} def
+
+%%EndResource
+%%EndProlog
+
+% XCircuit output starts here.
+
+%%BeginSetup
+
+/analog::resistor {
+begingate
+1 1.000 0 64 0 36 2 polygon
+1 1.000 0 -64 0 -36 2 polygon
+1 1.000 0 -36 14 -30 -14 -18 14 -6 -14 6 14 18 -14 30 0 36 8 polygon
+1.000 0.000 0.000 scb
+(r.1) {/Times-Roman cf} 2 9 0 1.000 0 64 pinlabel
+(r.2) {/Times-Roman cf} 2 13 0 1.000 0 -64 pinlabel
+0.180 0.545 0.341 scb
+(spice:R%i %pr.1 %pr.2 1.0K) {/Times-Roman cf} 2 0 0 1.000 -208 -160 infolabel
+(sim:r %pr.1 %pr.2) {/Times-Roman cf} 2 0 0 1.000 -208 -208 infolabel
+endgate
+} def
+
+/analog::diode {
+begingate
+248 1.000 -18 -16 0 16 18 -16 3 polygon
+1 1.000 0 -48 0 -16 2 polygon
+1 1.000 0 48 0 16 2 polygon
+1 1.000 -18 16 18 16 2 polygon
+1.000 0.000 0.000 scb
+(d.m) {/Times-Roman cf} 2 9 0 1.000 0 48 pinlabel
+(d.p) {/Times-Roman cf} 2 13 0 1.000 0 -48 pinlabel
+endgate
+} def
+
+/analog::gnd {
+% trivial
+begingate
+1 1.000 0 0 0 -32 2 polygon
+1 1.000 -32 -32 32 -32 2 polygon
+1 1.000 -18 -46 18 -46 2 polygon
+1 1.000 -4 -60 4 -60 2 polygon
+0.933 0.604 0.000 scb
+(GND) {/Times-Roman cf} 2 1 0 1.000 0 0 pinglobal
+endgate
+} def
+
+
+%%EndSetup
+
+%%Page: 1 1
+%%PageOrientation: Portrait
+/pgsave save def bop
+1.0000 inchscale
+2.6000 setlinewidth 842 332 translate
+
+0 1.000 -288 176 -288 -112 -96 -112 -96 176 4 polygon
+1 1.000 -288 -32 -544 -32 2 polygon
+1 1.000 -288 16 -544 16 2 polygon
+1 1.000 -288 64 -544 64 2 polygon
+1 1.000 -288 112 -544 112 2 polygon
+1 1.000 -288 -80 -544 -80 2 polygon
+0 1.000 -608 160 -608 -128 -544 -128 -544 160 4 polygon
+(6) {/Helvetica cf} 2 16 0 1.000 -288 96 label
+(7) {/Helvetica cf} 2 16 0 1.000 -288 48 label
+(8) {/Helvetica cf} 2 16 0 1.000 -288 0 label
+(9) {/Helvetica cf} 2 16 0 1.000 -288 -48 label
+(11) {/Helvetica cf} 2 16 0 1.000 -288 -96 label
+(ATmega16) {/Helvetica cf} 2 16 270 1.000 -176 -96 label
+(PC Parallel Port) {/Helvetica cf} 2 16 270 1.000 -624 -128 label
+(MOSI) {/Helvetica cf} 2 16 0 1.000 -400 112 label
+(MISO) {/Helvetica cf} 2 16 0 1.000 -400 64 label
+(SCK) {/Helvetica cf} 2 16 0 1.000 -384 16 label
+(RESET) {/Helvetica cf} 2 16 0 1.000 -432 -32 label
+(GND) {/Helvetica cf} 2 16 0 1.000 -384 -80 label
+(2) {/Helvetica cf} 2 16 0 1.000 -576 96 label
+(11) {/Helvetica cf} 2 16 0 1.000 -592 48 label
+(1) {/Helvetica cf} 2 16 0 1.000 -576 0 label
+(16) {/Helvetica cf} 2 16 0 1.000 -592 -48 label
+(18) {/Helvetica cf} 2 16 0 1.000 -592 -96 label
+1.000 0 -32 80 analog::resistor
+1.000 180 -32 -32 analog::diode
+1.000 0 -32 -80 analog::gnd
+1 1.000 -96 144 -32 144 2 polygon
+(1) {/Helvetica cf} 2 16 0 1.000 -128 128 label
+(PB0) {/Helvetica cf} 2 16 0 1.000 -80 160 label
+(5V) {/Helvetica cf} 2 16 0 1.000 -208 240 label
+1 1.000 -192 176 -192 224 2 polygon
+(10) {/Helvetica cf} 2 16 0 1.000 -208 144 label
+pgsave restore showpage
+
+%%Trailer
+XCIRCsave restore
+%%EOF
diff --git a/microhope/microhope-doc/pics/mplabx-ide.png b/microhope/microhope-doc/pics/mplabx-ide.png
new file mode 100644
index 0000000..b2ba497
Binary files /dev/null and b/microhope/microhope-doc/pics/mplabx-ide.png differ
diff --git a/microhope/microhope-doc/pics/out.txt b/microhope/microhope-doc/pics/out.txt
new file mode 100644
index 0000000..7df9a89
--- /dev/null
+++ b/microhope/microhope-doc/pics/out.txt
@@ -0,0 +1,31 @@
+
+avrdude: AVR device initialized and ready to accept instructions
+
+Reading | ################################################## | 100% 0.02s
+
+avrdude: Device signature = 0x1e9502
+avrdude: NOTE: FLASH memory has been specified, an erase cycle will be performed
+         To disable this feature, specify the -D option.
+avrdude: erasing chip
+avrdude: reading input file "/home/ajith/microhope/pymicro.hex"
+avrdude: input file /home/ajith/microhope/pymicro.hex auto detected as Intel Hex
+avrdude: writing flash (174 bytes):
+
+Writing | ################################################## | 100% 0.12s
+
+avrdude: 174 bytes of flash written
+avrdude: verifying flash memory against /home/ajith/microhope/pymicro.hex:
+avrdude: load data flash data from input file /home/ajith/microhope/pymicro.hex:
+avrdude: input file /home/ajith/microhope/pymicro.hex auto detected as Intel Hex
+avrdude: input file /home/ajith/microhope/pymicro.hex contains 174 bytes
+avrdude: reading on-chip flash data:
+
+Reading | ################################################## | 100% 0.11s
+
+avrdude: verifying ...
+avrdude: 174 bytes of flash verified
+
+avrdude: safemode: Fuses OK
+
+avrdude done.  Thank you.
+
diff --git a/microhope/microhope-doc/pics/pcbpower.png b/microhope/microhope-doc/pics/pcbpower.png
new file mode 100644
index 0000000..ee79943
Binary files /dev/null and b/microhope/microhope-doc/pics/pcbpower.png differ
diff --git a/microhope/microhope-doc/pics/port-regs.png b/microhope/microhope-doc/pics/port-regs.png
new file mode 100644
index 0000000..38820a9
Binary files /dev/null and b/microhope/microhope-doc/pics/port-regs.png differ
diff --git a/microhope/microhope-doc/pics/prog_cable.jpg b/microhope/microhope-doc/pics/prog_cable.jpg
new file mode 100644
index 0000000..11549f2
Binary files /dev/null and b/microhope/microhope-doc/pics/prog_cable.jpg differ
diff --git a/microhope/microhope-doc/pics/pwm-rc.eps b/microhope/microhope-doc/pics/pwm-rc.eps
new file mode 100644
index 0000000..a895bba
--- /dev/null
+++ b/microhope/microhope-doc/pics/pwm-rc.eps
@@ -0,0 +1,237 @@
+%!PS-Adobe-3.0 EPSF-3.0
+%%Title: pwm-rc.eps
+%%Creator: XCircuit v3.7 rev26
+%%CreationDate: Sun Oct 27 12:41:19 2013
+%%Pages: 1
+%%BoundingBox: 68 68 260 103
+%%DocumentNeededResources: font Helvetica Times-Roman 
+%%EndComments
+%%BeginProlog
+%
+%  PostScript prolog for output from xcircuit
+%  Version: 3.7
+%
+%  Electrical circuit (and otherwise general) drawing program
+%
+%  Written by Tim Edwards 8/5/93--11/1/10  (tim.edwards at multigig.com)
+%  The Johns Hopkins University (1993-2004)
+%  MultiGiG, Inc. (2004-present)
+%
+%%BeginResource: procset XCIRCproc 3.7 2
+%
+% supporting definitions --- these are the primary xcircuit types.
+
+/XCIRCsave save def
+/topmat matrix currentmatrix def
+
+/fontslant { /slant exch def [1 0 slant 1 0 0] 
+    exch findfont exch makefont dup length dict /ndict exch def
+    { 1 index /FID ne { ndict 3 1 roll put } { pop pop } ifelse } forall
+    ndict definefont pop} def
+/ul { dup type /stringtype eq showflag 1 eq and { gsave 
+   currentpoint topmat setmatrix 0 0 moveto 2 index stringwidth pop (_)
+   false charpath flattenpath pathbbox grestore exch pop 1 index
+   sub setlinewidth exch pop currentpoint 3 -1 roll add moveto 0
+   rlineto stroke moveto } if } def
+/ol { dup type /stringtype eq showflag 1 eq and { gsave gsave
+   currentpoint topmat setmatrix 2 index stringwidth pop 3 index
+   true charpath flattenpath pathbbox grestore exch pop
+   exch pop topmat setmatrix (_) true charpath pathbbox grestore
+   exch pop 1 index sub setlinewidth exch pop currentpoint
+   exch 4 1 roll exch sub add moveto pop 0 rlineto stroke
+   moveto } if } def
+/stW { gsave currentpoint newpath moveto true charpath flattenpath
+	pathbbox pop exch pop sub grestore } def
+/Ts {mark Tabs aload pop counttomark 1 add array astore /Tabs exch def Tabs
+	0 currentpoint pop put} def
+/Tbn {mark Tabs aload pop counttomark dup 2 add 1 roll cleartomark 1 sub} def
+/Tb { 0 1 Tbn {Tabs exch get dup currentpoint pop lt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/Tf { Tbn -1 0 {Tabs exch get dup currentpoint pop gt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/qS { (aa) stW (a a) stW sub 4 div 0 Kn } def
+/hS { qS qS } def
+/pspc 0 def
+/cf0 { scalefont setfont } bind def
+/Kn { dup kY add /kY exch def rmoveto } bind def
+/ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.33 mul neg Kn} def
+/Ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.67 mul Kn } def
+/ns { 0 kY neg Kn /kY 0 def /fscale 1.0 def xfont0 1.0 cf0 } def
+/CR { ns 0 /Bline Bline fscale0 neg add def Bline moveto } def
+/cf { dup type /realtype ne {1.0} if exch findfont exch kY 0 eq
+	{ 40 mul dup /fscale0 exch def cf0 /xfont0 currentfont def}
+	{fscale0 mul fscale mul cf0} ifelse } def
+/ctmk { counttomark dup 2 add -1 roll pop } bind def
+/label { gsave translate 0 0 moveto dup scale neg /rotval exch def
+	/just exch def just 384 and 0 gt {/mshow {pop} def} {/mshow {show}
+	def} ifelse just 16 and 0 gt {gsave rotval rotate 0 1 dtransform
+	gsave pagemat setmatrix idtransform exch grestore 1 0 dtransform
+	gsave pagemat setmatrix idtransform exch grestore dup abs 1e-9 lt
+	{pop mul 0 gt} {3 1 roll pop pop 0 lt} ifelse grestore {-1 /rotval
+	rotval neg def /just just dup 3 and 1 ne {3 xor} if def} {1} ifelse
+	exch -1e-9 lt {-1 /rotval rotval neg def /just just dup 12 and
+	4 ne {12 xor} if def} {1} ifelse scale } if /showflag 0 def
+	/fspc pspc def /Bline 0 def /Tabs 0 array def /fscale 1.0 def
+	/kY 0 def gsave dup 1 add copy 0 exch 1 0 dtransform exch atan rotate
+	{exch dup type /stringtype eq {true charpath flattenpath} {dup type
+	/arraytype eq {exec} {12 string cvs true charpath flattenpath} ifelse}
+	ifelse} repeat pop pathbbox grestore 3 -1 roll pop 3 1 roll just
+	1 and 0 gt {just 2 and 0 gt {exch pop neg fspc sub} {exch sub 0.5
+	mul neg} ifelse} {pop neg fspc add} ifelse exch Bline exch just 4
+	and 0 gt {just 8 and 0 gt {exch pop neg fspc sub} {add 0.5 mul neg}
+	ifelse} {pop neg fspc add} ifelse rotval rotate Kn currentpoint
+	translate /showflag 1 def /Bline 0 def /Tabs 0 array def /fscale
+	1.0 def /kY 0 def {dup type /stringtype eq {mshow} {dup type
+	/arraytype eq {exec} {12 string cvs mshow} ifelse} ifelse} repeat
+	grestore endclip} def
+/pinlabel { 4 index 32 and 0 ne hlevel 0 eq or { /pspc 10 def label
+	/pspc 0 def } { pop pop pop pop pop {pop} repeat } ifelse } def
+/pinglobal { pinlabel } def
+/infolabel { pinlabel } def
+/graphic { gsave 4 index cvx exec /DataSource get resetfile translate 0 0
+	moveto neg rotate dup scale cvx exec image grestore endclip} def
+
+/scb { setrgbcolor } bind def  /sce { defColor aload pop scb } bind def
+/cRedef {/defColor currentcolor 3 array astore def} def
+/begingate {dup type /dicttype ne {1 dict} if begin	% default params
+	dup type /dicttype ne {1 dict} if begin		% instanced params
+	/hlevel hlevel 1 add def /defColor currentcolor sce 3 array astore
+	def gsave sce translate 0 0 moveto neg rotate dup type /nametype
+	eq not { dup abs currentlinewidth exch div setlinewidth } { pop }
+	ifelse dup abs scale clipped 1 and 1 eq {/clipped clipped 1 add def}
+	if} bind def
+/endgate { /hlevel hlevel 1 sub def grestore defColor aload pop cRedef
+	scb end end endclip} bind def
+
+/hlevel 0 def
+/endclip {clipped 1 and 1 eq {grestore /clipped clipped 1 sub def} if} def
+/tmpa [1 0 0 1 0 0] def
+/gar {8 8 true tmpa {<c0 c0 00 00 0c 0c 00 00>} imagemask} bind
+{8 8 true tmpa {<30 70 60 02 03 07 06 20>} imagemask} bind
+{8 8 true tmpa {<0c 1e 1e 0c c0 e1 e1 c0>} imagemask} bind
+{8 8 true tmpa {<0f 0f 0f 0f f0 f0 f0 f0>} imagemask} bind
+{8 8 true tmpa {<3f f3 e1 e1 f3 3f 1e 1e>} imagemask} bind
+{8 8 true tmpa {<df cf 8f 9f fd fc f8 f9>} imagemask} bind
+{8 8 true tmpa {<ff 3f 3f ff ff f3 f3 ff>} imagemask} bind 7 array astore def
+/ppaint { gsave clip tmpa dup setmatrix pathbbox neg exch neg 4 2 roll
+  neg 4 -1 roll 2 copy gt {exch} if 8 div ceiling 8 mul 4 2 roll neg 2 copy
+  gt {exch} if 8 div ceiling 8 mul 3 -1 roll -8 5 -1 roll
+  { 3 index exch 5 exch put dup -8 3 index { 3 index
+  exch 4 exch put 3 index exec } for } for pop pop pop pop grestore } bind def
+/setstyles {
+  currentlinewidth mul setlinewidth /style exch def style 2048 and 0 gt
+  clipped 1 and 0 eq and {clip newpath /clipped clipped 1 add def} {
+  style 1 and 0 gt not {closepath} if
+  style 1024 and 0 gt {2 setlinecap} if
+  style 2 and 0 gt {currentlinewidth 4 mul dup 2 array astore 0 setdash} if
+  style 4 and 0 gt {0.5 currentlinewidth 4 mul 2 array astore 0 setdash} if
+  gsave style 16 and 0 gt { style 224 and -5 bitshift style 256 and 0 gt {
+  7 exch sub 8 div dup 1 exch sub currentrgbcolor 3 array astore
+  {3 copy mul add 4 1 roll pop} forall pop pop setrgbcolor eofill}
+  {dup 7 lt {gar exch get ppaint} {pop eofill} ifelse} ifelse}
+  {style 256 and 0 gt {1 setgray eofill} if} ifelse grestore style 8 and 0 gt 
+  style 512 eq or {newpath} {stroke} ifelse grestore endclip} ifelse} def     
+
+/addtoy {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll add
+	4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtoy1 {1 exch addtoy pop} def
+/addtoy3 {3 exch addtoy pop} def
+/addtoy4 {4 exch addtoy pop} def
+/addtox {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll 3 -1 roll
+	add exch 4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtox1 {1 exch addtox pop} def
+/addtox3 {3 exch addtox pop} def
+/addtox4 {4 exch addtox pop} def
+/polygon { gsave /num exch def moveto num 1 sub {lineto} repeat setstyles } def
+/xcarc   { gsave newpath arc setstyles } def
+/elb { matrix currentmatrix 7 -1 roll 7 -1 roll translate 5 1 roll 4 -1 roll
+	3 index div 1 scale } def
+/ele { 0 4 1 roll 0 4 1 roll } bind def
+/ellipse { gsave elb newpath ele arc setmatrix setstyles } def
+/pellip  { elb ele arc setmatrix } def
+/nellip  { elb ele arcn setmatrix } def
+/spline  { gsave moveto curveto setstyles } def
+/polyc   { {lineto} repeat } bind def
+/beginpath { gsave moveto } bind def
+/endpath { setstyles } bind def
+/bop	 { 1 setlinecap 0 setlinejoin 6 setmiterlimit 0 0 0 scb cRedef
+	/clipped 0 def} def
+/psinsertion {/PSobj save def /showpage {} def /setpagedevice {pop} def bop
+	rotate translate dup scale} def
+/end_insert {PSobj restore} def
+/setpagemat {/pagemat matrix currentmatrix def} def
+/inchscale  {setpagemat 0.375 mul dup scale} def
+/cmscale    {setpagemat 0.35433071 mul dup scale} def
+
+%%EndResource
+%%EndProlog
+
+% XCircuit output starts here.
+
+%%BeginSetup
+
+/analog::resistor {
+begingate
+1 1.000 0 64 0 36 2 polygon
+1 1.000 0 -64 0 -36 2 polygon
+1 1.000 0 -36 14 -30 -14 -18 14 -6 -14 6 14 18 -14 30 0 36 8 polygon
+1.000 0.000 0.000 scb
+(r.1) {/Times-Roman cf} 2 9 0 1.000 0 64 pinlabel
+(r.2) {/Times-Roman cf} 2 13 0 1.000 0 -64 pinlabel
+0.180 0.545 0.341 scb
+(spice:R%i %pr.1 %pr.2 1.0K) {/Times-Roman cf} 2 0 0 1.000 -208 -160 infolabel
+(sim:r %pr.1 %pr.2) {/Times-Roman cf} 2 0 0 1.000 -208 -208 infolabel
+endgate
+} def
+
+/analog::polarized {
+begingate
+1 1.000 0 -64 0 -6 2 polygon
+1 1.000 0 64 0 6 2 polygon
+1 1.000 -32 6 32 6 2 polygon
+1 1.000 0 -80 74 66.000 114.000 xcarc
+1.000 0.000 0.000 scb
+(t) {/Times-Roman cf} 2 9 0 1.000 0 64 pinlabel
+(b) {/Times-Roman cf} 2 13 0 1.000 0 -64 pinlabel
+0.180 0.545 0.341 scb
+(spice:C%i %pt %pb 1.0P) {/Times-Roman cf} 2 0 0 1.000 -208 -160 infolabel
+(sim:e %pt %pb %pb) {/Times-Roman cf} 2 0 0 1.000 -208 -208 infolabel
+endgate
+} def
+
+/analog::gnd {
+% trivial
+begingate
+1 1.000 0 0 0 -32 2 polygon
+1 1.000 -32 -32 32 -32 2 polygon
+1 1.000 -18 -46 18 -46 2 polygon
+1 1.000 -4 -60 4 -60 2 polygon
+0.933 0.604 0.000 scb
+(GND) {/Times-Roman cf} 2 1 0 1.000 0 0 pinglobal
+endgate
+} def
+
+
+%%EndSetup
+
+%%Page: 1 1
+%%PageOrientation: Portrait
+/pgsave save def bop
+1.0000 inchscale
+2.6000 setlinewidth 608 96 translate
+
+(PB3) {/Helvetica cf} 2 16 0 1.000 -400 112 label
+1.000 270 -240 128 analog::resistor
+1.000 270 -48 128 analog::polarized
+0 1.000 -416 160 -416 96 -304 96 -304 160 4 polygon
+1.000 270 16 128 analog::gnd
+1 1.000 -160 128 -160 160 2 polygon
+(DC) {/Helvetica cf} 2 16 0 1.000 -144 144 label
+1 1.000 -112 128 -176 128 2 polygon
+pgsave restore showpage
+
+%%Trailer
+XCIRCsave restore
+%%EOF
diff --git a/microhope/microhope-doc/pics/pwm-rc.png b/microhope/microhope-doc/pics/pwm-rc.png
new file mode 100644
index 0000000..436e1bf
Binary files /dev/null and b/microhope/microhope-doc/pics/pwm-rc.png differ
diff --git a/microhope/microhope-doc/pics/r2r-dac.eps b/microhope/microhope-doc/pics/r2r-dac.eps
new file mode 100644
index 0000000..4cd84a0
--- /dev/null
+++ b/microhope/microhope-doc/pics/r2r-dac.eps
@@ -0,0 +1,258 @@
+%!PS-Adobe-3.0 EPSF-3.0
+%%Title: r2r-dac.eps
+%%Creator: XCircuit v3.7 rev26
+%%CreationDate: Tue Feb  4 10:37:35 2014
+%%Pages: 1
+%%BoundingBox: 68 68 583 162
+%%DocumentNeededResources: font Helvetica Times-Roman 
+%%EndComments
+%%BeginProlog
+%
+%  PostScript prolog for output from xcircuit
+%  Version: 3.7
+%
+%  Electrical circuit (and otherwise general) drawing program
+%
+%  Written by Tim Edwards 8/5/93--11/1/10  (tim.edwards at multigig.com)
+%  The Johns Hopkins University (1993-2004)
+%  MultiGiG, Inc. (2004-present)
+%
+%%BeginResource: procset XCIRCproc 3.7 2
+%
+% supporting definitions --- these are the primary xcircuit types.
+
+/XCIRCsave save def
+/topmat matrix currentmatrix def
+
+/fontslant { /slant exch def [1 0 slant 1 0 0] 
+    exch findfont exch makefont dup length dict /ndict exch def
+    { 1 index /FID ne { ndict 3 1 roll put } { pop pop } ifelse } forall
+    ndict definefont pop} def
+/ul { dup type /stringtype eq showflag 1 eq and { gsave 
+   currentpoint topmat setmatrix 0 0 moveto 2 index stringwidth pop (_)
+   false charpath flattenpath pathbbox grestore exch pop 1 index
+   sub setlinewidth exch pop currentpoint 3 -1 roll add moveto 0
+   rlineto stroke moveto } if } def
+/ol { dup type /stringtype eq showflag 1 eq and { gsave gsave
+   currentpoint topmat setmatrix 2 index stringwidth pop 3 index
+   true charpath flattenpath pathbbox grestore exch pop
+   exch pop topmat setmatrix (_) true charpath pathbbox grestore
+   exch pop 1 index sub setlinewidth exch pop currentpoint
+   exch 4 1 roll exch sub add moveto pop 0 rlineto stroke
+   moveto } if } def
+/stW { gsave currentpoint newpath moveto true charpath flattenpath
+	pathbbox pop exch pop sub grestore } def
+/Ts {mark Tabs aload pop counttomark 1 add array astore /Tabs exch def Tabs
+	0 currentpoint pop put} def
+/Tbn {mark Tabs aload pop counttomark dup 2 add 1 roll cleartomark 1 sub} def
+/Tb { 0 1 Tbn {Tabs exch get dup currentpoint pop lt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/Tf { Tbn -1 0 {Tabs exch get dup currentpoint pop gt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/qS { (aa) stW (a a) stW sub 4 div 0 Kn } def
+/hS { qS qS } def
+/pspc 0 def
+/cf0 { scalefont setfont } bind def
+/Kn { dup kY add /kY exch def rmoveto } bind def
+/ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.33 mul neg Kn} def
+/Ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.67 mul Kn } def
+/ns { 0 kY neg Kn /kY 0 def /fscale 1.0 def xfont0 1.0 cf0 } def
+/CR { ns 0 /Bline Bline fscale0 neg add def Bline moveto } def
+/cf { dup type /realtype ne {1.0} if exch findfont exch kY 0 eq
+	{ 40 mul dup /fscale0 exch def cf0 /xfont0 currentfont def}
+	{fscale0 mul fscale mul cf0} ifelse } def
+/ctmk { counttomark dup 2 add -1 roll pop } bind def
+/label { gsave translate 0 0 moveto dup scale neg /rotval exch def
+	/just exch def just 384 and 0 gt {/mshow {pop} def} {/mshow {show}
+	def} ifelse just 16 and 0 gt {gsave rotval rotate 0 1 dtransform
+	gsave pagemat setmatrix idtransform exch grestore 1 0 dtransform
+	gsave pagemat setmatrix idtransform exch grestore dup abs 1e-9 lt
+	{pop mul 0 gt} {3 1 roll pop pop 0 lt} ifelse grestore {-1 /rotval
+	rotval neg def /just just dup 3 and 1 ne {3 xor} if def} {1} ifelse
+	exch -1e-9 lt {-1 /rotval rotval neg def /just just dup 12 and
+	4 ne {12 xor} if def} {1} ifelse scale } if /showflag 0 def
+	/fspc pspc def /Bline 0 def /Tabs 0 array def /fscale 1.0 def
+	/kY 0 def gsave dup 1 add copy 0 exch 1 0 dtransform exch atan rotate
+	{exch dup type /stringtype eq {true charpath flattenpath} {dup type
+	/arraytype eq {exec} {12 string cvs true charpath flattenpath} ifelse}
+	ifelse} repeat pop pathbbox grestore 3 -1 roll pop 3 1 roll just
+	1 and 0 gt {just 2 and 0 gt {exch pop neg fspc sub} {exch sub 0.5
+	mul neg} ifelse} {pop neg fspc add} ifelse exch Bline exch just 4
+	and 0 gt {just 8 and 0 gt {exch pop neg fspc sub} {add 0.5 mul neg}
+	ifelse} {pop neg fspc add} ifelse rotval rotate Kn currentpoint
+	translate /showflag 1 def /Bline 0 def /Tabs 0 array def /fscale
+	1.0 def /kY 0 def {dup type /stringtype eq {mshow} {dup type
+	/arraytype eq {exec} {12 string cvs mshow} ifelse} ifelse} repeat
+	grestore endclip} def
+/pinlabel { 4 index 32 and 0 ne hlevel 0 eq or { /pspc 10 def label
+	/pspc 0 def } { pop pop pop pop pop {pop} repeat } ifelse } def
+/pinglobal { pinlabel } def
+/infolabel { pinlabel } def
+/graphic { gsave 4 index cvx exec /DataSource get resetfile translate 0 0
+	moveto neg rotate dup scale cvx exec image grestore endclip} def
+
+/scb { setrgbcolor } bind def  /sce { defColor aload pop scb } bind def
+/cRedef {/defColor currentcolor 3 array astore def} def
+/begingate {dup type /dicttype ne {1 dict} if begin	% default params
+	dup type /dicttype ne {1 dict} if begin		% instanced params
+	/hlevel hlevel 1 add def /defColor currentcolor sce 3 array astore
+	def gsave sce translate 0 0 moveto neg rotate dup type /nametype
+	eq not { dup abs currentlinewidth exch div setlinewidth } { pop }
+	ifelse dup abs scale clipped 1 and 1 eq {/clipped clipped 1 add def}
+	if} bind def
+/endgate { /hlevel hlevel 1 sub def grestore defColor aload pop cRedef
+	scb end end endclip} bind def
+
+/hlevel 0 def
+/endclip {clipped 1 and 1 eq {grestore /clipped clipped 1 sub def} if} def
+/tmpa [1 0 0 1 0 0] def
+/gar {8 8 true tmpa {<c0 c0 00 00 0c 0c 00 00>} imagemask} bind
+{8 8 true tmpa {<30 70 60 02 03 07 06 20>} imagemask} bind
+{8 8 true tmpa {<0c 1e 1e 0c c0 e1 e1 c0>} imagemask} bind
+{8 8 true tmpa {<0f 0f 0f 0f f0 f0 f0 f0>} imagemask} bind
+{8 8 true tmpa {<3f f3 e1 e1 f3 3f 1e 1e>} imagemask} bind
+{8 8 true tmpa {<df cf 8f 9f fd fc f8 f9>} imagemask} bind
+{8 8 true tmpa {<ff 3f 3f ff ff f3 f3 ff>} imagemask} bind 7 array astore def
+/ppaint { gsave clip tmpa dup setmatrix pathbbox neg exch neg 4 2 roll
+  neg 4 -1 roll 2 copy gt {exch} if 8 div ceiling 8 mul 4 2 roll neg 2 copy
+  gt {exch} if 8 div ceiling 8 mul 3 -1 roll -8 5 -1 roll
+  { 3 index exch 5 exch put dup -8 3 index { 3 index
+  exch 4 exch put 3 index exec } for } for pop pop pop pop grestore } bind def
+/setstyles {
+  currentlinewidth mul setlinewidth /style exch def style 2048 and 0 gt
+  clipped 1 and 0 eq and {clip newpath /clipped clipped 1 add def} {
+  style 1 and 0 gt not {closepath} if
+  style 1024 and 0 gt {2 setlinecap} if
+  style 2 and 0 gt {currentlinewidth 4 mul dup 2 array astore 0 setdash} if
+  style 4 and 0 gt {0.5 currentlinewidth 4 mul 2 array astore 0 setdash} if
+  gsave style 16 and 0 gt { style 224 and -5 bitshift style 256 and 0 gt {
+  7 exch sub 8 div dup 1 exch sub currentrgbcolor 3 array astore
+  {3 copy mul add 4 1 roll pop} forall pop pop setrgbcolor eofill}
+  {dup 7 lt {gar exch get ppaint} {pop eofill} ifelse} ifelse}
+  {style 256 and 0 gt {1 setgray eofill} if} ifelse grestore style 8 and 0 gt 
+  style 512 eq or {newpath} {stroke} ifelse grestore endclip} ifelse} def     
+
+/addtoy {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll add
+	4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtoy1 {1 exch addtoy pop} def
+/addtoy3 {3 exch addtoy pop} def
+/addtoy4 {4 exch addtoy pop} def
+/addtox {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll 3 -1 roll
+	add exch 4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtox1 {1 exch addtox pop} def
+/addtox3 {3 exch addtox pop} def
+/addtox4 {4 exch addtox pop} def
+/polygon { gsave /num exch def moveto num 1 sub {lineto} repeat setstyles } def
+/xcarc   { gsave newpath arc setstyles } def
+/elb { matrix currentmatrix 7 -1 roll 7 -1 roll translate 5 1 roll 4 -1 roll
+	3 index div 1 scale } def
+/ele { 0 4 1 roll 0 4 1 roll } bind def
+/ellipse { gsave elb newpath ele arc setmatrix setstyles } def
+/pellip  { elb ele arc setmatrix } def
+/nellip  { elb ele arcn setmatrix } def
+/spline  { gsave moveto curveto setstyles } def
+/polyc   { {lineto} repeat } bind def
+/beginpath { gsave moveto } bind def
+/endpath { setstyles } bind def
+/bop	 { 1 setlinecap 0 setlinejoin 6 setmiterlimit 0 0 0 scb cRedef
+	/clipped 0 def} def
+/psinsertion {/PSobj save def /showpage {} def /setpagedevice {pop} def bop
+	rotate translate dup scale} def
+/end_insert {PSobj restore} def
+/setpagemat {/pagemat matrix currentmatrix def} def
+/inchscale  {setpagemat 0.375 mul dup scale} def
+/cmscale    {setpagemat 0.35433071 mul dup scale} def
+
+%%EndResource
+%%EndProlog
+
+% XCircuit output starts here.
+
+%%BeginSetup
+
+/analog::resistor {
+begingate
+1 1.000 0 64 0 36 2 polygon
+1 1.000 0 -64 0 -36 2 polygon
+1 1.000 0 -36 14 -30 -14 -18 14 -6 -14 6 14 18 -14 30 0 36 8 polygon
+1.000 0.000 0.000 scb
+(r.1) {/Times-Roman cf} 2 9 0 1.000 0 64 pinlabel
+(r.2) {/Times-Roman cf} 2 13 0 1.000 0 -64 pinlabel
+0.180 0.545 0.341 scb
+(spice:R%i %pr.1 %pr.2 1.0K) {/Times-Roman cf} 2 0 0 1.000 -208 -160 infolabel
+(sim:r %pr.1 %pr.2) {/Times-Roman cf} 2 0 0 1.000 -208 -208 infolabel
+endgate
+} def
+
+/analog::gnd {
+% trivial
+begingate
+1 1.000 0 0 0 -32 2 polygon
+1 1.000 -32 -32 32 -32 2 polygon
+1 1.000 -18 -46 18 -46 2 polygon
+1 1.000 -4 -60 4 -60 2 polygon
+0.933 0.604 0.000 scb
+(GND) {/Times-Roman cf} 2 1 0 1.000 0 0 pinglobal
+endgate
+} def
+
+
+%%EndSetup
+
+%%Page: 1 1
+%%PageOrientation: Portrait
+/pgsave save def bop
+1.0000 inchscale
+2.6000 setlinewidth 1184 124 translate
+
+1.000 0 -784 192 analog::resistor
+1.000 0 -528 192 analog::resistor
+1.000 0 -656 192 analog::resistor
+1.000 0 -16 192 analog::resistor
+1.000 0 -400 192 analog::resistor
+1.000 0 -272 192 analog::resistor
+1.000 0 -144 192 analog::resistor
+1.000 0 112 192 analog::resistor
+1.000 270 -208 128 analog::resistor
+1.000 270 -720 128 analog::resistor
+1.000 270 -592 128 analog::resistor
+1.000 270 -848 128 analog::resistor
+1.000 270 48 128 analog::resistor
+1.000 270 -336 128 analog::resistor
+1.000 270 -464 128 analog::resistor
+1.000 270 -80 128 analog::resistor
+1.000 0 -960 128 analog::gnd
+1 1.000 -960 128 -912 128 2 polygon
+(2R) {/Helvetica cf} 2 16 0 1.000 -880 80 label
+(R) {/Helvetica cf} 2 16 0 1.000 -720 80 label
+(R) {/Helvetica cf} 2 16 0 1.000 -608 80 label
+(R) {/Helvetica cf} 2 16 0 1.000 -480 80 label
+(R) {/Helvetica cf} 2 16 0 1.000 -352 80 label
+(R) {/Helvetica cf} 2 16 0 1.000 -224 80 label
+(R) {/Helvetica cf} 2 16 0 1.000 -96 80 label
+(2R) {/Helvetica cf} 2 16 0 1.000 -768 176 label
+(2R) {/Helvetica cf} 2 16 0 1.000 -640 176 label
+(2R) {/Helvetica cf} 2 16 0 1.000 -512 176 label
+(2R) {/Helvetica cf} 2 16 0 1.000 -384 176 label
+(2R) {/Helvetica cf} 2 16 0 1.000 -256 176 label
+(2R) {/Helvetica cf} 2 16 0 1.000 -128 176 label
+(2R) {/Helvetica cf} 2 16 0 1.000 0 176 label
+(B0) {/Helvetica cf} 2 16 0 1.000 -800 272 label
+(B1) {/Helvetica cf} 2 16 0 1.000 -672 272 label
+(B2) {/Helvetica cf} 2 16 0 1.000 -544 272 label
+(B3) {/Helvetica cf} 2 16 0 1.000 -416 272 label
+(B4) {/Helvetica cf} 2 16 0 1.000 -304 272 label
+(B5) {/Helvetica cf} 2 16 0 1.000 -160 272 label
+(B6) {/Helvetica cf} 2 16 0 1.000 -32 272 label
+(B7) {/Helvetica cf} 2 16 0 1.000 96 272 label
+1 1.000 112 128 224 128 2 polygon
+(DAC Output) {/Helvetica cf} 2 16 0 1.000 144 144 label
+{/Helvetica cf} 1 16 0 1.000 320 128 label
+(R) {/Helvetica cf} 2 16 0 1.000 32 80 label
+pgsave restore showpage
+
+%%Trailer
+XCIRCsave restore
+%%EOF
diff --git a/microhope/microhope-doc/pics/r2r-dac.png b/microhope/microhope-doc/pics/r2r-dac.png
new file mode 100644
index 0000000..128a4c3
Binary files /dev/null and b/microhope/microhope-doc/pics/r2r-dac.png differ
diff --git a/microhope/microhope-doc/pics/r2rdac-schematic.png b/microhope/microhope-doc/pics/r2rdac-schematic.png
new file mode 100644
index 0000000..a4d078f
Binary files /dev/null and b/microhope/microhope-doc/pics/r2rdac-schematic.png differ
diff --git a/microhope/microhope-doc/pics/ramp-screenshop.png b/microhope/microhope-doc/pics/ramp-screenshop.png
new file mode 100644
index 0000000..5c829d2
Binary files /dev/null and b/microhope/microhope-doc/pics/ramp-screenshop.png differ
diff --git a/microhope/microhope-doc/pics/schematic.png b/microhope/microhope-doc/pics/schematic.png
new file mode 100644
index 0000000..e471294
Binary files /dev/null and b/microhope/microhope-doc/pics/schematic.png differ
diff --git a/microhope/microhope-doc/pics/soft-serial-test.jpg b/microhope/microhope-doc/pics/soft-serial-test.jpg
new file mode 100644
index 0000000..73d24b3
Binary files /dev/null and b/microhope/microhope-doc/pics/soft-serial-test.jpg differ
diff --git a/microhope/microhope-doc/pics/switch8-schematic.png b/microhope/microhope-doc/pics/switch8-schematic.png
new file mode 100644
index 0000000..e9a93fa
Binary files /dev/null and b/microhope/microhope-doc/pics/switch8-schematic.png differ
diff --git a/microhope/microhope-doc/pics/tc0-clockselect.png b/microhope/microhope-doc/pics/tc0-clockselect.png
new file mode 100644
index 0000000..64a847f
Binary files /dev/null and b/microhope/microhope-doc/pics/tc0-clockselect.png differ
diff --git a/microhope/microhope-doc/pics/tc1-block.png b/microhope/microhope-doc/pics/tc1-block.png
new file mode 100644
index 0000000..b310fd3
Binary files /dev/null and b/microhope/microhope-doc/pics/tc1-block.png differ
diff --git a/microhope/microhope-doc/pics/tccr0.png b/microhope/microhope-doc/pics/tccr0.png
new file mode 100644
index 0000000..7ca59d0
Binary files /dev/null and b/microhope/microhope-doc/pics/tccr0.png differ
diff --git a/microhope/microhope-doc/pics/uc-block.eps b/microhope/microhope-doc/pics/uc-block.eps
new file mode 100644
index 0000000..4ae2b9f
--- /dev/null
+++ b/microhope/microhope-doc/pics/uc-block.eps
@@ -0,0 +1,217 @@
+%!PS-Adobe-3.0 EPSF-3.0
+%%Title: uc-block.eps
+%%Creator: XCircuit v3.7 rev26
+%%CreationDate: Mon Jan 27 09:10:51 2014
+%%Pages: 1
+%%BoundingBox: 68 68 406 250
+%%DocumentNeededResources: font Helvetica 
+%%EndComments
+%%BeginProlog
+%
+%  PostScript prolog for output from xcircuit
+%  Version: 3.7
+%
+%  Electrical circuit (and otherwise general) drawing program
+%
+%  Written by Tim Edwards 8/5/93--11/1/10  (tim.edwards at multigig.com)
+%  The Johns Hopkins University (1993-2004)
+%  MultiGiG, Inc. (2004-present)
+%
+%%BeginResource: procset XCIRCproc 3.7 2
+%
+% supporting definitions --- these are the primary xcircuit types.
+
+/XCIRCsave save def
+/topmat matrix currentmatrix def
+
+/fontslant { /slant exch def [1 0 slant 1 0 0] 
+    exch findfont exch makefont dup length dict /ndict exch def
+    { 1 index /FID ne { ndict 3 1 roll put } { pop pop } ifelse } forall
+    ndict definefont pop} def
+/ul { dup type /stringtype eq showflag 1 eq and { gsave 
+   currentpoint topmat setmatrix 0 0 moveto 2 index stringwidth pop (_)
+   false charpath flattenpath pathbbox grestore exch pop 1 index
+   sub setlinewidth exch pop currentpoint 3 -1 roll add moveto 0
+   rlineto stroke moveto } if } def
+/ol { dup type /stringtype eq showflag 1 eq and { gsave gsave
+   currentpoint topmat setmatrix 2 index stringwidth pop 3 index
+   true charpath flattenpath pathbbox grestore exch pop
+   exch pop topmat setmatrix (_) true charpath pathbbox grestore
+   exch pop 1 index sub setlinewidth exch pop currentpoint
+   exch 4 1 roll exch sub add moveto pop 0 rlineto stroke
+   moveto } if } def
+/stW { gsave currentpoint newpath moveto true charpath flattenpath
+	pathbbox pop exch pop sub grestore } def
+/Ts {mark Tabs aload pop counttomark 1 add array astore /Tabs exch def Tabs
+	0 currentpoint pop put} def
+/Tbn {mark Tabs aload pop counttomark dup 2 add 1 roll cleartomark 1 sub} def
+/Tb { 0 1 Tbn {Tabs exch get dup currentpoint pop lt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/Tf { Tbn -1 0 {Tabs exch get dup currentpoint pop gt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/qS { (aa) stW (a a) stW sub 4 div 0 Kn } def
+/hS { qS qS } def
+/pspc 0 def
+/cf0 { scalefont setfont } bind def
+/Kn { dup kY add /kY exch def rmoveto } bind def
+/ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.33 mul neg Kn} def
+/Ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.67 mul Kn } def
+/ns { 0 kY neg Kn /kY 0 def /fscale 1.0 def xfont0 1.0 cf0 } def
+/CR { ns 0 /Bline Bline fscale0 neg add def Bline moveto } def
+/cf { dup type /realtype ne {1.0} if exch findfont exch kY 0 eq
+	{ 40 mul dup /fscale0 exch def cf0 /xfont0 currentfont def}
+	{fscale0 mul fscale mul cf0} ifelse } def
+/ctmk { counttomark dup 2 add -1 roll pop } bind def
+/label { gsave translate 0 0 moveto dup scale neg /rotval exch def
+	/just exch def just 384 and 0 gt {/mshow {pop} def} {/mshow {show}
+	def} ifelse just 16 and 0 gt {gsave rotval rotate 0 1 dtransform
+	gsave pagemat setmatrix idtransform exch grestore 1 0 dtransform
+	gsave pagemat setmatrix idtransform exch grestore dup abs 1e-9 lt
+	{pop mul 0 gt} {3 1 roll pop pop 0 lt} ifelse grestore {-1 /rotval
+	rotval neg def /just just dup 3 and 1 ne {3 xor} if def} {1} ifelse
+	exch -1e-9 lt {-1 /rotval rotval neg def /just just dup 12 and
+	4 ne {12 xor} if def} {1} ifelse scale } if /showflag 0 def
+	/fspc pspc def /Bline 0 def /Tabs 0 array def /fscale 1.0 def
+	/kY 0 def gsave dup 1 add copy 0 exch 1 0 dtransform exch atan rotate
+	{exch dup type /stringtype eq {true charpath flattenpath} {dup type
+	/arraytype eq {exec} {12 string cvs true charpath flattenpath} ifelse}
+	ifelse} repeat pop pathbbox grestore 3 -1 roll pop 3 1 roll just
+	1 and 0 gt {just 2 and 0 gt {exch pop neg fspc sub} {exch sub 0.5
+	mul neg} ifelse} {pop neg fspc add} ifelse exch Bline exch just 4
+	and 0 gt {just 8 and 0 gt {exch pop neg fspc sub} {add 0.5 mul neg}
+	ifelse} {pop neg fspc add} ifelse rotval rotate Kn currentpoint
+	translate /showflag 1 def /Bline 0 def /Tabs 0 array def /fscale
+	1.0 def /kY 0 def {dup type /stringtype eq {mshow} {dup type
+	/arraytype eq {exec} {12 string cvs mshow} ifelse} ifelse} repeat
+	grestore endclip} def
+/pinlabel { 4 index 32 and 0 ne hlevel 0 eq or { /pspc 10 def label
+	/pspc 0 def } { pop pop pop pop pop {pop} repeat } ifelse } def
+/pinglobal { pinlabel } def
+/infolabel { pinlabel } def
+/graphic { gsave 4 index cvx exec /DataSource get resetfile translate 0 0
+	moveto neg rotate dup scale cvx exec image grestore endclip} def
+
+/scb { setrgbcolor } bind def  /sce { defColor aload pop scb } bind def
+/cRedef {/defColor currentcolor 3 array astore def} def
+/begingate {dup type /dicttype ne {1 dict} if begin	% default params
+	dup type /dicttype ne {1 dict} if begin		% instanced params
+	/hlevel hlevel 1 add def /defColor currentcolor sce 3 array astore
+	def gsave sce translate 0 0 moveto neg rotate dup type /nametype
+	eq not { dup abs currentlinewidth exch div setlinewidth } { pop }
+	ifelse dup abs scale clipped 1 and 1 eq {/clipped clipped 1 add def}
+	if} bind def
+/endgate { /hlevel hlevel 1 sub def grestore defColor aload pop cRedef
+	scb end end endclip} bind def
+
+/hlevel 0 def
+/endclip {clipped 1 and 1 eq {grestore /clipped clipped 1 sub def} if} def
+/tmpa [1 0 0 1 0 0] def
+/gar {8 8 true tmpa {<c0 c0 00 00 0c 0c 00 00>} imagemask} bind
+{8 8 true tmpa {<30 70 60 02 03 07 06 20>} imagemask} bind
+{8 8 true tmpa {<0c 1e 1e 0c c0 e1 e1 c0>} imagemask} bind
+{8 8 true tmpa {<0f 0f 0f 0f f0 f0 f0 f0>} imagemask} bind
+{8 8 true tmpa {<3f f3 e1 e1 f3 3f 1e 1e>} imagemask} bind
+{8 8 true tmpa {<df cf 8f 9f fd fc f8 f9>} imagemask} bind
+{8 8 true tmpa {<ff 3f 3f ff ff f3 f3 ff>} imagemask} bind 7 array astore def
+/ppaint { gsave clip tmpa dup setmatrix pathbbox neg exch neg 4 2 roll
+  neg 4 -1 roll 2 copy gt {exch} if 8 div ceiling 8 mul 4 2 roll neg 2 copy
+  gt {exch} if 8 div ceiling 8 mul 3 -1 roll -8 5 -1 roll
+  { 3 index exch 5 exch put dup -8 3 index { 3 index
+  exch 4 exch put 3 index exec } for } for pop pop pop pop grestore } bind def
+/setstyles {
+  currentlinewidth mul setlinewidth /style exch def style 2048 and 0 gt
+  clipped 1 and 0 eq and {clip newpath /clipped clipped 1 add def} {
+  style 1 and 0 gt not {closepath} if
+  style 1024 and 0 gt {2 setlinecap} if
+  style 2 and 0 gt {currentlinewidth 4 mul dup 2 array astore 0 setdash} if
+  style 4 and 0 gt {0.5 currentlinewidth 4 mul 2 array astore 0 setdash} if
+  gsave style 16 and 0 gt { style 224 and -5 bitshift style 256 and 0 gt {
+  7 exch sub 8 div dup 1 exch sub currentrgbcolor 3 array astore
+  {3 copy mul add 4 1 roll pop} forall pop pop setrgbcolor eofill}
+  {dup 7 lt {gar exch get ppaint} {pop eofill} ifelse} ifelse}
+  {style 256 and 0 gt {1 setgray eofill} if} ifelse grestore style 8 and 0 gt 
+  style 512 eq or {newpath} {stroke} ifelse grestore endclip} ifelse} def     
+
+/addtoy {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll add
+	4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtoy1 {1 exch addtoy pop} def
+/addtoy3 {3 exch addtoy pop} def
+/addtoy4 {4 exch addtoy pop} def
+/addtox {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll 3 -1 roll
+	add exch 4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtox1 {1 exch addtox pop} def
+/addtox3 {3 exch addtox pop} def
+/addtox4 {4 exch addtox pop} def
+/polygon { gsave /num exch def moveto num 1 sub {lineto} repeat setstyles } def
+/xcarc   { gsave newpath arc setstyles } def
+/elb { matrix currentmatrix 7 -1 roll 7 -1 roll translate 5 1 roll 4 -1 roll
+	3 index div 1 scale } def
+/ele { 0 4 1 roll 0 4 1 roll } bind def
+/ellipse { gsave elb newpath ele arc setmatrix setstyles } def
+/pellip  { elb ele arc setmatrix } def
+/nellip  { elb ele arcn setmatrix } def
+/spline  { gsave moveto curveto setstyles } def
+/polyc   { {lineto} repeat } bind def
+/beginpath { gsave moveto } bind def
+/endpath { setstyles } bind def
+/bop	 { 1 setlinecap 0 setlinejoin 6 setmiterlimit 0 0 0 scb cRedef
+	/clipped 0 def} def
+/psinsertion {/PSobj save def /showpage {} def /setpagedevice {pop} def bop
+	rotate translate dup scale} def
+/end_insert {PSobj restore} def
+/setpagemat {/pagemat matrix currentmatrix def} def
+/inchscale  {setpagemat 0.375 mul dup scale} def
+/cmscale    {setpagemat 0.35433071 mul dup scale} def
+
+%%EndResource
+%%EndProlog
+
+% XCircuit output starts here.
+
+%%BeginSetup
+
+
+%%EndSetup
+
+%%Page: uc-block 1
+%%PageOrientation: Portrait
+/pgsave save def bop
+1.0000 inchscale
+2.6000 setlinewidth 816 -192 translate
+
+(ALU) {/Helvetica cf} 2 16 0 1.000 -144 544 label
+(Instruction) {/Helvetica cf} 2 16 0 1.000 -176 672 label
+(Decoder) {/Helvetica cf} 2 16 0 1.000 -160 624 label
+0 1.000 -192 720 -192 608 16 608 16 720 4 polygon
+0 1.000 -192 592 -192 512 16 512 16 592 4 polygon
+(Program) {/Helvetica cf} 2 16 0 1.000 80 624 label
+(Memory) {/Helvetica cf} 2 16 0 1.000 80 576 label
+0 1.000 64 688 64 384 256 384 256 688 4 polygon
+(Special) {/Helvetica cf} 2 16 0 1.000 -464 576 label
+(Function) {/Helvetica cf} 2 16 0 1.000 -464 528 label
+(Registers) {/Helvetica cf} 2 16 0 1.000 -464 480 label
+0 1.000 -240 384 -240 832 -496 832 -496 384 4 polygon
+(RAM) {/Helvetica cf} 2 16 0 1.000 -416 672 label
+{/Helvetica cf} 1 16 0 1.000 -368 752 label
+0 1.000 -624 832 -624 384 -528 384 -528 832 4 polygon
+0 1.000 -480 464 -480 608 -288 608 -288 464 4 polygon
+0 1.000 -480 624 -480 768 -288 768 -288 624 4 polygon
+(Data Address Space) {/Helvetica cf} 2 16 270 1.000 -256 400 label
+0 1.000 -208 384 -208 848 32 848 32 384 4 polygon
+(Program) {/Helvetica cf} 2 16 0 1.000 -176 800 label
+(Counter) {/Helvetica cf} 2 16 0 1.000 -176 752 label
+0 1.000 -192 832 -192 736 16 736 16 832 4 polygon
+0 1.000 -192 496 -192 400 16 400 16 496 4 polygon
+(Input /Output Lines) {/Helvetica cf} 2 16 270 1.000 -544 432 label
+(Peripheral devices) {/Helvetica cf} 2 16 270 1.000 -576 416 label
+(EEPROM) {/Helvetica cf} 2 16 0 1.000 80 768 label
+0 1.000 64 704 64 848 256 848 256 704 4 polygon
+(Register) {/Helvetica cf} 2 16 0 1.000 -160 464 label
+(File) {/Helvetica cf} 2 16 0 1.000 -128 416 label
+pgsave restore showpage
+
+%%Trailer
+XCIRCsave restore
+%%EOF
diff --git a/microhope/microhope-doc/pics/uc-block.png b/microhope/microhope-doc/pics/uc-block.png
new file mode 100644
index 0000000..0c84273
Binary files /dev/null and b/microhope/microhope-doc/pics/uc-block.png differ
diff --git a/microhope/microhope-doc/pics/ucblock.png b/microhope/microhope-doc/pics/ucblock.png
new file mode 100644
index 0000000..a933361
Binary files /dev/null and b/microhope/microhope-doc/pics/ucblock.png differ
diff --git a/microhope/microhope-doc/pics/usb2ser.png b/microhope/microhope-doc/pics/usb2ser.png
new file mode 100644
index 0000000..cb22a6e
Binary files /dev/null and b/microhope/microhope-doc/pics/usb2ser.png differ
diff --git a/microhope/microhope-doc/pics/usbasp-layout.png b/microhope/microhope-doc/pics/usbasp-layout.png
new file mode 100644
index 0000000..a3e5a56
Binary files /dev/null and b/microhope/microhope-doc/pics/usbasp-layout.png differ
diff --git a/microhope/microhope-doc/pics/usbasp-plugin.jpg b/microhope/microhope-doc/pics/usbasp-plugin.jpg
new file mode 100644
index 0000000..0ecf53e
Binary files /dev/null and b/microhope/microhope-doc/pics/usbasp-plugin.jpg differ
diff --git a/microhope/microhope-doc/pics/usbasp-schematic.png b/microhope/microhope-doc/pics/usbasp-schematic.png
new file mode 100644
index 0000000..2dc1ba7
Binary files /dev/null and b/microhope/microhope-doc/pics/usbasp-schematic.png differ
diff --git a/microhope/microhope-doc/pics/usbasp.eps b/microhope/microhope-doc/pics/usbasp.eps
new file mode 100644
index 0000000..c0ddd88
--- /dev/null
+++ b/microhope/microhope-doc/pics/usbasp.eps
@@ -0,0 +1,209 @@
+%!PS-Adobe-3.0 EPSF-3.0
+%%Title: usbasp.eps
+%%Creator: XCircuit v3.7 rev26
+%%CreationDate: Fri Oct 18 07:36:31 2013
+%%Pages: 1
+%%BoundingBox: 68 68 388 169
+%%DocumentNeededResources: font Helvetica 
+%%EndComments
+%%BeginProlog
+%
+%  PostScript prolog for output from xcircuit
+%  Version: 3.7
+%
+%  Electrical circuit (and otherwise general) drawing program
+%
+%  Written by Tim Edwards 8/5/93--11/1/10  (tim.edwards at multigig.com)
+%  The Johns Hopkins University (1993-2004)
+%  MultiGiG, Inc. (2004-present)
+%
+%%BeginResource: procset XCIRCproc 3.7 2
+%
+% supporting definitions --- these are the primary xcircuit types.
+
+/XCIRCsave save def
+/topmat matrix currentmatrix def
+
+/fontslant { /slant exch def [1 0 slant 1 0 0] 
+    exch findfont exch makefont dup length dict /ndict exch def
+    { 1 index /FID ne { ndict 3 1 roll put } { pop pop } ifelse } forall
+    ndict definefont pop} def
+/ul { dup type /stringtype eq showflag 1 eq and { gsave 
+   currentpoint topmat setmatrix 0 0 moveto 2 index stringwidth pop (_)
+   false charpath flattenpath pathbbox grestore exch pop 1 index
+   sub setlinewidth exch pop currentpoint 3 -1 roll add moveto 0
+   rlineto stroke moveto } if } def
+/ol { dup type /stringtype eq showflag 1 eq and { gsave gsave
+   currentpoint topmat setmatrix 2 index stringwidth pop 3 index
+   true charpath flattenpath pathbbox grestore exch pop
+   exch pop topmat setmatrix (_) true charpath pathbbox grestore
+   exch pop 1 index sub setlinewidth exch pop currentpoint
+   exch 4 1 roll exch sub add moveto pop 0 rlineto stroke
+   moveto } if } def
+/stW { gsave currentpoint newpath moveto true charpath flattenpath
+	pathbbox pop exch pop sub grestore } def
+/Ts {mark Tabs aload pop counttomark 1 add array astore /Tabs exch def Tabs
+	0 currentpoint pop put} def
+/Tbn {mark Tabs aload pop counttomark dup 2 add 1 roll cleartomark 1 sub} def
+/Tb { 0 1 Tbn {Tabs exch get dup currentpoint pop lt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/Tf { Tbn -1 0 {Tabs exch get dup currentpoint pop gt
+	{currentpoint exch pop moveto exit} {pop} ifelse } for } def
+/qS { (aa) stW (a a) stW sub 4 div 0 Kn } def
+/hS { qS qS } def
+/pspc 0 def
+/cf0 { scalefont setfont } bind def
+/Kn { dup kY add /kY exch def rmoveto } bind def
+/ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.33 mul neg Kn} def
+/Ss { /fscale fscale 0.67 mul def currentfont 0.67 cf0 0 fscale0 fscale mul
+	0.67 mul Kn } def
+/ns { 0 kY neg Kn /kY 0 def /fscale 1.0 def xfont0 1.0 cf0 } def
+/CR { ns 0 /Bline Bline fscale0 neg add def Bline moveto } def
+/cf { dup type /realtype ne {1.0} if exch findfont exch kY 0 eq
+	{ 40 mul dup /fscale0 exch def cf0 /xfont0 currentfont def}
+	{fscale0 mul fscale mul cf0} ifelse } def
+/ctmk { counttomark dup 2 add -1 roll pop } bind def
+/label { gsave translate 0 0 moveto dup scale neg /rotval exch def
+	/just exch def just 384 and 0 gt {/mshow {pop} def} {/mshow {show}
+	def} ifelse just 16 and 0 gt {gsave rotval rotate 0 1 dtransform
+	gsave pagemat setmatrix idtransform exch grestore 1 0 dtransform
+	gsave pagemat setmatrix idtransform exch grestore dup abs 1e-9 lt
+	{pop mul 0 gt} {3 1 roll pop pop 0 lt} ifelse grestore {-1 /rotval
+	rotval neg def /just just dup 3 and 1 ne {3 xor} if def} {1} ifelse
+	exch -1e-9 lt {-1 /rotval rotval neg def /just just dup 12 and
+	4 ne {12 xor} if def} {1} ifelse scale } if /showflag 0 def
+	/fspc pspc def /Bline 0 def /Tabs 0 array def /fscale 1.0 def
+	/kY 0 def gsave dup 1 add copy 0 exch 1 0 dtransform exch atan rotate
+	{exch dup type /stringtype eq {true charpath flattenpath} {dup type
+	/arraytype eq {exec} {12 string cvs true charpath flattenpath} ifelse}
+	ifelse} repeat pop pathbbox grestore 3 -1 roll pop 3 1 roll just
+	1 and 0 gt {just 2 and 0 gt {exch pop neg fspc sub} {exch sub 0.5
+	mul neg} ifelse} {pop neg fspc add} ifelse exch Bline exch just 4
+	and 0 gt {just 8 and 0 gt {exch pop neg fspc sub} {add 0.5 mul neg}
+	ifelse} {pop neg fspc add} ifelse rotval rotate Kn currentpoint
+	translate /showflag 1 def /Bline 0 def /Tabs 0 array def /fscale
+	1.0 def /kY 0 def {dup type /stringtype eq {mshow} {dup type
+	/arraytype eq {exec} {12 string cvs mshow} ifelse} ifelse} repeat
+	grestore endclip} def
+/pinlabel { 4 index 32 and 0 ne hlevel 0 eq or { /pspc 10 def label
+	/pspc 0 def } { pop pop pop pop pop {pop} repeat } ifelse } def
+/pinglobal { pinlabel } def
+/infolabel { pinlabel } def
+/graphic { gsave 4 index cvx exec /DataSource get resetfile translate 0 0
+	moveto neg rotate dup scale cvx exec image grestore endclip} def
+
+/scb { setrgbcolor } bind def  /sce { defColor aload pop scb } bind def
+/cRedef {/defColor currentcolor 3 array astore def} def
+/begingate {dup type /dicttype ne {1 dict} if begin	% default params
+	dup type /dicttype ne {1 dict} if begin		% instanced params
+	/hlevel hlevel 1 add def /defColor currentcolor sce 3 array astore
+	def gsave sce translate 0 0 moveto neg rotate dup type /nametype
+	eq not { dup abs currentlinewidth exch div setlinewidth } { pop }
+	ifelse dup abs scale clipped 1 and 1 eq {/clipped clipped 1 add def}
+	if} bind def
+/endgate { /hlevel hlevel 1 sub def grestore defColor aload pop cRedef
+	scb end end endclip} bind def
+
+/hlevel 0 def
+/endclip {clipped 1 and 1 eq {grestore /clipped clipped 1 sub def} if} def
+/tmpa [1 0 0 1 0 0] def
+/gar {8 8 true tmpa {<c0 c0 00 00 0c 0c 00 00>} imagemask} bind
+{8 8 true tmpa {<30 70 60 02 03 07 06 20>} imagemask} bind
+{8 8 true tmpa {<0c 1e 1e 0c c0 e1 e1 c0>} imagemask} bind
+{8 8 true tmpa {<0f 0f 0f 0f f0 f0 f0 f0>} imagemask} bind
+{8 8 true tmpa {<3f f3 e1 e1 f3 3f 1e 1e>} imagemask} bind
+{8 8 true tmpa {<df cf 8f 9f fd fc f8 f9>} imagemask} bind
+{8 8 true tmpa {<ff 3f 3f ff ff f3 f3 ff>} imagemask} bind 7 array astore def
+/ppaint { gsave clip tmpa dup setmatrix pathbbox neg exch neg 4 2 roll
+  neg 4 -1 roll 2 copy gt {exch} if 8 div ceiling 8 mul 4 2 roll neg 2 copy
+  gt {exch} if 8 div ceiling 8 mul 3 -1 roll -8 5 -1 roll
+  { 3 index exch 5 exch put dup -8 3 index { 3 index
+  exch 4 exch put 3 index exec } for } for pop pop pop pop grestore } bind def
+/setstyles {
+  currentlinewidth mul setlinewidth /style exch def style 2048 and 0 gt
+  clipped 1 and 0 eq and {clip newpath /clipped clipped 1 add def} {
+  style 1 and 0 gt not {closepath} if
+  style 1024 and 0 gt {2 setlinecap} if
+  style 2 and 0 gt {currentlinewidth 4 mul dup 2 array astore 0 setdash} if
+  style 4 and 0 gt {0.5 currentlinewidth 4 mul 2 array astore 0 setdash} if
+  gsave style 16 and 0 gt { style 224 and -5 bitshift style 256 and 0 gt {
+  7 exch sub 8 div dup 1 exch sub currentrgbcolor 3 array astore
+  {3 copy mul add 4 1 roll pop} forall pop pop setrgbcolor eofill}
+  {dup 7 lt {gar exch get ppaint} {pop eofill} ifelse} ifelse}
+  {style 256 and 0 gt {1 setgray eofill} if} ifelse grestore style 8 and 0 gt 
+  style 512 eq or {newpath} {stroke} ifelse grestore endclip} ifelse} def     
+
+/addtoy {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll add
+	4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtoy1 {1 exch addtoy pop} def
+/addtoy3 {3 exch addtoy pop} def
+/addtoy4 {4 exch addtoy pop} def
+/addtox {exch dup dup 2 mul 3 add 1 roll -1 1 { 4 1 roll dup 4 1 roll 3 -1 roll
+	add exch 4 -1 roll 2 mul 1 add index 2 mul 2 add 2 roll} for pop} def
+/addtox1 {1 exch addtox pop} def
+/addtox3 {3 exch addtox pop} def
+/addtox4 {4 exch addtox pop} def
+/polygon { gsave /num exch def moveto num 1 sub {lineto} repeat setstyles } def
+/xcarc   { gsave newpath arc setstyles } def
+/elb { matrix currentmatrix 7 -1 roll 7 -1 roll translate 5 1 roll 4 -1 roll
+	3 index div 1 scale } def
+/ele { 0 4 1 roll 0 4 1 roll } bind def
+/ellipse { gsave elb newpath ele arc setmatrix setstyles } def
+/pellip  { elb ele arc setmatrix } def
+/nellip  { elb ele arcn setmatrix } def
+/spline  { gsave moveto curveto setstyles } def
+/polyc   { {lineto} repeat } bind def
+/beginpath { gsave moveto } bind def
+/endpath { setstyles } bind def
+/bop	 { 1 setlinecap 0 setlinejoin 6 setmiterlimit 0 0 0 scb cRedef
+	/clipped 0 def} def
+/psinsertion {/PSobj save def /showpage {} def /setpagedevice {pop} def bop
+	rotate translate dup scale} def
+/end_insert {PSobj restore} def
+/setpagemat {/pagemat matrix currentmatrix def} def
+/inchscale  {setpagemat 0.375 mul dup scale} def
+/cmscale    {setpagemat 0.35433071 mul dup scale} def
+
+%%EndResource
+%%EndProlog
+
+% XCircuit output starts here.
+
+%%BeginSetup
+
+
+%%EndSetup
+
+%%Page: usbasp 1
+%%PageOrientation: Portrait
+/pgsave save def bop
+1.0000 inchscale
+2.6000 setlinewidth 672 288 translate
+
+(PC) {/Helvetica cf} 2 16 0 1.000 -448 80 label
+(USB) {/Helvetica cf} 2 16 0 1.000 -464 32 label
+(PORT) {/Helvetica cf} 2 16 0 1.000 -464 -16 label
+0 1.000 -480 128 -480 -32 -352 -32 -352 128 4 polygon
+(USBASP) {/Helvetica cf} 2 16 0 1.000 -240 16 label
+(Programmer) {/Helvetica cf} 2 16 0 1.000 -272 -16 label
+0 1.000 -288 144 -288 -96 -32 -96 -32 144 4 polygon
+1 1.000 -32 112 112 112 2 polygon
+(Target) {/Helvetica cf} 2 16 0 1.000 160 32 label
+(AVR IC) {/Helvetica cf} 2 16 0 1.000 160 -16 label
+(RST) {/Helvetica cf} 2 16 0 1.000 0 128 label
+(SCK) {/Helvetica cf} 2 16 0 1.000 0 80 label
+(MISO) {/Helvetica cf} 2 16 0 1.000 0 32 label
+(MOSI) {/Helvetica cf} 2 16 0 1.000 0 -16 label
+1 1.000 -32 64 112 64 2 polygon
+1 1.000 -32 16 112 16 2 polygon
+1 1.000 -32 -32 112 -32 2 polygon
+0 1.000 112 144 112 -96 352 -96 352 144 4 polygon
+1 1.000 -352 48 -288 48 2 polygon
+1 1.000 -32 -80 112 -80 2 polygon
+(GND) {/Helvetica cf} 2 16 0 1.000 0 -80 label
+pgsave restore showpage
+
+%%Trailer
+XCIRCsave restore
+%%EOF
diff --git a/microhope/microhope-doc/pics/usbasp.png b/microhope/microhope-doc/pics/usbasp.png
new file mode 100644
index 0000000..3cc3a0d
Binary files /dev/null and b/microhope/microhope-doc/pics/usbasp.png differ
diff --git a/microhope/microhope-doc/prog/adc.c b/microhope/microhope-doc/prog/adc.c
new file mode 100644
index 0000000..d48e754
--- /dev/null
+++ b/microhope/microhope-doc/prog/adc.c
@@ -0,0 +1,15 @@
+// Reads ADC channel 0 and diplays the result on the LCD 
+
+#include "pmdk_lcd.c"
+#include "pmdk_adc.c"
+
+main()
+{
+uint16_t data;
+
+lcd_init();
+adc_enable();
+data = read_adc(0);
+lcd_put_int(data);
+}
+
diff --git a/microhope/microhope-doc/prog/adc_loop.c b/microhope/microhope-doc/prog/adc_loop.c
new file mode 100755
index 0000000..abd725d
--- /dev/null
+++ b/microhope/microhope-doc/prog/adc_loop.c
@@ -0,0 +1,20 @@
+// Reads ADC channel 0 and diplays the result on the LCD 
+
+#include "pmdk_lcd.c"
+#include "pmdk_adc.c"
+
+main()
+{
+uint16_t data;
+
+lcd_init();
+adc_enable();
+for(;;)
+   {
+   lcd_clear();
+   data = read_adc(0);
+   lcd_put_int(data);
+   delay(1000);         // 2000 usec delay
+   }
+}
+
diff --git a/microhope/microhope-doc/prog/avr309.py b/microhope/microhope-doc/prog/avr309.py
new file mode 100644
index 0000000..ba4fde0
--- /dev/null
+++ b/microhope/microhope-doc/prog/avr309.py
@@ -0,0 +1,77 @@
+# Class implementing communication to the USB to the Serial Adapter
+# Require python-usb module installed
+
+import usb, array, time, sys
+
+VENDOR_ID	= 0x03eb	# Vendor ID of Atmel
+PRODUCT_ID	= 0x21ff	# Atmega based usb interface
+VDR  		= 0xC0		# USB Vendor device request
+RS_WRITE 	= 10	
+RS_READ  	= 14
+RS_SETBAUD	= 12
+
+USBMAXTRY	= 100
+USBTIMEOUT	= 0
+PHOENIX_ERR	= -1
+MAXDATA = 802				# 800 + 2
+buf = array.array('B',MAXDATA * [0])	# unsigned character array, Global
+
+class avrusb:
+  def __init__(self):
+    busses = usb.busses()
+    self.fd = None		# Device file handle
+    for bus in busses:		
+      devices = bus.devices
+      for dev in devices:	# Search for AVRUSB
+        if dev.idVendor == VENDOR_ID and dev.idProduct==PRODUCT_ID:
+          self.dev = dev
+          self.conf = self.dev.configurations[0]
+          self.intf = self.conf.interfaces[0][0]
+          self.fd = self.dev.open()
+          self.fd.setConfiguration(self.conf)
+          self.fd.claimInterface(self.intf)
+          self.setbaud(38)	# 38400 baud
+          self.clearbuf()
+          
+  def setbaud(self, val):
+    self.fd.controlMsg(VDR, RS_SETBAUD, 1, value = val, index = 0)
+              
+  def write(self, val):
+    self.fd.controlMsg(VDR, RS_WRITE, 1, value = val)
+#    time.sleep(.01)
+    
+  def read_one(self):	 # Read one byte, no wait
+      res = self.fd.controlMsg(VDR, RS_READ, 1+2)
+      if len(res) > 2:
+        return res[2]
+
+  def clearbuf(self):
+    while 1:
+      res = self.fd.controlMsg(VDR, RS_READ, 802)
+      if len(res) < 3:
+        break
+      else:
+        print 'Cleared ', res[0] + res[1]*256, 'bytes'
+  
+  def read(self, nb):	 # loop until getting 'nb' bytes
+    index = 0
+    remaining = nb
+    timer = 0
+    while remaining:
+      if remaining <= 200:
+          bsize = remaining
+      else:
+          bsize = 200
+      part = self.fd.controlMsg(VDR, RS_READ, bsize + 2)
+      if len(part) < 3:
+          timer = timer + 1
+          if timer > USBMAXTRY:
+              return USBTIMEOUT
+          continue;
+      pl = len(part) - 2
+      for k in range(2,len(part)):
+          buf[index] = part[k]
+          index = index + 1
+      remaining = remaining - pl
+    return index
+
diff --git a/microhope/microhope-doc/prog/blink.c b/microhope/microhope-doc/prog/blink.c
new file mode 100644
index 0000000..819fb8c
--- /dev/null
+++ b/microhope/microhope-doc/prog/blink.c
@@ -0,0 +1,23 @@
+#include <avr/io.h>
+
+void delay (uint16_t k)
+    /* generates delay by decrementing a number until it reaches zero.
+    roughly 2 usec per loop at 8 MHz system clock
+    */
+    {
+    volatile uint16_t x = k;
+    while (x)  --x;
+    }
+
+int main (void)
+  {
+  DDRB = 1;		// Data Direction Register for port B
+
+  for(;;)
+    {
+    PORTB = 1;	
+    delay(30000);
+    PORTB = 0;
+    delay(30000);
+  }
+}
diff --git a/microhope/microhope-doc/prog/compile b/microhope/microhope-doc/prog/compile
new file mode 100755
index 0000000..293f498
--- /dev/null
+++ b/microhope/microhope-doc/prog/compile
@@ -0,0 +1,5 @@
+avr-gcc  -Wall -O2 -mmcu=atmega16 -Wl,-Map,$1.map -o $1 $1.c
+avr-objcopy -j .text -j .data -O ihex $1 $1.hex
+avr-objdump -S $1 > $1.lst
+rm $1 $1.map $1.lst
+
diff --git a/microhope/microhope-doc/prog/copy.c b/microhope/microhope-doc/prog/copy.c
new file mode 100644
index 0000000..f2c9d7d
--- /dev/null
+++ b/microhope/microhope-doc/prog/copy.c
@@ -0,0 +1,14 @@
+#include <avr/io.h>
+
+int main (void)
+  {
+  uint8_t  val;
+  DDRA = 0;		// Data Direction Register
+  DDRB = 1;
+  
+  for(;;)
+    {
+    val = PINA;
+    PORTB = val;	
+    }
+}
diff --git a/microhope/microhope-doc/prog/dio.c b/microhope/microhope-doc/prog/dio.c
new file mode 100644
index 0000000..d5276a3
--- /dev/null
+++ b/microhope/microhope-doc/prog/dio.c
@@ -0,0 +1,10 @@
+#include "pmdk_digital.c"
+
+main()
+{
+make_pin_output(13);
+set_high(13);
+
+
+}
+
diff --git a/microhope/microhope-doc/prog/echo.c b/microhope/microhope-doc/prog/echo.c
new file mode 100644
index 0000000..614a003
--- /dev/null
+++ b/microhope/microhope-doc/prog/echo.c
@@ -0,0 +1,17 @@
+#include "pmdk_lcd.c"
+#include "pmdk_uart.c"
+
+int main(void)
+{
+uint8_t data;
+
+lcd_init();
+uart_init(38400);
+
+for(;;)
+  {
+    data = uart_recv_byte();
+    lcd_put_char(data);
+    uart_send_byte(data);
+  }
+}
diff --git a/microhope/microhope-doc/prog/freq.c b/microhope/microhope-doc/prog/freq.c
new file mode 100644
index 0000000..09ccfdd
--- /dev/null
+++ b/microhope/microhope-doc/prog/freq.c
@@ -0,0 +1,13 @@
+#include "pmdk_timer.c"
+#include "pmdk_adc.c"
+#include "pmdk_lcd.c"
+
+int main()
+{
+uint16_t fr;
+
+lcd_init();
+set_frequency(500); 	// Generate square wave on PD7 (OC2)
+fr = measure_frequency();
+lcd_put_int(fr);
+}
diff --git a/microhope/microhope-doc/prog/hello.c b/microhope/microhope-doc/prog/hello.c
new file mode 100644
index 0000000..e94b0c0
--- /dev/null
+++ b/microhope/microhope-doc/prog/hello.c
@@ -0,0 +1,7 @@
+#include "pmdk_lcd.c"
+
+int main()
+{
+lcd_init();
+lcd_put_string("Hello World");
+}
diff --git a/microhope/microhope-doc/prog/motor.c b/microhope/microhope-doc/prog/motor.c
new file mode 100644
index 0000000..ebf6242
--- /dev/null
+++ b/microhope/microhope-doc/prog/motor.c
@@ -0,0 +1,49 @@
+/*
+Stepper motor coils are connected to the collectors of 4 transistors
+whose bases are connected to PA0 to PA3.
+*/
+
+#include <avr/io.h>
+
+
+void delay (uint16_t k)  // Delay is (k * 2) usec
+    {
+    volatile uint16_t x = k;
+    while (x)  --x;
+    }
+
+
+void rotateMotor (int nsteps, int dir)
+{
+  static uint8_t pos = 0, seq[4] = { 12, 6, 3, 9 };
+  int i;
+
+  for (i = 0; i < nsteps; ++i)
+    {
+      if (dir)
+	if (pos == 3)
+	  pos = 0;
+	else
+	  ++pos;
+      else if (pos == 0)
+	pos = 3;
+      else
+	--pos;
+
+      PORTA = seq[pos];
+      delay (3000);
+    }
+}
+
+int main (void)
+  {
+  DDRA = 15;		// Data Direction Register for PORT A
+
+  for(;;) 
+    {
+    rotateMotor(100,0);
+    rotateMotor(100,1);
+    }
+  
+  return 0;
+}
diff --git a/microhope/microhope-doc/prog/motor.c~ b/microhope/microhope-doc/prog/motor.c~
new file mode 100644
index 0000000..458da64
--- /dev/null
+++ b/microhope/microhope-doc/prog/motor.c~
@@ -0,0 +1,45 @@
+/*
+Stepper motor coils are connected to the collectors of 4 transistors
+whose bases are connected to PA0 to PA3.
+*/
+
+#include <avr/io.h>
+
+
+void delay (uint16_t k)  // Delay is (k * 2) usec
+    {
+    volatile uint16_t x = k;
+    while (x)  --x;
+    }
+
+
+void rotateMotor (int nsteps, int dir)
+{
+  static uint8_t pos = 0, seq[4] = { 12, 6, 3, 9 };
+  int i;
+
+  for (i = 0; i < nsteps; ++i)
+    {
+      if (dir)
+	if (pos == 3)
+	  pos = 0;
+	else
+	  ++pos;
+      else if (pos == 0)
+	pos = 3;
+      else
+	--pos;
+
+      PORTA = seq[pos];
+      delay (3000);
+    }
+}
+
+int main (void)
+  {
+  DDRA = 15;		// Data Direction Register for PORT A
+
+  for(;;) rotateMotor(100,0);
+  
+  return 0;
+}
diff --git a/microhope/microhope-doc/prog/motor.hex b/microhope/microhope-doc/prog/motor.hex
new file mode 100644
index 0000000..68c574f
--- /dev/null
+++ b/microhope/microhope-doc/prog/motor.hex
@@ -0,0 +1,25 @@
+:100000000C942A000C9447000C9447000C94470071
+:100010000C9447000C9447000C9447000C94470044
+:100020000C9447000C9447000C9447000C94470034
+:100030000C9447000C9447000C9447000C94470024
+:100040000C9447000C9447000C9447000C94470014
+:100050000C94470011241FBECFE5D4E0DEBFCDBF16
+:1000600010E0A0E6B0E0EAE6F1E002C005900D92F3
+:10007000A436B107D9F710E0A4E6B0E001C01D92A4
+:10008000A536B107E1F70E94A4000C94B3000C94CC
+:100090000000DF93CF9300D0CDB7DEB79A8389837A
+:1000A00089819A81892B49F089819A8101979A8364
+:1000B000898389819A81892BB9F70F900F90CF910D
+:1000C000DF9108950F931F93DF93CF9300D0CDB7A7
+:1000D000DEB7DC011816190644F54091640020E0F3
+:1000E00030E008EB1BE06115710531F1433051F14F
+:1000F0004F5FE42FF0E0E05AFF4F80818BBB1A8303
+:10010000098389819A81892B49F089819A81019794
+:100110009A83898389819A81892BB9F72F5F3F4F11
+:100120002A173B0704F3409364000F900F90CF9180
+:10013000DF911F910F910895442311F443E0D9CF2B
+:100140004150D7CF40E0D5CF8FE08ABB84E690E026
+:1001500060E070E00E94620084E690E061E070E0A0
+:0A0160000E946200F3CFF894FFCF75
+:04016A000C06030973
+:00000001FF
diff --git a/microhope/microhope-doc/prog/motor.py b/microhope/microhope-doc/prog/motor.py
new file mode 100644
index 0000000..21cef90
--- /dev/null
+++ b/microhope/microhope-doc/prog/motor.py
@@ -0,0 +1,12 @@
+import phm, time
+p=phm.phm()
+
+
+p.set_ddr(0,255)
+pos = 0
+seq = [12, 6, 3, 9]
+
+i = 0
+while(1):
+	p.set_port(0,seg[i%3])
+	i += 1
diff --git a/microhope/microhope-doc/prog/pmdk_adc.c b/microhope/microhope-doc/prog/pmdk_adc.c
new file mode 100644
index 0000000..f6c254d
--- /dev/null
+++ b/microhope/microhope-doc/prog/pmdk_adc.c
@@ -0,0 +1,65 @@
+/* adc.c -- routines for handling the Analog to Digital converter
+
+   Copyright (C) 2008 Ajith Kumar, Inter-University Accelerator Centre,
+   New Delhi. 
+
+   This program is free software; you can redistribute it and/or modify
+   it under the terms of the GNU General Public License as published by
+   the Free Software Foundation; either version 3, or (at your option)
+   any later version.
+*/
+
+#include <avr/io.h>
+
+#ifndef BV
+  #define BV(bit)  (1 << (bit))
+#endif
+
+#define REF_EXT		0	// Feed reference voltage externally
+#define REF_INT		(3<<6)	// use the 2.56 V internal reference
+#define REF_AVCC	(1<<6)	// Connect AVCC internally to reference
+#define ADMAX		7	// channels 0 to 7 
+#define ADC_SPEED	7	// ADCClk = (8 MHz/ 128) = 62.5 KHz =>208 usec
+
+
+uint8_t adc_active = 0;
+uint8_t adc_ref = REF_AVCC;		// Default is to use AVCC
+
+void adc_enable(void)
+{
+	ADCSRA = BV(ADEN);		// Enable the ADC
+	ADMUX = REF_AVCC;		// Use AVCC as reference
+	adc_active = 1;
+}
+
+void adc_disable(void)
+{
+	ADCSRA = 0;			// Disable the ADC
+	adc_active = 0;
+}
+
+
+void adc_set_ref(uint8_t val)
+{
+	ADMUX &= 0x3f;		// Clear reference selection bits
+	ADMUX |= val;		// Set the selected reference source
+}
+
+uint16_t read_adc(uint8_t ch)	// Returns 10 bit number
+{
+	uint8_t low;
+	uint16_t val;
+
+	if (!adc_active)
+  	adc_enable();
+	if (ch > ADMAX)
+  	return 0;
+	ADMUX =  adc_ref | ch;			// Set channel & reference
+	ADCSRA = BV(ADEN) | BV(ADSC) | ADC_SPEED;
+	while ( !(ADCSRA & (1<<ADIF)) ) ;	// wait for ADC conversion
+	ADCSRA |= ADIF;
+	low = ADCL;
+	val = ADCH;
+	return (val << 8) | low;
+}
+
diff --git a/microhope/microhope-doc/prog/pmdk_digital.c b/microhope/microhope-doc/prog/pmdk_digital.c
new file mode 100644
index 0000000..7fa716d
--- /dev/null
+++ b/microhope/microhope-doc/prog/pmdk_digital.c
@@ -0,0 +1,104 @@
+/* digital.c -- routines for manipulating the I/O pins. 
+
+   Copyright (C) 2008 Ajith Kumar, Inter-University Accelerator Centre,
+   New Delhi and Pramode C.E, GnuVision.com.
+
+   This program is free software; you can redistribute it and/or modify
+   it under the terms of the GNU General Public License as published by
+   the Free Software Foundation; either version 3, or (at your option)
+   any later version.
+*/
+
+#include <avr/io.h>
+
+struct port_pin {
+    volatile uint8_t* port;
+    volatile uint8_t* input_reg;
+    volatile uint8_t* dir_reg;
+    uint8_t pin;
+};
+
+static struct port_pin pmap[] = {{&PORTB, &PINB, &DDRB,0}, {&PORTD, &PIND, &DDRD, 0},
+                                 {&PORTC, &PINC, &DDRC, 0}};
+/*
+ * The following functions act on pin numbers 0 ... 20 on the
+ * Phoenix-MDK board. These pins are  mapped to the 
+ * corresponding ports by the `translate' function.
+ */
+
+/* 
+ * Pins belonging to Ports B,C and D are marked with serial numbers ranging 
+ * from 0 to 20 on the PCB. This routine translates those numbers into port 
+ * address and the actual pin number. Used for implementing simpler functions.
+ */
+
+static struct port_pin* translate(uint8_t pin)
+{
+    if (pin <= 4) {		// PB0 to PB4 are labelled from 0 to 4 
+        pmap[0].pin = pin;
+        return pmap;
+    } else if (pin <= 12) {	// PD0 to PD7 are labelled from 5 to 12
+        pmap[1].pin = pin - 5;
+        return pmap + 1;
+    } else if (pin <= 20) {	// PC0 to PC7 are labelled from 13 to 20
+        pmap[2].pin = pin - 13;
+        return pmap + 2;
+    } else {
+        	// Invalid pin number will select the LSB of port B.
+            return pmap;
+    }
+}
+
+/*
+ * Set direction of pin to `input' or `output'
+ */
+
+void make_pin_input(uint8_t pin)
+{
+    struct port_pin *t = translate(pin);
+    *(t->dir_reg) &= ~(1 << t->pin);
+}
+
+void make_pin_output(uint8_t pin)
+{
+    struct port_pin *t = translate(pin);
+    *(t->dir_reg) |= (1 << t->pin);
+}
+
+/*
+ * For a PIN configured as input, setting/clearing the corresponding bit
+ * of PORTX will enable/disable the corresponding pullup resistor.
+ */
+
+void enable_pullup(uint8_t pin)
+{
+    struct port_pin *t = translate(pin);
+    if(!(((*(t->dir_reg)) >> t->pin) & 1))
+        *(t->port) |= (1 << t->pin);
+}
+
+void disable_pullup(uint8_t pin)
+{
+    struct port_pin *t = translate(pin);
+    if(!(((*(t->dir_reg)) >> t->pin) & 1))
+        *(t->port) &= ~(1 << t->pin);
+}
+
+void  set_high(uint8_t pin)
+{
+    struct port_pin *t = translate(pin);
+    *(t->port) |= (1 << t->pin);
+}
+
+void  set_low(uint8_t pin)
+{
+    struct port_pin *t = translate(pin);
+    *(t->port) &= ~(1 << t->pin);
+}
+
+uint8_t read_pin(uint8_t pin)
+{
+    struct port_pin *t = translate(pin);
+    return ((*(t->input_reg)) >> (t->pin)) & 1;
+}
+
diff --git a/microhope/microhope-doc/prog/pmdk_lcd.c b/microhope/microhope-doc/prog/pmdk_lcd.c
new file mode 100644
index 0000000..6c8d346
--- /dev/null
+++ b/microhope/microhope-doc/prog/pmdk_lcd.c
@@ -0,0 +1,119 @@
+
+/* lcd.c -- routines for handling a text mode LCD display
+
+   Copyright (C) 2008 Ajith Kumar, Inter-University Accelerator Centre,
+   New Delhi and Pramode C.E, GnuVision.com.
+
+   This program is free software; you can redistribute it and/or modify
+   it under the terms of the GNU General Public License as published by
+   the Free Software Foundation; either version 3, or (at your option)
+   any later version.
+*/
+
+#include <avr/io.h>
+
+// LCD control bits of Port C on Phoenix MDK. Refer to the Schematic
+#define ENBIT 0x8  	
+#define RWBIT 0x4  
+#define RSBIT 0x2  
+
+void delay(uint16_t k)
+{
+    volatile uint16_t x = k;
+    while(x)
+        --x;
+}
+
+
+uint8_t cpos = 0;		// LCD cursor position
+
+void lcd_command (uint8_t cmd)
+{
+	PORTC &= 1;				// Clear bits used by LCD
+	PORTC |= (cmd & 0xF0);			// Put 4 MSBs, RS, RW & EN Low
+	PORTC |= ENBIT;  PORTC &= ~ENBIT;	// Pulse on EN pin
+	PORTC &= 1;
+	PORTC |= (cmd << 4);			// Put 4 LSBs 
+	PORTC |= ENBIT;  PORTC &= ~ENBIT;	// Pulse on EN pin
+	delay (10000);
+}
+
+
+void lcd_init (void)
+{
+	delay(10000);
+	DDRC |= 254;			// Except PC0 all are outputs
+	lcd_command (32 + 8 + 4);	// 4 bit data mode
+	lcd_command (4 + 2);		// Entry mode
+	lcd_command (8 + 4);		// display ON, no cursor
+	lcd_command (1);		// Clear
+	cpos = 0;			// Set cursor position variable
+	delay(10000);
+}
+
+
+void lcd_clear (void)
+{
+	lcd_command(1);
+}
+
+
+void lcd_put_char (char c)
+{
+	PORTC &= 1;				// Clear bits used by LCD
+	PORTC |= RSBIT | (c & 0xF0);		// Put 4 MSBs, RS High, RW & EN Low
+	PORTC |= ENBIT;  PORTC &= ~ENBIT;	// Pulse on EN pin
+	PORTC &= 1;
+	PORTC |= RSBIT | (c << 4);		// Put 4 LSBs 
+	PORTC |= ENBIT;  PORTC &= ~ENBIT;	// Pulse on EN pin
+	delay(1000);
+	++cpos;  if(cpos == 8) 
+		lcd_command(128 + 32 + 8);	// 1 x 16 display
+}  
+
+
+void lcd_put_string(char *p)
+{
+	while(*p) {
+		lcd_put_char(*p);
+		++p;
+	}
+}
+
+void lcd_put_byte(uint8_t i)
+{
+	uint8_t pos100 = 0;
+
+	if(i/100) {
+		pos100 = 1;
+		lcd_put_char('0' + i/100);
+		i %= 100;
+	}
+
+	if( (i/10) || pos100) {
+		lcd_put_char('0' + i/10);
+		i %= 10;
+	}
+	lcd_put_char('0' + i);
+}
+
+void lcd_put_int(uint16_t val)
+{
+	char 	ss[8];
+	uint8_t	k;
+
+	if(val ==0) {
+		lcd_put_char('0');
+		return;
+	}
+
+	k = 0;
+	while(val > 0) {
+		ss[k++] = '0' + (val % 10);
+		val /= 10;
+	}
+	while(k) {
+		lcd_put_char(ss[k-1]);
+		--k;
+	}
+}
diff --git a/microhope/microhope-doc/prog/pmdk_timer.c b/microhope/microhope-doc/prog/pmdk_timer.c
new file mode 100644
index 0000000..11907ed
--- /dev/null
+++ b/microhope/microhope-doc/prog/pmdk_timer.c
@@ -0,0 +1,107 @@
+/* timers.c -- routines for handling the timer
+
+   Copyright (C) 2008 Ajith Kumar, Inter-University Accelerator Centre,
+   New Delhi. 
+
+   This program is free software; you can redistribute it and/or modify
+   it under the terms of the GNU General Public License as published by
+   the Free Software Foundation; either version 3, or (at your option)
+   any later version.
+
+   This program is distributed in the hope that it will be useful,
+   but WITHOUT ANY WARRANTY; without even the implied warranty of
+   MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+   GNU General Public License for more details.
+
+   You should have received a copy of the GNU General Public License
+   along with this program; if not, write to the Free Software Foundation,
+   Inc., 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301, USA.  */
+
+
+#include <avr/io.h>
+
+
+#ifndef BV
+  #define BV(bit)  (1 << (bit))
+#endif
+
+#define CPU_CLOCK	8000000		// 8 MHz clock is assumed
+#define FLIMIT  (CPU_CLOCK/2)
+
+static uint16_t f[] = {1,8,32,64,128,256,1024};
+ 
+uint32_t set_frequency(uint32_t freq)
+{
+	uint32_t tmp;
+	uint8_t ocr, k;
+
+	DDRD |= 0x80;    // Make PD7 as output
+	if(freq == 0) {
+  	TCCR2 = BV(WGM21) | BV(COM20);	// CTC mode, clock disabled
+  	return 0;
+  } else if(freq <= 15) {
+  	TCCR2 = BV(WGM21) | BV(COM20) | 7;	// CTC mode, ck/1024
+  	OCR2 = 255;
+  	return 15;
+  } else if(freq >= FLIMIT) {
+  	TCCR2 = BV(WGM21) | BV(COM20) | 1;	// CTC mode, ck/1
+  	OCR2 = 0;
+  	return FLIMIT;
+  } else {
+  	k = 0;
+  	while(k < 7) {
+    	tmp = FLIMIT / f[k];	// maximum value for the chosen prescaler
+    	if (tmp/freq <= 256) {
+      	TCCR2 = BV(WGM21) | BV(COM20) | (k+1);	// CTC mode
+      	ocr = tmp/freq;
+      	tmp = tmp/ocr;	// the value actually set
+      	if (ocr) 
+        	--ocr;
+      	OCR2 = ocr;
+      	return tmp;
+      }
+    	k = k + 1;
+    }
+  }
+	return 0;  
+}
+
+
+#define MTIME	50000	// We count the pulses for 50 milliseconds only
+
+uint32_t measure_frequency(void)
+{
+/*
+Count the input of TC0 for 50000 microseconds (using TC1).
+multiply by 20 to get the counts/second.
+*/
+  uint32_t low = 0;
+
+  TCCR1B = BV(CS11);		// Feed Clock/8 (1 MHz ) to TCNT1
+  TCCR0 = 7;			// TC0 counts External  clock
+  TIFR |= BV(TOV0);		// Clear TCC0 OVF flag
+  TCNT0 = 0;			// Reset TCNT0
+  TCNT1 = 0;			// to keep time with clk/8
+  while(TCNT1 < MTIME)
+    {          
+    if(TIFR & BV(TOV0))		// TC0 overflow after 255 counts
+      {
+      ++low;
+      TIFR |= BV(TOV0);		// Clear OVF flag
+      }
+    }
+  TCCR0 = 0;  
+  return (low * 256 + TCNT0)*20;
+  }
+
+
+/* PWM DAC on counter 2, PD0 (OC2) */
+void set_voltage(uint8_t val)	
+{
+	DDRD |= 0x80;	     // make PD0 as output
+	OCR2 = val;
+	// Fast PWM mode
+	TCCR2 = BV(WGM21) | BV(WGM20) | BV(COM21) | BV(CS20);  
+	TCNT2 = 0;
+}
+
diff --git a/microhope/microhope-doc/prog/pmdk_uart.c b/microhope/microhope-doc/prog/pmdk_uart.c
new file mode 100644
index 0000000..04bf380
--- /dev/null
+++ b/microhope/microhope-doc/prog/pmdk_uart.c
@@ -0,0 +1,40 @@
+                                                                               
+/* uart.c -- routines for manipulating the ATmega16 UART.
+
+   Copyright (C) 2008 Ajith Kumar, Inter-University Accelerator Centre,
+   New Delhi and Pramode C.E, GnuVision.com.
+
+   This program is free software; you can redistribute it and/or modify
+   it under the terms of the GNU General Public License as published by
+   the Free Software Foundation; either version 3, or (at your option)
+   any later version.
+*/
+
+#include <avr/io.h>
+
+#define CPU_CLOCK	8000000		// 8 MHz clock is assumed
+#define COMPUTE_BAUD(b) ((uint32_t)(CPU_CLOCK)/((uint32_t)(b)*16) - 1)
+
+
+    //Initialise UART: format 8 data bits, No parity, 1 stop bit
+void uart_init(uint16_t baud)
+{
+    UCSRB = (1 << TXEN) | (1 << RXEN);
+    UBRRH = (COMPUTE_BAUD(baud) >> 8) & 0xff;
+    UBRRL = (COMPUTE_BAUD(baud)) & 0xff;
+    UCSRC = (1 << URSEL) | (1 << UCSZ1) | (1 << UCSZ0);
+}
+
+
+uint8_t uart_recv_byte(void)
+{
+    while( !(UCSRA & (1 <<RXC)) );
+    return UDR;
+}
+
+void uart_send_byte(uint8_t c)
+{
+    while( !(UCSRA & (1 <<UDRE) ) );
+    UDR = c;
+}
+
diff --git a/microhope/microhope-doc/prog/receive.py b/microhope/microhope-doc/prog/receive.py
new file mode 100644
index 0000000..14994db
--- /dev/null
+++ b/microhope/microhope-doc/prog/receive.py
@@ -0,0 +1,14 @@
+import serial
+ser = serial.Serial('/dev/ttyS0', 38400, stopbits=1)
+
+count = 0
+val = ''
+while(1):
+	while ser.inWaiting() == 0:
+		pass
+	x=ser.read()
+	if ord(x) == 0:     #Print when end of string 
+		print val
+		val = ''
+	else:
+		val = val + x
diff --git a/microhope/microhope-doc/prog/rs232echo.py b/microhope/microhope-doc/prog/rs232echo.py
new file mode 100644
index 0000000..37ed04c
--- /dev/null
+++ b/microhope/microhope-doc/prog/rs232echo.py
@@ -0,0 +1,8 @@
+import serial
+fd = serial.Serial('/dev/ttyS0', 38400, stopbits=1, \
+    timeout = 1.0, parity=serial.PARITY_EVEN)
+
+while 1:
+  c = raw_input('Enter a character : ')
+  fd.write(c)	
+  print 'Receiced ', fd.read()
\ No newline at end of file
diff --git a/microhope/microhope-doc/prog/senddata.c b/microhope/microhope-doc/prog/senddata.c
new file mode 100644
index 0000000..ec2a888
--- /dev/null
+++ b/microhope/microhope-doc/prog/senddata.c
@@ -0,0 +1,22 @@
+#include "pmdk_adc.c"
+#include "pmdk_uart.c"
+#include "pmdk_lcd.c"
+
+#include <stdio.h>
+
+int main()
+{
+uint16_t data;
+char   ss[10], *p;
+
+lcd_init();
+adc_enable();
+uart_init(38400);
+
+data = read_adc(0);
+sprintf(ss,"%5d",data);
+lcd_put_string(ss);
+p = ss;
+while (*p++) uart_send_byte(*p);
+}
+
diff --git a/microhope/microhope-doc/prog/set_fuse b/microhope/microhope-doc/prog/set_fuse
new file mode 100644
index 0000000..64fe1a0
--- /dev/null
+++ b/microhope/microhope-doc/prog/set_fuse
@@ -0,0 +1,5 @@
+echo "Setting fuses for ATmega16: 8Mhz External Crystal, disable JTAG"
+uisp -dprog=dapa -dpart=atmega16 -dlpt=0x378 --erase
+uisp -dprog=dapa -dpart=atmega16 -dlpt=0x378 --wr_fuse_l=0xef
+uisp -dprog=dapa -dpart=atmega16 -dlpt=0x378 --wr_fuse_h=0xd9 
+uisp -dprog=dapa -dpart=atmega16 -dlpt=0x378 --rd_fuses 
diff --git a/microhope/microhope-doc/prog/upload b/microhope/microhope-doc/prog/upload
new file mode 100755
index 0000000..d6858c6
--- /dev/null
+++ b/microhope/microhope-doc/prog/upload
@@ -0,0 +1,7 @@
+echo "Uploading  $1.c and Locking it"
+
+uisp -dprog=dapa -dpart=atmega16 -dlpt=0x378 --erase
+
+uisp --verify -dprog=dapa -dpart=atmega16 -dlpt=0x378 --upload if=$1.hex
+
+uisp -dprog=dapa -dpart=atmega16 -dlpt=0x378 --wr_lock=0xfe
diff --git a/microhope/microhope-doc/prog/usbecho.py b/microhope/microhope-doc/prog/usbecho.py
new file mode 100644
index 0000000..0624d34
--- /dev/null
+++ b/microhope/microhope-doc/prog/usbecho.py
@@ -0,0 +1,13 @@
+import avr309, sys
+
+con = avr309.avrusb()
+if con.fd == None:
+  print 'AVR309 USB to Serial Adapter not found. Exiting'
+  sys.exit()
+
+con.setbaud(38) 	# 38 for 38400 and 12 for 115200
+
+while 1:
+    c = raw_input('Enter a character : ')
+    con.write(ord(c))
+    print chr(con.read_one())
diff --git a/microhope/microhope.1 b/microhope/microhope.1
new file mode 100644
index 0000000..de16c9f
--- /dev/null
+++ b/microhope/microhope.1
@@ -0,0 +1,59 @@
+'\" t
+.\"     Title: MICROHOPE
+.\"    Author: Georges Khaznadar <georgesk at debian.org>
+.\" Generator: DocBook XSL Stylesheets v1.78.1 <http://docbook.sf.net/>
+.\"      Date: 01/03/2014
+.\"    Manual: microhope
+.\"    Source: microhope
+.\"  Language: English
+.\"
+.TH "MICROHOPE" "1" "01/03/2014" "microhope" "microhope"
+.\" -----------------------------------------------------------------
+.\" * Define some portability stuff
+.\" -----------------------------------------------------------------
+.\" ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
+.\" http://bugs.debian.org/507673
+.\" http://lists.gnu.org/archive/html/groff/2009-02/msg00013.html
+.\" ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
+.ie \n(.g .ds Aq \(aq
+.el       .ds Aq '
+.\" -----------------------------------------------------------------
+.\" * set default formatting
+.\" -----------------------------------------------------------------
+.\" disable hyphenation
+.nh
+.\" disable justification (adjust text to left margin only)
+.ad l
+.\" -----------------------------------------------------------------
+.\" * MAIN CONTENT STARTS HERE *
+.\" -----------------------------------------------------------------
+.SH "NAME"
+microhope \- Interactive program to learn microcontroller programming\&.
+.SH "SYNOPSIS"
+.HP \w'\fBmicrohope\fR\ 'u
+\fBmicrohope\fR
+.SH "DESCRIPTION"
+.PP
+\fBmicrohope\fR
+launches an Integrated Development Environment (IDE) which allows the user to develop several small programs for the ATmega32 microcontroller\&. This environment comes with useful examples and libraries which make the learn process easy\&.
+.SH "SEE ALSO"
+.PP
+\fBcreate-microhope-env\fR(1)\&.
+.SH "AUTHOR"
+.PP
+\fBGeorges Khaznadar\fR <\&georgesk at debian\&.org\&>
+.RS 4
+Wrote this manpage for the Debian system\&.
+.RE
+.SH "COPYRIGHT"
+.br
+Copyright \(co 2014 Georges Khaznadar
+.br
+.PP
+This manual page was written for the Debian system (and may be used by others)\&.
+.PP
+Permission is granted to copy, distribute and/or modify this document under the terms of the GNU General Public License, Version 2 or (at your option) any later version published by the Free Software Foundation\&.
+.PP
+On Debian systems, the complete text of the GNU General Public License can be found in
+/usr/share/common\-licenses/GPL\&.
+.sp
diff --git a/microhope/microhope.xml b/microhope/microhope.xml
new file mode 100644
index 0000000..a16d4a7
--- /dev/null
+++ b/microhope/microhope.xml
@@ -0,0 +1,123 @@
+<?xml version='1.0' encoding='UTF-8'?>
+<!DOCTYPE refentry PUBLIC "-//OASIS//DTD DocBook XML V4.5//EN"
+"http://www.oasis-open.org/docbook/xml/4.5/docbookx.dtd" [
+
+<!--
+
+`xsltproc -''-nonet \
+          -''-param man.charmap.use.subset "0" \
+          -''-param make.year.ranges "1" \
+          -''-param make.single.year.ranges "1" \
+          /usr/share/xml/docbook/stylesheet/docbook-xsl/manpages/docbook.xsl \
+          manpage.xml'
+
+A manual page <package>.<section> will be generated. You may view the
+manual page with: nroff -man <package>.<section> | less'. A typical entry
+in a Makefile or Makefile.am is:
+
+DB2MAN = /usr/share/sgml/docbook/stylesheet/xsl/docbook-xsl/manpages/docbook.xsl
+XP     = xsltproc -''-nonet -''-param man.charmap.use.subset "0"
+
+manpage.1: manpage.xml
+        $(XP) $(DB2MAN) $<
+
+The xsltproc binary is found in the xsltproc package. The XSL files are in
+docbook-xsl. A description of the parameters you can use can be found in the
+docbook-xsl-doc-* packages. Please remember that if you create the nroff
+version in one of the debian/rules file targets (such as build), you will need
+to include xsltproc and docbook-xsl in your Build-Depends control field.
+Alternatively use the xmlto command/package. That will also automatically
+pull in xsltproc and docbook-xsl.
+
+Notes for using docbook2x: docbook2x-man does not automatically create the
+AUTHOR(S) and COPYRIGHT sections. In this case, please add them manually as
+<refsect1> ... </refsect1>.
+
+To disable the automatic creation of the AUTHOR(S) and COPYRIGHT sections
+read /usr/share/doc/docbook-xsl/doc/manpages/authors.html. This file can be
+found in the docbook-xsl-doc-html package.
+
+Validation can be done using: `xmllint -''-noout -''-valid manpage.xml`
+
+General documentation about man-pages and man-page-formatting:
+man(1), man(7), http://www.tldp.org/HOWTO/Man-Page/
+
+-->
+
+  <!-- Fill in your name for FIRSTNAME and SURNAME. -->
+  <!ENTITY dhfirstname "Georges">
+  <!ENTITY dhsurname   "Khaznadar">
+  <!-- dhusername could also be set to "&dhfirstname; &dhsurname;". -->
+  <!ENTITY dhusername  "Georges Khaznadar">
+  <!ENTITY dhemail     "georgesk at debian.org">
+  <!-- SECTION should be 1-8, maybe w/ subsection other parameters are
+       allowed: see man(7), man(1) and
+       http://www.tldp.org/HOWTO/Man-Page/q2.html. -->
+  <!ENTITY dhsection   "1">
+  <!-- TITLE should be something like "User commands" or similar (see
+       http://www.tldp.org/HOWTO/Man-Page/q2.html). -->
+  <!ENTITY dhtitle     "microhope">
+  <!ENTITY dhucpackage "MICROHOPE">
+  <!ENTITY dhpackage   "microhope">
+]>
+
+<refentry>
+  <refentryinfo>
+    <title>&dhtitle;</title>
+    <productname>&dhpackage;</productname>
+    <authorgroup>
+      <author>
+       <firstname>&dhfirstname;</firstname>
+        <surname>&dhsurname;</surname>
+        <contrib>Wrote this manpage for the Debian system.</contrib>
+        <address>
+          <email>&dhemail;</email>
+        </address>
+      </author>
+    </authorgroup>
+    <copyright>
+      <year>2014</year>
+      <holder>&dhusername;</holder>
+    </copyright>
+    <legalnotice>
+      <para>This manual page was written for the Debian system
+        (and may be used by others).</para>
+      <para>Permission is granted to copy, distribute and/or modify this
+        document under the terms of the GNU General Public License,
+        Version 2 or (at your option) any later version published by
+        the Free Software Foundation.</para>
+      <para>On Debian systems, the complete text of the GNU General Public
+        License can be found in
+        <filename>/usr/share/common-licenses/GPL</filename>.</para>
+    </legalnotice>
+  </refentryinfo>
+  <refmeta>
+    <refentrytitle>&dhucpackage;</refentrytitle>
+    <manvolnum>&dhsection;</manvolnum>
+  </refmeta>
+  <refnamediv>
+    <refname>&dhpackage;</refname>
+    <refpurpose>Interactive program to learn microcontroller programming.</refpurpose>
+  </refnamediv>
+  <refsynopsisdiv>
+    <cmdsynopsis>
+      <command>&dhpackage;</command>
+    </cmdsynopsis>
+  </refsynopsisdiv>
+  <refsect1 id="description">
+    <title>DESCRIPTION</title>
+    <para><command>&dhpackage;</command> launches an Integrated Development
+    Environment (IDE) which allows the user to develop several small programs
+    for the ATmega32 microcontroller. This environment comes with useful
+    examples and libraries which make the learn process easy.</para>
+  </refsect1>
+  <refsect1 id="see_also">
+    <title>SEE ALSO</title>
+    <para><citerefentry>
+        <refentrytitle>create-microhope-env</refentrytitle>
+        <manvolnum>1</manvolnum>
+      </citerefentry>.
+    </para>
+  </refsect1>
+</refentry>
+
diff --git a/microhope/motor.c b/microhope/motor.c
new file mode 100644
index 0000000..458da64
--- /dev/null
+++ b/microhope/motor.c
@@ -0,0 +1,45 @@
+/*
+Stepper motor coils are connected to the collectors of 4 transistors
+whose bases are connected to PA0 to PA3.
+*/
+
+#include <avr/io.h>
+
+
+void delay (uint16_t k)  // Delay is (k * 2) usec
+    {
+    volatile uint16_t x = k;
+    while (x)  --x;
+    }
+
+
+void rotateMotor (int nsteps, int dir)
+{
+  static uint8_t pos = 0, seq[4] = { 12, 6, 3, 9 };
+  int i;
+
+  for (i = 0; i < nsteps; ++i)
+    {
+      if (dir)
+	if (pos == 3)
+	  pos = 0;
+	else
+	  ++pos;
+      else if (pos == 0)
+	pos = 3;
+      else
+	--pos;
+
+      PORTA = seq[pos];
+      delay (3000);
+    }
+}
+
+int main (void)
+  {
+  DDRA = 15;		// Data Direction Register for PORT A
+
+  for(;;) rotateMotor(100,0);
+  
+  return 0;
+}
diff --git a/microhope/phoenix.c b/microhope/phoenix.c
new file mode 100644
index 0000000..8232cce
--- /dev/null
+++ b/microhope/phoenix.c
@@ -0,0 +1,2036 @@
+/* 
+Last revised on 31-3-08. Added the macros BV, sbi and cbi
+Revision started on 27-11-06. TC0 and ACOMP Interrupts are now used.
+   1. Command ranges changed. Maximum 40 in each group
+   2. High resolution AD/DA plug-in code added
+   3. Timer set & get routines
+   4. Arbitrary waveform generation using interrupts added (table in FLASH)
+   5. Radiation detection system histogram routines added
+   6. SEEPROM plug-in code added
+   7. SMRB and PMRB routines finished.  8-Dec-06
+Dynamic DDRx settings:
+
+Last revision on 30-Nov-07 : readblock calls are changed
+Note: This program is written for ATmega16 working at 8MHz clock speed. Changing
+clock speed will require changes in the program.
+
+Revision May-08 : 
+rewriting SPI Interface  for top panel sockets
+
+Revision 26-Jul-08: 
+Version changed to 2.4
+Added code for 24bit  AD7718  ADC.
+Added conditional compilation for ATmega32 chip.
+
+Edited on 14-May-2010 to correct the r2rtime() and f2ftime() functions
+
+*/
+
+#include <stdio.h>
+#include <stdlib.h>
+#include <inttypes.h>
+#include <avr/sfr_defs.h>
+#include <avr/io.h>
+//#include <avr/signal.h>
+#include <avr/interrupt.h>
+#include <avr/sleep.h>
+#include <avr/pgmspace.h>
+#include <avr/eeprom.h>
+
+#ifndef BV
+  #define BV(bit)  (1 << (bit))
+#endif
+
+#ifndef cbi
+	#define cbi(sfr, bit) (_SFR_BYTE(sfr) &= ~_BV(bit))
+#endif
+#ifndef sbi
+  #define sbi(sfr, bit) (_SFR_BYTE(sfr) |= _BV(bit))
+#endif
+
+typedef uint8_t	 u8, *u8ptr;
+typedef uint8_t	 boolean;
+typedef	uint16_t u16;
+typedef	uint32_t u32;
+
+#include "lcd16.c"
+
+#define	GROUPSIZE	40	// Up to 40 commands in each group
+// commands with no arguments (1 to 40)
+#define LCD_INIT	1	// Initialize LCD Display
+#define DIGIN		2	// Digital Input (4 bits)
+#define	READBLOCK	3	// Digitize from currently selected channel
+#define	MULTIREADBLOCK	4	// Digitize from multiple channels (start=ch0)
+#define ADCREAD		5	// Digitizes the current channel
+#define GETCHANMASK	6	// Return the active channel info of MRB
+#define COUNT		7	// Measure the frequency counter input
+#define READACOMP	8	// Analog Comparator status. 0 if IN- > 1.23V
+#define GETTIME 	9	// get the time is seconds since Epoch
+#define STARTHIST	10	// Start histogramming
+#define READHIST	11	// Send the histogram to PC, 2 x 256 bytes data
+#define CLEARHIST	12	// Send the histogram to PC, 2 x 256 bytes data
+#define STOPHIST	13	// Stop histogramming
+#define STOPWAVE	14	// Disable interrupt based waveform generation
+#define SMRB_START	15	// Initiate an interrupt driven multi read block
+#define SMRB_STATUS	16	// Returns TC0 ISR status & number of bytes 
+#define SMRB_GETDATA	17	// Sends the data collected by SMRB to PC
+#define SMRB_STOP	18	// Stop SMRB and disable Timer interrupt
+#define PMRB_RUNNING	19	// Returns the TC0 ISR status
+#define PMRB_GETDATA	20	// Data collected in PROM by PMRB to PC
+#define SPI_PULL	21	// Pull one byte from SPI
+#define SPI_PULL_BAR	22	// Pull one byte from SPI (AD7718 like device)
+#define CHIP_DISABLE	23	// Disable all SPI device (D3,D2 & D1 to HIGH)	
+#define HR_ADCINIT	24	// Initialize SPI ADC
+#define HRADCREAD	25	// Digitizes the plug-in ADC ,current channel
+#define GETMCUSTAT	26	// Get several microcontroller registers
+#define GETVERSION	27	// Get the phoenix firmware version
+
+// Commands with One byte argument (41 to 80) 
+#define	DIGOUT 		41	// Digital output (4 bits)
+#define SETADCSIZE	42	// ADC data size (1 or 2)
+#define SETCURCHAN	43	// Select Current ADC channel
+#define R2FTIME		44	// Rise to Fall of signal on input pins
+#define R2RTIME		45	// Rise to Fall of signal on input pins
+#define F2RTIME		46	// Fall to Rise of signal on input pins
+#define F2FTIME		47	// Fall to Rise of signal on input pins
+#define SET2RTIME	48	// Setting of bit to rising edge
+#define SET2FTIME	49	// to falling time
+#define CLR2RTIME	50	// Setting of bit to rising edge
+#define CLR2FTIME	51	// to falling time
+#define PULSE2RTIME	52	// Pulse to rising edge
+#define PULSE2FTIME	53	// Pulse to rising edge
+#define SETPULSEWIDTH	54	// width for PULSE2 functions (0 to 250)
+#define SETPULSEPOL	55	// PULSE polarity (0 for HIGH true)
+#define	ADDCHAN		56	// Add to MRB list
+#define	DELCHAN		57	// Remove from MRB list
+#define SETDAC		58	// Sets the PWM DAC from 0 to 5V (0 to 255)
+#define TPEND		59	// Penulum Period from light barrier
+#define PULSEOUT	60	// Generates 1 pulse on D3 with given T 
+#define AINPERIOD	61	// Connect ADC input to ACMP to measure freq.
+#define LCD_PUTCHAR	62	// Print a character on LCD Display
+#define CHIP_ENABLE	63	// Enable the specified SPI device	
+#define CHIP_ENABLE_BAR	64	// Enable for devices like AD7718
+#define SPI_PUSH	65	// Push one byte to SPI	
+#define SPI_PUSH_BAR	66	// Push one byte to SPI	
+#define HR_SETCHAN	67	// Select SPI ADC channel
+#define HR_CALINT	68	// internal calibration of selected channel
+#define HR_CALEXT	69	// External Zero / Full scale calibration
+#define GETPORT		70	// PINX data from port X
+
+// Commands with Two bytes argument (81 to 120)
+#define	SETNUMSAMPLES	81	// Number of samples per channel 
+#define	SETCOUNTER2	82	// Square wave on OSC2
+#define	SETADCDELAY	83	// interval between ADC conversions,10 to 1000
+#define	SETACTION	84	// MRB Actions of SET/CLR type
+#define WAITACTION	85	// MRB Actions of wait type
+#define MULTIR2R	86	// Rising edge to a rising edge after N cycles
+#define ADCTRIGLEVELS	87	// Trigger levels for read_block functions
+#define HRSETDAC 	88	// Write to 16 bit DAC plug-in
+#define SETWAVEFORM	89	// ISR Wavegen. OCR0 and which DAC from the caller
+#define PULSE_D0D1	90	// Interrupt driven square wave on D0 and D1
+#define MULTI_EDGES	91	// Multiple edges timing
+#define COPY_E2S	92	// copy 128 bytes from eeprom to seeprom
+#define SETDDR		93	// DDRX = dirmask (arg1 = X, arg2 = mask)
+#define SETPORT		94	// PORTX = DATA (arg1 = X, arg2 = DATA)
+
+// Commands with Three bytes argument (121 to 150)
+#define READSEEPROM	121	// Read data from Seeprom plug-in
+#define TABLEDATA	122	// Write one byte of WAVETABLE to AVR EPROM
+
+// Commands with Four bytes argument (151 to 180)
+#define	SETTIME		161	// Set time in seconds from Epoch
+#define PMRB_START	162	// PMRB, arg: delay in secs , numblocks to do
+
+// Reply from ATmega8 to the PC
+#define DONE		'D'	// Command executed successfully
+#define	INVCMD		'C'	// Invalid Command
+#define INVARG		'A'	// Invalid input data
+#define INVBUFSIZE	'B'	// Resulting data exceeds buffersize
+#define TIMEOUT		'T'	// Time measurement timed out
+#define NOCLOCK		'N'	// Clock not set error, for PMRB
+
+#define IDLE		0	// TC0 Interrupt is not enabled
+#define	CLOCK		1	// Incrementing par.pctime every second
+#define USERWAVE	2	// Wave Table from AVR EEPROM, loaded by user 
+#define HRUSERWAVE	3	// Wave Table from AVR EEPROM, to plug-in HRDAC
+#define	IN_SMRB		4	// SLOW MRB in progress
+#define IN_PMRB		5	// PROM MRB in progress
+#define IN_PULSE	6	// IRQ pulsing D0 and D1
+
+#define	TABLESIZE	100	// Number of points in one waveform cycle
+
+#define TRUE	1
+#define FALSE	0
+#define TIMERSIZE	50000	// count for 50 ms before clearing
+
+#ifdef M32
+  #define BUFSIZE		1800	// ATmega32 with 2K RAM
+#else
+  #define BUFSIZE		800	// ATmega16 with 1K RAM
+#endif
+
+#define MAXDELAY	3000	// Delay between ADC samples
+#define SLOW_CONV_MASK	7	// ADCSRA mask for Clk = (8 MHz/ 64) = 125 KHz
+#define PULSEDEADTIME	10	// To avoid false triggering in PULSE2x calls
+#define PMRB_INDEX	(BUFSIZE - 256) // ISR driven PMRB uses part of par.buf
+#define	LOWER		0		// PMRB buffer divided, 2 x 128 bytes
+#define	UPPER		1		// UPPER and LOWER
+
+#define AVREF		BV(REFS0)	// Use AVCC as ADC reference
+
+
+
+// Temporary variables for normal & ISR routines
+// Less function arguments or local variables. Not much RAM for stack
+u8	tmp8, tmp8_1, isr_tmp8;
+u8	HTM;			// Increment when TCNT1 crossing TIMERSIZE
+u16	tmp16, isr_tmp16;	
+
+
+struct data {				// All local data in one structure
+  u32	pctime;				// Time in seconds (initialized from PC)
+  u16	minor_ticks;			// Number of TIMER0 interrupts received
+  u16   buf_index;			// Variable for indexing the buffer
+  u16	adc_delay;			// Time between samples, for READBLOCKs
+  u16	num_samples;			// Number of samples, for READBLOCKs
+  
+  u16	pmrb_delay;			// Interval between PMRB samples
+  u16	pmrb_numblocks;			// Do this many blocks of 128bytes
+  u8	pmrb_chlist[4];			// channel list for PMRB
+  u8	pmrb_num_chan;			// Number of active PMRB channels
+  u8	pmrb_bufpos;			// position on th 2 x 128 bytes buffer
+  u8	filling_half;			// upper or lower 128 byte block 
+    
+  u8	irq_func;			// Function of the TC0 Interrupt routine
+  u8	chlist[4], num_chan, chmask;	// data for MULTIREADBLOCK call
+  u8	current_chan;			// Selected channel
+  u8 	adc_size, adc_ctmask;		// ADC size and conversion time mask
+  u8 	timeoutval;			// Timeout is TIMERSIZE*timeoutval usecs
+  u8 	pulse_width, pulse_pol;		// Used by PULSE2*time functions
+  u8	buf[BUFSIZE+2];			// 1 stat + 1 MRB info + upto 800 data
+  u8	set, setmask, wait, waitmask;	// SET and WAIT actions, READBLOCKs
+  u8	tr1, tr2;			// ADC trigger limits
+}par;		
+
+
+const char version[] PROGMEM = "ph2.4";
+
+
+void initialize(void){
+// Initialize the RS232 communication link to the PC 38400, 8, 1, E
+  UCSRB = BV (RXEN) | BV (TXEN);
+  UBRRH = 0;
+  UBRRL = 12;	// At 8MHz (12 =>38400) (25 => 19200)
+  UCSRC = BV (URSEL) | BV (UPM1) | BV (UCSZ1) | BV (UCSZ0); // 8,1,E
+
+  DDRA = 0xF0;			// 4 bits ADC Input , rest for LCD Data
+  DDRB = 0;			// Configure as input 
+  PORTB= 255;			// Enable pullup resistors
+  DDRC = 0xF0;			// Low nibble Input & High nibble output
+  PORTC= 15;			// Enable pullup resistors (low 4)
+  DDRD = 0xff;			// All outputs
+  PORTD= 0;			// All lines to LOW
+  ACSR = BV(ACBG);		// AIN(+) connected to Vbg = 1.23V
+  TCCR1B = BV(CS11);		// Normal mode, Clock/8 
+  ADCSRA = BV(ADEN);		// Enable the ADC
+
+  par.adc_size = 1;
+  par.num_samples = 100;
+  par.adc_delay = 10;		// 10 miccrosec between samples
+  par.pmrb_delay = 1;		// 1 second between samples
+  par.adc_ctmask = 1;		
+  par.current_chan = 0;
+  par.pulse_width = 13;		// default for 40 KHz piezo
+  par.pulse_pol = 0;		// HIGH true pulse is default
+  par.timeoutval = 40;		// 40 * TIMERSIZE (50000) (2sec)timeout default
+
+  par.num_chan = 1;		// Channel zero is enabled by default, MRB
+  par.chlist[0] = 0;		// Channel zero is first in list, MRB
+  par.chmask = 1;		// mask is 0001 binary =>channel zero enabled,MRB
+  
+  par.set = 0;			// No SET actions while starting
+  par.setmask = 0;		// No SETMASK
+  par.wait = 0;			// No wait action either
+  par.waitmask = 0;		// No waitmask
+  par.tr1 = 125;		// Trigger around the ADC mid range
+  par.tr2 = 130;
+
+  sei();			// Enable global interrupt flag
+}
+
+//--------------------------------------------------------------------
+
+void d100us (uint16_t k)	 // 100 usecs for k = 1
+{
+  volatile uint16_t x = k * 47;
+  while (x)  --x;
+}
+
+void delay_us(u16 x)		// Delay routine using 16 bit timer
+{
+if(x < 4) return;
+x -= 3;  
+TCNT1 = 0;
+while(1)
+  if (TCNT1 >= x) 
+    return;
+}
+
+
+boolean wait_for_high(u8 mask)	// Wait until the Input is HIGH
+{
+/*Returns TRUE if any of the Digital Input Socket specified in the 
+'mask' goes HIGH. If mask is zero, waits for a rising edge on CMP
+input socket. Timeout after '50 * par.timeoutval' milliseconds.
+*/
+for(;;)
+    {
+    if(mask)			// Check on Digins
+       {
+       if(PINC & mask)		// Digital Input specified by mask is HIGH ?
+         break;
+       }
+    else			// Mask = 0 means ACMP input
+      if(~ACSR & BV(ACO))	// When AIN- goes above 1.23V, ACO goes LOW
+        break;
+       
+    if(TCNT1 > TIMERSIZE)
+       {
+       TCNT1 = 0;
+       if(++HTM > par.timeoutval)
+         {
+         par.buf[0] = TIMEOUT;		// Timeout error
+         return FALSE;
+         }
+       }
+    }
+return TRUE;
+}
+
+boolean wait_for_low(u8 mask)	// Wait until the Input is LOW
+{
+for(;;)
+    {
+    if(mask)			// Check on Digins
+       {
+       if(~PINC & mask)		// Digital Input specified by mask is LOW ?
+         break;
+       }
+    else			// Mask = 0 means ACMP input
+      if(ACSR & BV(ACO))	// When AIN- goes below 1.23V, ACO goes HIGH
+        break;
+       
+    if(TCNT1 > TIMERSIZE)
+       {
+       TCNT1 = 0;
+       if(++HTM > par.timeoutval)
+         {
+         par.buf[0] = TIMEOUT;		// Timeout error
+         return FALSE;
+         }
+       }
+    }
+return TRUE;
+}
+
+
+boolean clear_on_rise(u8 mask)	// Clear counters on rising edge
+{
+/* Clears the TCNT1 register and variable HTM and returns TRUE if any of the 
+Digital Input (sockets) specified in the 'mask'goes HIGH. 
+If mask is zero, waits for a rising edge on analog comparator
+input socket.
+*/
+HTM = 0;
+for(;;)
+    {
+    if(mask)			// Check on Digins
+       {
+       if(PINC & mask)		// Digital Input specified by mask
+         break;
+       }
+    else			// Mask = 0 means ACMP input
+      if(~ACSR & BV(ACO))	// When AIN- goes above 1.23V, ACO goes LOW
+        break;
+       
+    if(TCNT1 > TIMERSIZE)
+       {
+       TCNT1 = 0;
+       if(++HTM > par.timeoutval)
+         {
+         par.buf[0] = TIMEOUT;		// Timeout error
+         return FALSE;
+         }
+       }
+    }
+TCNT1 = 0; 
+HTM = 0;
+return TRUE;
+}
+
+boolean clear_on_fall(u8 mask)	// Clear counters on falling edge
+{
+HTM = 0;
+for(;;)
+    {
+    if(mask)			
+       {
+       if(~PINC & mask)		// Digital Input specified by mask
+         break;
+       }
+    else			// Mask = 0 means ACMP input
+      if(ACSR & BV(ACO))	// When AIN- goes below 1.23V, ACO goes HIGH
+        break;
+
+    if(TCNT1 > TIMERSIZE)
+       {
+       TCNT1 = 0;
+       if(++HTM > par.timeoutval)
+         {
+         par.buf[0] = TIMEOUT;		// Timeout error
+         return FALSE;
+         }
+       }
+    }
+TCNT1 = 0;    
+HTM = 0;
+return TRUE;
+}
+
+boolean mark_on_rise(u8 mask)	// Save the 24 bit counter to par.buf
+{
+/* Saves the current value of TCNT1 register to variable 'tmp16' when the
+Digital Input (sockets) specified in the 'mask' goes HIGH. If mask is zero,
+save on the rising edge of analog comparator input socket.
+TCNT1 is cleared every time it touches 'TIMESIZE' and variable 'HTM' is incremeted.
+8 bit HTM and 16 bit TCNT1 together stores a 24 bit size time interval
+that is send to the PC.
+*/
+for(;;)		
+    {
+    if(mask)			
+       {
+       if(PINC & mask)		// Digital Input specified by mask
+         break;
+       }
+    else			// Mask = 0 means ACMP input
+      if(~ACSR & BV(ACO))	// When AIN- goes above 1.23V, ACO goes LOW
+        break;
+
+    if(TCNT1 > TIMERSIZE)
+       {
+       TCNT1 = 0;
+       if(++HTM > par.timeoutval)
+         {
+         par.buf[0] = TIMEOUT;		// Timeout error
+         return FALSE;
+         }
+       }
+    }
+par.buf[par.buf_index++] = TCNT1L;
+par.buf[par.buf_index++] = TCNT1H;
+par.buf[par.buf_index++] = HTM;
+return TRUE;
+}
+
+boolean mark_on_fall(u8 mask)	// Save counter to par.buf 
+{
+for(;;)
+    {
+    if(mask)	
+       {
+       if(~PINC & mask)		// Digital Input specified by mask
+         break;
+       }
+    else			// Mask = 0 means ACMP input
+      if(ACSR & BV(ACO))	// When AIN- goes below 1.23V, ACO goes HIGH
+        break;
+ 
+    if(TCNT1 > TIMERSIZE)
+       {
+       TCNT1 = 0;
+       if(++HTM > par.timeoutval)
+         {
+         par.buf[0] = TIMEOUT;		// Timeout error
+         return FALSE;
+         }
+       }
+    }
+par.buf[par.buf_index++] = TCNT1L;
+par.buf[par.buf_index++] = TCNT1H;
+par.buf[par.buf_index++] = HTM;
+return TRUE;
+}
+//--Time measurement routines end.
+
+/* --------------------- Software SPI routines ------------------
+PA3 (CH3) - SCLK
+PA2 (CH2) - MOSI
+PA2 (CH1) - MISO
+The direction of PA3 and PA2 are set as output in the beginning of an SPI
+transaction and set back as input after finishing the transaction.
+
+PC7 (D3out), PC6(D2out) and PC5(D1out) are used as chip selects.
+
+There are TWO kinds of SPI slave devices, depending on the State of the
+CLOCK signal during CS is taken LOW.
+The chip_enable_bar, spi_pull_bar and spi_push_bar routines MUST be used
+for devices expecting a HIGH on the CLOCK during entry.
+*/
+
+#define 	CSADC		0	// Digital Output D3
+#define 	CSDAC		1	// Digital Output D2
+#define 	CSROM		2	// Digital Output D1
+
+#define 	SPI_CLK		0x08	// Serial Clock OUT
+#define 	SPI_DOUT	0x04	// Data Out ( IN for Slave)
+#define 	SPI_DIN 	0x02	// Data IN  ( OUT for Slave)
+
+void chip_enable(u8 dev)	// PORTC D7, D6 & D5 are CS pins
+{
+DDRA = 0xFC;				// PA3 & PA2 as outputs
+PORTC |= 0xE0;				// Make all CS bits HIGH
+PORTA &= ~SPI_CLK;		  	// CLK LOW before enabling CS
+PORTC &= ~(1 << (7-dev)); 		// Make the Selected CS LOW
+}
+
+void chip_enable_bar(u8 dev)	// PORTC D7, D6 & D5 are CS pins
+{
+DDRA = 0xFC;				// PA3 & PA2 as outputs
+PORTC |= 0xE0;				// Make all CS bits HIGH
+PORTA |= SPI_CLK;		  	// CLK HIGH before enabling CS
+PORTC &= ~(1 << (7-dev)); 		// Make the Selected CS LOW
+}
+
+void chip_disable(void)
+{
+PORTC |= 0xE0;
+DDRA = 0xF0;				// DDRA back to normal state
+}
+
+void spi_push(u8 val)	// Should Enter with CS = LOW and SCLK = LOW
+{
+u8 i = 8;			// push 8 bits
+
+while(i)
+        {
+        if(val & 0x80)			// Push MSB first
+		PORTA |= SPI_DOUT;	// Set DOUT if Databit is '1'
+        else
+		PORTA &= ~SPI_DOUT;	// else clear DOUT 
+	PORTA |= SPI_CLK;		// Set CLOCK to HIGH
+	PORTA |= SPI_CLK;		// wait a bit
+	val <<= 1;			// make next bit the new MSB
+	i--;
+	PORTA &= ~SPI_CLK;		// Take Clock LOW
+	}
+}
+
+u8 spi_pull(void)	// Should Enter with CS = LOW and SCLK = LOW
+{
+u8 dat = 0;
+u8 i = 8;			// pulll 8 bits
+while(i)
+        {
+        PORTA |= SPI_CLK;	// Take Clock HIGH. Time set using CRO
+        PORTA |= SPI_CLK;	// Take Clock HIGH
+       	dat = dat << 1;		// First iteration has no effect
+       	if(PINA & SPI_DIN)	// Read the DATA BIT
+       	  dat |= 1;
+        PORTA &= ~SPI_CLK;	// Take Clock LOW
+        PORTA &= ~SPI_CLK;
+	--i;
+	}
+return dat;
+}	
+
+
+void spi_push_bar(u8 val)	// Should Enter with CS = LOW and SCLK = HIGH
+{
+u8 i = 8;			// push 8 bits
+while(i)
+        {
+        if(val & 0x80)			// Push MSB first
+		PORTA |= SPI_DOUT;	// Set DOUT if Databit is '1'
+        else
+		PORTA &= ~SPI_DOUT;	// else clear DOUT 
+	PORTA &= ~SPI_CLK;		// Take Clock LOW, Time set with CRO
+	PORTA &= ~SPI_CLK;		// Take Clock LOW
+	PORTA &= ~SPI_CLK;		// Take Clock LOW
+	PORTA |= SPI_CLK;		// Set CLOCK to HIGH
+	PORTA |= SPI_CLK;		// Set CLOCK to HIGH
+	val <<= 1;			// make next bit the new MSB
+	i--;
+	}
+}
+
+
+u8 spi_pull_bar(void)	// Should Enter with CS = LOW and SCLK = HIGH
+{
+u8 dat = 0;
+u8 i = 8;			// pulll 8 bits
+while(i)
+        {
+        PORTA &= ~SPI_CLK;
+        PORTA &= ~SPI_CLK;
+       	dat = dat << 1;		// First iteration has no effect
+       	if(PINA & SPI_DIN)
+       	  dat |= 1;
+        PORTA |= SPI_CLK;	// Take Clock HIGH
+        PORTA |= SPI_CLK;	// Take Clock HIGH
+	--i;
+	}
+return dat;
+}	
+//----------------------- End of SPI routines ---------------------
+
+
+//-----Serial EEPROM on SPI----
+#define		WEN		6	// AT25HP512 SEEPROM commands
+#define		WRDAT		2
+#define		RDDAT		3
+#define		RDSR		5
+#define 	WRSR		1	// write SR not used ?
+
+u8 seeprom_status(void)	// Return The Status register of AT25HP seeprom
+{
+u8 stat;
+chip_enable(CSROM);      
+spi_push(RDSR);
+stat = spi_pull();		// Read from the slave 
+chip_disable();
+return stat;
+}
+
+void seeprom_write_enable(void)	// Write enable the chip
+{
+chip_enable(CSROM);
+spi_push(WEN);
+chip_disable();
+}
+
+void seeprom_write_block(u16 addr, u8 *data)	// writes 128 bytes of data
+{
+u8 tmp8;
+chip_enable(CSROM);
+spi_push(WRDAT);
+spi_push(addr >> 8);
+spi_push(addr & 255);
+for(tmp8=0; tmp8 < 128; ++tmp8) spi_push(data[tmp8]);      
+chip_disable();
+}
+
+u8 seeprom_read_byte(u16 addr)
+{
+u8 dat;
+chip_enable(CSROM);
+spi_push(RDDAT);
+      PORTC |= 1;
+      PORTC &= ~1;
+spi_push(addr >> 8);
+spi_push(addr & 255);
+
+dat = spi_pull();
+chip_disable();
+return dat;
+}
+
+//------------------------ MAX542 SPI DAC Routines------
+void hr_set_dac(void)
+{
+   chip_enable(CSDAC);
+   spi_push(par.buf[2]);		// Push Upper byte
+   spi_push(par.buf[1]);		// Push Lower byte
+   chip_disable();
+}
+
+
+//--------------------AD7718  SPI ADC --------
+#define COMREG	0
+#define STATREG 0
+#define MODREG  1
+#define CONREG  2	
+#define FILREG	3
+#define DATREG  4
+#define OFFREG  5
+#define GAINREG 6
+
+#define RDY		128
+#define ERR		8
+#define CONVERT 	2
+#define NOCHOP  	128
+#define CALZERO 	4
+#define CALFS		5
+#define EXTCALZERO 	6
+#define EXTCALFS	7
+#define SF4		255	// Filter value
+#define UB		8	// Unipolar coding selected
+
+u8 readID(void)
+  {
+  u8 st;
+  chip_enable_bar(CSADC);
+  spi_push_bar(64+15);
+  st = spi_pull_bar();
+  chip_disable();
+  return st;
+  }
+
+void hr_adc_init(void)	// Initialize
+{
+initDisplay(); writeByte(readID());
+
+chip_enable_bar(CSADC);
+spi_push_bar(FILREG);		// Write the Filter Register
+spi_push_bar(SF4);
+chip_disable();
+}
+
+void hr_adc_external_cal(u8 zchan)	// External Calibration
+{
+        // MSB of zchan decides Zero or Full scale calibration
+chip_enable_bar(CSADC);
+spi_push_bar(0 + CONREG);	 		// Select channel
+spi_push_bar(UB + ( (zchan & 15) << 4) + 7);	// Range = 7 (2.56V)
+
+spi_push_bar(0 + MODREG);
+if(zchan & 128) 			// If MSB of zchan is set then
+  spi_push_bar(EXTCALFS);		// Full Scale calibration
+else					// else
+  spi_push_bar(EXTCALZERO);		// Zero Scale calibration
+
+tmp16 = 100;
+while(tmp16--)				// Wait for Zero Calibration
+    {
+    delay(5000);
+    spi_push_bar (64 + MODREG);	//checks mode reg
+    tmp8_1 = spi_pull_bar();
+    if ( (tmp8_1 & 7) == 1)		// MD bits = 001 ?
+        break;
+    }
+if (!tmp16) 				// Timeout Error
+    par.buf[0] = 'T';
+else
+    {
+    spi_push_bar(64 + OFFREG);				// OFFSET read
+    for(tmp8=0; tmp8 < 3; ++tmp8)
+        par.buf[par.buf_index++] = spi_pull_bar();	// get HIGH, MID & LOW
+    spi_push_bar(64 + GAINREG);				// GAIN read
+    for(tmp8=0; tmp8 < 3; ++tmp8)
+        par.buf[par.buf_index++] = spi_pull_bar();	// get HIGH, MID & LOW
+    }
+chip_disable();
+}
+
+
+void hr_adc_internal_cal(u8 chan)	// Internal calibration of chan
+{
+chip_enable_bar(CSADC);
+spi_push_bar(0 + CONREG);		// Select channel
+spi_push_bar(UB + (chan << 4) + 7);	// Range = 7 (2.56V)
+
+for(tmp8=CALZERO; tmp8 <= CALFS; ++tmp8)
+    {
+    spi_push_bar(0 + MODREG);
+    spi_push_bar(tmp8);			// ZERO and FS Calibration
+    tmp16 = 100;
+    while(tmp16--)			// Wait until Calibration is done
+        {
+        delay(5000);
+        spi_push_bar (64 + MODREG);	//checks mode reg
+        tmp8_1 = spi_pull_bar();
+        if ( (tmp8_1 & 7) == 1)		// MD bits = 001 ?
+            break;
+        }
+    if (!tmp16) 			// Timeout Error
+        par.buf[0] = 'T';
+    }
+if(tmp16)	// There was no timeout error
+    {
+    spi_push_bar(64 + OFFREG);				// OFFSET read
+    for(tmp8=0; tmp8 < 3; ++tmp8)
+        par.buf[par.buf_index++] = spi_pull_bar();	// get HIGH, MID & LOW
+    spi_push_bar(64 + GAINREG);				// GAIN read
+    for(tmp8=0; tmp8 < 3; ++tmp8)
+        par.buf[par.buf_index++] = spi_pull_bar();	// get HIGH, MID & LOW
+    }
+chip_disable();
+}
+
+void hr_select_adc(u8 chan_range) // Select Channel & Input Voltage Range
+{
+chip_enable_bar(CSADC);
+spi_push_bar(0 + CONREG);
+spi_push_bar(UB + chan_range);	 // Caller sends both chan & range info
+chip_disable();
+}
+
+void hr_adc_read()	// Data is deposited in par.buf
+{
+chip_enable_bar(CSADC);
+spi_push_bar(MODREG);		// Write to MODE REG
+spi_push_bar(CONVERT);		// Start single A/D Conversion
+
+tmp16 = 100;
+while(tmp16--)			// Wait until Conversion is done
+    {
+    delay(5000);
+    spi_push_bar (64 + STATREG);	//checks mode reg
+    tmp8 = spi_pull_bar();
+    if (tmp8 & RDY)			// RDY is set ?
+        break;
+    }
+if (!tmp16) 				// Timeout Error
+        par.buf[0] = 'T';
+else
+    {
+    par.buf[par.buf_index++] = tmp8;		// Send Status byte first
+    spi_push_bar(64 + DATREG);			// Command for Data Read
+    par.buf[par.buf_index++] = spi_pull_bar();	// send HIGH first
+    par.buf[par.buf_index++] = spi_pull_bar();	// followed by MID
+    par.buf[par.buf_index++] = spi_pull_bar();	// and LOW
+    }
+chip_disable();
+}
+
+
+void hr_get_cal(void)		// Return Gain & Offset registers 3+3bytes
+{
+chip_enable_bar(CSADC);
+spi_push_bar(64 + OFFREG);			// Command for GAIN read
+for(tmp8=0; tmp8 < 3; ++tmp8)
+  par.buf[par.buf_index++] = spi_pull_bar();	// get HIGH, MID & LOW
+spi_push_bar(64 + GAINREG);			// Command for GAIN read
+for(tmp8=0; tmp8 < 3; ++tmp8)
+  par.buf[par.buf_index++] = spi_pull_bar();	// get HIGH, MID & LOW
+chip_disable();
+}
+
+
+//-------------------- Interrupt Service Routines----------------------
+
+SIGNAL (SIG_OUTPUT_COMPARE0)	// TIMER0 Compare Match Interrupt
+{
+switch(par.irq_func)
+  {
+  case IN_PULSE:
+    if(par.minor_ticks++ == isr_tmp16) 	// Time to toggle
+      {
+      par.minor_ticks = 0;
+
+      isr_tmp8 = PORTC & 0x30;		// get D0 and D1 status
+      if(isr_tmp8)
+          PORTC &= 0xcf;		// clear D0 and D1
+      else
+        PORTC |= 0x30;			// Set D0 and D1
+      }  
+    break;  
+
+
+  case IN_PMRB:
+/*
+This routine got complicated because of the 128 byte block write requied
+for the SEEPROM chip AT2HP5512. The ADC sampling is done only when the
+Timestamp is a multiple of the 'delay' implies that Sampling is started
+just after PMRB_START command from the PC. For example if delay = 10,
+sampling will start within the next 10 seconds and then repeat every
+10 seconds.
+The upper 256 bytes of par.buf[] is used by this routine. The 8 bit variable
+pmrb_buf_pos is used for indexing. When it crosses the LOWER 128 bytes are
+saved to SEEPROM and when it overflows, the UPPER 128 bytes are saved and
+this process goes on until the requested number of blocks are filled.
+*/  
+    if(par.minor_ticks++ == 124) 	// One second elapsed
+      {
+      par.minor_ticks = 0;
+      ++par.pctime;
+      if( (par.pctime % par.pmrb_delay) == 0 )	// Time to Sample ADCs
+        {
+        // Convert ADC channels as per par.pmrb_chlist. Store data
+        for(isr_tmp8=0; isr_tmp8 < par.pmrb_num_chan; ++isr_tmp8)
+          { 
+          sbi(ADCSRA, ADIF);
+          ADMUX = AVREF | ((par.adc_size & 1) << 5) | par.pmrb_chlist[isr_tmp8];
+          ADCSRA = BV(ADEN) | BV(ADSC) | SLOW_CONV_MASK;
+          while ( !(ADCSRA & (1<<ADIF)) ) ;	// wait for ADC conversion
+          if(par.adc_size == 2)			// Read ADCL for 10 bit data
+            par.buf[PMRB_INDEX + par.pmrb_bufpos++] = ADCL;
+          par.buf[PMRB_INDEX + par.pmrb_bufpos++] = ADCH;
+          }
+ 
+        if( (par.pmrb_bufpos & 128) && (par.filling_half == LOWER) )
+          {
+          seeprom_write_enable(); 
+          while(seeprom_status() & 1); 
+          seeprom_write_block(128 * isr_tmp16++, par.buf + PMRB_INDEX);
+          par.filling_half = UPPER;	// Mark the current HALF
+          }
+        else
+        if( !(par.pmrb_bufpos & 128) && (par.filling_half == UPPER) )
+          {
+          seeprom_write_enable(); 
+          while(seeprom_status() & 1); 
+          seeprom_write_block(128 * isr_tmp16++, par.buf+BUFSIZE - 128);
+          par.filling_half = LOWER;	
+          }
+
+        if(isr_tmp16 == par.pmrb_numblocks)	// Stop acquiring data
+          {
+          while(seeprom_status() & 1);	// Extra Block for END Time stamp 
+          seeprom_write_enable(); 
+          while(seeprom_status() & 1); 
+          seeprom_write_block(128 * isr_tmp16, (u8*) &par.pctime);
+          par.irq_func = CLOCK;
+          }
+        }
+      }
+  break;
+      
+  case CLOCK:
+/* 
+Increments the 4 byte time stamp loaded from PC, by SETTIME,  every second.
+This is how we keep a local clock so long as power is up. Time stamp
+is required by PMRB functions.
+*/  
+    if(par.minor_ticks++ == 124) 	// One second elapsed
+      {
+      par.minor_ticks = 0;
+      ++par.pctime;
+      }
+  break;
+  
+  case USERWAVE:	// Output to PWM DAC, whatever loaded by the user
+    OCR2 = eeprom_read_byte((u8ptr)par.minor_ticks++);
+    if(par.minor_ticks == TABLESIZE) par.minor_ticks = 0;
+    break;
+
+  case HRUSERWAVE:		// Same as above, but uses Plug-in Serial DAC
+    chip_enable(CSDAC);
+    isr_tmp8 = eeprom_read_byte( (u8ptr)par.minor_ticks++);
+    spi_push(isr_tmp8);		// Push Upper byte
+    spi_push(0);		// Push Lower byte
+    chip_disable();
+    if(par.minor_ticks == TABLESIZE) par.minor_ticks = 0;
+    break;
+
+  case IN_SMRB:
+/*
+SMRB_START sets the TC0 interrupt 4 times per millisecond. So (4 * adc_delay)
+gives delay in milliseconds. Data is stored in a manner simlar to 
+MULTIREADBLOCK.
+*/  
+    if(par.minor_ticks++ % (4 * par.adc_delay) )	// 250 us * 4 * adc_delay
+      break;
+      
+    for(isr_tmp8=0; isr_tmp8 < par.num_chan; ++isr_tmp8)	// Multi-channel
+      { 
+      sbi(ADCSRA, ADIF);
+      ADMUX =  ((par.adc_size & 1) << 5) | par.chlist[isr_tmp8];
+      ADCSRA = BV(ADEN) | BV(ADSC) | SLOW_CONV_MASK;
+      while ( !(ADCSRA & (1<<ADIF)) ) ;		// wait for ADC conversion
+      if(par.adc_size == 2)			// Read ADCL for 10 bit data
+         par.buf[isr_tmp16++] = ADCL;
+      par.buf[isr_tmp16++] = ADCH;
+      }
+      
+    if (isr_tmp16 >= (par.num_samples * par.adc_size * par.num_chan + 4) )
+      {
+      par.irq_func = 0;			// Job is over. Caller checks this flag
+      TIMSK &= ~BV(OCIE0);		// Disable Compare0 match interrupts
+      }  
+    break;
+
+
+  }
+}
+
+
+SIGNAL (SIG_COMPARATOR)			// HISTOGRAM
+{
+sbi(ADCSRA, ADIF);			// clear old status
+ADMUX = AVREF | BV(ADLAR) | 0;			// chan 0 , left adjest
+ADCSRA = BV(ADEN) | BV(ADSC) | 5;	// Low clock speed
+while ( !(ADCSRA & (1<<ADIF)) ) ;	// wait for ADC conversion
+
+++*( (u16*) par.buf + ADCH + 2);	// Increment location as 16 bit word
+if(*( (u16*) par.buf + ADCH + 2) == 0xffff)
+      ACSR &= ~BV(ACIE);		// Overflow. Disable interrupts
+      
+tmp8 = PORTC;				// Clear DRDY flag
+PORTC = tmp8 & 0x7f;			// Take D4 LOW
+PORTC = tmp8 | 0x80;			// and back to HIGH
+}
+
+
+void processCommand()
+{
+/* This routine takes the command and the input data from PC from 'par.buf'.
+The result and output data to the PC are filled back in the same buffer.
+The 'DONE' response filled initially will be over written in case of an error
+in executing the command. At the 'response + output data' is to the PC.
+The number of bytes returned depends on the command and arguments.
+The calling Python routines are written accordingly. In general
+1. Time measurement calls return 1+3 bytes
+2. READBLOCK and MULTIREADBLOCK sends two bytes after the response byte 
+indicating the number of data bytes following.
+Using the format "par.buf[par.bufindex++] = byte"
+for filling keeps track of the number of bytes filled in par.bufindex.
+*/
+u8 cmd = par.buf[0];		// Save the Command 
+par.buf[0] = DONE;		// Fill reply Assuming Success
+par.buf_index = 1;		// Filling of return Data from second byte onwards
+
+switch(cmd)
+    {
+/*
+The normal MULTIREADBLOCK call allows a delay is specified in microseconds.
+When digitizing the maximum possible 800 samples at a delay of 3000 the total
+time takes in 2.4 seconds. Waiting long for ATmega16 at PC end may create
+a feeling that the program is not responding. The Interrupt driver 
+SLOW MULTI READ BLOCK (SMRB) is called in a manner similar to MRB.
+The delay specified is taken as in milliseconds. The call returns after setting
+the ISR and the user program can collect the data later, after checking the
+status using SMRB_STATUS. The SMRB_GETDATA will get the colelcted data,
+formatted same as MRB. This call can be used for slowly varying waveforms.
+Pendulum digitization is one example. When an SMRB is in progress, do not use
+the ADC reads or 'get_frequency()' function. They will mess up SMRB.
+*/
+    case SMRB_START:
+      if ( (par.num_samples * par.adc_size * par.num_chan) > BUFSIZE)
+        {
+        par.buf[0] = INVBUFSIZE;
+        break;
+        }
+      isr_tmp16 = 4;		// First 4 bytes status + chmask + nwords; 
+      par.irq_func = IN_SMRB;		// Set the function for ISR
+      par.minor_ticks = 0;
+      OCR0 = 249;			// Tick every 250 usecs 
+      TCCR0 = BV(WGM01) | BV(CS01);	// TC0 in Wavegen mode, Clock/8, 1 usec
+      TIMSK = BV(OCIE0);		// Enable Compare0 match interrupts
+      break;            
+
+    case SMRB_GETDATA:
+      par.buf[1] = par.chmask | (par.adc_size << 4);	// chmask + size
+      tmp16 = isr_tmp16-4;	// Number of data bytes filled so far
+      par.buf[2] = tmp16 & 255;
+      par.buf[3] = (tmp16 >> 8) & 255;
+      par.buf_index = tmp16 + 4;	// 1 status + 1 MRB info + 2 size + data
+      break;
+
+    case SMRB_STATUS:
+      if(par.irq_func == IN_SMRB)
+        par.buf[par.buf_index++] = TRUE;
+      else
+        par.buf[par.buf_index++] = FALSE;
+      tmp16 = isr_tmp16;
+      par.buf[par.buf_index++] = tmp16 & 255;
+      par.buf[par.buf_index++] = (tmp16 >> 8) & 255;
+      break;
+
+//---------------- Top panel SPI fine control functions. -----------------    
+    case SPI_PULL:
+      par.buf[par.buf_index++] = spi_pull();
+      break;
+
+    case SPI_PULL_BAR:
+      tmp8 = spi_pull_bar();
+      par.buf[par.buf_index++] = tmp8;
+      break;
+
+    case SPI_PUSH:		// Send one byte to SPI
+      spi_push(par.buf[1]);
+      break;
+
+    case SPI_PUSH_BAR:		// Send one byte to SPI
+      spi_push_bar(par.buf[1]);
+      break;
+
+    case CHIP_ENABLE:		// Enable an SPI device
+      chip_enable(par.buf[1]);
+      break;
+
+    case CHIP_ENABLE_BAR:	// Enable an SPI device
+      chip_enable_bar(par.buf[1]);
+      break;
+
+    case CHIP_DISABLE:		// Disable an SPI device
+      chip_disable();
+      break;
+//----------------------------------------------------------------------
+    case COPY_E2S:
+    /*
+    Used only for trouble shooting the SEEPROM Plugin. This will copy 128 bytes
+    from the beginning of the internal EEPROM to the AT25HP512 
+    Serial EEPROM plugged into the front side slot.    
+    Important : This one uses isr_tmp16.
+    */
+      TIMSK &= ~BV(OCIE0);	// Disable Compare0 interrupts, for isr_tmp16
+      isr_tmp16 = par.buf[2] << 8;	// high byte of address
+      isr_tmp16 |= par.buf[1];		// low byte of address
+      tmp16 = 0;
+      while (tmp16 < 128)
+          par.buf[par.buf_index++] = eeprom_read_byte((u8ptr)tmp16++);
+      seeprom_write_enable();
+      seeprom_write_block(isr_tmp16, par.buf+1); // write at the address
+      par.buf_index = 1; 
+      break;
+      
+    case GETMCUSTAT:
+/*
+  Used only for trouble shooting. More registers can be added as and when
+  required. The get_mcustatus() in phm.py must be changed accordingly.
+*/    
+      par.buf[par.buf_index++] = DDRA;
+      par.buf[par.buf_index++] = DDRB;
+      par.buf[par.buf_index++] = DDRC;
+      par.buf[par.buf_index++] = DDRD;
+      break;
+      
+    case GETVERSION:
+      memcpy_P(&par.buf[1], version,5);
+      par.buf_index += 5;
+      break;
+
+    case LCD_INIT:		// Set Plugin port for LCD and clear LCD
+      initDisplay();
+      break;
+
+    case LCD_PUTCHAR:		// Send one character to LCD
+      writeLCD(par.buf[1]);
+      break;
+
+    case DIGOUT:
+      PORTC = (par.buf[1] << 4) | 15;
+      break;
+
+    case DIGIN:
+      par.buf[par.buf_index++] = PINC & 15;
+      break;
+
+    case READACOMP:
+      par.buf[par.buf_index++] = (ACSR >> ACO) & 1;
+      break;
+
+    case SETDDR:
+      if(par.buf[1] == 0) DDRA = par.buf[2];
+      else if(par.buf[1] == 1) DDRB = par.buf[2];
+      else if(par.buf[1] == 2) DDRC = par.buf[2];
+      else if(par.buf[1] == 3) DDRD = par.buf[2];
+      break;
+
+    case SETPORT:
+      if(par.buf[1] == 0) PORTA = par.buf[2];
+      else if(par.buf[1] == 1) PORTB = par.buf[2];
+      else if(par.buf[1] == 2) PORTC = par.buf[2];
+      else if(par.buf[1] == 3) PORTD = par.buf[2];
+      break;
+
+    case GETPORT:
+      if(par.buf[1] == 0) par.buf[par.buf_index++] = PINA;
+      else if(par.buf[1] == 1) par.buf[par.buf_index++] = PINB;
+      else if(par.buf[1] == 2) par.buf[par.buf_index++] = PINC;
+      else if(par.buf[1] == 3) par.buf[par.buf_index++] = PIND;
+      break;
+
+      break;
+
+
+
+//---------------------------ADC related functions-----------------------
+    case SETADCSIZE:
+/*
+The 10 bit ADC output can be made 8 bit by the LEFT ADJUST option. This reduces
+the data size from two bytes one byte. User can select this option.
+*/    
+        if(par.buf[1] > 2)
+          {
+          par.buf[0] = INVARG;
+          break;
+          }
+        par.adc_size = par.buf[1];
+        break;
+        
+    case SETCURCHAN:
+/*
+The ADC input channel to be used by the subsequent ADCREAD and READBLOCK calls.
+*/    
+      if(par.buf[1] <= 4)
+        par.current_chan = par.buf[1];
+      else
+        par.buf[0] = INVARG;
+      break;
+
+    case SETNUMSAMPLES:
+/*
+Number of samples for BLOCKREAD and MULTIREADBLOCK calls. The upper limit
+is decided by the RAM available, 800 bytes buffer for ATMEGA16
+*/    
+      tmp16 = par.buf[2] << 8;		// Shift High bytes
+      tmp16 |= par.buf[1];		// Low bytes came first
+      par.num_samples = tmp16;
+      break;
+
+
+    case ADCREAD:
+/*
+Samples the currently selected ADC input channel and does a coversion.
+Using smaller clock frequency for better accuracy.
+*/    
+      sbi(ADCSRA, ADIF);			// clear old status
+      ADMUX = AVREF | ((par.adc_size & 1) << 5) | par.current_chan;
+      ADCSRA = BV(ADEN) | BV(ADSC) | SLOW_CONV_MASK;	// Low clock speed
+      while ( !(ADCSRA & (1<<ADIF)) ) ;		// wait for ADC conversion
+      if(par.adc_size == 2)			// Read ADCL for 10 bit data
+         par.buf[par.buf_index++] = ADCL;
+      par.buf[par.buf_index++] = ADCH; 
+      sbi(ADCSRA, ADIF);
+      break;
+
+    case SETADCDELAY:
+/*
+The time interval between two digitizations in the READBLOCK calls is set here.
+The ADC clock speed is set to get the highest possible conversion time that is
+less than the requested interval. Slow conversions have better accuracy.
+*/    
+      tmp16 = par.buf[2] << 8;		// Shift High bytes
+      tmp16 |= par.buf[1];		// Low bytes came first
+      if( (tmp16 < 7) || (tmp16 > MAXDELAY) )
+        {			// 10 to 1000 usecs between digittizations
+        par.buf[0] = INVARG;
+        break;
+        }
+      par.adc_delay = tmp16;	// One less for the OCR1A register
+
+      if(tmp16 < 20)
+        par.adc_ctmask = 1;
+      else
+      if(tmp16 < 40)
+        par.adc_ctmask = 2;
+      else
+      if(tmp16 < 80)
+        par.adc_ctmask = 3;
+      else
+      if(tmp16 < 160)
+        par.adc_ctmask = 4;
+      else
+      if(tmp16 < 320)
+        par.adc_ctmask = 5;
+      else
+        par.adc_ctmask = 6;	// ADCclk = (8MHz/64) = 125 KHz
+      break;
+
+
+    case SETACTION:
+/* For certain experiments we need to start digitizing a waveform just after
+changing the state of some of the DIGITAL Output sockets. Depending on the value
+of the variable 'par.set', the bits are SET or CLEARED according to the
+values of 'par.setmask.
+*/
+      par.set = par.buf[1];	// 1=> SET, 2=> CLR, 3=> +Pulse, 4=> -Pulse
+      par.setmask = par.buf[2] << 4;	// The bits to be set or cleared
+      break;
+
+    case WAITACTION:
+/* For digitizing a transient waveform, we wait for a LEVEL transition on one
+of the DIGITAL input Sockets, before proceeding towards the digitization. 
+*/
+      par.wait = par.buf[1];		// 1 => rising edge, 2 => falling
+      par.waitmask = par.buf[2];	// bits to wait on
+      break;
+ 
+    case ADDCHAN:			// For MRB calls
+/*
+The MULTIREADBLOCK call digitizes the channels as per 'par.chmask'.
+par.chlist[] is made from chmask. The order in which channels are selected is 
+decided by 'par.chlist'. For example, if chmask is 1001 binary, chlist becomes
+chlist = {1,0,0,1}. MULTIREADBLOCK digitizes first and fourth channels.
+ADDCHAN is for adding a channel to the list and DELCHAN for removing one.
+par.num_chan and par.chlist[] are evaluated every time you change 'par.chmask'.
+*/    
+      if(par.buf[1] > 3)		// Channels from 0 to 3 only
+          {
+          par.buf[0] = INVARG;
+          break;
+          }
+      par.chmask |= 1 << par.buf[1];	// Set the bit in mask
+      par.num_chan = 0;
+      for(tmp8 = 0; tmp8 < 4; ++tmp8)	// Re-arrange the list. Set numchan
+        if( (1 << tmp8) & par.chmask)
+          par.chlist[par.num_chan++] = tmp8;
+      break;
+
+    case DELCHAN:			// For MRB calls
+      if(par.buf[1] > 3)		// Channels from 0 to 3 only
+          {
+          par.buf[0] = INVARG;
+          break;
+          }
+      par.chmask &= ~(1 << par.buf[1]);	// Clear the bit in mask
+      par.num_chan = 0;
+      for(tmp8 = 0; tmp8 < 4; ++tmp8)	// Re-arrange the list. Set numchan
+        if( (1 << tmp8) & par.chmask)
+          par.chlist[par.num_chan++] = tmp8;
+      break;
+
+    case GETCHANMASK:			
+        par.buf[par.buf_index++] = par.chmask | (par.adc_size << 4);
+        break;	
+
+    case ADCTRIGLEVELS:
+/*
+To get a stable display of periodic waveform, every time the digitization
+should start roughly at the same position of the waveform. Two levels are
+specified to allow rising and falling edge triggering. See the MULTIREADBLOCK
+below to see the usage of the variables below.
+*/    
+      par.tr1 = par.buf[1];			// First Trigger level
+      par.tr2 = par.buf[2];			// Second Trigger Level
+      break;
+
+
+    case READBLOCK:
+    case MULTIREADBLOCK:
+/*
+READBLOCK digitizes ADC input 'current_chan'. Number of samples is limited to
+BUFSIZE if 'adc_size' is one byte, half of it for two byte 'adc_size'.
+The time interval between samples is decided by 'par.adc_delay'. 
+    
+MULTIREADBLOCK digitizes upto four channels as per the current chmask[],
+num_samples and adc_size. Total data output should not exceed BUFSIZE.
+In one byte size, upto 200 samples possible when all four channels 
+are selected.
+
+SET, CLEAR and PULSE on Digital Output Sockets and WAIT on Digital Inputs
+are common to both calls.
+*/    
+      if (cmd == READBLOCK)	// Command is stored in cmd
+        {
+        ADMUX = AVREF | BV(ADLAR) | par.current_chan;	// Trigger Source
+        if(par.num_samples * par.adc_size > BUFSIZE)
+          {
+          par.buf[0] = INVBUFSIZE;
+          break;
+          }
+        }
+      else	// This is an MRB call
+        {
+        ADMUX = AVREF | BV(ADLAR) | par.chlist[0];	// Trigger Source
+        if ( (par.num_samples * par.adc_size * par.num_chan) > BUFSIZE)
+          {
+          par.buf[0] = INVBUFSIZE;
+          break;
+          }
+        }
+      // Second byte: Returns info on chmask and adc_size to the caller.  
+      par.buf[par.buf_index++] = par.chmask | (par.adc_size << 4);
+
+/*        
+Operations on Digital I/O Sockets just before block reads are done here,
+based on the values of par.set, par.wait, par.setmask and par.waitmask.
+par.set: 1 for SET; 2 for CLR; 3 for HIGH PULSE; 4 for LOW PULSE 
+*/      
+      if(par.set == 1)		// Check for SET/CLR type Actions 
+        PORTC |= par.setmask;	// Set the output bits as per mask
+      else
+      if(par.set == 2)
+        {
+        tmp8 = PORTC & (~par.setmask);	// Clear the output bits as per mask
+        PORTC = tmp8 | 15;		// 15 maintains pullup on read lines
+        }
+      else
+      if(par.set == 3)			// HIGH TRUE PULSE
+        {
+        tmp8 = PORTC;			// Set the output bit as per mask
+        PORTC |= par.setmask;		// Set the output bits as per mask
+        delay_us(par.pulse_width);			
+        PORTC = tmp8 | 15;		// Restore the old value
+        }
+      else
+      if(par.set == 4)			// LOW TRUE PULSE
+        {
+        tmp8 = PORTC;			// Clear the output bit as per mask
+        PORTC = (tmp8 & ~par.setmask) | 15;	// 15 maintains pullups
+        delay_us(par.pulse_width);			
+        PORTC = tmp8 | 15;		// Restore the old value
+        }
+
+      if(par.wait == 1)			// Check for WAIT actions
+        {
+        if(!clear_on_rise(par.waitmask))  // Wait for a rising edge
+          break;
+        }
+      else
+      if(par.wait == 2)
+        {
+        if(!clear_on_fall(par.waitmask))  // Wait for a falling edge
+          break;
+        }      
+
+      if( (par.set == 0) && (par.wait == 0) )	// No conditions, so trigger
+        {
+        for(tmp16 = 0; tmp16 < 2000; ++tmp16)
+          {
+          ADCSRA = BV(ADEN) | BV(ADSC) | par.adc_ctmask;
+          while ( !(ADCSRA & (1<<ADIF)) ) ;	// wait for ADC conversion
+          sbi(ADCSRA, ADIF);
+          tmp8 = ADCH;				// Initial point
+
+          ADCSRA = BV(ADEN) | BV(ADSC) | par.adc_ctmask;
+          while ( !(ADCSRA & (1<<ADIF)) ) ;	// wait for ADC conversion
+          sbi(ADCSRA, ADIF);
+          tmp8_1 = ADCH;
+          
+          if(par.tr1 < par.tr2)		// Rising Edge Trigger
+            {
+            if( (tmp8 < tmp8_1) && (tmp8 > par.tr1) && (tmp8 < par.tr2) )
+              break;	
+            }
+          else				// Falling Edge Trigger
+          if( (tmp8 > tmp8_1) && (tmp8 < par.tr1) && (tmp8 > par.tr2) )
+              break;			// Falling Edge Trigger reached
+          }
+        }
+
+      // Wavegen mode, Clock/8 to TCC1. TCNT1 clears when it matches OCR1A
+      TCCR1B = BV(WGM12) | BV(CS11);
+      
+      // Digitization starts. Code for RB and MRB are different from here.
+      if(cmd == READBLOCK)	// Command is stored in cmd
+        {
+        ADMUX = AVREF |((par.adc_size & 1) << 5) | par.current_chan; // MUX
+        OCR1A = par.adc_delay - 1; 
+        TCNT1 = 0;		// Reset TCNT1 before intering loop
+        for(tmp16=0; tmp16 < par.num_samples; ++tmp16)
+          {
+          ADCSRA = BV(ADEN) | BV(ADSC) | par.adc_ctmask;
+          while ( !(ADCSRA & (1<<ADIF)) ) ;	// wait for ADC conversion
+          if(par.adc_size == 2)			// Read ADCL for 10 bit data
+             par.buf[par.buf_index++] = ADCL;
+          par.buf[par.buf_index++] = ADCH;
+          sbi(ADCSRA, ADIF);		// reset ADC flag
+          while(TCNT1 > 2) ;		// Wait until CTC clears TCNT1
+          }
+        ADCSRA = BV(ADEN);			// Leave ADC enabled	
+        TCCR1B = BV(CS11);			// T/C to Normal mode, Clock/8 
+        break;
+        }
+        
+      // MULTIREADBLOCK Code starts here
+      if(par.adc_ctmask < 2) 	// ADC conversion time mask
+        ADCSRA = BV(ADEN) | par.adc_ctmask;
+      else
+        ADCSRA = BV(ADEN) | 2;
+      tmp8 = (par.adc_size & 1) << 5;	// ADLAR  BIT
+      ADMUX = AVREF | tmp8 | par.chlist[0]; 
+      OCR1A = par.num_chan * par.adc_delay - 1; 
+      tmp16 = 0;
+      TCNT1 = 0;		// Reset TCNT1 before intering loop
+      while(tmp16 < par.num_samples)
+        {
+        tmp8_1 = 0;
+        while(tmp8_1 < par.num_chan)
+          {
+          ADCSRA |= BV(ADSC);		// Start Conversion
+          ++tmp8_1;
+          if(tmp8_1 < par.num_chan)
+            ADMUX = AVREF | tmp8 | par.chlist[tmp8_1]; 	// Next
+          else
+            ADMUX = AVREF | tmp8 | par.chlist[0]; 	// First in list
+          while ( !(ADCSRA & (1<<ADIF)) ) ;	// wait for ADC conversion
+          if(par.adc_size == 2)			// Read ADCL for 10 bit data
+             par.buf[par.buf_index++] = ADCL;
+          par.buf[par.buf_index++] = ADCH;
+          sbi(ADCSRA, ADIF);			// reset ADC flag
+          }
+        ++tmp16;
+        while(TCNT1 > 2) isr_tmp16 = TCNT1;	// Wait until CTC clears TCNT1
+        }
+      ADCSRA = BV(ADEN);			// Leave ADC enabled	
+      TCCR1B = BV(CS11);			// T/C to Normal mode, Clock/8 
+      break;
+
+
+    case AINPERIOD:
+/*
+Connect the specified ADC input channel internally to the Analog Comparator
+and measure the time interval between two consecutive rising edges.
+*/
+      ADCSRA = 0;
+      ADMUX = AVREF | (par.buf[1] & 3);	// only four channels to look for
+      SFIOR |= BV(ACME);
+          
+      tmp16 = 0;
+      tmp8_1 = par.buf[1] & 15;		// 4 LSBs 
+      TCNT1 =0;
+      HTM = 0;
+      if(!wait_for_low(0)) break;	// Make sure the level is LOW
+      if(!clear_on_rise(0))break;	// Clear counter on rising edge src pin 
+      if(!wait_for_low(0)) break;
+      mark_on_rise(0);			// Store counters at rising edge
+      break;
+      
+/*---------------------------------------------------------------------------
+ Time interval measurement functions using DIGITAL I/O and ACOMP Sockets.
+The 16 bit Timer/Counter is used for time measurements. A 1 MHz clock is fed
+to the counter and the variable HTM is incremented after when it reaches 50000.
+Timeout is provided on all time measurements.
+
+Measures the time interval between a Rising edge on one Input to the Falling edge
+on another. The 8 bit input data specifies the Input Sockets to wait for.
+The lower 4 bits contain the Sockets to look for the First Transition.
+For example par.buf[1] = 00100001, time between a rising edge on D0 to a falling
+edge on D1 is measured. In fact it is possible to wait for more than one sockets
+at the same time and which ever come first can be taken. At the moment the
+Python function sets only a single bit in each half.
+A special case arise when all the 4 bits are zero. In that case transition on
+the Analog Comparator input Socket is waited for.
+For R2R, R2F,F2R and F2F type calls both Start and Stop could same or different.
+*/    
+    case R2RTIME:
+      tmp8_1 = par.buf[1];		// 4 LSBs source pins -4 MSBs end pins
+      if(clear_on_fall(tmp8_1 & 15))	// Just make sure the level is LOW
+        if(clear_on_rise(tmp8_1 & 15))	// Clear counter on rising edge src pin 
+          if(wait_for_low(tmp8_1 & 15))	// Wait for it to go LOW
+            mark_on_rise(tmp8_1 >> 4);	// Store counters at rising edge dst pin
+      break;
+
+    case R2FTIME:
+      tmp8_1 = par.buf[1];		// 4 LSBs source pins -4 MSBs end pins
+      if(clear_on_fall(tmp8_1 & 15))	// Just make sure the level is LOW
+        if(clear_on_rise(tmp8_1 & 15))	// Clear counter on rising edge src pin 
+          mark_on_fall(tmp8_1 >> 4);	// Store counters at falling edge dst pin
+      break;
+
+      if(!wait_for_low(0)) break;	// Make sure the level is LOW
+
+    case F2RTIME:
+      tmp8_1 = par.buf[1];	// 4 LSBs source pins --4 MSBs end pins
+      if(clear_on_rise(tmp8_1 & 15))	//Just make sure the level is HIGH
+        if(clear_on_fall(tmp8_1 & 15))	//Clear counter on falling of src pin 
+          mark_on_rise(tmp8_1 >> 4);	// Store counters at rising of dst pin
+    break;
+
+    case F2FTIME:
+      tmp8_1 = par.buf[1];		// 4 LSBs source pins -4 MSBs end pins
+      if(clear_on_rise(tmp8_1 & 15))	// Just make sure the level is LOW
+        if(clear_on_fall(tmp8_1 & 15))	// Clear counter on rising edge src pin 
+          if(wait_for_high(tmp8_1 & 15))// Wait for it to go HIGH
+            mark_on_fall(tmp8_1 >> 4);	// Store counters at falling edge dst pin
+      break;
+
+    case MULTIR2R:			// Multiple cycles on the same pin
+/*
+Measures the time interval between two rising edges on the same Input Socket.
+The 4 LSBs of the first argument specifies the Input Socket to look for.
+The second argument specifies the number of rising edges to be skipped in between
+the two edges measured. For example par.buf[2] = 9 returns the time taken
+for 10 cycles. Averaging is useful for  better measurement accuracy.
+*/    
+      tmp16 = 0;
+      tmp8_1 = par.buf[1] & 15;		// 4 LSBs 
+      TCNT1 =0;
+      HTM = 0;
+      if(!wait_for_low(tmp8_1)) break;	// Make sure the level is LOW
+      if(!clear_on_rise(tmp8_1))break;	// Clear counter on rising edge src pin 
+      if(!wait_for_low(tmp8_1)) break;
+      
+      while (par.buf[2]--)
+        {
+        if(!wait_for_high(tmp8_1))break;
+        if(!wait_for_low(tmp8_1)) break;
+        }
+
+      if(par.buf[0] == TIMEOUT) break;
+  
+      mark_on_rise(tmp8_1);		// Store counters at rising edge
+      break;
+
+    case TPEND:				// Pendulum T using light barrier
+/*
+This is no more required. multi_r2r() with skip = 1 does the job.
+This function was written to take care of the noise in light barrier output.
+*/    
+      tmp16 = 0;
+      tmp8_1 = par.buf[1] & 15;		// 4 LSBs 
+      TCNT1 =0;
+      HTM = 0;
+      if(!wait_for_low(tmp8_1)) break;	// Make sure the level is LOW
+      d100us(1);
+      if(!clear_on_rise(tmp8_1))break;	// Clear counter on rising edge src pin 
+      d100us(1);
+      if(!wait_for_low(tmp8_1)) break;
+      d100us(1);
+      if(!wait_for_high(tmp8_1))break;
+      d100us(1);
+      if(!wait_for_low(tmp8_1)) break;
+      d100us(1);
+      mark_on_rise(tmp8_1);	// Store counters at rising edge
+      break;
+
+    case SET2RTIME:	// Argument: 4 LSBs Source pins --4 MSBs end pins
+/*
+Sets the DIGITAL Output Sockets as per the 4 MSBs of the argument and measures 
+the time from that to a rising edge on the Input Socket specified by the 4 LSBs 
+of the argument. SET2F, CLR2R and CLR2F are similar functions.
+*/    
+      PORTC |= par.buf[1] << 4;
+      HTM = 0;
+      TCNT1 = 0;
+      mark_on_rise(par.buf[1] >> 4);	// Store counters at rising of read pin
+    break;
+
+    case SET2FTIME:	// Argument: 4 LSBs source pins --4 MSBs end pins
+      PORTC |= par.buf[1]<<4;
+      HTM = 0;
+      TCNT1 = 0;
+      mark_on_fall(par.buf[1] >> 4);	// Store counters at falling of dst pin
+    break;
+
+    case CLR2RTIME:		// 4 LSBs source pins --4 MSBs end pins
+      tmp8_1 = (par.buf[1] << 4) & 0xf0;// 4 LSBs are source, shift them
+      PORTC &= ~tmp8_1;			// Clear the source bit
+      HTM = 0;
+      TCNT1 = 0;
+      mark_on_rise(par.buf[1] >> 4);	// Store counters at rising of dst pin
+    break;
+
+    case CLR2FTIME:
+      tmp8_1 = (par.buf[1] << 4) & 0xf0;// 4 LSBs are source, shift them
+      PORTC &= ~tmp8_1;			// Clear the source bit
+      HTM = 0;
+      TCNT1 = 0;
+      mark_on_fall(par.buf[1] >> 4);	// Store counters at falling of dst pin
+    break;
+
+
+    case SETPULSEWIDTH:			// For the  PULSE2*TIME functions
+/*
+The width of the pulse generated by the PULSE2RTIME and PULSE2FTIME calls
+are set here. Used by SETACTION pulse before BLOCK READ also.
+*/    
+      par.pulse_width = par.buf[1];
+      break;
+
+    case SETPULSEPOL:			// For the PULSE2* functions
+/*
+Polarity of '0' means the pulse will go from LOW to HIGH and come back to LOW
+after 'pulse_width' microseconds. The Digital Output must be made LOW before
+making this call, otherwise you will get a STEP only.
+Polarity '1' implies a HIGH to LOW and going back to HIGH. Digital output
+must be set to HIGH before calling it.
+*/    
+      par.pulse_pol = par.buf[1];
+      break;
+
+    case PULSE2RTIME:	// Lower nibble output, upper inputs
+/*
+Sends a Pulse on the specified, by 4 LSBs, Digital Output Socket and waits for 
+a rising edge on the Input Sockets specified by 4 MSBs of the argument.
+Time taken in microseconds is returned.
+*/    
+      tmp8_1 = (par.buf[1]<<4) & 0xf0;	// get the output pins mask		
+      if(!par.pulse_pol)		// HIGH TRUE pulse
+        {
+        PORTC |= tmp8_1;		// Set source bit
+        delay_us(par.pulse_width);			
+        PORTC &= ~tmp8_1;		// Restore old value
+        }
+      else				// LOW TRUE pulse
+        {
+        PORTC &= ~tmp8_1;		// Clear source bit
+        delay_us(par.pulse_width);			
+        PORTC |= tmp8_1;		// Restore old value
+        }     
+      delay_us(PULSEDEADTIME);		// To avoid false trigger
+      HTM = 0;
+      TCNT1 = PULSEDEADTIME;		// add that up in the result
+                                        
+      mark_on_rise(par.buf[1] >> 4);	// Store counters at rising of dst pin
+    break;
+
+    case PULSE2FTIME:		// Argument: 4LSB write pins  - 4MSB read pins
+      tmp8_1 = (par.buf[1]<<4) & 0xf0;	// get the output pins mask		
+      if(!par.pulse_pol)		// HIGH TRUE pulse
+        {
+        PORTC |= tmp8_1;		// Set source bit
+        delay_us(par.pulse_width);			
+        PORTC &= ~tmp8_1;		// Restore old value
+        }
+      else				// LOW TRUE pulse
+        {
+        PORTC &= ~tmp8_1;		// Clear source bit
+        delay_us(par.pulse_width);			
+        PORTC |= tmp8_1;		// Restore old value
+        }     
+      delay_us(PULSEDEADTIME);		// To avoid false trigger
+      HTM = 0;
+      TCNT1 = PULSEDEADTIME;		// add that up in the result
+      mark_on_fall(par.buf[1] >> 4);	// Store counters at falling of dst pin
+    break;
+
+
+    case SETCOUNTER2:
+/*
+Sets the Timer/Counter 2 using the two arguments send by caller. A Square wave
+output is generated on the PWG output socket.
+*/    
+      if(par.buf[1] <= 7)
+        {
+        TCCR2 = BV(WGM21) | BV(COM20) | par.buf[1];	// CTC mode
+        OCR2 = par.buf[2];
+        TCNT2 = 0;
+        }
+      else
+        par.buf[0] = INVARG;
+      break;
+
+    case SETDAC:			// Set the PWM DAC
+/* The PWG output is filtered by an RC network (R = 10K, c = 0.1 uF) and
+connected to the DAC Socket. This feature is not avilable along with
+the SETCOUNTER2 feature since they use the same PWG output.
+*/    
+      OCR2 = par.buf[1];
+      TCCR2 = BV(WGM21) | BV(WGM20) | BV(COM21) | BV(CS20); // Fast PWM mode
+      TCNT2 = 0;
+      break;
+
+    case COUNT:
+/*
+This function returns the number of pulses received on the Clock Input
+of the 8 bit Timer/Counter0 in one second. Calling this function will
+disturb the operation of functions using the Timer Interrupt features.
+For example SETTIME, GETTIME functions use Timer0 interrupts to
+maintain a clock.
+*/    
+      cli();
+      tmp8_1 = TCCR0;			// Save TCCR0
+      TCCR0 = 0;
+      tmp16 = 0;
+      tmp8 = 0;
+      TIFR |= BV(TOV0);			// Clear TCC0 OVF flag
+      TCNT0 = 0;			// counts external input
+      TCNT1 = 0;			// to keep time with clk/8
+      TCCR0 = 7;			// TC0 counts External  clock
+
+      while(1)
+        {
+        if(TCNT1 >= TIMERSIZE)		// 50000 usecs elapsed
+          {
+          TCNT1 = 0;			// Reset it
+          if (++tmp8 == 20)
+            {
+            TCCR0 = 0;			// Stop counting
+            break;
+            }
+          }
+          
+        if(TIFR & BV(TOV0))		// TC0 overflow after 255 counts
+          {
+          ++tmp16;
+          TIFR |= BV(TOV0);		// Clear OVF flag
+          }
+        }
+      par.buf[par.buf_index++] = TCNT0;
+      par.buf[par.buf_index++] = tmp16 & 255;
+      par.buf[par.buf_index++] = tmp16 >> 8;
+      TCCR0 = tmp8_1;			// Restore TCCR0
+      ++par.pctime;			// Compensate the second we took
+      sei();
+      break;
+
+
+/*------------------------------------------------------------------------ 
+Radiation Detection System Plug-in card routines for processing input signals
+coming at random intervals. The Radiation Detection Plugin circuit takes 
+ACOMP input LOW when a pulse comes. The stretched pulse is fed to ADC ch0. 
+The ACOMP interrupt routine digitizes the data and makes a 256 channel 
+histogram with 16 bit per channel. The interrupts are automatically disabled 
+if any of the channels reach 65535. A LOW TRUE pulse is send on D4 to clear 
+the Plug-in card's Data Ready signal.
+*/    
+    case STARTHIST:
+      ACSR = BV(ACIS1) | BV(ACIS0);	// AIN+ = 1.23V, F.edge interrupt
+      ACSR |= BV(ACBG) | BV(ACIE);	// AIN+ = 1.23V, F.edge interrupt
+      tmp8 = PORTC;			// LOW TRUE Pulse on output D3
+      PORTC = tmp8 & 0x7f;		// to clear any pending DRDY flag
+      PORTC = tmp8 | 0x80;
+      break;
+
+    case READHIST:
+      par.buf_index = 514;		// 1 status + 1 pad + 512 bytes data
+      break;
+
+    case CLEARHIST:
+      for(tmp16 = 1; tmp16 < 515; ++tmp16)	// Clear the buffer
+        par.buf[tmp16] = 0;
+      break;
+
+    case STOPHIST:
+      ACSR &= ~BV(ACIE);		// disable AC interrupt
+      break;
+
+/*------------------------------------------------------------------------ 
+Functions below are based on the TC0 interrupt. They setup TC0 registers
+and other required variables and return. The work is carried out later by 
+the interrup service routine "SIGNAL (SIG_OUTPUT_COMPARE0)".
+PC collects the results later using appropriate function calls.
+*/
+
+    case SETTIME:	
+/*
+Initialize the 32 bit integer 'pctime' to the Timestamp send from the PC. 
+The ISR is set to run after every 8 milliseconds and it increments 'pctime' once
+in a second. GETTIME returns the current value of 'pctime' to the PC.
+*/
+      par.irq_func = CLOCK;		// maintain a local clock
+      par.pctime = par.buf[4];
+      par.pctime = (par.pctime << 8) | par.buf[3];
+      par.pctime = (par.pctime << 8) | par.buf[2];
+      par.pctime = (par.pctime << 8) | par.buf[1];
+      par.minor_ticks = 0;
+                      
+      TCCR0 = BV(WGM01) | BV(CS02);	// Wavegen mode, Clock/256 to TCC0
+      sbi(TIFR,OCF0);			// Clear pending int. flag, if any
+      OCR0 =  249;			// Interrupt every 32*250=8000 usec
+      TCNT0 = 0;			// ADC starts here OCR1B = TCNT1
+      TIMSK = BV(OCIE0);		// Enable Compare A match interrupts
+
+      break;
+
+    case GETTIME:
+      par.buf[par.buf_index++] = par.pctime & 255;
+      par.buf[par.buf_index++] = (par.pctime >> 8) & 255;
+      par.buf[par.buf_index++] = (par.pctime >> 16) & 255;
+      par.buf[par.buf_index++] = (par.pctime >> 24) & 255;
+      break;
+
+    case SETWAVEFORM:
+/*
+Configures TC0 interrupt to run in multiples of 32 microseconds. The DAC output
+is set by the ISR. The sinewave table is part of the code. Ramp and Triagular 
+waves are generated by calculations. Generates waves from 0.5 Hz to 125 Hz.
+The wave forms are not of great quality since we do not have a proper DAC,
+we use the PWM DAC
+*/    
+      par.irq_func = par.buf[2];		// Set the type of wave
+      if(par.irq_func == HRUSERWAVE)		// Use plug-in DAC	
+        {
+//        SDACP_DIR |= SDACP_DMASK;		// Set direction for SDAC
+//        DDRA = PA_SPIMASK;	      		// and SPI communication
+        }
+      else
+        TCCR2 = BV(WGM21) | BV(WGM20) | BV(COM21) | BV(CS20); // use PWM DAC
+
+      par.minor_ticks = 0;		// Used by ISR
+      isr_tmp16 = 0;			// Used by TRI and RAMP
+      OCR0 = par.buf[1];		// Tick every 32 * par.buf[1] usecs 
+      TCCR0 = BV(WGM01) | BV(CS02);	// TC0 in Wavegen mode, Clock/256, 32 usec
+      TIMSK = BV(OCIE0);		// Enable Compare0 match interrupts
+      break;            
+
+    case STOPWAVE:
+      par.irq_func = 0;			// Mark that we are through
+      TIMSK &= ~BV(OCIE0);		// Disable Compare0 match interrupts
+      break;
+      
+    case PULSE_D0D1:
+      par.irq_func = IN_PULSE;		// Mark where we are
+      par.minor_ticks = 0;		// use this as a counter
+      isr_tmp16 = par.buf[2] << 8;	// Toggle D0 and D1 when
+      isr_tmp16 |= par.buf[1];		// minor_ticks reaches isr_tmp16
+      OCR0 = 0;				// Tick every 32 usecs 
+      TCCR0 = BV(WGM01) | BV(CS02);	// TC0 in Wavegen mode, Clock/256, 32 usec
+      TIMSK = BV(OCIE0);		// Enable Compare0 match interrupts
+      break;            
+
+
+//------Functions using the Serial EEPROM Plug-in Module------------------
+
+    case PMRB_START:
+/*
+PROM MULTI READ BLOCK, PMRB, is meant for converting Phoenix-M into a multi-
+channel data logger. It requires the SEEPROM plug-in module. PMRB_START 
+is called with the number of Samples and the delay between digitizations.
+SETTIME must be called before calling PMRB.
+The adc_size and active channel information should be set earlier.
+For its operations PMRB uses the last 256 bytes of the 800 byte buffer.
+It is possible to use BLOCKREADS during PMRB in progress but a digitization
+but there is a small probability of PMRB interrupt striking in between and
+corrupting the BLOCKREAD in progress.
+The data stored to EEPROM has 10 + 4 byte header:
+0,1 : number of 128 byte blocks going to be filled  (maximum 510 for 64K PROM)
+2,3 : Delay in seconds between samples
+4,5 : Number of samples (Maximum 8000 for 2 byte, 4 channels)
+6   : adc_size
+7   : Number of active channels
+8   : channel mask
+9   : pad byte
+10 to 13 : 4 byte absolute timestamp (Epoch loaded from the PC by SETTIME)
+After that the data is filled continuously. The ISR will stop only after
+digitizing few more points than specified Number of Samples, to fill the
+last 128 byte block.
+After that it adds an extra 128 byte block that contains only the final
+time stamp. The integrity of data can be checked from the two time stamps
+and the expected duration (num_samples * delay)
+The python routine smrb_getdata() formats the SEEPROM data properly.
+After giving a PMRB_START command, a battery powered Phoenix-M can be detached
+from the serial port and taken anywhere to record data.
+*/
+      if(par.irq_func != CLOCK)
+        {
+        par.buf[0] = NOCLOCK;
+        break;
+        }
+
+      par.irq_func = IN_PMRB;		// Set the function for ISR
+      par.pmrb_numblocks = par.buf[2];	// Number of samples
+      par.pmrb_numblocks = (par.pmrb_numblocks << 8) | par.buf[1];
+      par.pmrb_delay = par.buf[4];	// Delay between samples
+      par.pmrb_delay |= (par.pmrb_delay << 8) | par.buf[3];
+      for(tmp8 = 0; tmp8 < 4; ++tmp8)	// Copy the current channel list
+        par.pmrb_chlist[tmp8] = par.chlist[tmp8];
+      par.pmrb_num_chan = par.num_chan;	// and number of active channels
+
+      isr_tmp16 = 0;		// Point to EEPROM first block,128 bytes
+      par.pmrb_bufpos = 0;	// position on th 2 x 128 bytes buffer
+      par.filling_half = LOWER;	// Start with lower block
+      
+      // Add the 10 bytes header with sampling details + 4 byte timestamp
+      par.buf[PMRB_INDEX + par.pmrb_bufpos++] = par.buf[1]; 	// numblocks
+      par.buf[PMRB_INDEX + par.pmrb_bufpos++] = par.buf[2];
+      par.buf[PMRB_INDEX + par.pmrb_bufpos++] = par.buf[3];	// delay
+      par.buf[PMRB_INDEX + par.pmrb_bufpos++] = par.buf[4];
+      par.buf[PMRB_INDEX + par.pmrb_bufpos++] = par.num_samples & 255;
+      par.buf[PMRB_INDEX + par.pmrb_bufpos++] = par.num_samples >> 8;
+      par.buf[PMRB_INDEX + par.pmrb_bufpos++] = par.adc_size;	// data size
+      par.buf[PMRB_INDEX + par.pmrb_bufpos++] = par.pmrb_num_chan;	// nchan
+      par.buf[PMRB_INDEX + par.pmrb_bufpos++] = par.chmask;	// chmask
+      par.buf[PMRB_INDEX + par.pmrb_bufpos++] = 0;		// pad byte
+      par.buf[PMRB_INDEX + par.pmrb_bufpos++] = par.pctime & 255;
+      par.buf[PMRB_INDEX + par.pmrb_bufpos++] = (par.pctime >> 8) & 255;
+      par.buf[PMRB_INDEX + par.pmrb_bufpos++] = (par.pctime >> 16) & 255;
+      par.buf[PMRB_INDEX + par.pmrb_bufpos++] = (par.pctime >> 24) & 255;
+
+      ADCSRA = BV(ADEN);	// Enable ADC
+      break;            
+
+    case PMRB_RUNNING:
+      if(par.irq_func == IN_PMRB)
+        par.buf[par.buf_index++] = TRUE;
+      else
+        par.buf[par.buf_index++] = FALSE;
+      break;
+
+    case READSEEPROM:
+      tmp16 = par.buf[2] << 8;	// SEEPROM address to read
+      tmp16 |= par.buf[1];	// combine low and high bytes
+      tmp8 = par.buf[3];	// Number of bytes to read
+      while (tmp8--)
+        {
+        while(seeprom_status() & 1);		// Wait until chip is ready
+        par.buf[par.buf_index++] = seeprom_read_byte(tmp16++);
+        }
+      break;
+
+/* -----------------------------------------------------------------------
+High resolution AD/DA plug-in modules. Currently 16 bit.
+*/
+
+    case HR_ADCINIT:
+      hr_adc_init();
+      break;
+
+    case HR_SETCHAN:
+      hr_select_adc(par.buf[1]);
+      break;
+
+    case HR_CALINT:
+      hr_adc_internal_cal(par.buf[1]);
+      break;
+
+    case HR_CALEXT:
+      hr_adc_external_cal(par.buf[1]);
+      break;
+
+    case HRADCREAD:
+      hr_adc_read();
+      break;
+
+    case HRSETDAC:
+      hr_set_dac();
+      break;
+
+
+//--------------------------------------------------------------------
+    case PULSEOUT:
+/*
+http://www.andrew.cmu.edu/user/ebuehl/robosapien-lirc/ir_codes.htm
+*/
+        TCNT2 = 0;
+        OCR2 = 101;				// 39.2 KHz when clock_sel = 1
+        TCCR2 = BV(WGM21) | BV(COM20) | 1;  	// Pulsing for Start marker
+        delay_us(8 * 833);
+        TCCR2 = BV(WGM21) | BV(COM20);  	// Stop
+        tmp8_1 = par.buf[1];
+        tmp8 = 8;
+        while(tmp8--)
+          {
+          if(tmp8_1 & 128)			// MSB of data
+            delay_us(3332);			// HIGH (no pulse) duration
+          else
+            delay_us(833);			// HIGH (no pulse) duration
+          TCCR2 = BV(WGM21) | BV(COM20) | 1;  	// start Pulsing
+          delay_us(833);
+          TCCR2 = BV(WGM21) | BV(COM20);  	// Stop Pulsing
+          tmp8_1 <<= 1;
+          }
+      break;
+
+    case TABLEDATA:
+      tmp16 = par.buf[2] << 8;	// 16 bit Internal SEEPROM address by
+      tmp16 |= par.buf[1];	// combining low and high bytes
+      eeprom_write_byte ( (u8ptr)tmp16, par.buf[3]);
+      break;
+
+
+    default:
+      par.buf[0] = INVCMD;		// Invalid Command
+      break;
+    }
+
+//initDisplay(); writeLCD(par.buf[0]); write16(par.buf_index); // for debug only
+
+for(tmp16=0; tmp16 < par.buf_index; ++tmp16)
+    {
+    loop_until_bit_is_set (UCSRA, UDRE);
+    if (UCSRA & (1<<RXC))
+      {
+      writeLCD('X');
+      write16(UDR);		// look for XOFF ????
+      }
+    UDR = par.buf[tmp16];
+    }
+}
+  //------------------- End of processCommand function-------------------
+
+
+int
+main (void)
+{
+  delay(30000);
+  initialize();
+  initDisplay(); write16(BUFSIZE);
+
+  par.buf_index = 0;
+  for(;;)
+    {
+    while ( !(UCSRA & (1<<RXC)) ) ;		// wait for receiver data
+    par.buf[par.buf_index++] = UDR;		// Put the byte in the buffer. Error TODO 
+
+    if(par.buf_index*GROUPSIZE > par.buf[0])	// Process after required no. of arguments
+      {
+      processCommand();
+      par.buf_index = 0;
+      }
+    }
+}
diff --git a/microhope/pixmaps/mh-logo.svg b/microhope/pixmaps/mh-logo.svg
new file mode 100644
index 0000000..0477c0c
--- /dev/null
+++ b/microhope/pixmaps/mh-logo.svg
@@ -0,0 +1,320 @@
+<?xml version="1.0" encoding="UTF-8" standalone="no"?>
+<!-- Created with Inkscape (http://www.inkscape.org/) -->
+
+<svg
+   xmlns:dc="http://purl.org/dc/elements/1.1/"
+   xmlns:cc="http://creativecommons.org/ns#"
+   xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#"
+   xmlns:svg="http://www.w3.org/2000/svg"
+   xmlns="http://www.w3.org/2000/svg"
+   xmlns:xlink="http://www.w3.org/1999/xlink"
+   xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
+   xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
+   width="1018.775"
+   height="1018.923"
+   id="svg2"
+   version="1.1"
+   inkscape:version="0.48.4 r9939"
+   sodipodi:docname="mh-logo.svg"
+   inkscape:export-filename="/var/www/docs/phoenix/logo10-1.svg.png"
+   inkscape:export-xdpi="28.269243"
+   inkscape:export-ydpi="28.269243">
+  <defs
+     id="defs4">
+    <linearGradient
+       id="linearGradient3919">
+      <stop
+         style="stop-color:#96cbe1;stop-opacity:1;"
+         offset="0"
+         id="stop3921" />
+      <stop
+         id="stop3929"
+         offset="0.93442625"
+         style="stop-color:#61a4c0;stop-opacity:1;" />
+      <stop
+         style="stop-color:#2d7e9f;stop-opacity:1;"
+         offset="1"
+         id="stop3923" />
+    </linearGradient>
+    <inkscape:perspective
+       sodipodi:type="inkscape:persp3d"
+       inkscape:vp_x="0 : 526.18109 : 1"
+       inkscape:vp_y="0 : 1000 : 0"
+       inkscape:vp_z="744.09448 : 526.18109 : 1"
+       inkscape:persp3d-origin="372.04724 : 350.78739 : 1"
+       id="perspective10" />
+    <inkscape:perspective
+       id="perspective2944"
+       inkscape:persp3d-origin="0.5 : 0.33333333 : 1"
+       inkscape:vp_z="1 : 0.5 : 1"
+       inkscape:vp_y="0 : 1000 : 0"
+       inkscape:vp_x="0 : 0.5 : 1"
+       sodipodi:type="inkscape:persp3d" />
+    <inkscape:perspective
+       id="perspective3000"
+       inkscape:persp3d-origin="0.5 : 0.33333333 : 1"
+       inkscape:vp_z="1 : 0.5 : 1"
+       inkscape:vp_y="0 : 1000 : 0"
+       inkscape:vp_x="0 : 0.5 : 1"
+       sodipodi:type="inkscape:persp3d" />
+    <inkscape:perspective
+       id="perspective3025"
+       inkscape:persp3d-origin="0.5 : 0.33333333 : 1"
+       inkscape:vp_z="1 : 0.5 : 1"
+       inkscape:vp_y="0 : 1000 : 0"
+       inkscape:vp_x="0 : 0.5 : 1"
+       sodipodi:type="inkscape:persp3d" />
+    <inkscape:perspective
+       id="perspective3048"
+       inkscape:persp3d-origin="0.5 : 0.33333333 : 1"
+       inkscape:vp_z="1 : 0.5 : 1"
+       inkscape:vp_y="0 : 1000 : 0"
+       inkscape:vp_x="0 : 0.5 : 1"
+       sodipodi:type="inkscape:persp3d" />
+    <inkscape:perspective
+       id="perspective3070"
+       inkscape:persp3d-origin="0.5 : 0.33333333 : 1"
+       inkscape:vp_z="1 : 0.5 : 1"
+       inkscape:vp_y="0 : 1000 : 0"
+       inkscape:vp_x="0 : 0.5 : 1"
+       sodipodi:type="inkscape:persp3d" />
+    <radialGradient
+       inkscape:collect="always"
+       xlink:href="#linearGradient3919"
+       id="radialGradient3925"
+       cx="377.04282"
+       cy="541.70502"
+       fx="377.04282"
+       fy="541.70502"
+       r="509.38751"
+       gradientTransform="matrix(1,0,0,1.00015,0,-0.0786646)"
+       gradientUnits="userSpaceOnUse" />
+    <radialGradient
+       inkscape:collect="always"
+       xlink:href="#linearGradient3919-3"
+       id="radialGradient3925-9"
+       cx="377.04282"
+       cy="541.70502"
+       fx="377.04282"
+       fy="541.70502"
+       r="509.38751"
+       gradientTransform="matrix(1,0,0,1.00015,0,-0.0786646)"
+       gradientUnits="userSpaceOnUse" />
+    <linearGradient
+       id="linearGradient3919-3">
+      <stop
+         style="stop-color:#dde8ec;stop-opacity:1;"
+         offset="0"
+         id="stop3921-8" />
+      <stop
+         id="stop3929-2"
+         offset="0.93442625"
+         style="stop-color:#9dc1d0;stop-opacity:1;" />
+      <stop
+         style="stop-color:#498693;stop-opacity:1;"
+         offset="1"
+         id="stop3923-3" />
+    </linearGradient>
+    <radialGradient
+       r="509.38751"
+       fy="541.70502"
+       fx="377.04282"
+       cy="541.70502"
+       cx="377.04282"
+       gradientTransform="matrix(1,0,0,1.00015,734.28544,2345.6356)"
+       gradientUnits="userSpaceOnUse"
+       id="radialGradient4261"
+       xlink:href="#linearGradient3919-3"
+       inkscape:collect="always" />
+    <filter
+       inkscape:collect="always"
+       id="filter4363"
+       x="-0.13453563"
+       width="1.2690713"
+       y="-0.16777436"
+       height="1.3355488"
+       color-interpolation-filters="sRGB">
+      <feGaussianBlur
+         inkscape:collect="always"
+         stdDeviation="4.4436548"
+         id="feGaussianBlur4365" />
+    </filter>
+    <filter
+       inkscape:collect="always"
+       id="filter4367"
+       x="-0.10678392"
+       width="1.2135679"
+       y="-0.098814607"
+       height="1.1976292"
+       color-interpolation-filters="sRGB">
+      <feGaussianBlur
+         inkscape:collect="always"
+         stdDeviation="4.3965789"
+         id="feGaussianBlur4369" />
+    </filter>
+    <filter
+       inkscape:collect="always"
+       id="filter4371"
+       x="-0.073785625"
+       width="1.1475713"
+       y="-0.18493025"
+       height="1.3698605"
+       color-interpolation-filters="sRGB">
+      <feGaussianBlur
+         inkscape:collect="always"
+         stdDeviation="21.153802"
+         id="feGaussianBlur4373" />
+    </filter>
+  </defs>
+  <sodipodi:namedview
+     id="base"
+     pagecolor="#ffffff"
+     bordercolor="#666666"
+     borderopacity="1.0"
+     inkscape:pageopacity="0.0"
+     inkscape:pageshadow="2"
+     inkscape:zoom="0.64577992"
+     inkscape:cx="509.38751"
+     inkscape:cy="509.46149"
+     inkscape:document-units="px"
+     inkscape:current-layer="layer1"
+     showgrid="false"
+     inkscape:window-width="1142"
+     inkscape:window-height="874"
+     inkscape:window-x="526"
+     inkscape:window-y="81"
+     inkscape:window-maximized="0"
+     showguides="true"
+     inkscape:guide-bbox="true"
+     fit-margin-top="0"
+     fit-margin-left="0"
+     fit-margin-right="0"
+     fit-margin-bottom="0" />
+  <metadata
+     id="metadata7">
+    <rdf:RDF>
+      <cc:Work
+         rdf:about="">
+        <dc:format>image/svg+xml</dc:format>
+        <dc:type
+           rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
+        <dc:title />
+      </cc:Work>
+    </rdf:RDF>
+  </metadata>
+  <g
+     inkscape:label="Calque 1"
+     inkscape:groupmode="layer"
+     id="layer1"
+     transform="translate(-601.94075,-2377.9577)">
+    <path
+       inkscape:connector-curvature="0"
+       id="path2960-0-2"
+       d="m 1066.8265,3395.9292 c -41.3765,-4.1037 -76.28557,-11.2214 -112.50003,-22.9379 -181.07279,-58.5829 -314.7123,-213.483 -345.92069,-400.9534 -4.6661,-28.0293 -5.7479,-41.0194 -6.2877,-75.5 -0.4033,-25.7647 -0.1559,-37.1658 1.1283,-52 10.5333,-121.6695 61.90299,-232.0205 148.0394,-318.0141 77.4907,-77.3623 175.93809,-126.9678 284.54072,-143.3741 46.9398,-7.0911 105.9313,-6.9068 154,0.481 92.4183,14.2041 176.8118,52.6282 251,114.2796 15.1527,12.5921 47.9336,45.4441 60.9491,61.0814 [...]
+       style="fill:url(#radialGradient4261);fill-opacity:1"
+       sodipodi:nodetypes="cssssssssssssssscc" />
+    <g
+       id="g4375"
+       transform="translate(3046.62,1794.0309)">
+      <g
+         id="text3243-3-7"
+         style="font-size:180px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;text-align:start;line-height:125%;letter-spacing:0px;word-spacing:0px;writing-mode:lr-tb;text-anchor:start;opacity:0.603125;fill:#000000;fill-opacity:1;stroke:none;filter:url(#filter4363);font-family:Mathematica6;-inkscape-font-specification:Mathematica6"
+         transform="matrix(4.7604512,0,0,4.7604512,1871.511,-4667.6619)">
+        <path
+           inkscape:connector-curvature="0"
+           style="fill:#000000;fill-opacity:1"
+           id="path3248-3-9"
+           transform="matrix(0.20783871,0,0,0.20783871,-842.50864,569.83086)"
+           d="m -71.90625,2728.9688 c -12.124931,2.3104 -30.01544,14.1382 -53.6875,35.5 -23.09493,20.7858 -34.6563,36.953 -34.65625,48.5 -5e-5,8.0836 6.36025,21.6659 19.0625,40.7187 12.70206,18.4763 19.03115,31.4634 19.03125,38.9687 l 0,142.1563 c 31.652641,-18.1924 61.902305,-37.6182 91.8125,-57.1563 l 0,-78.0624 c -1.92e-4,-20.2075 6.924158,-38.9909 20.78125,-56.3126 14.4340293,-17.3206 31.462902,-25.9682 51.09375,-25.9687 18.475577,5e-4 40.141517,11.2643 64.96875,33.7813 10.93833,9.66 [...]
+      </g>
+      <g
+         style="opacity:0.603125;fill:#000000;fill-opacity:1;filter:url(#filter4367)"
+         transform="matrix(4.8114233,0,0,4.8114233,4235.8593,-4691.7748)"
+         id="g3309-7-0">
+        <g
+           transform="translate(-134.386,28.4963)"
+           style="font-size:180px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;text-align:start;line-height:125%;letter-spacing:0px;word-spacing:0px;writing-mode:lr-tb;text-anchor:start;fill:#000000;fill-opacity:1;stroke:none;font-family:Mathematica6;-inkscape-font-specification:Mathematica6"
+           id="text3243-6-5">
+          <g
+             style="fill:#000000;fill-opacity:1"
+             id="g4196-4">
+            <path
+               style="fill:#000000;fill-opacity:1"
+               d="m -1143.3377,1127.0874 c -6.5235,2.1934 -12.6491,4.8566 -18.5171,7.8329 1.618,2.3466 2.4291,4.5613 2.4291,6.6444 l 0,60.4161 c 9.7834,-6.2067 19.7316,-12.1525 30.2341,-17.413 -0.1066,0.035 -0.2112,0.069 -0.3183,0.1039 -5.9168,1.9863 -9.8856,3.8307 -11.9183,5.5272 l 0,-33.3386 -0.1818,-25.7395 c -0.082,-1.2268 -0.6565,-2.5698 -1.7277,-4.0334 z m -52.2714,28.3635 c -6.2141,4.0592 -12.4998,8.093 -19.0757,11.8728 l 0,46.4195 c 0,3.84 -2.2239,7.1383 -6.6638,9.8983 -4.44,2.64 [...]
+               id="path3248-67-8"
+               inkscape:connector-curvature="0" />
+          </g>
+        </g>
+      </g>
+      <g
+         transform="translate(-3607.5223,-2017.8133)"
+         style="opacity:0.603125;fill:#000000;fill-opacity:1;filter:url(#filter4371)"
+         id="g4240-6">
+        <g
+           style="fill:#000000;fill-opacity:1"
+           id="g3309-1-3"
+           transform="matrix(4.8114233,0,0,4.8114233,6180.5245,-2828.2471)">
+          <g
+             id="text3243-1-7"
+             style="font-size:180px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;text-align:start;line-height:125%;letter-spacing:0px;word-spacing:0px;writing-mode:lr-tb;text-anchor:start;fill:#000000;fill-opacity:1;stroke:none;font-family:Mathematica6;-inkscape-font-specification:Mathematica6"
+             transform="translate(-134.386,28.4963)">
+            <path
+               inkscape:connector-curvature="0"
+               style="fill:#000000;fill-opacity:1"
+               d="m 1981.1562,3121.7188 c -21.5869,2.2888 -47.3741,8.362 -77.3437,18.1562 -50.5055,25.3011 -98.3564,53.87 -145.4063,83.7188 l 0,13.3124 c -3e-4,68.7073 -5.499,121.8147 -16.4687,159.3438 38.1061,-7.5058 72.4614,-30.2998 103.0625,-68.4062 l 0,-109.75 c 2.8254,-12.0331 14.7029,-24.6491 35.6875,-37.8438 25.4038,-16.5393 52.8347,-24.7808 82.2813,-24.7812 27.1358,4e-4 53.1099,6.5699 77.9374,19.75 -24.8275,5.4272 -37.2193,11.3834 -37.2187,17.8437 l 0,153.5 c -6e-4,4.6522 13.8481 [...]
+               transform="matrix(0.20783871,0,0,0.20783871,-1178.0968,565.24556)"
+               id="path3248-9-3" />
+          </g>
+        </g>
+      </g>
+    </g>
+    <g
+       transform="matrix(4.7604512,0,0,4.7604512,4935.0803,-2886.4519)"
+       style="font-size:180px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;text-align:start;line-height:125%;letter-spacing:0px;word-spacing:0px;writing-mode:lr-tb;text-anchor:start;fill:#000000;fill-opacity:1;stroke:none;font-family:Mathematica6;-inkscape-font-specification:Mathematica6"
+       id="text3243-3">
+      <path
+         d="m -71.90625,2728.9688 c -12.124931,2.3104 -30.01544,14.1382 -53.6875,35.5 -23.09493,20.7858 -34.6563,36.953 -34.65625,48.5 -5e-5,8.0836 6.36025,21.6659 19.0625,40.7187 12.70206,18.4763 19.03115,31.4634 19.03125,38.9687 l 0,142.1563 c 31.652641,-18.1924 61.902305,-37.6182 91.8125,-57.1563 l 0,-78.0624 c -1.92e-4,-20.2075 6.924158,-38.9909 20.78125,-56.3126 14.4340293,-17.3206 31.462902,-25.9682 51.09375,-25.9687 18.475577,5e-4 40.141517,11.2643 64.96875,33.7813 10.93833,9.6667 [...]
+         transform="matrix(0.20783871,0,0,0.20783871,-842.50864,569.83086)"
+         id="path3248-3"
+         style="fill:#000000;fill-opacity:1"
+         inkscape:connector-curvature="0" />
+    </g>
+    <g
+       id="g3309-7"
+       transform="matrix(4.8114233,0,0,4.8114233,7299.4286,-2910.5648)">
+      <g
+         id="text3243-6"
+         style="font-size:180px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;text-align:start;line-height:125%;letter-spacing:0px;word-spacing:0px;writing-mode:lr-tb;text-anchor:start;fill:#000000;fill-opacity:1;stroke:none;font-family:Mathematica6;-inkscape-font-specification:Mathematica6"
+         transform="translate(-134.386,28.4963)">
+        <g
+           id="g4196">
+          <path
+             inkscape:connector-curvature="0"
+             id="path3248-67"
+             d="m -1143.3377,1127.0874 c -6.5235,2.1934 -12.6491,4.8566 -18.5171,7.8329 1.618,2.3466 2.4291,4.5613 2.4291,6.6444 l 0,60.4161 c 9.7834,-6.2067 19.7316,-12.1525 30.2341,-17.413 -0.1066,0.035 -0.2112,0.069 -0.3183,0.1039 -5.9168,1.9863 -9.8856,3.8307 -11.9183,5.5272 l 0,-33.3386 -0.1818,-25.7395 c -0.082,-1.2268 -0.6565,-2.5698 -1.7277,-4.0334 z m -52.2714,28.3635 c -6.2141,4.0592 -12.4998,8.093 -19.0757,11.8728 l 0,46.4195 c 0,3.84 -2.2239,7.1383 -6.6638,9.8983 -4.44,2.64 - [...]
+        </g>
+      </g>
+    </g>
+    <g
+       id="g4240"
+       style="fill:#000000;fill-opacity:1"
+       transform="translate(-543.953,-236.60338)">
+      <g
+         transform="matrix(4.8114233,0,0,4.8114233,6180.5245,-2828.2471)"
+         id="g3309-1"
+         style="fill:#000000;fill-opacity:1">
+        <g
+           transform="translate(-134.386,28.4963)"
+           style="font-size:180px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;text-align:start;line-height:125%;letter-spacing:0px;word-spacing:0px;writing-mode:lr-tb;text-anchor:start;fill:#000000;fill-opacity:1;stroke:none;font-family:Mathematica6;-inkscape-font-specification:Mathematica6"
+           id="text3243-1">
+          <path
+             id="path3248-9"
+             transform="matrix(0.20783871,0,0,0.20783871,-1178.0968,565.24556)"
+             d="m 1981.1562,3121.7188 c -21.5869,2.2888 -47.3741,8.362 -77.3437,18.1562 -50.5055,25.3011 -98.3564,53.87 -145.4063,83.7188 l 0,13.3124 c -3e-4,68.7073 -5.499,121.8147 -16.4687,159.3438 38.1061,-7.5058 72.4614,-30.2998 103.0625,-68.4062 l 0,-109.75 c 2.8254,-12.0331 14.7029,-24.6491 35.6875,-37.8438 25.4038,-16.5393 52.8347,-24.7808 82.2813,-24.7812 27.1358,4e-4 53.1099,6.5699 77.9374,19.75 -24.8275,5.4272 -37.2193,11.3834 -37.2187,17.8437 l 0,153.5 c -6e-4,4.6522 13.8481,1 [...]
+             style="fill:#000000;fill-opacity:1"
+             inkscape:connector-curvature="0" />
+        </g>
+      </g>
+    </g>
+  </g>
+</svg>
diff --git a/microhope/pixmaps/microhope.png b/microhope/pixmaps/microhope.png
new file mode 100644
index 0000000..bfa9804
Binary files /dev/null and b/microhope/pixmaps/microhope.png differ
diff --git a/microhope/pwm-tc0-v2.c b/microhope/pwm-tc0-v2.c
new file mode 100644
index 0000000..85e9b14
--- /dev/null
+++ b/microhope/pwm-tc0-v2.c
@@ -0,0 +1,14 @@
+#include <avr/io.h>
+
+uint8_t  csb = 1;          // Clock select bits
+uint8_t  ocrval = 256/4;   // Output Compare register vaule
+
+
+int main()
+{
+// Set TCCR0 in the Fast PWM mode
+  TCCR0 =(1 << WGM01) | (1 << WGM00) | (1 << COM01) | csb;
+  OCR0 = ocrval;
+  TCNT0 = 0;
+  DDRB |= (1 << PB3);    // Set PB3(OC0) as output
+}
diff --git a/microhope/pwm-tc0.c b/microhope/pwm-tc0.c
new file mode 100644
index 0000000..50f812b
--- /dev/null
+++ b/microhope/pwm-tc0.c
@@ -0,0 +1,11 @@
+#include "mh-timer.c"
+#include "mh-utils.c"
+
+uint8_t  csb = 1;       // Clock select bits
+uint8_t  ocrval = 50;   // Output Compare register vaule
+
+int main()
+{
+while(1) {delay_ms(100); pwm_tc0(csb, ocrval); } 
+return 0;
+}
diff --git a/microhope/pwm-tc1.c b/microhope/pwm-tc1.c
new file mode 100644
index 0000000..1f9db26
--- /dev/null
+++ b/microhope/pwm-tc1.c
@@ -0,0 +1,18 @@
+#include "mh-timer.c"
+#include "mh-adc.c"
+#include "mh-utils.c"
+
+uint8_t  csb = 1;         // 2 is divide by 8 option, 1MHz clock in
+uint16_t  ocra = 1024/3;  // around 33% duty cycle set
+
+ 
+int main()
+{
+while(1)
+    {
+    ocra = read_adc(0);    // 0 to 1023 output
+    pwm10_tc1(csb, ocra); 
+    delay_ms(200);
+    }
+return 0;
+}
diff --git a/microhope/pymicro.c b/microhope/pymicro.c
new file mode 100644
index 0000000..4c09aa6
--- /dev/null
+++ b/microhope/pymicro.c
@@ -0,0 +1,50 @@
+/* 
+Program : pymicro.c
+author  : Ajith Kumar (ajith at iuac.res.in)
+License : GNU GPL version 3 or above
+A program to read/write the microcontroller registers from Python running on PC
+*/
+
+#include <avr/io.h>
+//#include "mh-lcd.c"
+
+#define READB  1
+#define WRITEB 2
+
+
+int main (void)
+{
+uint8_t cmd, data;
+uint16_t *port;
+
+  // Initialize the RS232 communication link to the PC 38400, 8, 1, N
+  UCSRB = (1 << RXEN) | (1 << TXEN);
+  UBRRH = 0;
+  UBRRL = 12;	// At 8MHz clock (12 =>38400 baudrate)
+  UCSRC = (1 <<URSEL) | (1 << UCSZ1) | (1 << UCSZ0); // 8,1,N
+
+//lcd_init();
+
+  for(;;)				// Infinite loop waiting for commands from PC
+    {
+    while ( !(UCSRA & (1<<RXC)) ) ;		// wait for command from PC
+    cmd = UDR;							// Store the received byte 
+    if(cmd == READB)
+    	{
+    	while ( !(UCSRA & (1<<RXC)) ) ;		// wait for serial data
+		port =  UDR;						// get the port address to read		
+		UDR = *port;
+		}
+	else if(cmd == WRITEB)
+		{
+		while ( !(UCSRA & (1<<RXC)) ) ;		// wait for serial data
+		port = UDR;							// get the port address to read		
+		while ( !(UCSRA & (1<<RXC)) ) ;		// wait for serial data
+		data = UDR;
+		*port = data;						// write it to the port address
+//		lcd_clear(); lcd_put_int(port); lcd_put_char(':'); lcd_put_byte(data);
+		}
+	// Invalid commands are ignored silently
+    }
+}
+
diff --git a/microhope/pymicro.py b/microhope/pymicro.py
new file mode 100644
index 0000000..7d67ad7
--- /dev/null
+++ b/microhope/pymicro.py
@@ -0,0 +1,54 @@
+''' 
+Program : pymicro.py
+author  : Ajith Kumar (ajith at iuac.res.in)
+License : GNU GPL version 3 or above
+A program to read/write the microcontroller registers 
+'''
+
+READB  = 1   # Codes for the uC end
+WRITEB = 2        
+
+ADCL   = 0X24       # ADC data
+ADCH   = 0X25
+ADCSRA = 0X26		# ADC status/control
+ADMUX  = 0X27       # ADC channel, reference
+PIND   = 0x30       # Port D input
+DDRD   = 0x31		# Port D direction
+PORTD  = 0x32		# Port D output
+PINC   = 0x33
+DDRC   = 0x34
+PORTC  = 0x35
+PINB   = 0x36
+DDRB   = 0x37
+PORTB  = 0x38
+PINA   = 0x39
+DDRA   = 0x3A
+PORTA  = 0x3B
+OCR2   = 0X43		# Timer/Counter 2  Output Compare  Reg
+TCNT2  = 0X44		# Counter2 
+TCCR2  = 0x45		# Timer/Counter 2 control reg
+TCNT0  = 0x52		# Timer/ Counter 0
+TCCR0  = 0x53
+OCR0   = 0x5C
+
+import serial, time
+
+class atm32:
+	fd = None
+	def __init__(self):   # Establish connection to ATmega32 connected to USB port
+		self.fd = serial.Serial('/dev/ttyACM0', 38400, stopbits=1, timeout = 1.0)
+		if self.fd == None:
+			print 'Error opening ATmega32 connection'
+	
+	def outb(self,port, data):			#Output a byte to the specified port 
+		self.fd.write(chr(WRITEB))
+		self.fd.write(chr(port))
+		self.fd.write(chr(data))
+
+	def inb(self,port):					#Read a byte from the specified port 
+		self.fd.write(chr(READB))
+		self.fd.write(chr(port))
+		val = self.fd.read()
+		return ord(val)
+	
+
diff --git a/microhope/pymicro.pyc b/microhope/pymicro.pyc
new file mode 100644
index 0000000..f65e808
Binary files /dev/null and b/microhope/pymicro.pyc differ
diff --git a/microhope/r2ftime.c b/microhope/r2ftime.c
new file mode 100644
index 0000000..ab707be
--- /dev/null
+++ b/microhope/r2ftime.c
@@ -0,0 +1,19 @@
+#include "mh-utils.c"
+#include "mh-timer.c"
+#include "mh-lcd.c"
+
+ 
+int main()
+{
+lcd_init();
+
+set_sqr_tc2(500);    // Test signal on PD7
+
+while(1)
+   {
+   lcd_clear();
+   lcd_put_long(r2ftime(PB1));
+   delay_ms(100);
+   }
+return 0;
+}
diff --git a/microhope/ramp-wave.c b/microhope/ramp-wave.c
new file mode 100644
index 0000000..0e4d956
--- /dev/null
+++ b/microhope/ramp-wave.c
@@ -0,0 +1,19 @@
+#include "mh-utils.c"
+
+
+int main (void)
+  {
+  DDRB = 255;		// Data Direction Register for port B
+uint16_t k;
+
+while(1)
+	{
+              k = 0;
+              while(k < 256) PORTB = k++;
+		--k;
+              while(k >0) PORTB = k--;
+        	//for(k = 0;  k <= 255; ++k) PORTB = k;
+        //        PORTB = 0;
+        	//for(k = 255;  k >=0; --k)   PORTB = k; 
+        }
+}
diff --git a/microhope/remote-adc.c b/microhope/remote-adc.c
new file mode 100644
index 0000000..8470543
--- /dev/null
+++ b/microhope/remote-adc.c
@@ -0,0 +1,28 @@
+#include "mh-lcd.c"
+#include "mh-uart.c"
+#include "mh-adc.c"
+
+int main(void)
+{
+uint8_t chan, low, hi;
+uint16_t adcval;
+
+lcd_init();
+uart_init(38400);
+adc_enable();
+
+for(;;)
+  {
+    chan = uart_recv_byte();
+    if (chan <=7)
+        {
+        adcval = read_adc(chan);
+	lcd_clear();
+        lcd_put_int(low);
+        low = adcval & 255;
+        hi = adcval >> 8;
+        uart_send_byte(low);       // send LOW byte
+        uart_send_byte(hi);        // send HI byte
+        }
+  }
+}
diff --git a/microhope/remote-adc.py b/microhope/remote-adc.py
new file mode 100644
index 0000000..39eb085
--- /dev/null
+++ b/microhope/remote-adc.py
@@ -0,0 +1,18 @@
+import serial, time
+fd = serial.Serial('/dev/ttyUSB0', 38400, stopbits=1, timeout = 1.0)
+fd.flush()	
+time.sleep(1)
+
+while 1:
+  ch = input('Enter Channel Number to read ADC ')
+  if ch < 0 or ch > 7:
+     print 'Enter from 0 to 7 only'
+     continue       	
+  fd.write(chr(ch))	
+  try:
+    low = fd.read()
+    hi = fd.read()
+    adcval = (ord(hi) <<  8) | ord(low)   # make 16 bit word from the two bytes
+    print 'adc out = %d , %5.3f volts'%(adcval,5.0 * adcval/1023)
+  except:
+    print 'No data'
diff --git a/microhope/shaftenc.c b/microhope/shaftenc.c
new file mode 100644
index 0000000..5d90080
--- /dev/null
+++ b/microhope/shaftenc.c
@@ -0,0 +1,42 @@
+#include <avr/io.h>
+#include <avr/interrupt.h>
+#include "mh-lcd.c"
+
+
+volatile uint16_t counter = 0;
+volatile uint8_t  level = 0;
+
+ISR(INT0_vect)	// INT0
+{
+level = PIND & 8;
+
+if ( level)
+	++counter;
+else 
+	{
+	--counter;
+	}
+
+PORTB = counter >> 8;
+PORTA = counter & 255;
+lcd_clear();
+lcd_put_int(counter);
+}
+
+
+main()
+{
+DDRB = 255;
+DDRA = 255;
+PORTB = 1;
+PORTD = 6;  // enable pullup on PD2 and PD3
+lcd_init();
+
+MCUCR  = (1 << ISC01) | (1 << ISC00);
+GICR |= (1 << INT0);
+
+sei();
+while(1)
+	{
+	}
+}
diff --git a/microhope/soft-echo.c b/microhope/soft-echo.c
new file mode 100644
index 0000000..0650093
--- /dev/null
+++ b/microhope/soft-echo.c
@@ -0,0 +1,18 @@
+#include "mh-soft-uart.c"
+#include "mh-lcd.c"
+
+int main()
+{
+  uint8_t x=0;
+
+  lcd_init();
+  enable_uart(9600); // 2400,4800, 9600 & 19200 allowed
+
+  for(;;)
+	{
+	 while( !ubcount) ;  // wait for Rx data
+	x = uart_read();
+	lcd_put_char(x);
+	uart_write(x);
+	} 
+}
\ No newline at end of file
diff --git a/microhope/soft-echo.py b/microhope/soft-echo.py
new file mode 100644
index 0000000..49bce6f
--- /dev/null
+++ b/microhope/soft-echo.py
@@ -0,0 +1,8 @@
+import serial
+fd = serial.Serial('/dev/ttyACM1', 9600, stopbits=1, timeout = 1.0)
+
+
+while 1:
+  c = raw_input('Enter a character : ')
+  fd.write(c)	
+  print 'Receiced ', fd.read()
diff --git a/microhope/sqwave-tc0.c b/microhope/sqwave-tc0.c
new file mode 100644
index 0000000..4568cf4
--- /dev/null
+++ b/microhope/sqwave-tc0.c
@@ -0,0 +1,10 @@
+#include "mh-timer.c"
+
+uint8_t csb = 2;       // Clock select bits
+uint8_t ocrval = 99;   // Output Compare register vaule
+
+int main()
+{
+sqwave_tc0(csb, ocrval); 
+return 0;
+}
diff --git a/microhope/sqwave-tc1.c b/microhope/sqwave-tc1.c
new file mode 100644
index 0000000..8d6d2e7
--- /dev/null
+++ b/microhope/sqwave-tc1.c
@@ -0,0 +1,12 @@
+#include "mh-timer.c"
+
+uint8_t  csb = 2;       // 2 is divide by 8 option, 1MHz clock in
+uint16_t  ocra = 50000;  // Output Compare register A
+
+// 10Hz squarewave on OC1A will be generated
+ 
+int main()
+{
+sqwave_tc1(csb, ocra); 
+return 0;
+}
diff --git a/microhope/sqwave-tc2-v2.c b/microhope/sqwave-tc2-v2.c
new file mode 100644
index 0000000..3a74a61
--- /dev/null
+++ b/microhope/sqwave-tc2-v2.c
@@ -0,0 +1,12 @@
+#include "mh-timer.c"
+#include "mh-lcd.c"
+
+int main()
+{
+uint32_t f;
+
+lcd_init();
+f = set_sqr_tc2(1500); 
+lcd_put_long(f);
+return 0;
+}
diff --git a/microhope/src/.lst b/microhope/src/.lst
new file mode 100644
index 0000000..e69de29
diff --git a/microhope/src/.map b/microhope/src/.map
new file mode 100644
index 0000000..674de8a
--- /dev/null
+++ b/microhope/src/.map
@@ -0,0 +1,328 @@
+Archive member included because of file (symbol)
+
+/usr/lib/gcc/avr/4.5.3/avr5/libgcc.a(_exit.o)
+                              /usr/lib/gcc/avr/4.5.3/../../../avr/lib/avr5/crtm32.o (exit)
+
+Memory Configuration
+
+Name             Origin             Length             Attributes
+text             0x00000000         0x00020000         xr
+data             0x00800060         0x0000ffa0         rw !x
+eeprom           0x00810000         0x00010000         rw !x
+fuse             0x00820000         0x00000400         rw !x
+lock             0x00830000         0x00000400         rw !x
+signature        0x00840000         0x00000400         rw !x
+*default*        0x00000000         0xffffffff
+
+Linker script and memory map
+
+LOAD /usr/lib/gcc/avr/4.5.3/../../../avr/lib/avr5/crtm32.o
+LOAD /usr/lib/gcc/avr/4.5.3/avr5/libgcc.a
+LOAD /usr/lib/gcc/avr/4.5.3/../../../avr/lib/avr5/libc.a
+LOAD /usr/lib/gcc/avr/4.5.3/avr5/libgcc.a
+
+.hash
+ *(.hash)
+
+.dynsym
+ *(.dynsym)
+
+.dynstr
+ *(.dynstr)
+
+.gnu.version
+ *(.gnu.version)
+
+.gnu.version_d
+ *(.gnu.version_d)
+
+.gnu.version_r
+ *(.gnu.version_r)
+
+.rel.init
+ *(.rel.init)
+
+.rela.init
+ *(.rela.init)
+
+.rel.text
+ *(.rel.text)
+ *(.rel.text.*)
+ *(.rel.gnu.linkonce.t*)
+
+.rela.text
+ *(.rela.text)
+ *(.rela.text.*)
+ *(.rela.gnu.linkonce.t*)
+
+.rel.fini
+ *(.rel.fini)
+
+.rela.fini
+ *(.rela.fini)
+
+.rel.rodata
+ *(.rel.rodata)
+ *(.rel.rodata.*)
+ *(.rel.gnu.linkonce.r*)
+
+.rela.rodata
+ *(.rela.rodata)
+ *(.rela.rodata.*)
+ *(.rela.gnu.linkonce.r*)
+
+.rel.data
+ *(.rel.data)
+ *(.rel.data.*)
+ *(.rel.gnu.linkonce.d*)
+
+.rela.data
+ *(.rela.data)
+ *(.rela.data.*)
+ *(.rela.gnu.linkonce.d*)
+
+.rel.ctors
+ *(.rel.ctors)
+
+.rela.ctors
+ *(.rela.ctors)
+
+.rel.dtors
+ *(.rel.dtors)
+
+.rela.dtors
+ *(.rela.dtors)
+
+.rel.got
+ *(.rel.got)
+
+.rela.got
+ *(.rela.got)
+
+.rel.bss
+ *(.rel.bss)
+
+.rela.bss
+ *(.rela.bss)
+
+.rel.plt
+ *(.rel.plt)
+
+.rela.plt
+ *(.rela.plt)
+
+.text           0x00000000       0x70
+ *(.vectors)
+ .vectors       0x00000000       0x54 /usr/lib/gcc/avr/4.5.3/../../../avr/lib/avr5/crtm32.o
+                0x00000000                __vectors
+                0x00000000                __vector_default
+ *(.vectors)
+ *(.progmem.gcc*)
+ *(.progmem*)
+                0x00000054                . = ALIGN (0x2)
+                0x00000054                __trampolines_start = .
+ *(.trampolines)
+ .trampolines   0x00000054        0x0 linker stubs
+ *(.trampolines*)
+                0x00000054                __trampolines_end = .
+ *(.jumptables)
+ *(.jumptables*)
+ *(.lowtext)
+ *(.lowtext*)
+                0x00000054                __ctors_start = .
+ *(.ctors)
+                0x00000054                __ctors_end = .
+                0x00000054                __dtors_start = .
+ *(.dtors)
+                0x00000054                __dtors_end = .
+ SORT(*)(.ctors)
+ SORT(*)(.dtors)
+ *(.init0)
+ .init0         0x00000054        0x0 /usr/lib/gcc/avr/4.5.3/../../../avr/lib/avr5/crtm32.o
+                0x00000054                __init
+ *(.init0)
+ *(.init1)
+ *(.init1)
+ *(.init2)
+ .init2         0x00000054        0xc /usr/lib/gcc/avr/4.5.3/../../../avr/lib/avr5/crtm32.o
+ *(.init2)
+ *(.init3)
+ *(.init3)
+ *(.init4)
+ *(.init4)
+ *(.init5)
+ *(.init5)
+ *(.init6)
+ *(.init6)
+ *(.init7)
+ *(.init7)
+ *(.init8)
+ *(.init8)
+ *(.init9)
+ .init9         0x00000060        0x8 /usr/lib/gcc/avr/4.5.3/../../../avr/lib/avr5/crtm32.o
+ *(.init9)
+ *(.text)
+ .text          0x00000068        0x4 /usr/lib/gcc/avr/4.5.3/../../../avr/lib/avr5/crtm32.o
+                0x00000068                __vector_1
+                0x00000068                __vector_12
+                0x00000068                __bad_interrupt
+                0x00000068                __vector_6
+                0x00000068                __vector_3
+                0x00000068                __vector_11
+                0x00000068                __vector_13
+                0x00000068                __vector_17
+                0x00000068                __vector_19
+                0x00000068                __vector_7
+                0x00000068                __vector_5
+                0x00000068                __vector_4
+                0x00000068                __vector_9
+                0x00000068                __vector_2
+                0x00000068                __vector_15
+                0x00000068                __vector_8
+                0x00000068                __vector_14
+                0x00000068                __vector_10
+                0x00000068                __vector_16
+                0x00000068                __vector_18
+                0x00000068                __vector_20
+ .text          0x0000006c        0x0 /usr/lib/gcc/avr/4.5.3/avr5/libgcc.a(_exit.o)
+                0x0000006c                . = ALIGN (0x2)
+ *(.text.*)
+ .text.libgcc   0x0000006c        0x0 /usr/lib/gcc/avr/4.5.3/avr5/libgcc.a(_exit.o)
+                0x0000006c                . = ALIGN (0x2)
+ *(.fini9)
+ .fini9         0x0000006c        0x0 /usr/lib/gcc/avr/4.5.3/avr5/libgcc.a(_exit.o)
+                0x0000006c                exit
+                0x0000006c                _exit
+ *(.fini9)
+ *(.fini8)
+ *(.fini8)
+ *(.fini7)
+ *(.fini7)
+ *(.fini6)
+ *(.fini6)
+ *(.fini5)
+ *(.fini5)
+ *(.fini4)
+ *(.fini4)
+ *(.fini3)
+ *(.fini3)
+ *(.fini2)
+ *(.fini2)
+ *(.fini1)
+ *(.fini1)
+ *(.fini0)
+ .fini0         0x0000006c        0x4 /usr/lib/gcc/avr/4.5.3/avr5/libgcc.a(_exit.o)
+ *(.fini0)
+                0x00000070                _etext = .
+
+.data           0x00800060        0x0 load address 0x00000070
+                0x00800060                PROVIDE (__data_start, .)
+ *(.data)
+ .data          0x00800060        0x0 /usr/lib/gcc/avr/4.5.3/../../../avr/lib/avr5/crtm32.o
+ .data          0x00800060        0x0 /usr/lib/gcc/avr/4.5.3/avr5/libgcc.a(_exit.o)
+ *(.data*)
+ *(.rodata)
+ *(.rodata*)
+ *(.gnu.linkonce.d*)
+                0x00800060                . = ALIGN (0x2)
+                0x00800060                _edata = .
+                0x00800060                PROVIDE (__data_end, .)
+
+.bss            0x00800060        0x0
+                0x00800060                PROVIDE (__bss_start, .)
+ *(.bss)
+ .bss           0x00800060        0x0 /usr/lib/gcc/avr/4.5.3/../../../avr/lib/avr5/crtm32.o
+ .bss           0x00800060        0x0 /usr/lib/gcc/avr/4.5.3/avr5/libgcc.a(_exit.o)
+ *(.bss*)
+ *(COMMON)
+                0x00800060                PROVIDE (__bss_end, .)
+                0x00000070                __data_load_start = LOADADDR (.data)
+                0x00000070                __data_load_end = (__data_load_start + SIZEOF (.data))
+
+.noinit         0x00800060        0x0
+                0x00800060                PROVIDE (__noinit_start, .)
+ *(.noinit*)
+                0x00800060                PROVIDE (__noinit_end, .)
+                0x00800060                _end = .
+                0x00800060                PROVIDE (__heap_start, .)
+
+.eeprom         0x00810000        0x0
+ *(.eeprom*)
+                0x00810000                __eeprom_end = .
+
+.fuse
+ *(.fuse)
+ *(.lfuse)
+ *(.hfuse)
+ *(.efuse)
+
+.lock
+ *(.lock*)
+
+.signature
+ *(.signature*)
+
+.stab           0x00000000      0x6cc
+ *(.stab)
+ .stab          0x00000000      0x6cc /usr/lib/gcc/avr/4.5.3/../../../avr/lib/avr5/crtm32.o
+
+.stabstr        0x00000000       0x54
+ *(.stabstr)
+ .stabstr       0x00000000       0x54 /usr/lib/gcc/avr/4.5.3/../../../avr/lib/avr5/crtm32.o
+
+.stab.excl
+ *(.stab.excl)
+
+.stab.exclstr
+ *(.stab.exclstr)
+
+.stab.index
+ *(.stab.index)
+
+.stab.indexstr
+ *(.stab.indexstr)
+
+.comment
+ *(.comment)
+
+.debug
+ *(.debug)
+
+.line
+ *(.line)
+
+.debug_srcinfo
+ *(.debug_srcinfo)
+
+.debug_sfnames
+ *(.debug_sfnames)
+
+.debug_aranges
+ *(.debug_aranges)
+
+.debug_pubnames
+ *(.debug_pubnames)
+
+.debug_info
+ *(.debug_info)
+ *(.gnu.linkonce.wi.*)
+
+.debug_abbrev
+ *(.debug_abbrev)
+
+.debug_line
+ *(.debug_line)
+
+.debug_frame
+ *(.debug_frame)
+
+.debug_str
+ *(.debug_str)
+
+.debug_loc
+ *(.debug_loc)
+
+.debug_macinfo
+ *(.debug_macinfo)
+OUTPUT(.c elf32-avr)
+LOAD linker stubs
diff --git a/microhope/src/microhope/adc-loop.c b/microhope/src/microhope/adc-loop.c
new file mode 100644
index 0000000..54e5ec7
--- /dev/null
+++ b/microhope/src/microhope/adc-loop.c
@@ -0,0 +1,20 @@
+// Reads ADC channel 0 and diplays the result on the LCD
+
+#include "mh-lcd.c"
+#include "mh-adc.c"
+#include "mh-utils.c"
+
+main()
+{
+uint16_t data;
+
+lcd_init();
+adc_enable();
+while(1)
+    {
+    data = read_adc(0);   // Read voltage at PA0
+    lcd_clear();
+    lcd_put_int(data);
+    delay_ms(500);
+    }
+}
diff --git a/microhope/src/microhope/adc-v2.c b/microhope/src/microhope/adc-v2.c
new file mode 100644
index 0000000..cba36cf
--- /dev/null
+++ b/microhope/src/microhope/adc-v2.c
@@ -0,0 +1,18 @@
+#include <avr/io.h>
+#include "mh-lcd.c"
+
+// convert channel 0, set pre-scaler to 7
+main()
+{
+uint16_t data;
+lcd_init();
+
+ADCSRA = (1 << ADEN) |  7;   // Enable ADC, set clock pre-scaler
+ADMUX =  (1 << REFS0);			     // AVCC reference, channel 0
+
+ADCSRA |=  (1 <<ADSC);             // Start ADC
+while ( !(ADCSRA & (1<<ADIF)) ) ;	 // wait for ADC conversion
+
+data = (ADCH << 8) | ADCL;    // 10 bit data from ADCL and ADCH
+lcd_put_int(data);
+}
diff --git a/microhope/src/microhope/adc-v3.c b/microhope/src/microhope/adc-v3.c
new file mode 100644
index 0000000..248b6ad
--- /dev/null
+++ b/microhope/src/microhope/adc-v3.c
@@ -0,0 +1,28 @@
+// Reads ADC channel 0 and diplays the result on the LCD
+
+#include "mh-lcd.c"
+#include "mh-adc.c"
+
+disp_mv_as_v(uint16_t mv)
+{
+uint16_t j, k = mv % 1000;
+j = mv/1000;
+lcd_put_int(j);
+lcd_put_char('.');
+lcd_put_int(k);
+}
+
+main()
+{
+uint16_t data, k;
+double v;
+char  ss[10];
+
+lcd_init();
+adc_enable();
+data = read_adc(0);
+data = 300;          // this is for testing only, 1.466 volt
+v = 5.0 * data/1023;
+k = (int) (v*1000);
+disp_mv_as_v(k);
+}
diff --git a/microhope/src/microhope/adc.c b/microhope/src/microhope/adc.c
new file mode 100644
index 0000000..4f5b1ca
--- /dev/null
+++ b/microhope/src/microhope/adc.c
@@ -0,0 +1,14 @@
+// Reads ADC channel 0 and diplays the result on the LCD
+
+#include "mh-lcd.c"
+#include "mh-adc.c"
+
+main()
+{
+uint16_t data;
+
+lcd_init();
+adc_enable();
+data = read_adc(0);
+lcd_put_int(data);
+}
diff --git a/microhope/src/microhope/asm/add.S b/microhope/src/microhope/asm/add.S
new file mode 100644
index 0000000..5c872e7
--- /dev/null
+++ b/microhope/src/microhope/asm/add.S
@@ -0,0 +1,16 @@
+#include <avr/io.h>
+
+        .section .text
+        .global main
+main:
+	ldi     r16, 255
+        ;out     _SFR_IO_ADDR(DDRB), r16
+        out     0x17, r16
+
+        ldi		r17, 0x0e
+        ldi		r18, 0xe0
+	add	r18, r17
+	out	0x18, r18
+fin:
+	jmp fin
+        .end
diff --git a/microhope/src/microhope/asm/sine-att85.S b/microhope/src/microhope/asm/sine-att85.S
new file mode 100644
index 0000000..6bd6c39
--- /dev/null
+++ b/microhope/src/microhope/asm/sine-att85.S
@@ -0,0 +1,72 @@
+/*
+Sinewave generator using ATtiny85. Squarewave input on pin2(PB3), output on pin3 (PB4). Every level change on pin2
+triggers the interrupt and the PWM value is changed by sending the next value from the sine table to OCRB1.
+Frequency of sinewave is input frequency divided by 32, sinetable has 64 values.
+avrdude  -c dapa -p t85 -U lfuse:w:0x61:m   , to set the fuse for 64MHz Timer/Counter clock
+*/
+
+#include <avr/io.h>
+#include <avr/interrupt.h>
+
+    .section .data
+    .global stab
+
+stab:	; sine table
+	.byte 64 , 70 , 75 , 81 , 86 , 91 , 96 , 101 , 105 , 109 , 112 , 115 , 117 , 119 , 120 , 121 , 122 , 121 , 120 , 119 , 117 , 115 , 112 , 109 , 105 , 101 , 96 , 91 , 86 , 81 , 75 , 70 , 64 , 58 , 53 , 47 , 42 , 37 , 32 , 27 , 23 , 19 , 16 , 13 , 11 , 9 , 8 , 7 , 6 , 7 , 8 , 9 , 11 , 13 , 16 , 19 , 23 , 27 , 32 , 37 , 42 , 47 , 53 , 58
+
+indx:
+	.byte 0
+
+    .section .text
+    .global __do_copy_data
+	.global __do_clear_bss
+
+
+	.global PCINT0_vect
+PCINT0_vect:
+	ld  r24, X+							; get current value from the sine table, increment pointer
+    out     _SFR_IO_ADDR(OCR1B), r24	; write it to OCR1B
+	inc	r22								; increment r22
+	CPSE	r20,r22						; is it 64 ?
+	reti								; if not return, else skip reti
+	clr	r22								; set it bck to 0
+	subi	r26,64						; set X to beginning of table
+	reti
+
+        .global main
+main:
+	sbi     _SFR_IO_ADDR(DDRB), 4
+
+	ldi     r24, (1 << PCKE)
+	out     _SFR_IO_ADDR(PLLCSR), r24
+
+	ldi		r24, 128;
+    out     _SFR_IO_ADDR(OCR1C), r24
+
+	ldi		r24, 50;
+    out     _SFR_IO_ADDR(OCR1B), r24
+
+	ldi		r24, (1 << CS10)
+    out     _SFR_IO_ADDR(TCCR1), r24
+
+    ldi	r24, (1 << PWM1B) | (1 << COM1B1);
+    out     _SFR_IO_ADDR(GTCCR), r24
+
+	ldi	r24, (1 << PCIE)			; Enable Pin Change Interrupts
+    out     _SFR_IO_ADDR(GIMSK), r24
+
+	ldi	r24, (1 << PCINT3)		; Mask to select interrupt on PB3
+    out     _SFR_IO_ADDR(PCMSK), r24
+
+	ldi		XL, lo8(stab)      ; point X to the sine table
+	ldi		XH, hi8(stab)
+	clr		r22					; clear r22
+	ldi		r20,64
+
+	sei
+fin:
+	rjmp fin
+
+    .end
+
+
diff --git a/microhope/src/microhope/asm/sine-att85.lst b/microhope/src/microhope/asm/sine-att85.lst
new file mode 100644
index 0000000..8d6d1c8
--- /dev/null
+++ b/microhope/src/microhope/asm/sine-att85.lst
@@ -0,0 +1,118 @@
+
+sine-att85:     file format elf32-avr
+
+
+Disassembly of section .text:
+
+00000000 <__vectors>:
+   0:	0e c0       	rjmp	.+28     	; 0x1e <__ctors_end>
+   2:	28 c0       	rjmp	.+80     	; 0x54 <__bad_interrupt>
+   4:	28 c0       	rjmp	.+80     	; 0x56 <__vector_2>
+   6:	26 c0       	rjmp	.+76     	; 0x54 <__bad_interrupt>
+   8:	25 c0       	rjmp	.+74     	; 0x54 <__bad_interrupt>
+   a:	24 c0       	rjmp	.+72     	; 0x54 <__bad_interrupt>
+   c:	23 c0       	rjmp	.+70     	; 0x54 <__bad_interrupt>
+   e:	22 c0       	rjmp	.+68     	; 0x54 <__bad_interrupt>
+  10:	21 c0       	rjmp	.+66     	; 0x54 <__bad_interrupt>
+  12:	20 c0       	rjmp	.+64     	; 0x54 <__bad_interrupt>
+  14:	1f c0       	rjmp	.+62     	; 0x54 <__bad_interrupt>
+  16:	1e c0       	rjmp	.+60     	; 0x54 <__bad_interrupt>
+  18:	1d c0       	rjmp	.+58     	; 0x54 <__bad_interrupt>
+  1a:	1c c0       	rjmp	.+56     	; 0x54 <__bad_interrupt>
+  1c:	1b c0       	rjmp	.+54     	; 0x54 <__bad_interrupt>
+
+0000001e <__ctors_end>:
+  1e:	11 24       	eor	r1, r1
+  20:	1f be       	out	0x3f, r1	; 63
+  22:	cf e5       	ldi	r28, 0x5F	; 95
+  24:	d2 e0       	ldi	r29, 0x02	; 2
+  26:	de bf       	out	0x3e, r29	; 62
+  28:	cd bf       	out	0x3d, r28	; 61
+
+0000002a <__do_copy_data>:
+  2a:	10 e0       	ldi	r17, 0x00	; 0
+  2c:	a0 e6       	ldi	r26, 0x60	; 96
+  2e:	b0 e0       	ldi	r27, 0x00	; 0
+  30:	ea eb       	ldi	r30, 0xBA	; 186
+  32:	f0 e0       	ldi	r31, 0x00	; 0
+  34:	02 c0       	rjmp	.+4      	; 0x3a <__CCP__+0x6>
+  36:	05 90       	lpm	r0, Z+
+  38:	0d 92       	st	X+, r0
+  3a:	a0 3c       	cpi	r26, 0xC0	; 192
+  3c:	b1 07       	cpc	r27, r17
+  3e:	d9 f7       	brne	.-10     	; 0x36 <__CCP__+0x2>
+
+00000040 <__do_clear_bss>:
+  40:	10 e0       	ldi	r17, 0x00	; 0
+  42:	a0 ec       	ldi	r26, 0xC0	; 192
+  44:	b0 e0       	ldi	r27, 0x00	; 0
+  46:	01 c0       	rjmp	.+2      	; 0x4a <.do_clear_bss_start>
+
+00000048 <.do_clear_bss_loop>:
+  48:	1d 92       	st	X+, r1
+
+0000004a <.do_clear_bss_start>:
+  4a:	a1 3c       	cpi	r26, 0xC1	; 193
+  4c:	b1 07       	cpc	r27, r17
+  4e:	e1 f7       	brne	.-8      	; 0x48 <.do_clear_bss_loop>
+  50:	21 d0       	rcall	.+66     	; 0x94 <main>
+  52:	31 c0       	rjmp	.+98     	; 0xb6 <_exit>
+
+00000054 <__bad_interrupt>:
+  54:	d5 cf       	rjmp	.-86     	; 0x0 <__vectors>
+
+00000056 <__vector_2>:
+  56:	1f 92       	push	r1
+  58:	0f 92       	push	r0
+  5a:	0f b6       	in	r0, 0x3f	; 63
+  5c:	0f 92       	push	r0
+  5e:	11 24       	eor	r1, r1
+  60:	8f 93       	push	r24
+  62:	9f 93       	push	r25
+  64:	ef 93       	push	r30
+  66:	ff 93       	push	r31
+  68:	80 91 c0 00 	lds	r24, 0x00C0
+  6c:	e8 2f       	mov	r30, r24
+  6e:	f0 e0       	ldi	r31, 0x00	; 0
+  70:	ef 71       	andi	r30, 0x1F	; 31
+  72:	f0 70       	andi	r31, 0x00	; 0
+  74:	e0 56       	subi	r30, 0x60	; 96
+  76:	ff 4f       	sbci	r31, 0xFF	; 255
+  78:	90 81       	ld	r25, Z
+  7a:	9b bd       	out	0x2b, r25	; 43
+  7c:	8f 5f       	subi	r24, 0xFF	; 255
+  7e:	80 93 c0 00 	sts	0x00C0, r24
+  82:	ff 91       	pop	r31
+  84:	ef 91       	pop	r30
+  86:	9f 91       	pop	r25
+  88:	8f 91       	pop	r24
+  8a:	0f 90       	pop	r0
+  8c:	0f be       	out	0x3f, r0	; 63
+  8e:	0f 90       	pop	r0
+  90:	1f 90       	pop	r1
+  92:	18 95       	reti
+
+00000094 <main>:
+  94:	bc 9a       	sbi	0x17, 4	; 23
+  96:	84 e0       	ldi	r24, 0x04	; 4
+  98:	87 bd       	out	0x27, r24	; 39
+  9a:	80 e8       	ldi	r24, 0x80	; 128
+  9c:	8d bd       	out	0x2d, r24	; 45
+  9e:	81 e0       	ldi	r24, 0x01	; 1
+  a0:	80 bf       	out	0x30, r24	; 48
+  a2:	80 e6       	ldi	r24, 0x60	; 96
+  a4:	8c bd       	out	0x2c, r24	; 44
+  a6:	86 e4       	ldi	r24, 0x46	; 70
+  a8:	8b bd       	out	0x2b, r24	; 43
+  aa:	8b b7       	in	r24, 0x3b	; 59
+  ac:	80 62       	ori	r24, 0x20	; 32
+  ae:	8b bf       	out	0x3b, r24	; 59
+  b0:	ab 9a       	sbi	0x15, 3	; 21
+  b2:	78 94       	sei
+  b4:	ff cf       	rjmp	.-2      	; 0xb4 <main+0x20>
+
+000000b6 <_exit>:
+  b6:	f8 94       	cli
+
+000000b8 <__stop_program>:
+  b8:	ff cf       	rjmp	.-2      	; 0xb8 <__stop_program>
diff --git a/microhope/src/microhope/blink.c b/microhope/src/microhope/blink.c
new file mode 100644
index 0000000..9cb2dda
--- /dev/null
+++ b/microhope/src/microhope/blink.c
@@ -0,0 +1,15 @@
+#include "mh-utils.c"
+
+
+int main (void)
+  {
+  DDRB = 1;		// Data Direction Register for port B
+
+  for(;;)
+    {
+    PORTB = 1;
+    delay_ms(100);
+    PORTB = 0;
+    delay_ms(100);
+  }
+}
diff --git a/microhope/src/microhope/blink.py b/microhope/src/microhope/blink.py
new file mode 100644
index 0000000..79a1a4e
--- /dev/null
+++ b/microhope/src/microhope/blink.py
@@ -0,0 +1,10 @@
+import time
+from pymicro import *
+u=atm32()
+
+while 1:
+	u.outb(PORTB, 1)
+	time.sleep(0.5)
+	u.outb(PORTB, 0)
+	time.sleep(0.5)
+
diff --git a/microhope/src/microhope/compile-mega32.sh b/microhope/src/microhope/compile-mega32.sh
new file mode 100644
index 0000000..e61b083
--- /dev/null
+++ b/microhope/src/microhope/compile-mega32.sh
@@ -0,0 +1,5 @@
+# Compile for ATmega32, generate .hex, .map and .lst files
+avr-gcc  -Wall -O2 -mmcu=atmega32 -Wl,-Map,$1.map -o $1 $1.c
+avr-objcopy -j .text -j .data -O ihex $1 $1.hex
+avr-objdump -S $1 > $1.lst
+
diff --git a/microhope/src/microhope/copy.c b/microhope/src/microhope/copy.c
new file mode 100644
index 0000000..f4cca2d
--- /dev/null
+++ b/microhope/src/microhope/copy.c
@@ -0,0 +1,11 @@
+#include <avr/io.h>
+
+int main (void)
+  {
+  DDRA = 0;		// Data Direction Register
+  PORTA = 1;		// Enable pullup on PORTA, bit 0
+  DDRB = 1;
+
+  for(;;)
+    PORTB = PINA;
+}
diff --git a/microhope/src/microhope/copy2.c b/microhope/src/microhope/copy2.c
new file mode 100644
index 0000000..47bfaf5
--- /dev/null
+++ b/microhope/src/microhope/copy2.c
@@ -0,0 +1,18 @@
+#include "mh-digital.c"
+
+int main (void)
+  {
+  uint8_t  val;
+  DDRA = 0;		// PORTA as Input
+  PORTA = 1;		// Enable pullup PA0
+  DDRB = 1;		// configure PB0 as output
+
+  for(;;)
+     {
+     val = GETBIT(PINA, 0);
+     if (val != 0)
+	    PORTB = 1; //SETBIT(PORTB, 0);
+     else
+	    PORTB = 0; //CLRBIT(PORTB, 0);
+     }
+}
diff --git a/microhope/src/microhope/copy3.c b/microhope/src/microhope/copy3.c
new file mode 100644
index 0000000..e801659
--- /dev/null
+++ b/microhope/src/microhope/copy3.c
@@ -0,0 +1,14 @@
+#include <avr/io.h>   // Include file for I/O operations
+
+int main (void)
+{
+DDRA = 0;             // Port A as Input
+PORTA = 1;          // Enable pullup on PA0
+DDRB = 1;             // Configure PB0 as output
+
+for(;;)
+   if(PINA & 1)        // If PA0 is set
+       PORTB |= 1;     // Set PB0, by ORing with 00000001b
+   else                // otherwise clear PB0
+       PORTB &= ~1;    // by ANDing with 11111110b (~00000001b)
+}
diff --git a/microhope/src/microhope/cro.c b/microhope/src/microhope/cro.c
new file mode 100644
index 0000000..ffe2ca4
--- /dev/null
+++ b/microhope/src/microhope/cro.c
@@ -0,0 +1,68 @@
+/*
+Program : cro.c
+author  : Ajith Kumar (ajith at iuac.res.in)
+License : GNU GPL version 3 or above
+Receives a 1 byte command, number of samples (NS, 2 bytes) Time gap (2 bytes) from the PC.
+Reads ADC channel 0 NS times, returns a 'D' followed by NS bytes of data
+No error checking implemented.
+*/
+
+#include <avr/io.h>
+
+#define	READBLOCK	 1	//  code for readblock is 1
+#define BUFSIZE		1800	// ATmega32 with 2K RAM
+
+uint8_t		tmp8, dbuffer[BUFSIZE+1];	   // 1 status byte
+uint16_t	tmp16, buf_index, nsamples, timegap;
+
+
+void processcommand()
+{
+   TCCR1B = (1 << CS11);	// Timer Counter1 in Normal mode, 8 MHz/8, 1 usec per count
+   nsamples = dbuffer[1] | (dbuffer[2] << 8);
+   timegap  = dbuffer[3] | (dbuffer[4] << 8);
+   ADMUX = (1 << REFS0) |(1 << ADLAR) | 0; 		// 8 bit mode, AVCC as reference, channel 0
+   ADCSRA |= ADIF;						    	// reset ADC DONE flag
+   buf_index = 0;
+   for(tmp16 = 0; tmp16 < nsamples; ++tmp16)         			// Digitize nsamples times
+        {
+	    TCNT1 = 1;
+        ADCSRA |= (1 << ADSC) | 1;          // Start AD conversion, ADC clock divider is 1
+        while ( !(ADCSRA & (1<<ADIF)) ) ;	// wait for conversion to complete
+        dbuffer[tmp16] = ADCH;				// Collect Data and store it
+        ADCSRA |= ADIF;						// reset ADC DONE flag
+	    while(TCNT1L < timegap) ;			// Wait on counter for the specified time gap
+        }
+// Why we are NOT sending data inside the above loop. Think about it.
+
+    while( !(UCSRA & (1 <<UDRE) ) );         // Wait for transmit buffer empty flag
+    UDR = 'D';								 // Send the response byte in all cases
+    for(tmp16=0; tmp16 < nsamples; ++tmp16)	 // Send the collected data to the PC
+    	{
+    	while( !(UCSRA & (1 <<UDRE) ) );
+    	UDR = dbuffer[tmp16];
+		}
+}
+
+int main (void)
+{
+  // Initialize the RS232 communication link to the PC 38400, 8, 1, N
+  UCSRB = (1 << RXEN) | (1 << TXEN);
+  UBRRH = 0;
+  UBRRL = 12;	// At 8MHz clock (12 =>38400 baudrate)
+  UCSRC = (1 <<URSEL) | (1 << UCSZ1) | (1 << UCSZ0); // 8,1,N
+
+  ADCSRA = (1 << ADEN);		// Enable the ADC
+
+  buf_index = 0;
+  for(;;)				// Infinite loop waiting for commands from PC
+    {
+    while ( !(UCSRA & (1<<RXC)) ) ;		// wait for data from PC
+    dbuffer[buf_index++] = UDR;			// Store the received byte
+    if(buf_index > 4)					// Start Processing after receiving required arguments
+      {
+      if(dbuffer[0] == READBLOCK) processcommand();
+      buf_index = 0;
+      }
+    }
+}
diff --git a/microhope/src/microhope/cro.py b/microhope/src/microhope/cro.py
new file mode 100644
index 0000000..05b04a3
--- /dev/null
+++ b/microhope/src/microhope/cro.py
@@ -0,0 +1,45 @@
+import serial, struct, time
+import numpy as np
+import matplotlib.pyplot as plt
+
+READBLOCK = 1         # 1 represents readblock command for the uC
+fd = serial.Serial('/dev/ttyUSB0', 38400, stopbits=1, timeout = 1.0)
+fd.flush()
+
+def readblock(np,tg):		# Sends the command, NP, TG, receives data and returns it in 2 lists
+	fd.write(chr(READBLOCK))
+	fd.write(chr(np&255))
+	fd.write(chr(np>>8))
+	fd.write(chr(tg&255))
+	fd.write(chr(tg>>8))
+	fd.read()
+	data = fd.read(np)
+	raw = struct.unpack('B'* np, data)  # 8 bit data in byte array
+	ta = []
+	va = []
+	for i in range(np):
+		ta.append(0.001 * i * tg)	# convert time from microseconds to milliseconds
+		va.append(raw[i] * 5.0 / 255)
+	return ta, va
+
+NP = 1000
+TG = 50
+
+fig=plt.figure()
+plt.axis([0, NP*TG/1000, 0, 5])
+
+plt.ion()
+plt.show()
+
+ta,va = readblock(NP,TG)
+line, = plt.plot(ta,va)
+print ta[-1]
+while 1:
+	ta,va = readblock(NP,TG)
+	line.set_xdata(ta)
+	line.set_ydata(va)
+	plt.draw()
+	time.sleep(0.05)
+
+
+
diff --git a/microhope/src/microhope/echo-acm.py b/microhope/src/microhope/echo-acm.py
new file mode 100644
index 0000000..6558b5c
--- /dev/null
+++ b/microhope/src/microhope/echo-acm.py
@@ -0,0 +1,8 @@
+import serial
+fd = serial.Serial('/dev/ttyACM0', 38400, stopbits=1, timeout = 1.0)
+
+
+while 1:
+  c = raw_input('Enter a character : ')
+  fd.write(c)
+  print 'Receiced ', fd.read()
diff --git a/microhope/src/microhope/echo-v2.c b/microhope/src/microhope/echo-v2.c
new file mode 100644
index 0000000..6308cd2
--- /dev/null
+++ b/microhope/src/microhope/echo-v2.c
@@ -0,0 +1,22 @@
+#include "mh-lcd.c"
+
+int main(void)
+{
+  uint8_t data;
+
+  lcd_init();
+  // Set UART to 38400 baud, 8 databits , 1 stopbit, No parity
+  UCSRB = (1 << RXEN) | (1 << TXEN);
+  UBRRH = 0;
+  UBRRL = 12;	// At 8MHz (12 =>38400) (25 => 19200)
+  UCSRC = (1<<URSEL) | (1<<UCSZ1) | (1<< UCSZ0); // 8,1,N
+
+  for(;;)
+     {
+     while ( !(UCSRA & (1<<RXC)) );  //wait on the receiver
+     data = UDR;                     // read a byte
+     lcd_put_char(data);
+     while ( !(UCSRA & (1<<UDRE)) ); // wait on Data Reg Empty flag
+     UDR = data;
+  }
+}
diff --git a/microhope/src/microhope/echo.c b/microhope/src/microhope/echo.c
new file mode 100644
index 0000000..e028c77
--- /dev/null
+++ b/microhope/src/microhope/echo.c
@@ -0,0 +1,17 @@
+#include "mh-lcd.c"
+#include "mh-uart.c"
+
+int main(void)
+{
+uint8_t data;
+
+lcd_init();
+uart_init(38400);
+
+for(;;)
+  {
+    data = uart_recv_byte();
+    lcd_put_char(data);
+    uart_send_byte(data);
+  }
+}
diff --git a/microhope/src/microhope/echo.py b/microhope/src/microhope/echo.py
new file mode 100644
index 0000000..7db8650
--- /dev/null
+++ b/microhope/src/microhope/echo.py
@@ -0,0 +1,8 @@
+import serial
+fd = serial.Serial('/dev/ttyUSB0', 38400, stopbits=1, timeout = 1.0)
+
+
+while 1:
+  c = raw_input('Enter a character : ')
+  fd.write(c)
+  print 'Receiced ', fd.read()
diff --git a/microhope/src/microhope/eep-test.c b/microhope/src/microhope/eep-test.c
new file mode 100644
index 0000000..562bb3f
--- /dev/null
+++ b/microhope/src/microhope/eep-test.c
@@ -0,0 +1,18 @@
+#include "mh-lcd.c"
+#include <avr/eeprom.h>
+
+int main()
+{
+uint8_t x, i, *p;
+
+p = 10;   // selected EEPROM location
+
+lcd_init();
+for(i = 0; i < 5; ++i) eeprom_write_byte (p+i, i*2);   // write to eeprom
+for(i = 0; i < 5; ++i)
+	{
+	x = eeprom_read_byte (p+i);    // read from eeprom
+	lcd_put_int(x);
+	lcd_put_char(' ');
+	}
+}
diff --git a/microhope/src/microhope/eyes.c b/microhope/src/microhope/eyes.c
new file mode 100644
index 0000000..87bdfa1
--- /dev/null
+++ b/microhope/src/microhope/eyes.c
@@ -0,0 +1,1650 @@
+/*
+EYES for Young Engineers & Scientists (EYES  1.0)
+Program : eyes.c, running on AtMega32 micro-controller
+Listens on the RS232 port for commands fom the PC, by eyes.py, and acts accordingly.
+Author  : Ajith Kumar B.P, ( bpajith at gmail.com )
+License : GNU GPL version 3
+First Edit on 1-Sep-2010
+Last Edit 23-Dec-2010 : added CAPTURE_M32
+Last Edit 27-Jan-2011 : added ECHO
+Last Edit 13-Oct-2011 : added IRSEND
+*/
+
+#include <avr/io.h>
+#include <avr/pgmspace.h>
+#include <avr/eeprom.h>
+
+#define	GROUPSIZE	40	// Up to 40 commands in each group
+
+// commands without any arguments (1 to 40)
+#define GETVERSION	1	// Get the Eyes firmware version
+#define DIGIN		2	// Digital Input (4 bits)
+#define USOUND		3	// Send a pulse on OD1 and look for echo on ID2
+
+// Commands with One byte argument (41 to 80)
+#define SETSAMTIME	41	// MCP3208 sampling duration
+#define SETADCSIZE	42	// ADC data size (1 or 2)
+#define READADC		43	// Read the specified ADC channel
+#define R2FTIME		44	// Rise to Fall of signal on input pins
+#define R2RTIME		45	// Rise to Fall of signal on input pins
+#define F2RTIME		46	// Fall to Rise of signal on input pins
+#define F2FTIME		47	// Fall to Rise of signal on input pins
+#define SET2RTIME	48	// Setting of bit to rising edge
+#define SET2FTIME	49	// to falling time
+#define CLR2RTIME	50	// Setting of bit to rising edge
+#define CLR2FTIME	51	// to falling time
+#define PULSE2RTIME	52	// Pulse to rising edge
+#define PULSE2FTIME	53	// Pulse to rising edge
+#define SETPULSEWID	54	// width for PULSE2 functions (0 to 250)
+#define SETPULSEPOL	55	// PULSE polarity (0 for HIGH true)
+#define	DIGOUT 		56	// Digital output (4 bits)
+#define ADC2CMP		57	// Route ADC input to ACOMP-
+#define SETPWM		58	// Set 488 Hz PWM wave on TC0
+#define SETPWMDAC	59	// Set 31.25 kHz PWM wave on TC0
+#define GETPORT		60	// PINX data from port X
+#define IRSEND		61  // IR transmission using SQR1 output
+
+// Commands with Two bytes argument (81 to 120)
+#define	SETPWM0		81	// PWM on on OSC0
+#define	SETCOUNTER0	82	// Square wave on OSC2
+#define	SETCOUNTER2	83	// Square wave on OSC2
+#define	SETACTION	84	// Capture Actions of SET/CLR/PULSE & WAIT type
+#define MULTIR2R	85	// Rising edge to a rising edge after N cycles
+#define ADCTRIGS	86	// Trigger levels for read_block functions
+#define SETWAVEFORM	87	// ISR Wavegen. OCR0 and which DAC from the caller
+#define PULSE_D0D1	88	// Interrupt driven square wave on D0 and D1
+#define SETDDR		90	// DDRX = dirmask (arg1 = X, arg2 = mask)
+#define SETPORT		91	// PORTX = DATA (arg1 = X, arg2 = DATA)
+
+// Commands with Three bytes argument (121 to 160)
+#define SETDAC		121	// Serial DAC: send ch, dlo & dhi
+#define	QCAPTURE01	122	// 2 bytes N, 1 byte dt. captures channel 0 and 1
+#define WREEPROM	123	// Write EEPROM , 2 byte addr & 1 byte data
+#define RDEEPROM	124	// Read EEPROM , 2 byte addr , 1 byte number of bytes
+
+// Commands with Four bytes argument (161 to 200)
+#define	CAPTURE01	161	// 2 bytes N, 2 bytes dt. Capture channel 0 and 1
+#define	QCAPTURE	162	// Ch, 2 byte N, 1 byte dt.
+
+// Commands with Five bytes argument (201 to 220)
+#define	CAPTURE		201		// Ch, 2 byte N, 2 byte dt. Capture single channel MCP3208
+#define CAPTURE_M32	202     // Ch, 2 byte N, 2 byte dt. Capture from, uC internal ADC
+
+// Reply from ATmega8 to the PC
+#define DONE		'D'	// Command executed successfully
+#define	INVCMD		'C'	// Invalid Command
+#define INVARG		'A'	// Invalid input data
+#define INVBUFSIZE	'B'	// Resulting data exceeds buffersize
+#define TIMEOUT		'T'	// Time measurement timed out
+
+#define TRUE	1
+#define FALSE	0
+#define TIMERSIZE	50000	// count for 50 ms before clearing
+#define TIMEOUTVAL	40		// 50 ms x 40 = 2 seconds
+#define MAXTG		1000	// Maximum timegap for CAPTURE, usec
+#define MAXTGQ		100		// Same for qcapture
+#define MINTG		20		// Minimum timegap for CAPTURE, usec
+#define MINTGQ		10		// Same for qcapture
+#define	DEADTIME	5		// for PULSE2 calls
+
+typedef uint16_t  u16;
+typedef uint8_t  u8, *u8ptr;
+typedef u8 boolean;
+
+const char version[] PROGMEM = "ey1.0";
+
+
+u16 tmp16;					// Gloabal temporary variable
+
+#define	BUFSIZE		1800		// 1800 for atmega32
+//----------------------- Global variables -----------------------------
+u8 	dbuffer[2 + BUFSIZE];	// status + adc_size info + Databytes
+u16 buf_index;
+u8  adc_size = 1;
+u8	sampling_time = 200;
+u8	HTM;					// third byte of timer
+u8 	pulse_width = 13;		// Used by PULSE2*time functions
+u8	pulse_pol = 0;
+u8	action;					// SET/CLR/PULSE and WAIT actions
+u8	actionmask;				// Digital I/O bits for action
+u8	triglo = 125;			// Trigger around the ADC mid range
+u8	trighi = 131;
+
+
+// -------------------------- Serial ADC & DAC -------------------------
+#define   SPICTL	PORTD			// CK=PD2, ADCS = PD3, DACS = PD4
+#define   CLK 		(1 << PD2)
+#define   ADCS 		(1 << PD3)
+#define   DACS 		(1 << PD4)
+#define	  ADCKLO	DACS			// ADCS and CLK low
+#define	  ADCKHI	DACS+CLK		// ADCS low and Clock hi
+#define   CSHI		DACS+ADCS+CLK	// Both CS and Clock hi
+#define	  DACKLO	ADCS			// DACS and CLK low
+#define	  DACKHI	ADCS+CLK		// DACS low and Clock hi
+#define   SPIWR		PORTB
+#define   SPIRD	    PINB
+#define	  OUTHI		2+1				// PB1 HI and pullup of PB0
+#define	  OUTLO		1				// PB1 LO pullup of PB0
+
+u8  hi;		// hi MUST be global to meet timing !!!. Need some assembly code ??
+u8 lo;
+
+//---------------------Capture using ATmega32 Internal ADC. Having some trouble !!! -------------------
+void capture_m32(u8 ch, u16 np, u16 timegap)  // sqr1 = ch7, sqr2 = ch6, SENSOR = ch5
+{
+	ADCSRA = (1 << ADEN)  | (1<<ADSC) | 1;	// start a dummy conversion
+    if(timegap < 20) lo = (1<<ADEN) | (1<<ADSC) | 1;
+    else if(timegap < 40) lo = (1<<ADEN) | (1<<ADSC) | 2;
+    else if(timegap < 80) lo = (1<<ADEN) | (1<<ADSC) | 3;
+    else if(timegap < 160)lo = (1<<ADEN) | (1<<ADSC) | 4;
+    else if(timegap < 320)lo = (1<<ADEN) | (1<<ADSC) | 5;
+    else lo = (1<<ADEN) | (1<<ADSC) | 6;
+
+	TCCR1B = (1<<CS11);		// Counter1 Normal mode, 1 MHz
+
+    ADMUX = (1<<REFS0) |(1 << ADLAR) | ch; // MUX
+    timegap -= 1;
+	PORTC |= 128;
+    while ( !(ADCSRA & (1<<ADIF)) ) ;	// wait for ADC conversion
+    ADCSRA |= ADIF;						// reset ADC flag
+
+    for(tmp16=0; tmp16 < np; ++tmp16)	// Sample in timed loop
+       {
+	   TCNT1 = 0;
+       ADCSRA = lo;
+       while ( !(ADCSRA & (1<<ADIF)) ) ;	// wait for ADC conversion
+       dbuffer[buf_index++] = ADCH;
+       ADCSRA |= ADIF;						// reset ADC flag
+	   while(TCNT1L < timegap) ;			// Wait on counter
+       }
+    ADCSRA = 0;								// Disable ADC
+	PORTC &= 127;
+}
+
+//------------------------------ Reading External ADC MCP3208 -------------------------------
+void qcapture_min(u8 ch, u16 np, u8 timer)	// only for 10 microseconds spacing
+{
+	u8 d0 = ((ch & 1)<<1) | 1;	// Channel D0
+	u8 d1 = (ch & 2) | 1;		// Channel D1
+	u8 d2 = ((ch & 4)>>1) | 1;	// Channel D2
+	timer -= 2;					// 2 usec forloop overhead
+	TCCR1B = (1<<CS11);		// Counter1 Normal mode, 1 MHz
+	PORTC |= 128;
+
+	for(tmp16=0; tmp16 < np; ++tmp16)
+		{
+		TCNT1L = 0;
+		SPIWR = OUTHI;		// start bit
+		SPICTL = ADCKLO;	// 1st clock
+		SPICTL = ADCKHI;
+				// DATA remains HI, for SGL mode
+		SPICTL = ADCKLO;	// 2nd clock
+		SPICTL = ADCKHI;
+
+		SPIWR = d2;			// Channel # D2
+		SPICTL = ADCKLO;  	// 3rd clock
+		SPICTL = ADCKHI;
+
+		SPIWR = d1;			// Channel # D1
+		SPICTL = ADCKLO; 	// 4th clock
+		SPICTL = ADCKHI;
+
+		SPIWR = d0;			// Channel # D0
+		SPICTL = ADCKLO;	// 5th clock
+		SPICTL = ADCKHI; SPICTL = ADCKHI; 		//samplimg start
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;  		// 6th clock
+		SPICTL = ADCKHI; 						// sampling end
+		SPICTL = ADCKLO;	// 7th clock, Null bit
+		SPICTL = ADCKHI;
+
+		SPICTL = ADCKLO;	// 8th clock, B11
+		SPICTL = ADCKHI;
+		hi = SPIRD & 1;
+
+		SPICTL = ADCKLO;	// 9th clock, B10
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO;	// 10th clock, B9
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO;	// 11th clock, B8
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO;	// 12th clock, B7
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO;	// 13th clock, B6
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO;	// 14th clock, B5
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO;	// 15th clock, B4
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = CSHI;
+		while(TCNT1L < timer) ;		// Wait on counter
+		dbuffer[buf_index++] = hi;	// store data
+		}
+	PORTC &= 127;
+}
+
+void qcapture(u8 ch, u16 np, u8 timer)
+{
+	u8 d0 = ((ch & 1)<<1) | 1;	// Channel D0
+	u8 d1 = (ch & 2) | 1;		// Channel D1
+	u8 d2 = ((ch & 4)>>1) | 1;	// Channel D2
+	timer -= 2;					// 2 usec forloop overhead
+	TCCR1B = (1<<CS11);		// Counter1 Normal mode, 1 MHz
+	PORTC |= 128;
+
+	for(tmp16=0; tmp16 < np; ++tmp16)
+		{
+		TCNT1L = 0;
+		SPIWR = OUTHI;		// start bit
+		SPICTL = ADCKLO;	// 1st clock
+		SPICTL = ADCKHI;
+				// DATA remains HI, for SGL mode
+		SPICTL = ADCKLO;	// 2nd clock
+		SPICTL = ADCKHI;
+
+		SPIWR = d2;			// Channel # D2
+		SPICTL = ADCKLO;  	// 3rd clock
+		SPICTL = ADCKHI;
+
+		SPIWR = d1;			// Channel # D1
+		SPICTL = ADCKLO; 	// 4th clock
+		SPICTL = ADCKHI;
+
+		SPIWR = d0;			// Channel # D0
+		SPICTL = ADCKLO;	// 5th clock
+		SPICTL = ADCKHI; SPICTL = ADCKHI; 		//samplimg start
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;  		// 6th clock
+		SPICTL = ADCKHI; 						// sampling end
+		SPICTL = ADCKLO;	// 7th clock, Null bit
+		SPICTL = ADCKHI;
+
+		SPICTL = ADCKLO;	// 8th clock, B11
+		SPICTL = ADCKHI;
+		hi = SPIRD & 1;
+
+		SPICTL = ADCKLO;	// 9th clock, B10
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO;	// 10th clock, B9
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO;	// 11th clock, B8
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO;	// 12th clock, B7
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO;	// 13th clock, B6
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO;	// 14th clock, B5
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO;	// 15th clock, B4
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = CSHI;
+		//asm("nop");
+		while(TCNT1L < timer) ;		// Wait on counter
+		asm("nop"); asm("nop"); asm("nop");
+		dbuffer[buf_index++] = hi;	// store data
+		}
+	PORTC &= 127;
+}
+
+void qcapture01(u16 np, u8 timer)	// CH0 & CH1, only for 10 microseconds spacing
+{
+	TCCR1B = (1<<CS11);		// Counter1 Normal mode, 1 MHz
+	timer = timer*2 -2;		// 2 channels, 2 usec forloop overhead
+	PORTC |= 128;
+
+	for(tmp16=0; tmp16 < np; ++tmp16)
+		{
+		TCNT1L = 0;
+		SPIWR = OUTHI;		// start bit
+		SPICTL = ADCKLO;	// 1st clock
+		SPICTL = ADCKHI;
+				// DATA remains HI, for SGL mode
+		SPICTL = ADCKLO;	// 2nd clock
+		SPICTL = ADCKHI;
+
+		SPIWR = OUTLO;			// Channel # D2
+		SPICTL = ADCKLO;  	// 3rd clock
+		SPICTL = ADCKHI;
+
+				// D1 also is LO
+		SPICTL = ADCKLO; 	// 4th clock
+		SPICTL = ADCKHI;
+
+				//	D0 is also LO
+		SPICTL = ADCKLO;	// 5th clock
+		SPICTL = ADCKHI; SPICTL = ADCKHI; 		//samplimg start
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;  		// 6th clock
+		SPICTL = ADCKHI; 						// sampling end
+		SPICTL = ADCKLO;	// 7th clock, Null bit
+		SPICTL = ADCKHI;
+
+		SPICTL = ADCKLO;	// 8th clock, B11
+		SPICTL = ADCKHI;
+		hi = SPIRD & 1;
+
+		SPICTL = ADCKLO;	// 9th clock, B10
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO;	// 10th clock, B9
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO;	// 11th clock, B8
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO;	// 12th clock, B7
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO;	// 13th clock, B6
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO;	// 14th clock, B5
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO;	// 15th clock, B4
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+		SPICTL = CSHI;
+		dbuffer[buf_index++] = hi;	// store data
+
+		// Read Channel #1 now
+		SPIWR = OUTHI;		// start bit
+		SPICTL = ADCKLO;	// 1st clock
+		SPICTL = ADCKHI;
+				// DATA remains HI, for SGL mode
+		SPICTL = ADCKLO;	// 2nd clock
+		SPICTL = ADCKHI;
+
+		SPIWR = OUTLO;		// Channel # D2
+		SPICTL = ADCKLO;  	// 3rd clock
+		SPICTL = ADCKHI;
+
+				//	D1 is also LO
+		SPICTL = ADCKLO; 	// 4th clock
+		SPICTL = ADCKHI;
+
+		SPIWR = OUTHI;		// D0 is HI
+		SPICTL = ADCKLO;	// 5th clock
+		SPICTL = ADCKHI; SPICTL = ADCKHI; 		//samplimg start
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;  		// 6th clock
+		SPICTL = ADCKHI; 						// sampling end
+		SPICTL = ADCKLO;	// 7th clock, Null bit
+		SPICTL = ADCKHI;
+
+		SPICTL = ADCKLO;	// 8th clock, B11
+		SPICTL = ADCKHI;
+		hi = SPIRD & 1;
+
+		SPICTL = ADCKLO;	// 9th clock, B10
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO;	// 10th clock, B9
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO;	// 11th clock, B8
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO;	// 12th clock, B7
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO;	// 13th clock, B6
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO;	// 14th clock, B5
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO;	// 15th clock, B4
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+		SPICTL = CSHI;
+
+		while(TCNT1L < timer) ;		// Wait on counter
+		dbuffer[buf_index++] = hi;	// store data
+		asm("nop"); asm("nop");
+		}
+	PORTC &= 127;
+}
+
+
+
+void capture(u8 ch, u16 np, u16 timer)
+{
+	u8 d0 = ((ch & 1)<<1) | 1;	// Channel D0
+	u8 d1 = (ch & 2) | 1;		// Channel D1
+	u8 d2 = ((ch & 4)>>1) | 1;	// Channel D2
+	timer -= 2;					// 2 usec forloop overhead
+	TCCR1B = (1<<CS11);		// Counter1 Normal mode, 1 MHz
+	PORTC |= 128;
+
+	for(tmp16=0; tmp16 < np; ++tmp16)
+		{
+		TCNT1 = 0;
+		SPIWR = OUTHI;						// start bit
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 1st clock
+		SPICTL = ADCKHI; SPICTL = ADCKHI;
+								// DATA remains HI, for SGL mode
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 2nd clock
+		SPICTL = ADCKHI;
+
+		SPIWR = d2;							//channel bit D2
+		SPICTL = ADCKLO;SPICTL = ADCKLO;	// 3rd clock
+		SPICTL = ADCKHI;
+
+		SPIWR = d1;							//channel bit D1
+		SPICTL = ADCKLO;SPICTL = ADCKLO;	// 4th clock
+		SPICTL = ADCKHI;
+
+		SPIWR = d0;							//channel bit D0
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 5th clock
+
+		//SPICTL = ADCKHI;
+		SPICTL = ADCKHI; SPICTL = ADCKHI;
+		SPICTL = ADCKHI; SPICTL = ADCKHI;
+		SPICTL = ADCKHI; SPICTL = ADCKHI;
+		SPICTL = ADCKLO; SPICTL = ADCKLO;;  // 6th clock
+		SPICTL = ADCKHI; SPICTL = ADCKHI;
+		SPICTL = ADCKHI; SPICTL = ADCKHI;
+		SPICTL = ADCKHI; SPICTL = ADCKHI;
+		//SPICTL = ADCKHI;
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 7th clock, Null bit
+		SPICTL = ADCKHI;  SPICTL = ADCKHI;
+
+		SPICTL = ADCKLO;SPICTL = ADCKLO;	// 8th clock, B11
+		SPICTL = ADCKHI;
+		hi = SPIRD & 1;
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 9th clock, B10
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 10th clock, B9
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 11th clock, B8
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 12th clock, B7
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 13th clock, B6
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 14th clock, B5
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 15th clock, B4
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 16th clock, B3
+		SPICTL = ADCKHI;
+		lo = (SPIRD & 1);
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 17th clock, B2
+		SPICTL = ADCKHI;
+		lo = (lo << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 18th clock, B1
+		SPICTL = ADCKHI;
+		lo = (lo << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 19th clock, B0
+		SPICTL = ADCKHI;
+		lo = (lo << 1) | (SPIRD & 1);
+		SPICTL = CSHI;
+
+		if(adc_size > 1)
+			dbuffer[buf_index++] = lo << 4;
+		dbuffer[buf_index++] = hi;
+
+	    while(TCNT1 < timer) ;			// Wait on TCNT1
+		asm("nop");	asm("nop");	asm("nop");
+		//asm("nop");	asm("nop");	asm("nop");
+	    }
+	PORTC &= 127;
+}
+
+void capture01(u16 np, u16 timer)
+{
+	timer = timer*2-2;				// 2 reads,2 usec forloop overhead
+	TCCR1B = (1<<CS11);		// Counter1 Normal mode, 1 MHz
+	PORTC |= 128;
+
+	for(tmp16=0; tmp16 < np; ++tmp16)
+		{
+		TCNT1 = 0;
+		SPIWR = OUTHI;						// start bit
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 1st clock
+		SPICTL = ADCKHI; SPICTL = ADCKHI;
+								// DATA remains HI, for SGL mode
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 2nd clock
+		SPICTL = ADCKHI;
+
+		SPIWR = OUTLO;						//channel bit D2
+		SPICTL = ADCKLO;SPICTL = ADCKLO;	// 3rd clock
+		SPICTL = ADCKHI;
+
+		SPIWR = OUTLO;							//channel bit D1
+		SPICTL = ADCKLO;SPICTL = ADCKLO;	// 4th clock
+		SPICTL = ADCKHI;
+
+		SPIWR = OUTLO;							//channel bit D0
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 5th clock
+
+		//SPICTL = ADCKHI;
+		SPICTL = ADCKHI; SPICTL = ADCKHI;
+		SPICTL = ADCKHI; SPICTL = ADCKHI;
+		SPICTL = ADCKHI; SPICTL = ADCKHI;
+		SPICTL = ADCKLO; SPICTL = ADCKLO;;  // 6th clock
+		SPICTL = ADCKHI; SPICTL = ADCKHI;
+		SPICTL = ADCKHI; SPICTL = ADCKHI;
+		SPICTL = ADCKHI; SPICTL = ADCKHI;
+		//SPICTL = ADCKHI;
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 7th clock, Null bit
+		SPICTL = ADCKHI;  SPICTL = ADCKHI;
+
+		SPICTL = ADCKLO;SPICTL = ADCKLO;	// 8th clock, B11
+		SPICTL = ADCKHI;
+		hi = SPIRD & 1;
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 9th clock, B10
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 10th clock, B9
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 11th clock, B8
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 12th clock, B7
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 13th clock, B6
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 14th clock, B5
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 15th clock, B4
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 16th clock, B3
+		SPICTL = ADCKHI;
+		lo = (SPIRD & 1);
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 17th clock, B2
+		SPICTL = ADCKHI;
+		lo = (lo << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 18th clock, B1
+		SPICTL = ADCKHI;
+		lo = (lo << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 19th clock, B0
+		SPICTL = ADCKHI;
+		lo = (lo << 1) | (SPIRD & 1);
+		SPICTL = CSHI;
+
+		if(adc_size > 1)
+			dbuffer[buf_index++] = lo << 4;
+		dbuffer[buf_index++] = hi;
+
+		// Read Channel #1
+		SPIWR = OUTHI;						// start bit
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 1st clock
+		SPICTL = ADCKHI; SPICTL = ADCKHI;
+								// DATA remains HI, for SGL mode
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 2nd clock
+		SPICTL = ADCKHI;
+
+		SPIWR = OUTLO;						//channel bit D2
+		SPICTL = ADCKLO;SPICTL = ADCKLO;	// 3rd clock
+		SPICTL = ADCKHI;
+
+		SPIWR = OUTLO;							//channel bit D1
+		SPICTL = ADCKLO;SPICTL = ADCKLO;	// 4th clock
+		SPICTL = ADCKHI;
+
+		SPIWR = OUTHI;							//channel bit D0
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 5th clock
+
+		//SPICTL = ADCKHI;
+		SPICTL = ADCKHI; SPICTL = ADCKHI;
+		SPICTL = ADCKHI; SPICTL = ADCKHI;
+		SPICTL = ADCKHI; SPICTL = ADCKHI;
+		SPICTL = ADCKLO; SPICTL = ADCKLO;;  // 6th clock
+		SPICTL = ADCKHI; SPICTL = ADCKHI;
+		SPICTL = ADCKHI; SPICTL = ADCKHI;
+		SPICTL = ADCKHI; SPICTL = ADCKHI;
+		//SPICTL = ADCKHI;
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 7th clock, Null bit
+		SPICTL = ADCKHI;  SPICTL = ADCKHI;
+
+		SPICTL = ADCKLO;SPICTL = ADCKLO;	// 8th clock, B11
+		SPICTL = ADCKHI;
+		hi = SPIRD & 1;
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 9th clock, B10
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 10th clock, B9
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 11th clock, B8
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 12th clock, B7
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 13th clock, B6
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 14th clock, B5
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 15th clock, B4
+		SPICTL = ADCKHI;
+		hi = (hi << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 16th clock, B3
+		SPICTL = ADCKHI;
+		lo = (SPIRD & 1);
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 17th clock, B2
+		SPICTL = ADCKHI;
+		lo = (lo << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 18th clock, B1
+		SPICTL = ADCKHI;
+		lo = (lo << 1) | (SPIRD & 1);
+
+		SPICTL = ADCKLO; SPICTL = ADCKLO;	// 19th clock, B0
+		SPICTL = ADCKHI;
+		lo = (lo << 1) | (SPIRD & 1);
+		SPICTL = CSHI;
+
+		if(adc_size > 1)
+			dbuffer[buf_index++] = lo << 4;
+		dbuffer[buf_index++] = hi;
+
+	    while(TCNT1 < timer) ;		// Wait on TCNT1
+		asm("nop");	asm("nop");	asm("nop");
+	    }
+	PORTC &= 127;
+}
+
+u16 read_sadc(u8 ch)
+{
+	SPIWR = OUTHI;					   	// MCP3208 start bit
+	SPICTL = ADCKLO; SPICTL = ADCKLO;	// 1st clock
+	SPICTL = ADCKHI; SPICTL = ADCKHI;
+
+	//if(diffmode) SPIWR = OUTLO;			// DATA remains HI, for SGL mode
+
+	SPICTL = ADCKLO;	SPICTL = ADCKLO;// 2nd clock
+	SPICTL = ADCKHI;
+
+	if(ch & 4) 							// D2 bit of channel
+		SPIWR = OUTHI;
+	else
+		SPIWR = OUTLO;
+	SPICTL = ADCKLO;SPICTL = ADCKLO;	// 3rd clock
+	SPICTL = ADCKHI;
+
+	if(ch & 2) 							// D1 bit of channel
+		SPIWR = OUTHI;
+	else
+		SPIWR = OUTLO;
+	SPICTL = ADCKLO;SPICTL = ADCKLO;	// 4th clock
+	SPICTL = ADCKHI;
+
+	if(ch & 1) 							// D0 bit of channel
+		SPIWR = OUTHI;
+	else
+		SPIWR = OUTLO;
+	SPICTL = ADCKLO;SPICTL = ADCKLO;	// 5th clock
+	SPICTL = ADCKHI;
+
+	SPICTL = ADCKLO;					// 6th clock
+
+	TCCR1B = (1<<CS11);				// Counter1 Normal mode, 1 MHz
+	TCNT1 = 0;
+	while(TCNT1L < sampling_time) ;		// Wait for sampling
+	SPICTL = ADCKHI; SPICTL = ADCKHI;
+
+	SPICTL = ADCKLO; SPICTL = ADCKLO;	// 7th clock, Null bit
+	SPICTL = ADCKHI; SPICTL = ADCKHI;
+
+	SPICTL = ADCKLO; SPICTL = ADCKLO;	// 8th clock, B11
+	SPICTL = ADCKHI;
+	hi = SPIRD & 1;
+
+	SPICTL = ADCKLO; SPICTL = ADCKLO;	// 9th clock, B10
+	SPICTL = ADCKHI;
+	hi = (hi << 1) | (SPIRD & 1);
+
+	SPICTL = ADCKLO; SPICTL = ADCKLO;	// 10th clock, B9
+	SPICTL = ADCKHI;
+	hi = (hi << 1) | (SPIRD & 1);
+
+	SPICTL = ADCKLO; SPICTL = ADCKLO;	// 11th clock, B8
+	SPICTL = ADCKHI;
+	hi = (hi << 1) | (SPIRD & 1);
+
+	SPICTL = ADCKLO; SPICTL = ADCKLO;	// 12th clock, B7
+	SPICTL = ADCKHI;
+	lo = (SPIRD & 1);
+
+	SPICTL = ADCKLO; SPICTL = ADCKLO;	// 13th clock, B6
+	SPICTL = ADCKHI;
+	lo = (lo << 1) | (SPIRD & 1);
+
+	SPICTL = ADCKLO; SPICTL = ADCKLO;	// 14th clock, B5
+	SPICTL = ADCKHI;
+	lo = (lo << 1) | (SPIRD & 1);
+
+	SPICTL = ADCKLO; SPICTL = ADCKLO;	// 15th clock, B4
+	SPICTL = ADCKHI;
+	lo = (lo << 1) | (SPIRD & 1);
+
+	SPICTL = ADCKLO; SPICTL = ADCKLO;	// 16th clock, B3
+	SPICTL = ADCKHI;
+	lo = (lo << 1) | (SPIRD & 1);
+
+	SPICTL = ADCKLO; SPICTL = ADCKLO;	// 17th clock, B2
+	SPICTL = ADCKHI;
+	lo = (lo << 1) | (SPIRD & 1);
+
+	SPICTL = ADCKLO; SPICTL = ADCKLO;	// 18th clock, B1
+	SPICTL = ADCKHI;
+	lo = (lo << 1) | (SPIRD & 1);
+
+	SPICTL = ADCKLO; SPICTL = ADCKLO;	// 19th clock, B0
+	SPICTL = ADCKHI;
+	lo = (lo << 1) | (SPIRD & 1);
+	SPICTL = CSHI;
+
+	return (hi << 8) | lo;
+}
+
+
+void  fast_read_sadc(u8 ch)	// data saved in hi. Used by trigger routine only
+{
+	u8 d0 = ((ch & 1)<<1) | 1;	// Channel D0
+	u8 d1 = (ch & 2) | 1;		// Channel D1
+	u8 d2 = ((ch & 4)>>1) | 1;	// Channel D2
+
+	SPIWR = OUTHI;		// start bit
+	SPICTL = ADCKLO;	// 1st clock
+	SPICTL = ADCKHI;
+			// DATA remains HI, for SGL mode
+	SPICTL = ADCKLO;	// 2nd clock
+	SPICTL = ADCKHI;
+
+	SPIWR = d2;			// Channel # D2
+	SPICTL = ADCKLO;  	// 3rd clock
+	SPICTL = ADCKHI;
+
+	SPIWR = d1;			// Channel # D1
+	SPICTL = ADCKLO; 	// 4th clock
+	SPICTL = ADCKHI;
+
+	SPIWR = d0;			// Channel # D0
+	SPICTL = ADCKLO;	// 5th clock
+	SPICTL = ADCKHI; SPICTL = ADCKHI; 		//samplimg start
+
+	SPICTL = ADCKLO; SPICTL = ADCKLO;  		// 6th clock
+	SPICTL = ADCKHI; 						// sampling end
+	SPICTL = ADCKLO;	// 7th clock, Null bit
+	SPICTL = ADCKHI;
+
+	SPICTL = ADCKLO;	// 8th clock, B11
+	SPICTL = ADCKHI;
+	hi = SPIRD & 1;
+
+	SPICTL = ADCKLO;	// 9th clock, B10
+	SPICTL = ADCKHI;
+	hi = (hi << 1) | (SPIRD & 1);
+
+	SPICTL = ADCKLO;	// 10th clock, B9
+	SPICTL = ADCKHI;
+	hi = (hi << 1) | (SPIRD & 1);
+
+	SPICTL = ADCKLO;	// 11th clock, B8
+	SPICTL = ADCKHI;
+	hi = (hi << 1) | (SPIRD & 1);
+
+	SPICTL = ADCKLO;	// 12th clock, B7
+	SPICTL = ADCKHI;
+	hi = (hi << 1) | (SPIRD & 1);
+
+	SPICTL = ADCKLO;	// 13th clock, B6
+	SPICTL = ADCKHI;
+	hi = (hi << 1) | (SPIRD & 1);
+
+	SPICTL = ADCKLO;	// 14th clock, B5
+	SPICTL = ADCKHI;
+	hi = (hi << 1) | (SPIRD & 1);
+	SPICTL = ADCKLO;	// 15th clock, B4
+	SPICTL = ADCKHI;
+	hi = (hi << 1) | (SPIRD & 1);
+	SPICTL = CSHI;
+}
+
+
+void set_dac(u8 ch, u16 val)		// channel number & data
+{
+	SPICTL = DACKLO;						// DAC CS and CLK goes LO
+	SPIWR = (ch << 1) | 1;					// channel select bit and PB0 pullup
+	SPICTL = DACKLO;	SPICTL = DACKLO;	// 1st clock
+	SPICTL = DACKHI;    SPICTL = DACKHI;
+
+	SPIWR = OUTHI;			// Buffer enable
+	SPICTL = DACKLO;	SPICTL = DACKLO;	// 2st clock
+	SPICTL = DACKHI;    SPICTL = DACKHI;
+
+	SPIWR = OUTHI;			// gain = 1
+	SPICTL = DACKLO;	SPICTL = DACKLO;	// 3rd clock
+	SPICTL = DACKHI;    SPICTL = DACKHI;
+
+	SPIWR = OUTHI;			// power down bit
+	SPICTL = DACKLO;	SPICTL = DACKLO;	// 4th clock
+	SPICTL = DACKHI;    SPICTL = DACKHI;
+
+	tmp16 = 0x800;
+	for(hi=0; hi<12; ++hi)
+		{
+		if(tmp16 & val)
+			SPIWR = OUTHI;			// data bit PB1 + pullup PB0
+		else
+			SPIWR = OUTLO;
+		SPICTL = DACKLO;	SPICTL = DACKLO;	// send clock
+		SPICTL = DACKHI;    SPICTL = DACKHI;
+		tmp16 >>= 1;
+		}
+	SPICTL = CSHI;
+}
+
+
+//------------------ Time Interval Measurements ----------------------
+boolean wait_for_high(u8 mask)	// Wait until the Input is HIGH
+{
+/*Returns TRUE if any of the Digital Input Socket specified in the
+'mask' goes HIGH. If mask is zero, waits for a rising edge on CMP
+input socket. Timeout after '50 * TIMEOUTVAL' milliseconds.
+*/
+for(;;)
+    {
+    if(mask)			// Check on Digins
+       {
+       if(PINC & mask)		// Digital Input specified by mask is HIGH ?
+         break;
+       }
+    else			// Mask = 0 means ACMP input
+      if(~ACSR & (1<< ACO))	// When AIN- goes above 1.23V, ACO goes LOW
+        break;
+
+    if(TCNT1 > TIMERSIZE)
+       {
+       TCNT1 = 0;
+       if(++HTM > TIMEOUTVAL)
+         {
+         dbuffer[0] = TIMEOUT;		// Timeout error
+         return FALSE;
+         }
+       }
+    }
+return TRUE;
+}
+
+boolean wait_for_low(u8 mask)	// Wait until the Input is LOW
+{
+for(;;)
+    {
+    if(mask)			// Check on Digins
+       {
+       if(~PINC & mask)		// Digital Input specified by mask is LOW ?
+         break;
+       }
+    else			// Mask = 0 means ACMP input
+      if(ACSR & (1<< ACO))	// When AIN- goes below 1.23V, ACO goes HIGH
+        break;
+
+    if(TCNT1 > TIMERSIZE)
+       {
+       TCNT1 = 0;
+       if(++HTM > TIMEOUTVAL)
+         {
+         dbuffer[0] = TIMEOUT;		// Timeout error
+         return FALSE;
+         }
+       }
+    }
+return TRUE;
+}
+
+
+boolean clear_on_rise(u8 mask)	// Clear counters on rising edge
+{
+/* Clears the TCNT1 register and variable HTM and returns TRUE if any of the
+Digital Input (sockets) specified in the 'mask'goes HIGH.
+If mask is zero, waits for a rising edge on analog comparator
+input socket.
+*/
+HTM = 0;
+for(;;)
+    {
+    if(mask)			// Check on Digins
+       {
+       if(PINC & mask)		// Digital Input specified by mask
+         break;
+       }
+    else			// Mask = 0 means ACMP input
+      if(~ACSR & (1<< ACO))	// When AIN- goes above 1.23V, ACO goes LOW
+        break;
+
+    if(TCNT1 > TIMERSIZE)
+       {
+       TCNT1 = 0;
+       if(++HTM > TIMEOUTVAL)
+         {
+         dbuffer[0] = TIMEOUT;		// Timeout error
+         return FALSE;
+         }
+       }
+    }
+TCNT1 = 0;
+HTM = 0;
+return TRUE;
+}
+
+boolean clear_on_fall(u8 mask)	// Clear counters on falling edge
+{
+HTM = 0;
+for(;;)
+    {
+    if(mask)
+       {
+       if(~PINC & mask)		// Digital Input specified by mask
+         break;
+       }
+    else			// Mask = 0 means ACMP input
+      if(ACSR & (1<< ACO))	// When AIN- goes below 1.23V, ACO goes HIGH
+        break;
+
+    if(TCNT1 > TIMERSIZE)
+       {
+       TCNT1 = 0;
+       if(++HTM > TIMEOUTVAL)
+         {
+         dbuffer[0] = TIMEOUT;		// Timeout error
+         return FALSE;
+         }
+       }
+    }
+TCNT1 = 0;
+HTM = 0;
+return TRUE;
+}
+
+boolean mark_on_rise(u8 mask)	// Save the 24 bit counter to dbuffer
+{
+/* Saves the current value of TCNT1 register to variable 'tmp16' when the
+Digital Input (sockets) specified in the 'mask' goes HIGH. If mask is zero,
+save on the rising edge of analog comparator input socket.
+TCNT1 is cleared every time it touches 'TIMESIZE' and variable 'HTM' is incremeted.
+8 bit HTM and 16 bit TCNT1 together stores a 24 bit size time interval
+that is send to the PC.
+*/
+for(;;)
+    {
+    if(mask)
+       {
+       if(PINC & mask)		// Digital Input specified by mask
+         break;
+       }
+    else			// Mask = 0 means ACMP input
+      if(~ACSR & (1<< ACO))	// When AIN- goes above 1.23V, ACO goes LOW
+        break;
+
+    if(TCNT1 > TIMERSIZE)
+       {
+       TCNT1 = 0;
+       if(++HTM > TIMEOUTVAL)
+         {
+         dbuffer[0] = TIMEOUT;		// Timeout error
+         return FALSE;
+         }
+       }
+    }
+dbuffer[buf_index++] = TCNT1L;
+dbuffer[buf_index++] = TCNT1H;
+dbuffer[buf_index++] = HTM;
+return TRUE;
+}
+
+boolean mark_on_fall(u8 mask)	// Save counter to dbuffer
+{
+for(;;)
+    {
+    if(mask)
+       {
+       if(~PINC & mask)		// Digital Input specified by mask
+         break;
+       }
+    else			// Mask = 0 means ACMP input
+      if(ACSR & (1<< ACO))	// When AIN- goes below 1.23V, ACO goes HIGH
+        break;
+
+    if(TCNT1 > TIMERSIZE)
+       {
+       TCNT1 = 0;
+       if(++HTM > TIMEOUTVAL)
+         {
+         dbuffer[0] = TIMEOUT;		// Timeout error
+         return FALSE;
+         }
+       }
+    }
+dbuffer[buf_index++] = TCNT1L;
+dbuffer[buf_index++] = TCNT1H;
+dbuffer[buf_index++] = HTM;
+return TRUE;
+}
+
+
+//------------------ Actions before capturing waveforms ------------------
+#define	ASET 		1
+#define	ACLR		2
+#define	APULSEHI	3
+#define	APULSELO	4
+#define	AWAITHI		5
+#define	AWAITLO		6
+#define	AWAITRISE	7
+#define	AWAITFALL	8
+
+void triggers(u8 ch, u16 tg)
+{
+	TCNT1 =0;
+	HTM = 0;
+	switch(action)
+		{
+		case 0:
+			for(tmp16 = 0; tmp16 < 200; ++ tmp16)	// NEED changes here
+				{
+				fast_read_sadc(ch);		// result stored in hi
+				lo = hi;
+				TCNT1 = 0;
+				while(TCNT1 < 20) ;
+				fast_read_sadc(ch);		// result stored in hi
+				if( (lo < hi) && (hi >= triglo) && (hi <= trighi) ) break;
+				}
+			break;
+
+		case ASET:
+	        PORTC |= actionmask;			// Set the output bits as per mask
+			break;
+
+      	case ACLR:
+	        PORTC &= ~actionmask;			// Clear the output bits as per mask
+			break;
+
+		case APULSEHI:
+	        PORTC |= actionmask;			// Set the output bits as per mask
+			TCNT1 = 0;
+			while(TCNT1 < pulse_width) ;	// delay
+	        PORTC &= ~actionmask;			// Clear the output bits as per mask
+			break;
+
+        case APULSELO:
+	        PORTC &= ~actionmask; 			// Clear the output bit as per mask
+			TCNT1 = 0;
+			while(TCNT1 < pulse_width) ;	// delay
+    	    PORTC |= actionmask;			// Set the output bits as per mask
+			break;
+
+		case AWAITHI:
+			wait_for_high(actionmask); 		// Wait for HIGH
+	        break;
+
+		case AWAITLO:
+	        wait_for_low(actionmask);  		// Wait for LOW
+	        break;
+
+		case AWAITRISE:
+	        wait_for_low(actionmask);  		// Wait for LOW and then
+			wait_for_high(actionmask); 		// Wait for HIGH
+	        break;
+
+		case AWAITFALL:
+			wait_for_high(actionmask); 		// Wait for HIGH and then
+	        wait_for_low(actionmask);  		// Wait for LOW
+	        break;
+        }
+	dbuffer[0] = 'D';						// Action timeout NOT an error ???
+}
+
+
+int main()
+{
+u8 cmd,ch;
+u16 ns, tg;				// Number of samples and Time gap
+DDRA = 31; 				// D0 to D4 are 555 capacitor switches. DDR will change.
+PORTA = 0;				// no pullups
+DDRB = 2+8;				// Serial OUT , OC0
+DDRC = 0xF0;			// 4 MSBs are outputs
+DDRD = 128+16+8+4;		// PWG, CSDAC, CSADC, CLOCK
+PORTC= 3;				// Enable pullup resistors for PC0 and PC1
+
+
+// Initialize the RS232 communication link to the PC 38400, 8, 1, E
+UCSRB = (1<<RXEN) | (1<<TXEN);
+//UCSRA = (1 << U2X);
+UBRRH = 0;
+UBRRL = 12;		// At 8MHz (12 =>38400) (25 => 19200)
+UCSRC = (1<<URSEL) | (1<<UPM1) | (1<<UCSZ1) | (1<<UCSZ0); // 8,1,E
+
+ACSR = (1<<ACBG);		// AIN(+) connected to Vbg = 1.23V
+TCCR1B = (1<<CS11);		// Counter1 Normal mode, 1 MHz
+
+buf_index = 0;
+for(;;)
+    {
+    while ( !(UCSRA & (1<<RXC)) ) ;			// wait for receiver data
+    dbuffer[buf_index++] = UDR;		    	// Put the byte in the buffer.
+
+    if(buf_index*GROUPSIZE > dbuffer[0])	// Process after required no. of arguments
+      {
+	  HTM = 0; TCNT1 = 0;		// Keep them in known state
+	  cmd = dbuffer[0];
+	  dbuffer[0] = 'D';			// Fill reply Assuming Success
+	  buf_index = 1;			// Filling of return Data from second byte onwards
+	  //PORTC |= 32; used for touble shooting
+      switch(cmd)
+		 {
+		 case CAPTURE_M32:
+			ch = dbuffer[1];					  // ATmega32 ADC channel
+	  		ns = dbuffer[2] | (dbuffer[3] << 8);  // Number of samples
+			tg = dbuffer[4] | (dbuffer[5] << 8) ; // Time gap
+			capture_m32(ch, ns, tg);
+			break;
+
+	     case CAPTURE:
+			ch = dbuffer[1];					  // ADC channel
+	  		ns = dbuffer[2] | (dbuffer[3] << 8);  // Number of samples
+			tg = dbuffer[4] | (dbuffer[5] << 8) ; // Time gap
+			if( (ns*adc_size > BUFSIZE) || (tg < MINTG) || (tg > MAXTG))
+				{
+          		dbuffer[0] = INVARG;
+          		break;
+				}
+			triggers(ch,tg);
+			dbuffer[buf_index++] = adc_size;	 // adc_size to the caller
+			capture(ch, ns, tg);    // dbuffer[1] is channel #
+		    break;
+
+	     case CAPTURE01:	// Captures ch0 & ch1
+	  		ns = dbuffer[1] | (dbuffer[2] << 8);  // Number of samples
+			tg = dbuffer[3] | (dbuffer[4] << 8);	 // Time gap
+			if( (2*ns*adc_size > BUFSIZE) || (tg < MINTG) ||(tg > MAXTG))
+				{
+          		dbuffer[0] = INVARG;
+          		break;
+				}
+			dbuffer[buf_index++] = adc_size;		 // adc_size to the caller
+			triggers(0,tg);
+			capture01(ns, tg);
+		    break;
+
+         case QCAPTURE:
+			ch = dbuffer[1];
+	  		ns = dbuffer[2] | (dbuffer[3] << 8);  // Number of samples
+			tg = dbuffer[4];
+			if( (ns > BUFSIZE) || (tg < MINTGQ) || (tg > MAXTGQ))
+				{
+          		dbuffer[0] = INVARG;
+          		break;
+				}
+			triggers(ch,tg);
+			if(dbuffer[4] == 10)		// Special case Time gap
+				qcapture_min(ch, ns, dbuffer[4]);
+			else
+				qcapture(ch, ns, dbuffer[4]);
+			break;
+
+	     case QCAPTURE01:	// Captures ch0 & ch1
+	  		ns = dbuffer[1] | (dbuffer[2] << 8);   // Number of samples
+			tg = dbuffer[3];
+			if( (2*ns > BUFSIZE) || (tg < MINTGQ)|| (tg > MAXTGQ))
+				{
+          		dbuffer[0] = INVARG;
+          		break;
+				}
+			triggers(0,tg);
+			qcapture01(ns, dbuffer[3]); 	  // [3] is time gap
+		    break;
+
+ 	     case SETADCSIZE:		// 12 bit ADC, set to 1 or 2 bytes
+        	if(dbuffer[1] > 2)
+          		{
+          		dbuffer[0] = INVARG;
+          		break;
+          		}
+	        adc_size = dbuffer[1];
+    	    break;
+
+ 	     case SETSAMTIME:		// Serial ADC, sampling time, clock 6
+        	if(dbuffer[1] > 250)
+          		{
+          		dbuffer[0] = INVARG;
+          		break;
+          		}
+	        sampling_time = dbuffer[1];
+    	    break;
+
+	     case READADC:		// Reads the requested channel of MCP3208 ADC
+			tmp16 = read_sadc(dbuffer[1]);
+			tmp16 += read_sadc(dbuffer[1]);
+			tmp16 += read_sadc(dbuffer[1]);
+			tmp16 += read_sadc(dbuffer[1]);
+			tmp16 /= 4;
+			dbuffer[buf_index++] = tmp16 & 255;
+			dbuffer[buf_index++] = tmp16 >> 8;
+ 	        break;
+
+	     case SETDAC:			// Set the MCP4922 DAC
+	  		set_dac(dbuffer[1], dbuffer[2] | (dbuffer[3] << 8));
+      		break;
+
+	     case SETACTION:
+/*
+action 1 to 4 : SET/CLR/PULSE Digital Outputs just before capturing waveform.
+action 5 to 8 : Waits on Levels/Edges on Digital Inputs.
+actionmask MSBs keep the Digout Bits. LSBs keep the Digin Bits.
+*/
+			if(dbuffer[1] <= 4)
+				{
+				action = dbuffer[1];
+				actionmask = dbuffer[2] << 4;	// keep in high nibble
+				}
+			else
+			if(dbuffer[1] <= 8)
+				{
+				action = dbuffer[1];
+				actionmask = dbuffer[2] & 15;	// Keep in low nibble
+				}
+			else
+          		dbuffer[0] = INVARG;
+	        break;
+
+    	 case ADCTRIGS:
+/* To get a stable display of periodic waveform, every time the digitization
+should start roughly at the same position of the waveform. Two levels are
+specified to allow rising and falling edge triggering.
+*/
+	     	triglo = dbuffer[1];			// First Trigger level
+		    trighi = dbuffer[2];			// Second Trigger Level
+		    break;
+
+		 case ADC2CMP:	// ch > 7 means disconnect routing
+			if(dbuffer[1] <= 7)
+				{
+		        ADMUX = dbuffer[1];
+      			SFIOR = (1 << ACME);	 	// Route ADC input to AIN-
+		        ADCSRA = 0;					// Disable ADC
+				}
+			else
+				SFIOR = 0;					// Disconnect AIN- from ADC inputs
+			break;
+
+// Timer Counter related functions start here.
+	     case SETCOUNTER0:	// TC0 in CTC mode, caller sends CS bits & OCR0
+	        TCCR0 = (1<<WGM01) | (1<<COM00) | (dbuffer[1] & 7);
+	        OCR0 = dbuffer[2];
+		    break;
+
+	     case SETCOUNTER2:	// TC2 in CTC mode, caller sends CS bits & OCR2
+	        TCCR2 = (1<<WGM21) | (1<<COM20) | (dbuffer[1] & 7);
+	        OCR2 = dbuffer[2];
+		    break;
+
+	     case SETPWM:			// Set TC0 to 488 Hz PWM
+			if(dbuffer[1] == 0)
+				TCCR0 = 0;		// Switch off
+			else
+				{
+	      		OCR0 = dbuffer[1];
+    	  		TCCR0 = (1<<WGM01) | (1<<WGM00) | (1<<COM01) | (1<<CS01) | (1<<CS00); // Fast PWM mode
+				}
+      		break;
+
+	     case SETPWMDAC:			// Set TC0 to 31.25 kHz PWM
+			if(dbuffer[1] == 0)
+				TCCR0 = 0;		// Switch off
+			else
+				{
+	      		OCR0 = dbuffer[1];
+    	  		TCCR0 = (1<<WGM01) | (1<<WGM00) | (1<<COM01) | (1<<CS00); // Fast PWM mode
+				}
+      		break;
+
+
+	     case IRSEND:
+			// Infrared communication vis SQR1 output
+			// Sets TC2 in CTC mode, as per the byte to send
+			#define SPACE 500
+			OCR2 = 104;  										// f = 1e6/256/OCR2
+			TCCR2 = (1<<WGM21) | (1<<COM20) | ( 1 << CS20);		// Counter2 CTC mode, pre-scale = 1
+			TCNT1 = 0; while(TCNT1 < SPACE*5);		// beginning
+			TCCR2 = 0;
+			TCNT1 = 0; while(TCNT1 < SPACE);
+
+			lo = dbuffer[1];			// byte to transmit
+			for(hi=0; hi < 8; ++hi)
+				{
+				TCCR2 = (1<<WGM21) | (1<<COM20) | ( 1 << CS20);		// Counter2 CTC mode, pre-scale = 1
+				TCNT1 = 0; while(TCNT1 < SPACE) ;
+				TCCR2 = 0;
+				TCNT1 = 0; while(TCNT1 < SPACE);
+				if(lo & 128)
+					{
+					TCNT1 = 0; while(TCNT1 < SPACE);
+					}
+				lo <<= 1;
+				}
+			TCCR2 = (1<<WGM21) | (1<<COM20) | ( 1 << CS20);		// Counter2 CTC mode, pre-scale = 1
+			TCNT1 = 0; while(TCNT1 < SPACE) ;
+			TCCR2 = 0;
+			TCNT1 = 0; while(TCNT1 < SPACE) ;
+		    break;
+
+/*-------------------- Passive Time Interval Measurements.-----------------
+ Time interval measurement functions using DIGITAL I/O and ACOMP Sockets.
+The 16 bit Timer/Counter is used for time measurements. A 1 MHz clock is fed
+to the counter and the variable HTM is incremented after when it reaches 50000.
+Timeout is provided on all time measurements.
+Measures the time interval between a Level Transition one Digital Input to
+another. The 8 bit input data specifies the Input Sockets to wait for.
+The HIGH 4 bits contain the Sockets to look for the First Transition.
+For example dbuffer[1] = 00100001, time between a transition on D1 to a
+transition on D0 is measured.
+In fact it is possible to wait for more than one sockets at the same time and
+which ever come first can be taken. At the moment the Python function sets only
+a single bit in each half. The Start and Stop inputs could be same or different.
+
+A special case arise when all the 4 bits are zero. In that case transition on
+the Analog Comparator input Socket is waited for. Using the ADC2CMP function,
+it is possible to route ADC input pins to Analog Comaparator Input.
+*/
+	     case R2RTIME:
+			hi = dbuffer[1] >> 4;		// 4 MSBs start pins
+     		lo = dbuffer[1] & 15;		// 4 LSBs end pins
+      		if(wait_for_low(hi))		// Just make sure the level is LOW
+        	  if(clear_on_rise(hi))		// Clear counter on rising edge src pin
+          		if(wait_for_low(lo))	// DST pin should be LOW
+            	  mark_on_rise(lo);		// Store counters at rising edge dst pin
+      		break;
+
+	     case F2FTIME:
+			hi = dbuffer[1] >> 4;		// 4 MSBs start pins
+     		lo = dbuffer[1] & 15;		// 4 LSBs end pins
+      		if(wait_for_high(hi))		// Just make sure the level is HIGH
+        	  if(clear_on_fall(hi))		// Clear counter on falling edge src pin
+          		if(wait_for_high(lo))	// DST pin should be HIGH
+            	  mark_on_fall(lo);		// Store counters at falling edge dst pin
+      		break;
+
+	     case R2FTIME:
+			hi = dbuffer[1] >> 4;		// 4 MSBs start pins
+     		lo = dbuffer[1] & 15;		// 4 LSBs end pins
+      		if(wait_for_low(hi))		// Just make sure the level is LOW
+        	  if(clear_on_rise(hi))		// Clear counter on rising edge src pin
+            	mark_on_fall(lo);		// Store counters at falling edge dst pin
+      		break;
+
+	     case F2RTIME:
+			hi = dbuffer[1] >> 4;		// 4 MSBs start pins
+     		lo = dbuffer[1] & 15;		// 4 LSBs end pins
+      		if(wait_for_high(hi))		// Just make sure the level is HIGH
+        	  if(clear_on_fall(hi))		// Clear counter on falling edge src pin
+            	mark_on_rise(lo);		// Store counters at rising edge dst pin
+      		break;
+
+	     case MULTIR2R:
+/* Measures the time interval between two rising edges on the same Input Socket.
+The 4 LSBs of the first argument specifies the Input Socket to look for.
+The second argument specifies the number of rising edges to be skipped in between
+the two edges measured. For example dbuffer[2] = 9 returns the time taken
+for 10 cycles. Averaging is useful for  better measurement accuracy.
+*/
+	      	lo = dbuffer[1] & 15;		// pin number in 4 LSBs
+      		TCNT1 =0;
+      		HTM = 0;
+      		if(!wait_for_low(lo)) break;	// Make sure the level is LOW
+      		if(!clear_on_rise(lo))break;	// Clear counter on rising edge src pin
+      		if(!wait_for_low(lo)) break;
+
+      		while (dbuffer[2]--)
+      			{
+		        if(!wait_for_high(lo))break;
+        		if(!wait_for_low(lo)) break;
+        		}
+			if(dbuffer[0] == TIMEOUT) break;
+  			mark_on_rise(lo);				// Store counters at rising edge
+		    break;
+
+/*-------------------- Active Time Interval Measurements ----------------------
+Sets the DIGITAL Output Sockets as per the 4 MSBs of the argument and measures
+the time from that to a Level Transition on the Input Socket specified by the 4 LSBs
+of the argument. 4 LSBs zero means Analog Comparator.
+*/
+		 case SET2RTIME:
+        	PORTC |= dbuffer[1] & 0xF0;		// SET as per 4 MSBs
+      		HTM = 0;
+      		TCNT1 = 0;
+      		mark_on_rise(dbuffer[1] & 15);	// Wait as per 4 LSBs
+    		break;
+
+		 case SET2FTIME:
+        	PORTC |= dbuffer[1] & 0xF0;		// SET as per 4 MSBs
+      		HTM = 0;
+      		TCNT1 = 0;
+      		mark_on_fall(dbuffer[1] & 15);	// Wait as per 4 LSBs
+    		break;
+
+		 case CLR2RTIME:
+        	PORTC &= ~(dbuffer[1] & 0xF0);	// CLR as per 4 MSBs
+      		HTM = 0;
+      		TCNT1 = 0;
+      		mark_on_rise(dbuffer[1] & 15);	// Wait as per 4 LSBs
+    		break;
+
+		 case CLR2FTIME:
+        	PORTC &= ~(dbuffer[1] & 0xF0);	// CLR as per 4 MSBs
+      		HTM = 0;
+      		TCNT1 = 0;
+      		mark_on_fall(dbuffer[1] & 15);	// Wait as per 4 LSBs
+    		break;
+
+		 case SETPULSEWID:
+			pulse_width = dbuffer[1];
+		    break;
+
+    	 case SETPULSEPOL:			// For the PULSE2* functions
+	     	pulse_pol = dbuffer[1];
+      		break;
+
+		 case USOUND:					// Sends a Pulse of PC5, look on PC2
+       		PORTC |= (1 << PC4);			// set OD0
+			TCNT1 = 0;
+			while(TCNT1L < pulse_width) ;	//kill time
+	        PORTC &= ~(1 << PC4);			// Clear OD0
+		    HTM = 0;
+			TCNT1 = 0;
+			while(TCNT1 < DEADTIME) ;		// Wait to settle noise
+		    mark_on_rise(1<<PC2);			// Store counters at rising of PC2
+			break;
+
+		 case PULSE2RTIME:
+		 case PULSE2FTIME:
+			hi = dbuffer[1] & 0xF0;		// 4 MSBs start pins
+     		lo = dbuffer[1] & 15;		// 4 LSBs end pins
+		    if(pulse_pol)				// HIGH TRUE pulse
+        		{
+        		PORTC |= hi;			// Set source bit
+				TCNT1 = 0;
+				while(TCNT1L < pulse_width) ;	//kill time
+		        PORTC &= ~hi;			// Restore old value
+        		}
+     		else						// LOW TRUE pulse
+        		{
+	        	PORTC &= ~hi;			// Clear source bit
+				TCNT1 = 0;
+				while(TCNT1L < pulse_width) ;	//kill time
+		        PORTC |= hi;			// Restore old value
+        		}
+		    HTM = 0;
+			TCNT1 = 0;
+			while(TCNT1 < DEADTIME) ;	// Wait to settle noise
+			if(cmd == PULSE2RTIME)
+			    mark_on_rise(lo);		// Store counters at rising of dst
+			else
+			    mark_on_fall(lo);		// Store counters at falling of dst
+
+		    break;
+
+//-----------------------Digital I/O functions-------------------------
+	     case DIGOUT:
+     		PORTC = (dbuffer[1] << 4) | 3;   // Only PCO & PC1 need to be pulled up
+		    break;
+
+	     case DIGIN:			// 3 bits of PortC, 4th is analog comparator output
+	        ADMUX = 5;					// SENSOR output is on ADC input 5
+   			SFIOR = (1 << ACME);	 	// Route ADC input to AIN-
+	        ADCSRA = 0;					// Disable ADC
+     		dbuffer[buf_index++] = (PINC & 7) | ((ACSR & (1<<ACO))>>2);
+     		break;
+
+	     case WREEPROM:
+			tmp16 = dbuffer[2] << 8;	// 16 bit Internal SEEPROM address by
+		    tmp16 |= dbuffer[1];		// combining low and high bytes
+			eeprom_write_byte ( (u8ptr)tmp16, dbuffer[3]);
+	        break;
+
+	     case RDEEPROM:
+			tmp16 = dbuffer[2] << 8;	// 16 bit Internal SEEPROM address by
+		    tmp16 |= dbuffer[1];		// combining low and high bytes
+			ch = dbuffer[3];
+			for(lo=0; lo < ch; ++lo)
+				dbuffer[buf_index++] = eeprom_read_byte ((u8ptr)(tmp16+lo));
+	        break;
+
+//----------------------- Low level PORT access functions----------------
+	     case SETDDR:
+      		if(dbuffer[1] == 0) DDRA = dbuffer[2];
+      		else if(dbuffer[1] == 1) DDRB = dbuffer[2];
+		    else if(dbuffer[1] == 2) DDRC = dbuffer[2];
+      		else if(dbuffer[1] == 3) DDRD = dbuffer[2];
+		    break;
+
+	     case SETPORT:
+      		if(dbuffer[1] == 0) PORTA = dbuffer[2];
+      		else if(dbuffer[1] == 1) PORTB = dbuffer[2];
+      		else if(dbuffer[1] == 2) PORTC = dbuffer[2];
+      		else if(dbuffer[1] == 3) PORTD = dbuffer[2];
+      		break;
+
+		 case GETPORT:
+      		if(dbuffer[1] == 0) dbuffer[buf_index++] = PINA;
+      		else if(dbuffer[1] == 1) dbuffer[buf_index++] = PINB;
+      		else if(dbuffer[1] == 2) dbuffer[buf_index++] = PINC;
+      		else if(dbuffer[1] == 3) dbuffer[buf_index++] = PIND;
+      		break;
+
+		 case GETVERSION:
+      		memcpy_P(&dbuffer[1], version,5);
+      		buf_index += 5;
+      		break;
+
+    	 default:
+      		dbuffer[0] = INVCMD;		// Invalid Command
+      		break;
+         }
+
+   	  while( !(UCSRA & (1 <<UDRE) ) );
+      UDR = dbuffer[0];					// Send the response byte in all cases
+	  // If no error, send the data bytes to the PC. No handshake used.
+	  if(dbuffer[0] == 'D')
+	 	 for(tmp16=1; tmp16 < buf_index; ++tmp16)
+      		{
+    		while( !(UCSRA & (1 <<UDRE) ) );
+    		UDR = dbuffer[tmp16];
+			}
+      buf_index = 0;
+	  //PORTC &= ~32;		used for trouble shooting only
+      }
+    }
+return 0;
+}
diff --git a/microhope/src/microhope/freq-counter.c b/microhope/src/microhope/freq-counter.c
new file mode 100644
index 0000000..1aa1a81
--- /dev/null
+++ b/microhope/src/microhope/freq-counter.c
@@ -0,0 +1,21 @@
+#include "mh-utils.c"
+#include "mh-timer.c"
+#include "mh-lcd.c"
+
+// Connect input to PB1, test frequency available on PD7
+
+int main()
+{
+uint32_t f;
+
+set_sqr_tc2(1500);    // Set a square wave on TC2 output (PD7)
+lcd_init();
+while(1)
+   {
+   f = measure_freq();   // Measures on T1 (PB1)
+   lcd_clear();
+   lcd_put_long(f);
+   delay_ms(200);
+   }
+return 0;
+}
diff --git a/microhope/src/microhope/hello.c b/microhope/src/microhope/hello.c
new file mode 100644
index 0000000..132efaf
--- /dev/null
+++ b/microhope/src/microhope/hello.c
@@ -0,0 +1,7 @@
+#include "mh-lcd.c"
+
+int main()
+{
+lcd_init();
+lcd_put_string("Hello World..");
+}
diff --git a/microhope/src/microhope/ir-recv.c b/microhope/src/microhope/ir-recv.c
new file mode 100644
index 0000000..02d74e8
--- /dev/null
+++ b/microhope/src/microhope/ir-recv.c
@@ -0,0 +1,64 @@
+/*
+IR Receiver on Atmega32. Uses TSOP1738 IR receiver output connected on PD2 (INT0)
+Non standard single byte receiver, for data from expEYES Junior irsend1(byte)
+Tested on microHOPE running at 8MHz
+Author : Jithin B P, IISER, MOhali, jithinbp at gmail.com
+License : GPL v3
+
+TSOP1738 connections:
+----- PD2
+----- blank space
+----- 5V
+----- GND
+*/
+
+#include <avr/io.h>
+#include <avr/interrupt.h>
+#include "mh-lcd.c"
+
+
+volatile uint8_t val=0,rb=0,x=0;
+SIGNAL (SIG_INTERRUPT0)		// interrupt triggered on a falling edge on PD2
+{
+uint16_t time;
+time=TCNT1;
+TCNT1=0;
+
+if(time>10000)		// Detected start pulse > 10 msec   ~13.5ms
+		{
+		rb=0;		//Set bit count to zero
+		val=0;		//set receive buffer to zero
+		return;
+		}
+else
+	rb+=1;			//increment bit count in case of short pulses
+
+if(time >2000 && time < 2800)		// A binary 1 lies in this time interval of low pulse
+	val = (val<<1)|1;
+if(time>900 && time < 1500) // A binary zero has around this length acc. to protocol
+	val = (val<<1);
+
+if(rb==8) // Recived 1 byte. Display it on PORTA LEDs
+	{
+	lcd_clear();
+	lcd_put_byte(val);
+	}
+}
+
+
+main()
+{
+uint8_t x;
+lcd_init();
+
+PORTD = (1 << PD2);  // Enable internal pullup resistor
+
+TCCR1B = (1<<CS01);		// Set TC1 to 1MHz. Divide 8MHz clock by 8. timer initialized!!
+TCNT1=0;
+
+MCUCR = (1<<ISC01);		// Falling edge on INT0
+GICR = (1<<INT0);		// Enable INT0
+sei();   				//enable interrupt
+
+for(;;) ;  // infinite loop. Job is done inside the ISR only
+}
diff --git a/microhope/src/microhope/isr-test.c b/microhope/src/microhope/isr-test.c
new file mode 100644
index 0000000..73a4457
--- /dev/null
+++ b/microhope/src/microhope/isr-test.c
@@ -0,0 +1,18 @@
+#include "mh-timer2.c"
+#include "mh-lcd.c"
+#include "mh-utils.c"
+
+
+int main()
+{
+uint32_t x;
+
+DDRB = 1;
+
+lcd_init();
+start_timer();
+delay_ms(950);
+x = read_timer();
+lcd_put_long(x);
+return 0;
+}
diff --git a/microhope/src/microhope/lcd16.c b/microhope/src/microhope/lcd16.c
new file mode 100644
index 0000000..336f774
--- /dev/null
+++ b/microhope/src/microhope/lcd16.c
@@ -0,0 +1,138 @@
+// Used by phoenix.c only. Not for the microHOPE LCD
+
+#define LCDDATA	PORTA
+#define LCDCTL	PORTD
+#define EN      PD2
+#define RW      PD3
+#define RS      PD4
+
+#define LCDDATADIR	DDRA
+#define LCDCTLDIR	DDRD
+#define	LCDDATAMASK	0xf0	// for setting port Direction
+#define LCDCTLMASK	0x1c
+
+
+void delay (uint16_t k)	 // roughly 2 usec per loop at 8 MHz system clock
+{
+  volatile uint16_t x = k;
+  while (x)  --x;
+}
+
+/*
+(void d100us (uint16_t k)	 // 100 usecs for k = 1
+{
+  volatile uint16_t x = k * 47;
+  while (x)  --x;
+}
+*/
+
+void
+commandLCD (uint8_t cmd)
+{
+  cbi (LCDCTL, RS);
+  cbi (LCDCTL, RW);
+  LCDDATA = (LCDDATA & 15) | (cmd & 0xf0);
+  sbi (LCDCTL, EN);
+  sbi (LCDCTL, EN);
+  cbi (LCDCTL, EN);
+  LCDDATA = (LCDDATA & 15) | (cmd << 4);
+  sbi (LCDCTL, EN);
+  sbi (LCDCTL, EN);
+  cbi (LCDCTL, EN);
+  delay (1000);
+}
+
+uint8_t cpos;
+
+void
+initDisplay ()
+{
+  LCDDATADIR |= LCDDATAMASK;
+  LCDCTLDIR |= LCDCTLMASK;
+  delay (1000);
+  commandLCD (0x2c);		// 4 bit data mode
+  delay (10000);
+  commandLCD (1);			// clear display
+  delay (10000);
+  commandLCD (0xe);		// display ON, no cursor
+  delay (10000);
+  commandLCD (6);			// cursor home
+  delay (10000);
+  cpos = 0;
+}
+
+
+void
+writeLCD (char c)
+{
+  sbi (LCDCTL, RS);
+  cbi (LCDCTL, RW);
+  LCDDATA = (LCDDATA & 15) | (c & 0xf0);
+  sbi (LCDCTL, EN);
+  sbi (LCDCTL, EN);
+  cbi (LCDCTL, EN);
+  LCDDATA = (LCDDATA & 15) | (c << 4);
+  sbi (LCDCTL, EN);
+  sbi (LCDCTL, EN);
+  cbi (LCDCTL, EN);
+  delay(100);
+
+  ++cpos;
+  if(cpos == 8)
+    commandLCD(128+40);
+}
+
+
+void write16(uint16_t i)
+{
+uint16_t pos = 0;
+
+if(i/10000)
+  {
+  pos = 1;
+  writeLCD('0' + i/10000);
+  i %= 10000;
+  }
+
+if( (i/1000) || pos)
+  {
+  pos = 1;
+  writeLCD('0' + i/1000);
+  i %= 1000;
+  }
+
+if( (i/100) || pos)
+  {
+  pos = 1;
+  writeLCD('0' + i/100);
+  i %= 100;
+  }
+
+if( (i/10) || pos)
+  {
+  writeLCD('0' + i/10);
+  i %= 10;
+  }
+
+writeLCD('0' + i);
+}
+
+void writeByte(uint8_t i)
+{
+uint8_t pos100 = 0;
+
+if(i/100)
+  {
+  pos100 = 1;
+  writeLCD('0' + i/100);
+  i %= 100;
+  }
+
+if( (i/10) || pos100)
+  {
+  writeLCD('0' + i/10);
+  i %= 10;
+  }
+writeLCD('0' + i);
+}
+
diff --git a/microhope/src/microhope/mh-adc.c b/microhope/src/microhope/mh-adc.c
new file mode 100644
index 0000000..3d9bb3f
--- /dev/null
+++ b/microhope/src/microhope/mh-adc.c
@@ -0,0 +1,65 @@
+/* adc.c -- routines for handling the Analog to Digital converter
+
+   Copyright (C) 2008 Ajith Kumar, Inter-University Accelerator Centre,
+   New Delhi and Pramode C.E, GnuVision.com.
+
+   This program is free software; you can redistribute it and/or modify
+   it under the terms of the GNU General Public License as published by
+   the Free Software Foundation; either version 3, or (at your option)
+   any later version.
+*/
+
+#include <avr/io.h>
+
+#ifndef BV
+  #define BV(bit)  (1 << (bit))
+#endif
+
+#define REF_EXT		0	// Feed reference voltage externally
+#define REF_INT		(3<<6)	// use the 2.56 V internal reference
+#define REF_AVCC	(1<<6)	// Connect AVCC internally to reference
+#define ADMAX		7	// channels 0 to 7
+#define ADC_SPEED	7	// ADCClk = (8 MHz/ 128) = 62.5 KHz =>208 usec
+
+
+uint8_t adc_active = 0;
+uint8_t adc_ref = REF_AVCC;		// Default is to use AVCC
+
+void adc_enable(void)
+{
+	ADCSRA = (1 << ADEN);		// Enable the ADC
+	ADMUX = REF_AVCC;		// Use AVCC as reference
+	adc_active = 1;
+}
+
+void adc_disable(void)
+{
+	ADCSRA = 0;			// Disable the ADC
+	adc_active = 0;
+}
+
+
+void adc_set_ref(uint8_t val)
+{
+	ADMUX &= 0x3f;		// Clear reference selection bits
+	ADMUX |= val;		// Set the selected reference source
+}
+
+uint16_t read_adc(uint8_t ch)	// Returns 10 bit number
+{
+	uint8_t low;
+	uint16_t val;
+
+	if (!adc_active)
+  	adc_enable();
+	if (ch > ADMAX)
+  	return 0;
+	ADMUX =  adc_ref | ch;			// Set channel & reference
+	ADCSRA = (1 << ADEN) | (1 << ADSC) | ADC_SPEED;
+	while ( !(ADCSRA & (1<<ADIF)) ) ;	// wait for ADC conversion
+	ADCSRA |= ADIF;
+	low = ADCL;
+	val = ADCH;
+	return (val << 8) | low;
+}
+
diff --git a/microhope/src/microhope/mh-digital.c b/microhope/src/microhope/mh-digital.c
new file mode 100644
index 0000000..5014513
--- /dev/null
+++ b/microhope/src/microhope/mh-digital.c
@@ -0,0 +1,32 @@
+/* mh-digital.c -- routines for manipulating the I/O pins.
+
+   Copyright (C) 2008 Ajith Kumar, Inter-University Accelerator Centre,
+
+   This program is free software; you can redistribute it and/or modify
+   it under the terms of the GNU General Public License as published by
+   the Free Software Foundation; either version 3, or (at your option)
+   any later version.
+*/
+
+#include <avr/io.h>
+
+
+// Macro to calculate the binary value of a bit (specify 0 to 7)
+#ifndef BITVAL
+  #define BITVAL(bit)  (1 << (bit))
+#endif
+
+// Macro to clear a bit (specify 0 to 7)
+#ifndef CLRBIT
+	#define CLRBIT(sfr, bit) (_SFR_BYTE(sfr) &= ~BITVAL(bit))
+#endif
+
+// Macro to set a bit (specify 0 to 7)
+#ifndef SETBIT
+  #define SETBIT(sfr, bit) (_SFR_BYTE(sfr) |= BITVAL(bit))
+#endif
+
+// Macro to read a bit (specify 0 to 7)
+#ifndef GETBIT
+	#define GETBIT(sfr, bit) (_SFR_BYTE(sfr) & BITVAL(bit))
+#endif
diff --git a/microhope/src/microhope/mh-ide.py b/microhope/src/microhope/mh-ide.py
new file mode 100644
index 0000000..6e6a10e
--- /dev/null
+++ b/microhope/src/microhope/mh-ide.py
@@ -0,0 +1,188 @@
+'''
+MicroHOPE IDE program, a tkinter text widget with File I/O, Compile and Upload options
+author : Ajith Kumar B.P., ajith at iuac.res.in
+Licence : GPL version 3
+Date: 21-Oct-2013
+last edit : 6-Dec-2013
+'''
+
+from Tkinter import *
+from tkFileDialog import *
+import commands
+
+# Global variables
+filename = ''  			 # Currently active file
+device   = ''            # User should choose this from the list
+mcu = 'atmega32'		 # mcu
+
+file_opt = {'defaultextension':'.c', 'initialdir':'~/microhope',\
+		'filetypes': [('C files', '.c'), ('text files', '.txt'),('All files', '.*')]}
+
+def show(s, col='blue'):
+	Res.config(text =s, fg=col)
+	Res.update()
+
+def show_status():
+	global filename, device
+	f = filename
+	d = device
+	if filename == '': f = 'Not Selected'
+	if device == '': d = 'Not Selected'
+	root.title('MicroHOPE: File->%s : Device-> %s'%(f, d))
+	show('File->%s : Device-> %s'%(f, d))
+
+def newFile():
+	global filename
+	tw.delete(0.0, END)
+	mw.delete(0.0, END)
+	filename = ''
+
+def saveAs():
+	global filename
+	f = asksaveasfile(mode='w', **file_opt)
+	if f == None: return
+	text = tw.get(0.0, END).rstrip() # contents of the text widget, no trailing whitespaces
+	f.write(text + '\n')
+	filename = f.name
+	show_status()
+
+def saveFile():
+	global filename
+	if filename == '':
+		saveAs()
+		return
+	f = open(filename, 'w')
+	text = tw.get(0.0, END).rstrip() # contents of the text widget, no trailing whitespaces
+	f.write(text + '\n')
+	show('File Saved')
+
+def openFile():
+	global filename
+	f = askopenfile(mode='r', **file_opt)
+	if f == None: return
+	data = f.read() 			# Get all the text from file.
+	tw.delete(0.0, END)
+	tw.insert(0.0, data)
+   	filename = f.name
+	show_status()
+	mw.delete(0.0, END)
+
+def Compile():
+	if filename == '' :
+		show('No file selected', 'red')
+		return
+	saveFile()
+	fname = filename.split(".")[0]
+	cmd = 'avr-gcc -Wall -O2 -mmcu=%s -o %s %s.c' %(mcu,fname,fname)
+	res = commands.getstatusoutput(cmd)
+	if res[0] != 0:
+		show('Compilation Error','red')
+		mw.insert(END, res[1])
+		return
+	cmd = 'avr-objcopy -j .text -j .data -O ihex %s %s.hex' %(fname,fname)
+	res = commands.getstatusoutput(cmd)
+	mw.insert(END, res[1])
+	show('Compilation Done')
+
+def Upload():
+	global device
+	if device == None:
+		show('Hardware device not selected','red')
+		return
+	show('Starting Upload....')
+	fname = filename.split(".")[0]
+	cmd= 'avrdude -b 19200 -P %s -pm32 -c stk500v1 -U flash:w:%s.hex'%(device, fname)
+	res = commands.getstatusoutput(cmd)
+	mw.insert(END, res[1])
+	if res[0] != 0:
+		show('Upload Error: Try pressing nicroHOPE Reset button just before Uploading')
+		return
+	show('Upload Completed')
+
+def upload_usbasp():
+	if filename == '' :
+		show('No file selected', 'red')
+		return
+	show('Starting Upload via USBASP....')
+	fname = filename.split(".")[0]
+	cmd= 'avrdude -c usbasp -patmega32 -U flash:w:%s.hex'%(fname)
+	print cmd
+	res = commands.getstatusoutput(cmd)
+	if res[0] != 0:
+		show('Upload Error: Make use USBASP programmer is connected', 'red')
+		return
+	mw.insert(END, res[1])
+	show('Upload Completed')
+
+def set_device(d):
+	global device
+	device = d
+	show_status()
+
+def select_device(event):
+	cmd = "ls /dev/ttyUSB*"         # search for MCP2200 type
+	res = commands.getstatusoutput(cmd)   # get the device name, mostly on USB0
+	devs = []
+	if res[0] == 0:
+		devs = res[1].split('\n')
+	cmd = "ls /dev/ttyACM*"			# search for FT232 type
+	res = commands.getstatusoutput(cmd)   # get the device name, mostly on USB0
+	if res[0] == 0:
+		devs += res[1].split('\n')
+	print devs
+	if devs == []:
+		show('microHOPE hardware not found?', 'red')
+		return
+	popup = Menu(root, tearoff=0)
+	for k in devs:
+		popup.add_command(label=k , command= lambda dev=k :set_device(dev))
+	# display the popup menu
+	try:
+		popup.tk_popup(event.x_root,event.y_root, 0)
+	finally:
+		popup.grab_release()
+
+root = Tk()
+root.minsize(width=500,height=200)
+
+# Set up basic Menu
+menubar = Menu(root)
+
+filemenu = Menu(menubar,tearoff=0,font=('Monospace', 12))
+filemenu.add_command(label="New File", command=newFile, accelerator="Ctrl+N")
+filemenu.add_command(label="Open", command=openFile, accelerator="Ctrl+O")
+filemenu.add_command(label="Save", command=saveFile, accelerator="Ctrl+s")
+filemenu.add_command(label="Save As", command=saveAs, accelerator="Ctrl+Shift+S")
+filemenu.add_separator()
+filemenu.add_command(label="Upload using USBASP", command = upload_usbasp)
+
+menubar.add_cascade(label="File", menu=filemenu,font=('Monospace', 12))
+root.config(menu=menubar)
+
+menubar.add_command(label='Compile', command=Compile, font=('Monospace', 12))
+menubar.add_command(label='Upload',  command=Upload, font=('Monospace', 12))
+
+# Top Frame and scrollable editor text widget inside that
+top = Frame(root)
+top.pack(side=TOP, expand=YES, fill=BOTH)
+sb1 = Scrollbar(top)
+sb1.pack(side=RIGHT, fill=BOTH)
+tw = Text(top, height= 22, font=('Monospace', 11), bg='ivory', yscrollcommand=sb1.set)
+tw.pack(expand=YES, fill=BOTH)
+sb1.config(command=tw.yview)
+tw.bind("<Button-3>", select_device)
+
+Res = Label(root, fg = 'blue')
+Res.pack(side=TOP, expand=NO, fill=X)
+
+bot = Frame(root)
+bot.pack(side=TOP, expand=YES, fill=BOTH)
+sb2 = Scrollbar(bot)
+sb2.pack(side=RIGHT, fill=Y)
+mw = Text(bot, height = 7, yscrollcommand=sb2.set, bg='black', fg='white')
+mw.pack(side = TOP, expand=YES, fill=BOTH)
+sb2.config(command=mw.yview)
+
+show_status()
+root.mainloop()
+
diff --git a/microhope/src/microhope/mh-lcd.c b/microhope/src/microhope/mh-lcd.c
new file mode 100644
index 0000000..2e84f6b
--- /dev/null
+++ b/microhope/src/microhope/mh-lcd.c
@@ -0,0 +1,148 @@
+
+/* lcd.c -- routines for handling a text mode LCD display
+
+   Copyright (C) 2008 Ajith Kumar, Inter-University Accelerator Centre,
+   New Delhi and Pramode C.E, GnuVision.com.
+
+   This program is free software; you can redistribute it and/or modify
+   it under the terms of the GNU General Public License as published by
+   the Free Software Foundation; either version 3, or (at your option)
+   any later version.
+*/
+
+#include <avr/io.h>
+#include <stdint.h>
+
+// LCD control bits of Port C on Phoenix MDK. Refer to the Schematic
+#define ENBIT 0x8
+#define RWBIT 0x4
+#define RSBIT 0x2
+
+void delay(uint16_t k)
+{
+    volatile uint16_t x = k;
+    while(x)
+        --x;
+}
+
+
+uint8_t cpos = 0;		// LCD cursor position
+
+void lcd_command (uint8_t cmd)
+{
+	PORTC &= 1;				// Clear bits used by LCD
+	PORTC |= (cmd & 0xF0);			// Put 4 MSBs, RS, RW & EN Low
+	PORTC |= ENBIT;  PORTC &= ~ENBIT;	// Pulse on EN pin
+	PORTC &= 1;
+	PORTC |= (cmd << 4);			// Put 4 LSBs
+	PORTC |= ENBIT;  PORTC &= ~ENBIT;	// Pulse on EN pin
+	delay (10000);
+}
+
+
+void lcd_init (void)  // This needs rewriting
+{
+	delay(10000);
+	DDRC |= 254;			// Except PC0 all are outputs
+	lcd_command (32 + 8 + 4);	// 4 bit data mode
+	lcd_command (4 + 2);		// Entry mode
+	lcd_command (8 + 4);		// display ON, no cursor
+	lcd_command (1);		// Clear
+	cpos = 0;			// Set cursor position variable
+	delay(10000);
+	DDRC |= 254;			// Except PC0 all are outputs
+	lcd_command (32 + 8 + 4);	// 4 bit data mode
+	lcd_command (4 + 2);		// Entry mode
+	lcd_command (8 + 4);		// display ON, no cursor
+	lcd_command (1);		// Clear
+	cpos = 0;			// Set cursor position variable
+}
+
+
+void lcd_clear (void)
+{
+	lcd_command(1);
+}
+
+
+void lcd_put_char (char c)
+{
+	PORTC &= 1;				// Clear bits used by LCD
+	PORTC |= RSBIT | (c & 0xF0);		// Put 4 MSBs, RS High, RW & EN Low
+	PORTC |= ENBIT;  PORTC &= ~ENBIT;	// Pulse on EN pin
+	PORTC &= 1;
+	PORTC |= RSBIT | (c << 4);		// Put 4 LSBs
+	PORTC |= ENBIT;  PORTC &= ~ENBIT;	// Pulse on EN pin
+	delay(1000);
+	++cpos;  if(cpos == 8)
+		lcd_command(128 + 32 + 8);	// 1 x 16 display
+}
+
+
+void lcd_put_string(char *p)
+{
+	while(*p) {
+		lcd_put_char(*p);
+		++p;
+	}
+}
+
+void lcd_put_byte(uint8_t i)
+{
+	uint8_t pos100 = 0;
+
+	if(i/100) {
+		pos100 = 1;
+		lcd_put_char('0' + i/100);
+		i %= 100;
+	}
+
+	if( (i/10) || pos100) {
+		lcd_put_char('0' + i/10);
+		i %= 10;
+	}
+	lcd_put_char('0' + i);
+}
+
+void lcd_put_int(uint16_t val)
+{
+	char 	ss[8];
+	uint8_t	k;
+
+	if(val ==0) {
+		lcd_put_char('0');
+		return;
+	}
+
+	k = 0;
+	while(val > 0) {
+		ss[k++] = '0' + (val % 10);
+		val /= 10;
+	}
+	while(k) {
+		lcd_put_char(ss[k-1]);
+		--k;
+	}
+}
+
+void lcd_put_long(uint32_t val)
+{
+	char 	ss[8];
+	uint8_t	k;
+
+	if(val ==0) {
+		lcd_put_char('0');
+		return;
+	}
+
+	k = 0;
+	while(val > 0) {
+		ss[k++] = '0' + (val % 10);
+		val /= 10;
+	}
+	while(k) {
+		lcd_put_char(ss[k-1]);
+		--k;
+	}
+}
+
diff --git a/microhope/src/microhope/mh-timer.c b/microhope/src/microhope/mh-timer.c
new file mode 100644
index 0000000..f665d61
--- /dev/null
+++ b/microhope/src/microhope/mh-timer.c
@@ -0,0 +1,143 @@
+/*
+mh-timer.c -- simple functions for handling the Timer/Counter
+Author : Ajith Kumar, Inter-University Accelerator Centre, New Delhi.
+License : GNU GPL version 3 or later
+Date : 23-Oct-2013
+*/
+
+#include <avr/io.h>
+#include <avr/interrupt.h>
+
+void sqwave_tc0(uint8_t csb, uint8_t ocrval)
+{
+// Set TCCR0 in the CTC mode
+  TCCR0 = (1 << WGM01) | (1 << COM00) | csb;
+  OCR0 = ocrval;
+  TCNT0 = 0;
+  DDRB |= (1 << PB3);
+}
+
+
+void pwm_tc0(uint8_t csb, uint8_t ocrval)
+{
+// Set TCCR0 in the Fast PWM mode
+  TCCR0 =(1 << WGM01) | (1 << WGM00) | (1 << COM01) | csb;
+  OCR0 = ocrval;
+  TCNT0 = 0;
+  DDRB |= (1 << PB3);
+}
+
+void sqwave_tc1(uint8_t csb, uint16_t ocra)
+{
+		// This can set very low values of freqency on the output
+  TCCR1A = (1 << COM1A0);    // Set TCCR1A in the CTC mode
+  TCCR1B = (1 << WGM12) | csb;
+  OCR1A = ocra;     // Output Compare register values
+  TCNT1 = 0;
+  DDRD |= (1 << PD5);   // Set pin OC1A as output
+}
+
+void pwm10_tc1(uint8_t csb, uint16_t ocra)
+{
+  TCCR1A = (1 << COM1A1) | (1 << WGM11) |(1 << WGM10);  // Set 10bit PWM mode
+  TCCR1B = csb;
+  OCR1A = ocra;     // Output Compare register values
+  TCNT1 = 0;
+  DDRD |= (1 << PD5);   // Set pin OC1A as output
+}
+
+//------------------------- Square wave on TC2 -------------------------
+
+#define FLIMIT  4000000          // 8 MHz clock /2
+static uint16_t f[] = {1,8,32,64,128,256,1024};
+
+uint32_t set_sqr_tc2(uint32_t freq)  // freq must be from 15  to 100000 Hz, no checking done
+{
+	uint32_t tmp;
+	uint8_t ocr, k;
+
+	DDRD |= (1 << PD7);    // Make PD7 as output
+  	k = 0;
+  	while(k < 7)
+  	  {
+      tmp = FLIMIT / f[k];	// maximum value for the chosen prescaler
+      if (tmp/freq <= 256)
+        {
+      	TCCR2 = (1 << WGM21) | (1 << COM20) | (k+1);	// CTC mode
+      	ocr = tmp/freq;
+      	tmp = tmp/ocr;	// the value actually set
+      	if (ocr)
+        	--ocr;
+      	OCR2 = ocr;
+      	return tmp;
+        }
+      k = k + 1;
+  }
+	return 0;
+}
+
+
+
+//------------------- Frequency measurement ----------------------------
+
+#define MTIME	250 	// We count 100  on TC0
+
+uint32_t measure_freq(void)
+{
+  volatile uint16_t x, k = 500;
+  DDRB &= ~(1 << PB1);      // Timer/Counter1 clock in T1 (PB1) as input
+  TCCR1B = (1 << CS12) | (1 << CS11) | (1 << CS10);	// External clock on T1 pin
+  TCNT1 = 0;			    // Clear TCNT1
+  while(k--) {x=532; while (x--);}
+  TCCR1B = 0;               // Stop counter
+  return TCNT1 * 2;         // freq = Counts / 500 mS x 2
+}
+
+//----------------------- Time interval measurement--------------------------
+
+volatile uint16_t HIWORD;
+ISR(TIMER1_COMPA_vect)	// TIMER1 Compare Match A Interrupt
+{
+TCNT1 = 0;
+++HIWORD;
+}
+
+void start_timer()
+{
+/*
+When TCNT1 reaches OCR1A, the ISR will run. It will clear TCNT1 and increment HIWORD.
+The total time elapsed between start_timer and get_timer = HIWORD * 50000 + TCNT1
+*/
+ TCCR1B = (1 << CS11);   // Normal mode, with 1MHz clock
+ HIWORD = 0;
+ OCR1A = 50000;
+ OCR1B = 0xffff;
+ TIMSK = (1 <<  OCIE1A);   // Enable compare match interrupt
+ TIFR = (1 << OCF1A);
+ TCNT1 = 0;
+ sei();
+}
+
+uint32_t read_timer()
+{
+ uint32_t x;
+
+ TCCR1B = 0;    // stop TC1 clock
+ x = HIWORD * 50000 + TCNT1;
+ cli();
+ return x;
+}
+
+uint32_t r2ftime(uint8_t bit)
+{
+// measures rising edge to falling edge time on any bit of PORTB. If no pulse input, program may go in infinite loop
+ uint32_t x;
+
+ DDRB &= ~(1 << bit);   // set the selected bit as input, on PORT B
+ while( (PINB & (1 << bit)) != 0 ) ;   // Do nothing until the bit is low
+ while( (PINB & (1 << bit)) == 0 ) ;   // Wait for a rising edge
+ start_timer();
+ while( (PINB & (1 << bit)) != 0 ) ;   // Wait for a falling edge
+ return read_timer();
+}
+
diff --git a/microhope/src/microhope/mh-timer2.c b/microhope/src/microhope/mh-timer2.c
new file mode 100644
index 0000000..7aa80fd
--- /dev/null
+++ b/microhope/src/microhope/mh-timer2.c
@@ -0,0 +1,62 @@
+/*
+mh-timer.c -- Advanced functions using Timer/Counter
+Author : Ajith Kumar, Inter-University Accelerator Centre, New Delhi.
+License : GNU GPL version 3 or later
+Date : 23-Oct-2013
+*/
+
+#include <avr/io.h>
+#include <avr/interrupt.h>
+
+//------------------- Frequency measurement ----------------------------
+
+#define MTIME	250 	// We count 100  on TC0
+
+uint32_t measure_freq(void)
+{
+  DDRB &= ~(1 << PB1);              // Timer/Counter1 clock in T1 (PB1) as input
+  TCCR0 = (1 << CS02) |(1 << CS00);	// Normal mode , CPU Clock / 1024
+  TIFR |= (1 << OCF0);      // Clear OCF flag for T0
+  TCCR1B = (1 << CS12) | (1 << CS11) | (1 << CS10);	// External clock on T1 pin
+  TCNT0 = 0;			    // Clear TCNT0
+  TCNT1 = 0;			    // Clear TCNT1
+  while(TCNT0 < MTIME) ;
+  TCCR1B = 0;
+  return TCNT1;
+}
+
+//----------------------- Time interval measurement--------------------------
+volatile uint16_t HIWORD;
+ISR(TIMER1_COMPA_vect)	// TIMER1 Compare Match A Interrupt
+{
+TCNT1 = 0;
+++HIWORD;
+PORTB = 1;
+}
+
+void start_timer()
+{
+/*
+When TCNT1 reaches OCR1A, the ISR will run. It will clear TCNT1 and increment HIWORD.
+The total time elapsed between start_timer and get_timer = HIWORD * 50000 + TCNT1
+*/
+ TCCR1B = (1 << CS11);   // Normal mode, with 1MHz clock
+ HIWORD = 0;
+ OCR1A = 50000;
+ OCR1B = 0xffff;
+ TIMSK = (1 <<  OCIE1A);   // Enable compare match interrupt
+ TIFR = (1 << OCF1A);
+ TCNT1 = 0;
+ sei();
+}
+
+uint32_t read_timer()
+{
+ uint32_t x;
+
+ TCCR1B = 0;    // stop TC1 clock
+ x = HIWORD * 50000 + TCNT1;
+ cli();
+ return x;
+}
+
diff --git a/microhope/src/microhope/mh-uart.c b/microhope/src/microhope/mh-uart.c
new file mode 100644
index 0000000..1a64ce2
--- /dev/null
+++ b/microhope/src/microhope/mh-uart.c
@@ -0,0 +1,40 @@
+
+/* uart.c -- routines for manipulating the ATmega16 UART.
+
+   Copyright (C) 2008 Ajith Kumar, Inter-University Accelerator Centre,
+   New Delhi and Pramode C.E, GnuVision.com.
+
+   This program is free software; you can redistribute it and/or modify
+   it under the terms of the GNU General Public License as published by
+   the Free Software Foundation; either version 3, or (at your option)
+   any later version.
+*/
+
+#include <avr/io.h>
+
+#define CPU_CLOCK	8000000		// 8 MHz clock is assumed
+#define COMPUTE_BAUD(b) ((uint32_t)(CPU_CLOCK)/((uint32_t)(b)*16) - 1)
+
+
+    //Initialise UART: format 8 data bits, No parity, 1 stop bit
+void uart_init(uint16_t baud)
+{
+    UCSRB = (1 << TXEN) | (1 << RXEN);
+    UBRRH = (COMPUTE_BAUD(baud) >> 8) & 0xff;
+    UBRRL = (COMPUTE_BAUD(baud)) & 0xff;
+    UCSRC = (1 << URSEL) | (1 << UCSZ1) | (1 << UCSZ0);
+}
+
+
+uint8_t uart_recv_byte(void)
+{
+    while( !(UCSRA & (1 <<RXC)) );
+    return UDR;
+}
+
+void uart_send_byte(uint8_t c)
+{
+    while( !(UCSRA & (1 <<UDRE) ) );
+    UDR = c;
+}
+
diff --git a/microhope/src/microhope/mh-upload.sh b/microhope/src/microhope/mh-upload.sh
new file mode 100644
index 0000000..04caa6f
--- /dev/null
+++ b/microhope/src/microhope/mh-upload.sh
@@ -0,0 +1,3 @@
+echo "Uploading  $1.hex to ATmega32 on microHOPE via /dev/ttyUSB0"
+avrdude -b 19200 -P /dev/ttyUSB0 -pm32 -c stk500v1 -U flash:w:$1.hex
+
diff --git a/microhope/src/microhope/mh-utils.c b/microhope/src/microhope/mh-utils.c
new file mode 100644
index 0000000..af8857c
--- /dev/null
+++ b/microhope/src/microhope/mh-utils.c
@@ -0,0 +1,28 @@
+/* utils.c -- various utilities for microHOPE
+
+   Copyright (C) 2008 Ajith Kumar, Inter-University Accelerator Centre,
+
+   This program is free software; you can redistribute it and/or modify
+   it under the terms of the GNU General Public License as published by
+   the Free Software Foundation; either version 3, or (at your option)
+   any later version.
+*/
+
+#include <avr/io.h>
+
+
+void delay_100us (uint16_t k)  	 // k* 100 usecs delay, valid only for 8MHz clock
+{
+  volatile uint16_t x;
+  while (k--) {x=52; while (x--);}
+}
+
+
+void delay_ms (uint16_t k)  // idle for k milliseconds, only for 8MHz clock
+    {
+    volatile uint16_t x;
+    while(k--) {x=532; while (x--);}
+    }
+
+
+
diff --git a/microhope/src/microhope/motor.c b/microhope/src/microhope/motor.c
new file mode 100644
index 0000000..1438220
--- /dev/null
+++ b/microhope/src/microhope/motor.c
@@ -0,0 +1,45 @@
+/*
+Stepper motor coils are connected to the collectors of 4 transistors
+whose bases are connected to PA0 to PA3.
+*/
+
+#include <avr/io.h>
+
+
+void delay (uint16_t k)  // Delay is (k * 2) usec
+    {
+    volatile uint16_t x = k;
+    while (x)  --x;
+    }
+
+
+void rotateMotor (int nsteps, int dir)
+{
+  static uint8_t pos = 0, seq[4] = { 12, 6, 3, 9 };
+  int i;
+
+  for (i = 0; i < nsteps; ++i)
+    {
+      if (dir)
+	if (pos == 3)
+	  pos = 0;
+	else
+	  ++pos;
+      else if (pos == 0)
+	pos = 3;
+      else
+	--pos;
+
+      PORTA = seq[pos];
+      delay (3000);
+    }
+}
+
+int main (void)
+  {
+  DDRA = 15;		// Data Direction Register for PORT A
+
+  for(;;) rotateMotor(100,0);
+
+  return 0;
+}
diff --git a/microhope/src/microhope/phoenix.c b/microhope/src/microhope/phoenix.c
new file mode 100644
index 0000000..f7800d8
--- /dev/null
+++ b/microhope/src/microhope/phoenix.c
@@ -0,0 +1,2036 @@
+/*
+Last revised on 31-3-08. Added the macros BV, sbi and cbi
+Revision started on 27-11-06. TC0 and ACOMP Interrupts are now used.
+   1. Command ranges changed. Maximum 40 in each group
+   2. High resolution AD/DA plug-in code added
+   3. Timer set & get routines
+   4. Arbitrary waveform generation using interrupts added (table in FLASH)
+   5. Radiation detection system histogram routines added
+   6. SEEPROM plug-in code added
+   7. SMRB and PMRB routines finished.  8-Dec-06
+Dynamic DDRx settings:
+
+Last revision on 30-Nov-07 : readblock calls are changed
+Note: This program is written for ATmega16 working at 8MHz clock speed. Changing
+clock speed will require changes in the program.
+
+Revision May-08 :
+rewriting SPI Interface  for top panel sockets
+
+Revision 26-Jul-08:
+Version changed to 2.4
+Added code for 24bit  AD7718  ADC.
+Added conditional compilation for ATmega32 chip.
+
+Edited on 14-May-2010 to correct the r2rtime() and f2ftime() functions
+
+*/
+
+#include <stdio.h>
+#include <stdlib.h>
+#include <inttypes.h>
+#include <avr/sfr_defs.h>
+#include <avr/io.h>
+//#include <avr/signal.h>
+#include <avr/interrupt.h>
+#include <avr/sleep.h>
+#include <avr/pgmspace.h>
+#include <avr/eeprom.h>
+
+#ifndef BV
+  #define BV(bit)  (1 << (bit))
+#endif
+
+#ifndef cbi
+	#define cbi(sfr, bit) (_SFR_BYTE(sfr) &= ~_BV(bit))
+#endif
+#ifndef sbi
+  #define sbi(sfr, bit) (_SFR_BYTE(sfr) |= _BV(bit))
+#endif
+
+typedef uint8_t	 u8, *u8ptr;
+typedef uint8_t	 boolean;
+typedef	uint16_t u16;
+typedef	uint32_t u32;
+
+#include "lcd16.c"
+
+#define	GROUPSIZE	40	// Up to 40 commands in each group
+// commands with no arguments (1 to 40)
+#define LCD_INIT	1	// Initialize LCD Display
+#define DIGIN		2	// Digital Input (4 bits)
+#define	READBLOCK	3	// Digitize from currently selected channel
+#define	MULTIREADBLOCK	4	// Digitize from multiple channels (start=ch0)
+#define ADCREAD		5	// Digitizes the current channel
+#define GETCHANMASK	6	// Return the active channel info of MRB
+#define COUNT		7	// Measure the frequency counter input
+#define READACOMP	8	// Analog Comparator status. 0 if IN- > 1.23V
+#define GETTIME 	9	// get the time is seconds since Epoch
+#define STARTHIST	10	// Start histogramming
+#define READHIST	11	// Send the histogram to PC, 2 x 256 bytes data
+#define CLEARHIST	12	// Send the histogram to PC, 2 x 256 bytes data
+#define STOPHIST	13	// Stop histogramming
+#define STOPWAVE	14	// Disable interrupt based waveform generation
+#define SMRB_START	15	// Initiate an interrupt driven multi read block
+#define SMRB_STATUS	16	// Returns TC0 ISR status & number of bytes
+#define SMRB_GETDATA	17	// Sends the data collected by SMRB to PC
+#define SMRB_STOP	18	// Stop SMRB and disable Timer interrupt
+#define PMRB_RUNNING	19	// Returns the TC0 ISR status
+#define PMRB_GETDATA	20	// Data collected in PROM by PMRB to PC
+#define SPI_PULL	21	// Pull one byte from SPI
+#define SPI_PULL_BAR	22	// Pull one byte from SPI (AD7718 like device)
+#define CHIP_DISABLE	23	// Disable all SPI device (D3,D2 & D1 to HIGH)
+#define HR_ADCINIT	24	// Initialize SPI ADC
+#define HRADCREAD	25	// Digitizes the plug-in ADC ,current channel
+#define GETMCUSTAT	26	// Get several microcontroller registers
+#define GETVERSION	27	// Get the phoenix firmware version
+
+// Commands with One byte argument (41 to 80)
+#define	DIGOUT 		41	// Digital output (4 bits)
+#define SETADCSIZE	42	// ADC data size (1 or 2)
+#define SETCURCHAN	43	// Select Current ADC channel
+#define R2FTIME		44	// Rise to Fall of signal on input pins
+#define R2RTIME		45	// Rise to Fall of signal on input pins
+#define F2RTIME		46	// Fall to Rise of signal on input pins
+#define F2FTIME		47	// Fall to Rise of signal on input pins
+#define SET2RTIME	48	// Setting of bit to rising edge
+#define SET2FTIME	49	// to falling time
+#define CLR2RTIME	50	// Setting of bit to rising edge
+#define CLR2FTIME	51	// to falling time
+#define PULSE2RTIME	52	// Pulse to rising edge
+#define PULSE2FTIME	53	// Pulse to rising edge
+#define SETPULSEWIDTH	54	// width for PULSE2 functions (0 to 250)
+#define SETPULSEPOL	55	// PULSE polarity (0 for HIGH true)
+#define	ADDCHAN		56	// Add to MRB list
+#define	DELCHAN		57	// Remove from MRB list
+#define SETDAC		58	// Sets the PWM DAC from 0 to 5V (0 to 255)
+#define TPEND		59	// Penulum Period from light barrier
+#define PULSEOUT	60	// Generates 1 pulse on D3 with given T
+#define AINPERIOD	61	// Connect ADC input to ACMP to measure freq.
+#define LCD_PUTCHAR	62	// Print a character on LCD Display
+#define CHIP_ENABLE	63	// Enable the specified SPI device
+#define CHIP_ENABLE_BAR	64	// Enable for devices like AD7718
+#define SPI_PUSH	65	// Push one byte to SPI
+#define SPI_PUSH_BAR	66	// Push one byte to SPI
+#define HR_SETCHAN	67	// Select SPI ADC channel
+#define HR_CALINT	68	// internal calibration of selected channel
+#define HR_CALEXT	69	// External Zero / Full scale calibration
+#define GETPORT		70	// PINX data from port X
+
+// Commands with Two bytes argument (81 to 120)
+#define	SETNUMSAMPLES	81	// Number of samples per channel
+#define	SETCOUNTER2	82	// Square wave on OSC2
+#define	SETADCDELAY	83	// interval between ADC conversions,10 to 1000
+#define	SETACTION	84	// MRB Actions of SET/CLR type
+#define WAITACTION	85	// MRB Actions of wait type
+#define MULTIR2R	86	// Rising edge to a rising edge after N cycles
+#define ADCTRIGLEVELS	87	// Trigger levels for read_block functions
+#define HRSETDAC 	88	// Write to 16 bit DAC plug-in
+#define SETWAVEFORM	89	// ISR Wavegen. OCR0 and which DAC from the caller
+#define PULSE_D0D1	90	// Interrupt driven square wave on D0 and D1
+#define MULTI_EDGES	91	// Multiple edges timing
+#define COPY_E2S	92	// copy 128 bytes from eeprom to seeprom
+#define SETDDR		93	// DDRX = dirmask (arg1 = X, arg2 = mask)
+#define SETPORT		94	// PORTX = DATA (arg1 = X, arg2 = DATA)
+
+// Commands with Three bytes argument (121 to 150)
+#define READSEEPROM	121	// Read data from Seeprom plug-in
+#define TABLEDATA	122	// Write one byte of WAVETABLE to AVR EPROM
+
+// Commands with Four bytes argument (151 to 180)
+#define	SETTIME		161	// Set time in seconds from Epoch
+#define PMRB_START	162	// PMRB, arg: delay in secs , numblocks to do
+
+// Reply from ATmega8 to the PC
+#define DONE		'D'	// Command executed successfully
+#define	INVCMD		'C'	// Invalid Command
+#define INVARG		'A'	// Invalid input data
+#define INVBUFSIZE	'B'	// Resulting data exceeds buffersize
+#define TIMEOUT		'T'	// Time measurement timed out
+#define NOCLOCK		'N'	// Clock not set error, for PMRB
+
+#define IDLE		0	// TC0 Interrupt is not enabled
+#define	CLOCK		1	// Incrementing par.pctime every second
+#define USERWAVE	2	// Wave Table from AVR EEPROM, loaded by user
+#define HRUSERWAVE	3	// Wave Table from AVR EEPROM, to plug-in HRDAC
+#define	IN_SMRB		4	// SLOW MRB in progress
+#define IN_PMRB		5	// PROM MRB in progress
+#define IN_PULSE	6	// IRQ pulsing D0 and D1
+
+#define	TABLESIZE	100	// Number of points in one waveform cycle
+
+#define TRUE	1
+#define FALSE	0
+#define TIMERSIZE	50000	// count for 50 ms before clearing
+
+#ifdef M32
+  #define BUFSIZE		1800	// ATmega32 with 2K RAM
+#else
+  #define BUFSIZE		800	// ATmega16 with 1K RAM
+#endif
+
+#define MAXDELAY	3000	// Delay between ADC samples
+#define SLOW_CONV_MASK	7	// ADCSRA mask for Clk = (8 MHz/ 64) = 125 KHz
+#define PULSEDEADTIME	10	// To avoid false triggering in PULSE2x calls
+#define PMRB_INDEX	(BUFSIZE - 256) // ISR driven PMRB uses part of par.buf
+#define	LOWER		0		// PMRB buffer divided, 2 x 128 bytes
+#define	UPPER		1		// UPPER and LOWER
+
+#define AVREF		BV(REFS0)	// Use AVCC as ADC reference
+
+
+
+// Temporary variables for normal & ISR routines
+// Less function arguments or local variables. Not much RAM for stack
+u8	tmp8, tmp8_1, isr_tmp8;
+u8	HTM;			// Increment when TCNT1 crossing TIMERSIZE
+u16	tmp16, isr_tmp16;
+
+
+struct data {				// All local data in one structure
+  u32	pctime;				// Time in seconds (initialized from PC)
+  u16	minor_ticks;			// Number of TIMER0 interrupts received
+  u16   buf_index;			// Variable for indexing the buffer
+  u16	adc_delay;			// Time between samples, for READBLOCKs
+  u16	num_samples;			// Number of samples, for READBLOCKs
+
+  u16	pmrb_delay;			// Interval between PMRB samples
+  u16	pmrb_numblocks;			// Do this many blocks of 128bytes
+  u8	pmrb_chlist[4];			// channel list for PMRB
+  u8	pmrb_num_chan;			// Number of active PMRB channels
+  u8	pmrb_bufpos;			// position on th 2 x 128 bytes buffer
+  u8	filling_half;			// upper or lower 128 byte block
+
+  u8	irq_func;			// Function of the TC0 Interrupt routine
+  u8	chlist[4], num_chan, chmask;	// data for MULTIREADBLOCK call
+  u8	current_chan;			// Selected channel
+  u8 	adc_size, adc_ctmask;		// ADC size and conversion time mask
+  u8 	timeoutval;			// Timeout is TIMERSIZE*timeoutval usecs
+  u8 	pulse_width, pulse_pol;		// Used by PULSE2*time functions
+  u8	buf[BUFSIZE+2];			// 1 stat + 1 MRB info + upto 800 data
+  u8	set, setmask, wait, waitmask;	// SET and WAIT actions, READBLOCKs
+  u8	tr1, tr2;			// ADC trigger limits
+}par;
+
+
+const char version[] PROGMEM = "ph2.4";
+
+
+void initialize(void){
+// Initialize the RS232 communication link to the PC 38400, 8, 1, E
+  UCSRB = BV (RXEN) | BV (TXEN);
+  UBRRH = 0;
+  UBRRL = 12;	// At 8MHz (12 =>38400) (25 => 19200)
+  UCSRC = BV (URSEL) | BV (UPM1) | BV (UCSZ1) | BV (UCSZ0); // 8,1,E
+
+  DDRA = 0xF0;			// 4 bits ADC Input , rest for LCD Data
+  DDRB = 0;			// Configure as input
+  PORTB= 255;			// Enable pullup resistors
+  DDRC = 0xF0;			// Low nibble Input & High nibble output
+  PORTC= 15;			// Enable pullup resistors (low 4)
+  DDRD = 0xff;			// All outputs
+  PORTD= 0;			// All lines to LOW
+  ACSR = BV(ACBG);		// AIN(+) connected to Vbg = 1.23V
+  TCCR1B = BV(CS11);		// Normal mode, Clock/8
+  ADCSRA = BV(ADEN);		// Enable the ADC
+
+  par.adc_size = 1;
+  par.num_samples = 100;
+  par.adc_delay = 10;		// 10 miccrosec between samples
+  par.pmrb_delay = 1;		// 1 second between samples
+  par.adc_ctmask = 1;
+  par.current_chan = 0;
+  par.pulse_width = 13;		// default for 40 KHz piezo
+  par.pulse_pol = 0;		// HIGH true pulse is default
+  par.timeoutval = 40;		// 40 * TIMERSIZE (50000) (2sec)timeout default
+
+  par.num_chan = 1;		// Channel zero is enabled by default, MRB
+  par.chlist[0] = 0;		// Channel zero is first in list, MRB
+  par.chmask = 1;		// mask is 0001 binary =>channel zero enabled,MRB
+
+  par.set = 0;			// No SET actions while starting
+  par.setmask = 0;		// No SETMASK
+  par.wait = 0;			// No wait action either
+  par.waitmask = 0;		// No waitmask
+  par.tr1 = 125;		// Trigger around the ADC mid range
+  par.tr2 = 130;
+
+  sei();			// Enable global interrupt flag
+}
+
+//--------------------------------------------------------------------
+
+void d100us (uint16_t k)	 // 100 usecs for k = 1
+{
+  volatile uint16_t x = k * 47;
+  while (x)  --x;
+}
+
+void delay_us(u16 x)		// Delay routine using 16 bit timer
+{
+if(x < 4) return;
+x -= 3;
+TCNT1 = 0;
+while(1)
+  if (TCNT1 >= x)
+    return;
+}
+
+
+boolean wait_for_high(u8 mask)	// Wait until the Input is HIGH
+{
+/*Returns TRUE if any of the Digital Input Socket specified in the
+'mask' goes HIGH. If mask is zero, waits for a rising edge on CMP
+input socket. Timeout after '50 * par.timeoutval' milliseconds.
+*/
+for(;;)
+    {
+    if(mask)			// Check on Digins
+       {
+       if(PINC & mask)		// Digital Input specified by mask is HIGH ?
+         break;
+       }
+    else			// Mask = 0 means ACMP input
+      if(~ACSR & BV(ACO))	// When AIN- goes above 1.23V, ACO goes LOW
+        break;
+
+    if(TCNT1 > TIMERSIZE)
+       {
+       TCNT1 = 0;
+       if(++HTM > par.timeoutval)
+         {
+         par.buf[0] = TIMEOUT;		// Timeout error
+         return FALSE;
+         }
+       }
+    }
+return TRUE;
+}
+
+boolean wait_for_low(u8 mask)	// Wait until the Input is LOW
+{
+for(;;)
+    {
+    if(mask)			// Check on Digins
+       {
+       if(~PINC & mask)		// Digital Input specified by mask is LOW ?
+         break;
+       }
+    else			// Mask = 0 means ACMP input
+      if(ACSR & BV(ACO))	// When AIN- goes below 1.23V, ACO goes HIGH
+        break;
+
+    if(TCNT1 > TIMERSIZE)
+       {
+       TCNT1 = 0;
+       if(++HTM > par.timeoutval)
+         {
+         par.buf[0] = TIMEOUT;		// Timeout error
+         return FALSE;
+         }
+       }
+    }
+return TRUE;
+}
+
+
+boolean clear_on_rise(u8 mask)	// Clear counters on rising edge
+{
+/* Clears the TCNT1 register and variable HTM and returns TRUE if any of the
+Digital Input (sockets) specified in the 'mask'goes HIGH.
+If mask is zero, waits for a rising edge on analog comparator
+input socket.
+*/
+HTM = 0;
+for(;;)
+    {
+    if(mask)			// Check on Digins
+       {
+       if(PINC & mask)		// Digital Input specified by mask
+         break;
+       }
+    else			// Mask = 0 means ACMP input
+      if(~ACSR & BV(ACO))	// When AIN- goes above 1.23V, ACO goes LOW
+        break;
+
+    if(TCNT1 > TIMERSIZE)
+       {
+       TCNT1 = 0;
+       if(++HTM > par.timeoutval)
+         {
+         par.buf[0] = TIMEOUT;		// Timeout error
+         return FALSE;
+         }
+       }
+    }
+TCNT1 = 0;
+HTM = 0;
+return TRUE;
+}
+
+boolean clear_on_fall(u8 mask)	// Clear counters on falling edge
+{
+HTM = 0;
+for(;;)
+    {
+    if(mask)
+       {
+       if(~PINC & mask)		// Digital Input specified by mask
+         break;
+       }
+    else			// Mask = 0 means ACMP input
+      if(ACSR & BV(ACO))	// When AIN- goes below 1.23V, ACO goes HIGH
+        break;
+
+    if(TCNT1 > TIMERSIZE)
+       {
+       TCNT1 = 0;
+       if(++HTM > par.timeoutval)
+         {
+         par.buf[0] = TIMEOUT;		// Timeout error
+         return FALSE;
+         }
+       }
+    }
+TCNT1 = 0;
+HTM = 0;
+return TRUE;
+}
+
+boolean mark_on_rise(u8 mask)	// Save the 24 bit counter to par.buf
+{
+/* Saves the current value of TCNT1 register to variable 'tmp16' when the
+Digital Input (sockets) specified in the 'mask' goes HIGH. If mask is zero,
+save on the rising edge of analog comparator input socket.
+TCNT1 is cleared every time it touches 'TIMESIZE' and variable 'HTM' is incremeted.
+8 bit HTM and 16 bit TCNT1 together stores a 24 bit size time interval
+that is send to the PC.
+*/
+for(;;)
+    {
+    if(mask)
+       {
+       if(PINC & mask)		// Digital Input specified by mask
+         break;
+       }
+    else			// Mask = 0 means ACMP input
+      if(~ACSR & BV(ACO))	// When AIN- goes above 1.23V, ACO goes LOW
+        break;
+
+    if(TCNT1 > TIMERSIZE)
+       {
+       TCNT1 = 0;
+       if(++HTM > par.timeoutval)
+         {
+         par.buf[0] = TIMEOUT;		// Timeout error
+         return FALSE;
+         }
+       }
+    }
+par.buf[par.buf_index++] = TCNT1L;
+par.buf[par.buf_index++] = TCNT1H;
+par.buf[par.buf_index++] = HTM;
+return TRUE;
+}
+
+boolean mark_on_fall(u8 mask)	// Save counter to par.buf
+{
+for(;;)
+    {
+    if(mask)
+       {
+       if(~PINC & mask)		// Digital Input specified by mask
+         break;
+       }
+    else			// Mask = 0 means ACMP input
+      if(ACSR & BV(ACO))	// When AIN- goes below 1.23V, ACO goes HIGH
+        break;
+
+    if(TCNT1 > TIMERSIZE)
+       {
+       TCNT1 = 0;
+       if(++HTM > par.timeoutval)
+         {
+         par.buf[0] = TIMEOUT;		// Timeout error
+         return FALSE;
+         }
+       }
+    }
+par.buf[par.buf_index++] = TCNT1L;
+par.buf[par.buf_index++] = TCNT1H;
+par.buf[par.buf_index++] = HTM;
+return TRUE;
+}
+//--Time measurement routines end.
+
+/* --------------------- Software SPI routines ------------------
+PA3 (CH3) - SCLK
+PA2 (CH2) - MOSI
+PA2 (CH1) - MISO
+The direction of PA3 and PA2 are set as output in the beginning of an SPI
+transaction and set back as input after finishing the transaction.
+
+PC7 (D3out), PC6(D2out) and PC5(D1out) are used as chip selects.
+
+There are TWO kinds of SPI slave devices, depending on the State of the
+CLOCK signal during CS is taken LOW.
+The chip_enable_bar, spi_pull_bar and spi_push_bar routines MUST be used
+for devices expecting a HIGH on the CLOCK during entry.
+*/
+
+#define 	CSADC		0	// Digital Output D3
+#define 	CSDAC		1	// Digital Output D2
+#define 	CSROM		2	// Digital Output D1
+
+#define 	SPI_CLK		0x08	// Serial Clock OUT
+#define 	SPI_DOUT	0x04	// Data Out ( IN for Slave)
+#define 	SPI_DIN 	0x02	// Data IN  ( OUT for Slave)
+
+void chip_enable(u8 dev)	// PORTC D7, D6 & D5 are CS pins
+{
+DDRA = 0xFC;				// PA3 & PA2 as outputs
+PORTC |= 0xE0;				// Make all CS bits HIGH
+PORTA &= ~SPI_CLK;		  	// CLK LOW before enabling CS
+PORTC &= ~(1 << (7-dev)); 		// Make the Selected CS LOW
+}
+
+void chip_enable_bar(u8 dev)	// PORTC D7, D6 & D5 are CS pins
+{
+DDRA = 0xFC;				// PA3 & PA2 as outputs
+PORTC |= 0xE0;				// Make all CS bits HIGH
+PORTA |= SPI_CLK;		  	// CLK HIGH before enabling CS
+PORTC &= ~(1 << (7-dev)); 		// Make the Selected CS LOW
+}
+
+void chip_disable(void)
+{
+PORTC |= 0xE0;
+DDRA = 0xF0;				// DDRA back to normal state
+}
+
+void spi_push(u8 val)	// Should Enter with CS = LOW and SCLK = LOW
+{
+u8 i = 8;			// push 8 bits
+
+while(i)
+        {
+        if(val & 0x80)			// Push MSB first
+		PORTA |= SPI_DOUT;	// Set DOUT if Databit is '1'
+        else
+		PORTA &= ~SPI_DOUT;	// else clear DOUT
+	PORTA |= SPI_CLK;		// Set CLOCK to HIGH
+	PORTA |= SPI_CLK;		// wait a bit
+	val <<= 1;			// make next bit the new MSB
+	i--;
+	PORTA &= ~SPI_CLK;		// Take Clock LOW
+	}
+}
+
+u8 spi_pull(void)	// Should Enter with CS = LOW and SCLK = LOW
+{
+u8 dat = 0;
+u8 i = 8;			// pulll 8 bits
+while(i)
+        {
+        PORTA |= SPI_CLK;	// Take Clock HIGH. Time set using CRO
+        PORTA |= SPI_CLK;	// Take Clock HIGH
+       	dat = dat << 1;		// First iteration has no effect
+       	if(PINA & SPI_DIN)	// Read the DATA BIT
+       	  dat |= 1;
+        PORTA &= ~SPI_CLK;	// Take Clock LOW
+        PORTA &= ~SPI_CLK;
+	--i;
+	}
+return dat;
+}
+
+
+void spi_push_bar(u8 val)	// Should Enter with CS = LOW and SCLK = HIGH
+{
+u8 i = 8;			// push 8 bits
+while(i)
+        {
+        if(val & 0x80)			// Push MSB first
+		PORTA |= SPI_DOUT;	// Set DOUT if Databit is '1'
+        else
+		PORTA &= ~SPI_DOUT;	// else clear DOUT
+	PORTA &= ~SPI_CLK;		// Take Clock LOW, Time set with CRO
+	PORTA &= ~SPI_CLK;		// Take Clock LOW
+	PORTA &= ~SPI_CLK;		// Take Clock LOW
+	PORTA |= SPI_CLK;		// Set CLOCK to HIGH
+	PORTA |= SPI_CLK;		// Set CLOCK to HIGH
+	val <<= 1;			// make next bit the new MSB
+	i--;
+	}
+}
+
+
+u8 spi_pull_bar(void)	// Should Enter with CS = LOW and SCLK = HIGH
+{
+u8 dat = 0;
+u8 i = 8;			// pulll 8 bits
+while(i)
+        {
+        PORTA &= ~SPI_CLK;
+        PORTA &= ~SPI_CLK;
+       	dat = dat << 1;		// First iteration has no effect
+       	if(PINA & SPI_DIN)
+       	  dat |= 1;
+        PORTA |= SPI_CLK;	// Take Clock HIGH
+        PORTA |= SPI_CLK;	// Take Clock HIGH
+	--i;
+	}
+return dat;
+}
+//----------------------- End of SPI routines ---------------------
+
+
+//-----Serial EEPROM on SPI----
+#define		WEN		6	// AT25HP512 SEEPROM commands
+#define		WRDAT		2
+#define		RDDAT		3
+#define		RDSR		5
+#define 	WRSR		1	// write SR not used ?
+
+u8 seeprom_status(void)	// Return The Status register of AT25HP seeprom
+{
+u8 stat;
+chip_enable(CSROM);
+spi_push(RDSR);
+stat = spi_pull();		// Read from the slave
+chip_disable();
+return stat;
+}
+
+void seeprom_write_enable(void)	// Write enable the chip
+{
+chip_enable(CSROM);
+spi_push(WEN);
+chip_disable();
+}
+
+void seeprom_write_block(u16 addr, u8 *data)	// writes 128 bytes of data
+{
+u8 tmp8;
+chip_enable(CSROM);
+spi_push(WRDAT);
+spi_push(addr >> 8);
+spi_push(addr & 255);
+for(tmp8=0; tmp8 < 128; ++tmp8) spi_push(data[tmp8]);
+chip_disable();
+}
+
+u8 seeprom_read_byte(u16 addr)
+{
+u8 dat;
+chip_enable(CSROM);
+spi_push(RDDAT);
+      PORTC |= 1;
+      PORTC &= ~1;
+spi_push(addr >> 8);
+spi_push(addr & 255);
+
+dat = spi_pull();
+chip_disable();
+return dat;
+}
+
+//------------------------ MAX542 SPI DAC Routines------
+void hr_set_dac(void)
+{
+   chip_enable(CSDAC);
+   spi_push(par.buf[2]);		// Push Upper byte
+   spi_push(par.buf[1]);		// Push Lower byte
+   chip_disable();
+}
+
+
+//--------------------AD7718  SPI ADC --------
+#define COMREG	0
+#define STATREG 0
+#define MODREG  1
+#define CONREG  2
+#define FILREG	3
+#define DATREG  4
+#define OFFREG  5
+#define GAINREG 6
+
+#define RDY		128
+#define ERR		8
+#define CONVERT 	2
+#define NOCHOP  	128
+#define CALZERO 	4
+#define CALFS		5
+#define EXTCALZERO 	6
+#define EXTCALFS	7
+#define SF4		255	// Filter value
+#define UB		8	// Unipolar coding selected
+
+u8 readID(void)
+  {
+  u8 st;
+  chip_enable_bar(CSADC);
+  spi_push_bar(64+15);
+  st = spi_pull_bar();
+  chip_disable();
+  return st;
+  }
+
+void hr_adc_init(void)	// Initialize
+{
+initDisplay(); writeByte(readID());
+
+chip_enable_bar(CSADC);
+spi_push_bar(FILREG);		// Write the Filter Register
+spi_push_bar(SF4);
+chip_disable();
+}
+
+void hr_adc_external_cal(u8 zchan)	// External Calibration
+{
+        // MSB of zchan decides Zero or Full scale calibration
+chip_enable_bar(CSADC);
+spi_push_bar(0 + CONREG);	 		// Select channel
+spi_push_bar(UB + ( (zchan & 15) << 4) + 7);	// Range = 7 (2.56V)
+
+spi_push_bar(0 + MODREG);
+if(zchan & 128) 			// If MSB of zchan is set then
+  spi_push_bar(EXTCALFS);		// Full Scale calibration
+else					// else
+  spi_push_bar(EXTCALZERO);		// Zero Scale calibration
+
+tmp16 = 100;
+while(tmp16--)				// Wait for Zero Calibration
+    {
+    delay(5000);
+    spi_push_bar (64 + MODREG);	//checks mode reg
+    tmp8_1 = spi_pull_bar();
+    if ( (tmp8_1 & 7) == 1)		// MD bits = 001 ?
+        break;
+    }
+if (!tmp16) 				// Timeout Error
+    par.buf[0] = 'T';
+else
+    {
+    spi_push_bar(64 + OFFREG);				// OFFSET read
+    for(tmp8=0; tmp8 < 3; ++tmp8)
+        par.buf[par.buf_index++] = spi_pull_bar();	// get HIGH, MID & LOW
+    spi_push_bar(64 + GAINREG);				// GAIN read
+    for(tmp8=0; tmp8 < 3; ++tmp8)
+        par.buf[par.buf_index++] = spi_pull_bar();	// get HIGH, MID & LOW
+    }
+chip_disable();
+}
+
+
+void hr_adc_internal_cal(u8 chan)	// Internal calibration of chan
+{
+chip_enable_bar(CSADC);
+spi_push_bar(0 + CONREG);		// Select channel
+spi_push_bar(UB + (chan << 4) + 7);	// Range = 7 (2.56V)
+
+for(tmp8=CALZERO; tmp8 <= CALFS; ++tmp8)
+    {
+    spi_push_bar(0 + MODREG);
+    spi_push_bar(tmp8);			// ZERO and FS Calibration
+    tmp16 = 100;
+    while(tmp16--)			// Wait until Calibration is done
+        {
+        delay(5000);
+        spi_push_bar (64 + MODREG);	//checks mode reg
+        tmp8_1 = spi_pull_bar();
+        if ( (tmp8_1 & 7) == 1)		// MD bits = 001 ?
+            break;
+        }
+    if (!tmp16) 			// Timeout Error
+        par.buf[0] = 'T';
+    }
+if(tmp16)	// There was no timeout error
+    {
+    spi_push_bar(64 + OFFREG);				// OFFSET read
+    for(tmp8=0; tmp8 < 3; ++tmp8)
+        par.buf[par.buf_index++] = spi_pull_bar();	// get HIGH, MID & LOW
+    spi_push_bar(64 + GAINREG);				// GAIN read
+    for(tmp8=0; tmp8 < 3; ++tmp8)
+        par.buf[par.buf_index++] = spi_pull_bar();	// get HIGH, MID & LOW
+    }
+chip_disable();
+}
+
+void hr_select_adc(u8 chan_range) // Select Channel & Input Voltage Range
+{
+chip_enable_bar(CSADC);
+spi_push_bar(0 + CONREG);
+spi_push_bar(UB + chan_range);	 // Caller sends both chan & range info
+chip_disable();
+}
+
+void hr_adc_read()	// Data is deposited in par.buf
+{
+chip_enable_bar(CSADC);
+spi_push_bar(MODREG);		// Write to MODE REG
+spi_push_bar(CONVERT);		// Start single A/D Conversion
+
+tmp16 = 100;
+while(tmp16--)			// Wait until Conversion is done
+    {
+    delay(5000);
+    spi_push_bar (64 + STATREG);	//checks mode reg
+    tmp8 = spi_pull_bar();
+    if (tmp8 & RDY)			// RDY is set ?
+        break;
+    }
+if (!tmp16) 				// Timeout Error
+        par.buf[0] = 'T';
+else
+    {
+    par.buf[par.buf_index++] = tmp8;		// Send Status byte first
+    spi_push_bar(64 + DATREG);			// Command for Data Read
+    par.buf[par.buf_index++] = spi_pull_bar();	// send HIGH first
+    par.buf[par.buf_index++] = spi_pull_bar();	// followed by MID
+    par.buf[par.buf_index++] = spi_pull_bar();	// and LOW
+    }
+chip_disable();
+}
+
+
+void hr_get_cal(void)		// Return Gain & Offset registers 3+3bytes
+{
+chip_enable_bar(CSADC);
+spi_push_bar(64 + OFFREG);			// Command for GAIN read
+for(tmp8=0; tmp8 < 3; ++tmp8)
+  par.buf[par.buf_index++] = spi_pull_bar();	// get HIGH, MID & LOW
+spi_push_bar(64 + GAINREG);			// Command for GAIN read
+for(tmp8=0; tmp8 < 3; ++tmp8)
+  par.buf[par.buf_index++] = spi_pull_bar();	// get HIGH, MID & LOW
+chip_disable();
+}
+
+
+//-------------------- Interrupt Service Routines----------------------
+
+SIGNAL (SIG_OUTPUT_COMPARE0)	// TIMER0 Compare Match Interrupt
+{
+switch(par.irq_func)
+  {
+  case IN_PULSE:
+    if(par.minor_ticks++ == isr_tmp16) 	// Time to toggle
+      {
+      par.minor_ticks = 0;
+
+      isr_tmp8 = PORTC & 0x30;		// get D0 and D1 status
+      if(isr_tmp8)
+          PORTC &= 0xcf;		// clear D0 and D1
+      else
+        PORTC |= 0x30;			// Set D0 and D1
+      }
+    break;
+
+
+  case IN_PMRB:
+/*
+This routine got complicated because of the 128 byte block write requied
+for the SEEPROM chip AT2HP5512. The ADC sampling is done only when the
+Timestamp is a multiple of the 'delay' implies that Sampling is started
+just after PMRB_START command from the PC. For example if delay = 10,
+sampling will start within the next 10 seconds and then repeat every
+10 seconds.
+The upper 256 bytes of par.buf[] is used by this routine. The 8 bit variable
+pmrb_buf_pos is used for indexing. When it crosses the LOWER 128 bytes are
+saved to SEEPROM and when it overflows, the UPPER 128 bytes are saved and
+this process goes on until the requested number of blocks are filled.
+*/
+    if(par.minor_ticks++ == 124) 	// One second elapsed
+      {
+      par.minor_ticks = 0;
+      ++par.pctime;
+      if( (par.pctime % par.pmrb_delay) == 0 )	// Time to Sample ADCs
+        {
+        // Convert ADC channels as per par.pmrb_chlist. Store data
+        for(isr_tmp8=0; isr_tmp8 < par.pmrb_num_chan; ++isr_tmp8)
+          {
+          sbi(ADCSRA, ADIF);
+          ADMUX = AVREF | ((par.adc_size & 1) << 5) | par.pmrb_chlist[isr_tmp8];
+          ADCSRA = BV(ADEN) | BV(ADSC) | SLOW_CONV_MASK;
+          while ( !(ADCSRA & (1<<ADIF)) ) ;	// wait for ADC conversion
+          if(par.adc_size == 2)			// Read ADCL for 10 bit data
+            par.buf[PMRB_INDEX + par.pmrb_bufpos++] = ADCL;
+          par.buf[PMRB_INDEX + par.pmrb_bufpos++] = ADCH;
+          }
+
+        if( (par.pmrb_bufpos & 128) && (par.filling_half == LOWER) )
+          {
+          seeprom_write_enable();
+          while(seeprom_status() & 1);
+          seeprom_write_block(128 * isr_tmp16++, par.buf + PMRB_INDEX);
+          par.filling_half = UPPER;	// Mark the current HALF
+          }
+        else
+        if( !(par.pmrb_bufpos & 128) && (par.filling_half == UPPER) )
+          {
+          seeprom_write_enable();
+          while(seeprom_status() & 1);
+          seeprom_write_block(128 * isr_tmp16++, par.buf+BUFSIZE - 128);
+          par.filling_half = LOWER;
+          }
+
+        if(isr_tmp16 == par.pmrb_numblocks)	// Stop acquiring data
+          {
+          while(seeprom_status() & 1);	// Extra Block for END Time stamp
+          seeprom_write_enable();
+          while(seeprom_status() & 1);
+          seeprom_write_block(128 * isr_tmp16, (u8*) &par.pctime);
+          par.irq_func = CLOCK;
+          }
+        }
+      }
+  break;
+
+  case CLOCK:
+/*
+Increments the 4 byte time stamp loaded from PC, by SETTIME,  every second.
+This is how we keep a local clock so long as power is up. Time stamp
+is required by PMRB functions.
+*/
+    if(par.minor_ticks++ == 124) 	// One second elapsed
+      {
+      par.minor_ticks = 0;
+      ++par.pctime;
+      }
+  break;
+
+  case USERWAVE:	// Output to PWM DAC, whatever loaded by the user
+    OCR2 = eeprom_read_byte((u8ptr)par.minor_ticks++);
+    if(par.minor_ticks == TABLESIZE) par.minor_ticks = 0;
+    break;
+
+  case HRUSERWAVE:		// Same as above, but uses Plug-in Serial DAC
+    chip_enable(CSDAC);
+    isr_tmp8 = eeprom_read_byte( (u8ptr)par.minor_ticks++);
+    spi_push(isr_tmp8);		// Push Upper byte
+    spi_push(0);		// Push Lower byte
+    chip_disable();
+    if(par.minor_ticks == TABLESIZE) par.minor_ticks = 0;
+    break;
+
+  case IN_SMRB:
+/*
+SMRB_START sets the TC0 interrupt 4 times per millisecond. So (4 * adc_delay)
+gives delay in milliseconds. Data is stored in a manner simlar to
+MULTIREADBLOCK.
+*/
+    if(par.minor_ticks++ % (4 * par.adc_delay) )	// 250 us * 4 * adc_delay
+      break;
+
+    for(isr_tmp8=0; isr_tmp8 < par.num_chan; ++isr_tmp8)	// Multi-channel
+      {
+      sbi(ADCSRA, ADIF);
+      ADMUX =  ((par.adc_size & 1) << 5) | par.chlist[isr_tmp8];
+      ADCSRA = BV(ADEN) | BV(ADSC) | SLOW_CONV_MASK;
+      while ( !(ADCSRA & (1<<ADIF)) ) ;		// wait for ADC conversion
+      if(par.adc_size == 2)			// Read ADCL for 10 bit data
+         par.buf[isr_tmp16++] = ADCL;
+      par.buf[isr_tmp16++] = ADCH;
+      }
+
+    if (isr_tmp16 >= (par.num_samples * par.adc_size * par.num_chan + 4) )
+      {
+      par.irq_func = 0;			// Job is over. Caller checks this flag
+      TIMSK &= ~BV(OCIE0);		// Disable Compare0 match interrupts
+      }
+    break;
+
+
+  }
+}
+
+
+SIGNAL (SIG_COMPARATOR)			// HISTOGRAM
+{
+sbi(ADCSRA, ADIF);			// clear old status
+ADMUX = AVREF | BV(ADLAR) | 0;			// chan 0 , left adjest
+ADCSRA = BV(ADEN) | BV(ADSC) | 5;	// Low clock speed
+while ( !(ADCSRA & (1<<ADIF)) ) ;	// wait for ADC conversion
+
+++*( (u16*) par.buf + ADCH + 2);	// Increment location as 16 bit word
+if(*( (u16*) par.buf + ADCH + 2) == 0xffff)
+      ACSR &= ~BV(ACIE);		// Overflow. Disable interrupts
+
+tmp8 = PORTC;				// Clear DRDY flag
+PORTC = tmp8 & 0x7f;			// Take D4 LOW
+PORTC = tmp8 | 0x80;			// and back to HIGH
+}
+
+
+void processCommand()
+{
+/* This routine takes the command and the input data from PC from 'par.buf'.
+The result and output data to the PC are filled back in the same buffer.
+The 'DONE' response filled initially will be over written in case of an error
+in executing the command. At the 'response + output data' is to the PC.
+The number of bytes returned depends on the command and arguments.
+The calling Python routines are written accordingly. In general
+1. Time measurement calls return 1+3 bytes
+2. READBLOCK and MULTIREADBLOCK sends two bytes after the response byte
+indicating the number of data bytes following.
+Using the format "par.buf[par.bufindex++] = byte"
+for filling keeps track of the number of bytes filled in par.bufindex.
+*/
+u8 cmd = par.buf[0];		// Save the Command
+par.buf[0] = DONE;		// Fill reply Assuming Success
+par.buf_index = 1;		// Filling of return Data from second byte onwards
+
+switch(cmd)
+    {
+/*
+The normal MULTIREADBLOCK call allows a delay is specified in microseconds.
+When digitizing the maximum possible 800 samples at a delay of 3000 the total
+time takes in 2.4 seconds. Waiting long for ATmega16 at PC end may create
+a feeling that the program is not responding. The Interrupt driver
+SLOW MULTI READ BLOCK (SMRB) is called in a manner similar to MRB.
+The delay specified is taken as in milliseconds. The call returns after setting
+the ISR and the user program can collect the data later, after checking the
+status using SMRB_STATUS. The SMRB_GETDATA will get the colelcted data,
+formatted same as MRB. This call can be used for slowly varying waveforms.
+Pendulum digitization is one example. When an SMRB is in progress, do not use
+the ADC reads or 'get_frequency()' function. They will mess up SMRB.
+*/
+    case SMRB_START:
+      if ( (par.num_samples * par.adc_size * par.num_chan) > BUFSIZE)
+        {
+        par.buf[0] = INVBUFSIZE;
+        break;
+        }
+      isr_tmp16 = 4;		// First 4 bytes status + chmask + nwords;
+      par.irq_func = IN_SMRB;		// Set the function for ISR
+      par.minor_ticks = 0;
+      OCR0 = 249;			// Tick every 250 usecs
+      TCCR0 = BV(WGM01) | BV(CS01);	// TC0 in Wavegen mode, Clock/8, 1 usec
+      TIMSK = BV(OCIE0);		// Enable Compare0 match interrupts
+      break;
+
+    case SMRB_GETDATA:
+      par.buf[1] = par.chmask | (par.adc_size << 4);	// chmask + size
+      tmp16 = isr_tmp16-4;	// Number of data bytes filled so far
+      par.buf[2] = tmp16 & 255;
+      par.buf[3] = (tmp16 >> 8) & 255;
+      par.buf_index = tmp16 + 4;	// 1 status + 1 MRB info + 2 size + data
+      break;
+
+    case SMRB_STATUS:
+      if(par.irq_func == IN_SMRB)
+        par.buf[par.buf_index++] = TRUE;
+      else
+        par.buf[par.buf_index++] = FALSE;
+      tmp16 = isr_tmp16;
+      par.buf[par.buf_index++] = tmp16 & 255;
+      par.buf[par.buf_index++] = (tmp16 >> 8) & 255;
+      break;
+
+//---------------- Top panel SPI fine control functions. -----------------
+    case SPI_PULL:
+      par.buf[par.buf_index++] = spi_pull();
+      break;
+
+    case SPI_PULL_BAR:
+      tmp8 = spi_pull_bar();
+      par.buf[par.buf_index++] = tmp8;
+      break;
+
+    case SPI_PUSH:		// Send one byte to SPI
+      spi_push(par.buf[1]);
+      break;
+
+    case SPI_PUSH_BAR:		// Send one byte to SPI
+      spi_push_bar(par.buf[1]);
+      break;
+
+    case CHIP_ENABLE:		// Enable an SPI device
+      chip_enable(par.buf[1]);
+      break;
+
+    case CHIP_ENABLE_BAR:	// Enable an SPI device
+      chip_enable_bar(par.buf[1]);
+      break;
+
+    case CHIP_DISABLE:		// Disable an SPI device
+      chip_disable();
+      break;
+//----------------------------------------------------------------------
+    case COPY_E2S:
+    /*
+    Used only for trouble shooting the SEEPROM Plugin. This will copy 128 bytes
+    from the beginning of the internal EEPROM to the AT25HP512
+    Serial EEPROM plugged into the front side slot.
+    Important : This one uses isr_tmp16.
+    */
+      TIMSK &= ~BV(OCIE0);	// Disable Compare0 interrupts, for isr_tmp16
+      isr_tmp16 = par.buf[2] << 8;	// high byte of address
+      isr_tmp16 |= par.buf[1];		// low byte of address
+      tmp16 = 0;
+      while (tmp16 < 128)
+          par.buf[par.buf_index++] = eeprom_read_byte((u8ptr)tmp16++);
+      seeprom_write_enable();
+      seeprom_write_block(isr_tmp16, par.buf+1); // write at the address
+      par.buf_index = 1;
+      break;
+
+    case GETMCUSTAT:
+/*
+  Used only for trouble shooting. More registers can be added as and when
+  required. The get_mcustatus() in phm.py must be changed accordingly.
+*/
+      par.buf[par.buf_index++] = DDRA;
+      par.buf[par.buf_index++] = DDRB;
+      par.buf[par.buf_index++] = DDRC;
+      par.buf[par.buf_index++] = DDRD;
+      break;
+
+    case GETVERSION:
+      memcpy_P(&par.buf[1], version,5);
+      par.buf_index += 5;
+      break;
+
+    case LCD_INIT:		// Set Plugin port for LCD and clear LCD
+      initDisplay();
+      break;
+
+    case LCD_PUTCHAR:		// Send one character to LCD
+      writeLCD(par.buf[1]);
+      break;
+
+    case DIGOUT:
+      PORTC = (par.buf[1] << 4) | 15;
+      break;
+
+    case DIGIN:
+      par.buf[par.buf_index++] = PINC & 15;
+      break;
+
+    case READACOMP:
+      par.buf[par.buf_index++] = (ACSR >> ACO) & 1;
+      break;
+
+    case SETDDR:
+      if(par.buf[1] == 0) DDRA = par.buf[2];
+      else if(par.buf[1] == 1) DDRB = par.buf[2];
+      else if(par.buf[1] == 2) DDRC = par.buf[2];
+      else if(par.buf[1] == 3) DDRD = par.buf[2];
+      break;
+
+    case SETPORT:
+      if(par.buf[1] == 0) PORTA = par.buf[2];
+      else if(par.buf[1] == 1) PORTB = par.buf[2];
+      else if(par.buf[1] == 2) PORTC = par.buf[2];
+      else if(par.buf[1] == 3) PORTD = par.buf[2];
+      break;
+
+    case GETPORT:
+      if(par.buf[1] == 0) par.buf[par.buf_index++] = PINA;
+      else if(par.buf[1] == 1) par.buf[par.buf_index++] = PINB;
+      else if(par.buf[1] == 2) par.buf[par.buf_index++] = PINC;
+      else if(par.buf[1] == 3) par.buf[par.buf_index++] = PIND;
+      break;
+
+      break;
+
+
+
+//---------------------------ADC related functions-----------------------
+    case SETADCSIZE:
+/*
+The 10 bit ADC output can be made 8 bit by the LEFT ADJUST option. This reduces
+the data size from two bytes one byte. User can select this option.
+*/
+        if(par.buf[1] > 2)
+          {
+          par.buf[0] = INVARG;
+          break;
+          }
+        par.adc_size = par.buf[1];
+        break;
+
+    case SETCURCHAN:
+/*
+The ADC input channel to be used by the subsequent ADCREAD and READBLOCK calls.
+*/
+      if(par.buf[1] <= 4)
+        par.current_chan = par.buf[1];
+      else
+        par.buf[0] = INVARG;
+      break;
+
+    case SETNUMSAMPLES:
+/*
+Number of samples for BLOCKREAD and MULTIREADBLOCK calls. The upper limit
+is decided by the RAM available, 800 bytes buffer for ATMEGA16
+*/
+      tmp16 = par.buf[2] << 8;		// Shift High bytes
+      tmp16 |= par.buf[1];		// Low bytes came first
+      par.num_samples = tmp16;
+      break;
+
+
+    case ADCREAD:
+/*
+Samples the currently selected ADC input channel and does a coversion.
+Using smaller clock frequency for better accuracy.
+*/
+      sbi(ADCSRA, ADIF);			// clear old status
+      ADMUX = AVREF | ((par.adc_size & 1) << 5) | par.current_chan;
+      ADCSRA = BV(ADEN) | BV(ADSC) | SLOW_CONV_MASK;	// Low clock speed
+      while ( !(ADCSRA & (1<<ADIF)) ) ;		// wait for ADC conversion
+      if(par.adc_size == 2)			// Read ADCL for 10 bit data
+         par.buf[par.buf_index++] = ADCL;
+      par.buf[par.buf_index++] = ADCH;
+      sbi(ADCSRA, ADIF);
+      break;
+
+    case SETADCDELAY:
+/*
+The time interval between two digitizations in the READBLOCK calls is set here.
+The ADC clock speed is set to get the highest possible conversion time that is
+less than the requested interval. Slow conversions have better accuracy.
+*/
+      tmp16 = par.buf[2] << 8;		// Shift High bytes
+      tmp16 |= par.buf[1];		// Low bytes came first
+      if( (tmp16 < 7) || (tmp16 > MAXDELAY) )
+        {			// 10 to 1000 usecs between digittizations
+        par.buf[0] = INVARG;
+        break;
+        }
+      par.adc_delay = tmp16;	// One less for the OCR1A register
+
+      if(tmp16 < 20)
+        par.adc_ctmask = 1;
+      else
+      if(tmp16 < 40)
+        par.adc_ctmask = 2;
+      else
+      if(tmp16 < 80)
+        par.adc_ctmask = 3;
+      else
+      if(tmp16 < 160)
+        par.adc_ctmask = 4;
+      else
+      if(tmp16 < 320)
+        par.adc_ctmask = 5;
+      else
+        par.adc_ctmask = 6;	// ADCclk = (8MHz/64) = 125 KHz
+      break;
+
+
+    case SETACTION:
+/* For certain experiments we need to start digitizing a waveform just after
+changing the state of some of the DIGITAL Output sockets. Depending on the value
+of the variable 'par.set', the bits are SET or CLEARED according to the
+values of 'par.setmask.
+*/
+      par.set = par.buf[1];	// 1=> SET, 2=> CLR, 3=> +Pulse, 4=> -Pulse
+      par.setmask = par.buf[2] << 4;	// The bits to be set or cleared
+      break;
+
+    case WAITACTION:
+/* For digitizing a transient waveform, we wait for a LEVEL transition on one
+of the DIGITAL input Sockets, before proceeding towards the digitization.
+*/
+      par.wait = par.buf[1];		// 1 => rising edge, 2 => falling
+      par.waitmask = par.buf[2];	// bits to wait on
+      break;
+
+    case ADDCHAN:			// For MRB calls
+/*
+The MULTIREADBLOCK call digitizes the channels as per 'par.chmask'.
+par.chlist[] is made from chmask. The order in which channels are selected is
+decided by 'par.chlist'. For example, if chmask is 1001 binary, chlist becomes
+chlist = {1,0,0,1}. MULTIREADBLOCK digitizes first and fourth channels.
+ADDCHAN is for adding a channel to the list and DELCHAN for removing one.
+par.num_chan and par.chlist[] are evaluated every time you change 'par.chmask'.
+*/
+      if(par.buf[1] > 3)		// Channels from 0 to 3 only
+          {
+          par.buf[0] = INVARG;
+          break;
+          }
+      par.chmask |= 1 << par.buf[1];	// Set the bit in mask
+      par.num_chan = 0;
+      for(tmp8 = 0; tmp8 < 4; ++tmp8)	// Re-arrange the list. Set numchan
+        if( (1 << tmp8) & par.chmask)
+          par.chlist[par.num_chan++] = tmp8;
+      break;
+
+    case DELCHAN:			// For MRB calls
+      if(par.buf[1] > 3)		// Channels from 0 to 3 only
+          {
+          par.buf[0] = INVARG;
+          break;
+          }
+      par.chmask &= ~(1 << par.buf[1]);	// Clear the bit in mask
+      par.num_chan = 0;
+      for(tmp8 = 0; tmp8 < 4; ++tmp8)	// Re-arrange the list. Set numchan
+        if( (1 << tmp8) & par.chmask)
+          par.chlist[par.num_chan++] = tmp8;
+      break;
+
+    case GETCHANMASK:
+        par.buf[par.buf_index++] = par.chmask | (par.adc_size << 4);
+        break;
+
+    case ADCTRIGLEVELS:
+/*
+To get a stable display of periodic waveform, every time the digitization
+should start roughly at the same position of the waveform. Two levels are
+specified to allow rising and falling edge triggering. See the MULTIREADBLOCK
+below to see the usage of the variables below.
+*/
+      par.tr1 = par.buf[1];			// First Trigger level
+      par.tr2 = par.buf[2];			// Second Trigger Level
+      break;
+
+
+    case READBLOCK:
+    case MULTIREADBLOCK:
+/*
+READBLOCK digitizes ADC input 'current_chan'. Number of samples is limited to
+BUFSIZE if 'adc_size' is one byte, half of it for two byte 'adc_size'.
+The time interval between samples is decided by 'par.adc_delay'.
+
+MULTIREADBLOCK digitizes upto four channels as per the current chmask[],
+num_samples and adc_size. Total data output should not exceed BUFSIZE.
+In one byte size, upto 200 samples possible when all four channels
+are selected.
+
+SET, CLEAR and PULSE on Digital Output Sockets and WAIT on Digital Inputs
+are common to both calls.
+*/
+      if (cmd == READBLOCK)	// Command is stored in cmd
+        {
+        ADMUX = AVREF | BV(ADLAR) | par.current_chan;	// Trigger Source
+        if(par.num_samples * par.adc_size > BUFSIZE)
+          {
+          par.buf[0] = INVBUFSIZE;
+          break;
+          }
+        }
+      else	// This is an MRB call
+        {
+        ADMUX = AVREF | BV(ADLAR) | par.chlist[0];	// Trigger Source
+        if ( (par.num_samples * par.adc_size * par.num_chan) > BUFSIZE)
+          {
+          par.buf[0] = INVBUFSIZE;
+          break;
+          }
+        }
+      // Second byte: Returns info on chmask and adc_size to the caller.
+      par.buf[par.buf_index++] = par.chmask | (par.adc_size << 4);
+
+/*
+Operations on Digital I/O Sockets just before block reads are done here,
+based on the values of par.set, par.wait, par.setmask and par.waitmask.
+par.set: 1 for SET; 2 for CLR; 3 for HIGH PULSE; 4 for LOW PULSE
+*/
+      if(par.set == 1)		// Check for SET/CLR type Actions
+        PORTC |= par.setmask;	// Set the output bits as per mask
+      else
+      if(par.set == 2)
+        {
+        tmp8 = PORTC & (~par.setmask);	// Clear the output bits as per mask
+        PORTC = tmp8 | 15;		// 15 maintains pullup on read lines
+        }
+      else
+      if(par.set == 3)			// HIGH TRUE PULSE
+        {
+        tmp8 = PORTC;			// Set the output bit as per mask
+        PORTC |= par.setmask;		// Set the output bits as per mask
+        delay_us(par.pulse_width);
+        PORTC = tmp8 | 15;		// Restore the old value
+        }
+      else
+      if(par.set == 4)			// LOW TRUE PULSE
+        {
+        tmp8 = PORTC;			// Clear the output bit as per mask
+        PORTC = (tmp8 & ~par.setmask) | 15;	// 15 maintains pullups
+        delay_us(par.pulse_width);
+        PORTC = tmp8 | 15;		// Restore the old value
+        }
+
+      if(par.wait == 1)			// Check for WAIT actions
+        {
+        if(!clear_on_rise(par.waitmask))  // Wait for a rising edge
+          break;
+        }
+      else
+      if(par.wait == 2)
+        {
+        if(!clear_on_fall(par.waitmask))  // Wait for a falling edge
+          break;
+        }
+
+      if( (par.set == 0) && (par.wait == 0) )	// No conditions, so trigger
+        {
+        for(tmp16 = 0; tmp16 < 2000; ++tmp16)
+          {
+          ADCSRA = BV(ADEN) | BV(ADSC) | par.adc_ctmask;
+          while ( !(ADCSRA & (1<<ADIF)) ) ;	// wait for ADC conversion
+          sbi(ADCSRA, ADIF);
+          tmp8 = ADCH;				// Initial point
+
+          ADCSRA = BV(ADEN) | BV(ADSC) | par.adc_ctmask;
+          while ( !(ADCSRA & (1<<ADIF)) ) ;	// wait for ADC conversion
+          sbi(ADCSRA, ADIF);
+          tmp8_1 = ADCH;
+
+          if(par.tr1 < par.tr2)		// Rising Edge Trigger
+            {
+            if( (tmp8 < tmp8_1) && (tmp8 > par.tr1) && (tmp8 < par.tr2) )
+              break;
+            }
+          else				// Falling Edge Trigger
+          if( (tmp8 > tmp8_1) && (tmp8 < par.tr1) && (tmp8 > par.tr2) )
+              break;			// Falling Edge Trigger reached
+          }
+        }
+
+      // Wavegen mode, Clock/8 to TCC1. TCNT1 clears when it matches OCR1A
+      TCCR1B = BV(WGM12) | BV(CS11);
+
+      // Digitization starts. Code for RB and MRB are different from here.
+      if(cmd == READBLOCK)	// Command is stored in cmd
+        {
+        ADMUX = AVREF |((par.adc_size & 1) << 5) | par.current_chan; // MUX
+        OCR1A = par.adc_delay - 1;
+        TCNT1 = 0;		// Reset TCNT1 before intering loop
+        for(tmp16=0; tmp16 < par.num_samples; ++tmp16)
+          {
+          ADCSRA = BV(ADEN) | BV(ADSC) | par.adc_ctmask;
+          while ( !(ADCSRA & (1<<ADIF)) ) ;	// wait for ADC conversion
+          if(par.adc_size == 2)			// Read ADCL for 10 bit data
+             par.buf[par.buf_index++] = ADCL;
+          par.buf[par.buf_index++] = ADCH;
+          sbi(ADCSRA, ADIF);		// reset ADC flag
+          while(TCNT1 > 2) ;		// Wait until CTC clears TCNT1
+          }
+        ADCSRA = BV(ADEN);			// Leave ADC enabled
+        TCCR1B = BV(CS11);			// T/C to Normal mode, Clock/8
+        break;
+        }
+
+      // MULTIREADBLOCK Code starts here
+      if(par.adc_ctmask < 2) 	// ADC conversion time mask
+        ADCSRA = BV(ADEN) | par.adc_ctmask;
+      else
+        ADCSRA = BV(ADEN) | 2;
+      tmp8 = (par.adc_size & 1) << 5;	// ADLAR  BIT
+      ADMUX = AVREF | tmp8 | par.chlist[0];
+      OCR1A = par.num_chan * par.adc_delay - 1;
+      tmp16 = 0;
+      TCNT1 = 0;		// Reset TCNT1 before intering loop
+      while(tmp16 < par.num_samples)
+        {
+        tmp8_1 = 0;
+        while(tmp8_1 < par.num_chan)
+          {
+          ADCSRA |= BV(ADSC);		// Start Conversion
+          ++tmp8_1;
+          if(tmp8_1 < par.num_chan)
+            ADMUX = AVREF | tmp8 | par.chlist[tmp8_1]; 	// Next
+          else
+            ADMUX = AVREF | tmp8 | par.chlist[0]; 	// First in list
+          while ( !(ADCSRA & (1<<ADIF)) ) ;	// wait for ADC conversion
+          if(par.adc_size == 2)			// Read ADCL for 10 bit data
+             par.buf[par.buf_index++] = ADCL;
+          par.buf[par.buf_index++] = ADCH;
+          sbi(ADCSRA, ADIF);			// reset ADC flag
+          }
+        ++tmp16;
+        while(TCNT1 > 2) isr_tmp16 = TCNT1;	// Wait until CTC clears TCNT1
+        }
+      ADCSRA = BV(ADEN);			// Leave ADC enabled
+      TCCR1B = BV(CS11);			// T/C to Normal mode, Clock/8
+      break;
+
+
+    case AINPERIOD:
+/*
+Connect the specified ADC input channel internally to the Analog Comparator
+and measure the time interval between two consecutive rising edges.
+*/
+      ADCSRA = 0;
+      ADMUX = AVREF | (par.buf[1] & 3);	// only four channels to look for
+      SFIOR |= BV(ACME);
+
+      tmp16 = 0;
+      tmp8_1 = par.buf[1] & 15;		// 4 LSBs
+      TCNT1 =0;
+      HTM = 0;
+      if(!wait_for_low(0)) break;	// Make sure the level is LOW
+      if(!clear_on_rise(0))break;	// Clear counter on rising edge src pin
+      if(!wait_for_low(0)) break;
+      mark_on_rise(0);			// Store counters at rising edge
+      break;
+
+/*---------------------------------------------------------------------------
+ Time interval measurement functions using DIGITAL I/O and ACOMP Sockets.
+The 16 bit Timer/Counter is used for time measurements. A 1 MHz clock is fed
+to the counter and the variable HTM is incremented after when it reaches 50000.
+Timeout is provided on all time measurements.
+
+Measures the time interval between a Rising edge on one Input to the Falling edge
+on another. The 8 bit input data specifies the Input Sockets to wait for.
+The lower 4 bits contain the Sockets to look for the First Transition.
+For example par.buf[1] = 00100001, time between a rising edge on D0 to a falling
+edge on D1 is measured. In fact it is possible to wait for more than one sockets
+at the same time and which ever come first can be taken. At the moment the
+Python function sets only a single bit in each half.
+A special case arise when all the 4 bits are zero. In that case transition on
+the Analog Comparator input Socket is waited for.
+For R2R, R2F,F2R and F2F type calls both Start and Stop could same or different.
+*/
+    case R2RTIME:
+      tmp8_1 = par.buf[1];		// 4 LSBs source pins -4 MSBs end pins
+      if(clear_on_fall(tmp8_1 & 15))	// Just make sure the level is LOW
+        if(clear_on_rise(tmp8_1 & 15))	// Clear counter on rising edge src pin
+          if(wait_for_low(tmp8_1 & 15))	// Wait for it to go LOW
+            mark_on_rise(tmp8_1 >> 4);	// Store counters at rising edge dst pin
+      break;
+
+    case R2FTIME:
+      tmp8_1 = par.buf[1];		// 4 LSBs source pins -4 MSBs end pins
+      if(clear_on_fall(tmp8_1 & 15))	// Just make sure the level is LOW
+        if(clear_on_rise(tmp8_1 & 15))	// Clear counter on rising edge src pin
+          mark_on_fall(tmp8_1 >> 4);	// Store counters at falling edge dst pin
+      break;
+
+      if(!wait_for_low(0)) break;	// Make sure the level is LOW
+
+    case F2RTIME:
+      tmp8_1 = par.buf[1];	// 4 LSBs source pins --4 MSBs end pins
+      if(clear_on_rise(tmp8_1 & 15))	//Just make sure the level is HIGH
+        if(clear_on_fall(tmp8_1 & 15))	//Clear counter on falling of src pin
+          mark_on_rise(tmp8_1 >> 4);	// Store counters at rising of dst pin
+    break;
+
+    case F2FTIME:
+      tmp8_1 = par.buf[1];		// 4 LSBs source pins -4 MSBs end pins
+      if(clear_on_rise(tmp8_1 & 15))	// Just make sure the level is LOW
+        if(clear_on_fall(tmp8_1 & 15))	// Clear counter on rising edge src pin
+          if(wait_for_high(tmp8_1 & 15))// Wait for it to go HIGH
+            mark_on_fall(tmp8_1 >> 4);	// Store counters at falling edge dst pin
+      break;
+
+    case MULTIR2R:			// Multiple cycles on the same pin
+/*
+Measures the time interval between two rising edges on the same Input Socket.
+The 4 LSBs of the first argument specifies the Input Socket to look for.
+The second argument specifies the number of rising edges to be skipped in between
+the two edges measured. For example par.buf[2] = 9 returns the time taken
+for 10 cycles. Averaging is useful for  better measurement accuracy.
+*/
+      tmp16 = 0;
+      tmp8_1 = par.buf[1] & 15;		// 4 LSBs
+      TCNT1 =0;
+      HTM = 0;
+      if(!wait_for_low(tmp8_1)) break;	// Make sure the level is LOW
+      if(!clear_on_rise(tmp8_1))break;	// Clear counter on rising edge src pin
+      if(!wait_for_low(tmp8_1)) break;
+
+      while (par.buf[2]--)
+        {
+        if(!wait_for_high(tmp8_1))break;
+        if(!wait_for_low(tmp8_1)) break;
+        }
+
+      if(par.buf[0] == TIMEOUT) break;
+
+      mark_on_rise(tmp8_1);		// Store counters at rising edge
+      break;
+
+    case TPEND:				// Pendulum T using light barrier
+/*
+This is no more required. multi_r2r() with skip = 1 does the job.
+This function was written to take care of the noise in light barrier output.
+*/
+      tmp16 = 0;
+      tmp8_1 = par.buf[1] & 15;		// 4 LSBs
+      TCNT1 =0;
+      HTM = 0;
+      if(!wait_for_low(tmp8_1)) break;	// Make sure the level is LOW
+      d100us(1);
+      if(!clear_on_rise(tmp8_1))break;	// Clear counter on rising edge src pin
+      d100us(1);
+      if(!wait_for_low(tmp8_1)) break;
+      d100us(1);
+      if(!wait_for_high(tmp8_1))break;
+      d100us(1);
+      if(!wait_for_low(tmp8_1)) break;
+      d100us(1);
+      mark_on_rise(tmp8_1);	// Store counters at rising edge
+      break;
+
+    case SET2RTIME:	// Argument: 4 LSBs Source pins --4 MSBs end pins
+/*
+Sets the DIGITAL Output Sockets as per the 4 MSBs of the argument and measures
+the time from that to a rising edge on the Input Socket specified by the 4 LSBs
+of the argument. SET2F, CLR2R and CLR2F are similar functions.
+*/
+      PORTC |= par.buf[1] << 4;
+      HTM = 0;
+      TCNT1 = 0;
+      mark_on_rise(par.buf[1] >> 4);	// Store counters at rising of read pin
+    break;
+
+    case SET2FTIME:	// Argument: 4 LSBs source pins --4 MSBs end pins
+      PORTC |= par.buf[1]<<4;
+      HTM = 0;
+      TCNT1 = 0;
+      mark_on_fall(par.buf[1] >> 4);	// Store counters at falling of dst pin
+    break;
+
+    case CLR2RTIME:		// 4 LSBs source pins --4 MSBs end pins
+      tmp8_1 = (par.buf[1] << 4) & 0xf0;// 4 LSBs are source, shift them
+      PORTC &= ~tmp8_1;			// Clear the source bit
+      HTM = 0;
+      TCNT1 = 0;
+      mark_on_rise(par.buf[1] >> 4);	// Store counters at rising of dst pin
+    break;
+
+    case CLR2FTIME:
+      tmp8_1 = (par.buf[1] << 4) & 0xf0;// 4 LSBs are source, shift them
+      PORTC &= ~tmp8_1;			// Clear the source bit
+      HTM = 0;
+      TCNT1 = 0;
+      mark_on_fall(par.buf[1] >> 4);	// Store counters at falling of dst pin
+    break;
+
+
+    case SETPULSEWIDTH:			// For the  PULSE2*TIME functions
+/*
+The width of the pulse generated by the PULSE2RTIME and PULSE2FTIME calls
+are set here. Used by SETACTION pulse before BLOCK READ also.
+*/
+      par.pulse_width = par.buf[1];
+      break;
+
+    case SETPULSEPOL:			// For the PULSE2* functions
+/*
+Polarity of '0' means the pulse will go from LOW to HIGH and come back to LOW
+after 'pulse_width' microseconds. The Digital Output must be made LOW before
+making this call, otherwise you will get a STEP only.
+Polarity '1' implies a HIGH to LOW and going back to HIGH. Digital output
+must be set to HIGH before calling it.
+*/
+      par.pulse_pol = par.buf[1];
+      break;
+
+    case PULSE2RTIME:	// Lower nibble output, upper inputs
+/*
+Sends a Pulse on the specified, by 4 LSBs, Digital Output Socket and waits for
+a rising edge on the Input Sockets specified by 4 MSBs of the argument.
+Time taken in microseconds is returned.
+*/
+      tmp8_1 = (par.buf[1]<<4) & 0xf0;	// get the output pins mask
+      if(!par.pulse_pol)		// HIGH TRUE pulse
+        {
+        PORTC |= tmp8_1;		// Set source bit
+        delay_us(par.pulse_width);
+        PORTC &= ~tmp8_1;		// Restore old value
+        }
+      else				// LOW TRUE pulse
+        {
+        PORTC &= ~tmp8_1;		// Clear source bit
+        delay_us(par.pulse_width);
+        PORTC |= tmp8_1;		// Restore old value
+        }
+      delay_us(PULSEDEADTIME);		// To avoid false trigger
+      HTM = 0;
+      TCNT1 = PULSEDEADTIME;		// add that up in the result
+
+      mark_on_rise(par.buf[1] >> 4);	// Store counters at rising of dst pin
+    break;
+
+    case PULSE2FTIME:		// Argument: 4LSB write pins  - 4MSB read pins
+      tmp8_1 = (par.buf[1]<<4) & 0xf0;	// get the output pins mask
+      if(!par.pulse_pol)		// HIGH TRUE pulse
+        {
+        PORTC |= tmp8_1;		// Set source bit
+        delay_us(par.pulse_width);
+        PORTC &= ~tmp8_1;		// Restore old value
+        }
+      else				// LOW TRUE pulse
+        {
+        PORTC &= ~tmp8_1;		// Clear source bit
+        delay_us(par.pulse_width);
+        PORTC |= tmp8_1;		// Restore old value
+        }
+      delay_us(PULSEDEADTIME);		// To avoid false trigger
+      HTM = 0;
+      TCNT1 = PULSEDEADTIME;		// add that up in the result
+      mark_on_fall(par.buf[1] >> 4);	// Store counters at falling of dst pin
+    break;
+
+
+    case SETCOUNTER2:
+/*
+Sets the Timer/Counter 2 using the two arguments send by caller. A Square wave
+output is generated on the PWG output socket.
+*/
+      if(par.buf[1] <= 7)
+        {
+        TCCR2 = BV(WGM21) | BV(COM20) | par.buf[1];	// CTC mode
+        OCR2 = par.buf[2];
+        TCNT2 = 0;
+        }
+      else
+        par.buf[0] = INVARG;
+      break;
+
+    case SETDAC:			// Set the PWM DAC
+/* The PWG output is filtered by an RC network (R = 10K, c = 0.1 uF) and
+connected to the DAC Socket. This feature is not avilable along with
+the SETCOUNTER2 feature since they use the same PWG output.
+*/
+      OCR2 = par.buf[1];
+      TCCR2 = BV(WGM21) | BV(WGM20) | BV(COM21) | BV(CS20); // Fast PWM mode
+      TCNT2 = 0;
+      break;
+
+    case COUNT:
+/*
+This function returns the number of pulses received on the Clock Input
+of the 8 bit Timer/Counter0 in one second. Calling this function will
+disturb the operation of functions using the Timer Interrupt features.
+For example SETTIME, GETTIME functions use Timer0 interrupts to
+maintain a clock.
+*/
+      cli();
+      tmp8_1 = TCCR0;			// Save TCCR0
+      TCCR0 = 0;
+      tmp16 = 0;
+      tmp8 = 0;
+      TIFR |= BV(TOV0);			// Clear TCC0 OVF flag
+      TCNT0 = 0;			// counts external input
+      TCNT1 = 0;			// to keep time with clk/8
+      TCCR0 = 7;			// TC0 counts External  clock
+
+      while(1)
+        {
+        if(TCNT1 >= TIMERSIZE)		// 50000 usecs elapsed
+          {
+          TCNT1 = 0;			// Reset it
+          if (++tmp8 == 20)
+            {
+            TCCR0 = 0;			// Stop counting
+            break;
+            }
+          }
+
+        if(TIFR & BV(TOV0))		// TC0 overflow after 255 counts
+          {
+          ++tmp16;
+          TIFR |= BV(TOV0);		// Clear OVF flag
+          }
+        }
+      par.buf[par.buf_index++] = TCNT0;
+      par.buf[par.buf_index++] = tmp16 & 255;
+      par.buf[par.buf_index++] = tmp16 >> 8;
+      TCCR0 = tmp8_1;			// Restore TCCR0
+      ++par.pctime;			// Compensate the second we took
+      sei();
+      break;
+
+
+/*------------------------------------------------------------------------
+Radiation Detection System Plug-in card routines for processing input signals
+coming at random intervals. The Radiation Detection Plugin circuit takes
+ACOMP input LOW when a pulse comes. The stretched pulse is fed to ADC ch0.
+The ACOMP interrupt routine digitizes the data and makes a 256 channel
+histogram with 16 bit per channel. The interrupts are automatically disabled
+if any of the channels reach 65535. A LOW TRUE pulse is send on D4 to clear
+the Plug-in card's Data Ready signal.
+*/
+    case STARTHIST:
+      ACSR = BV(ACIS1) | BV(ACIS0);	// AIN+ = 1.23V, F.edge interrupt
+      ACSR |= BV(ACBG) | BV(ACIE);	// AIN+ = 1.23V, F.edge interrupt
+      tmp8 = PORTC;			// LOW TRUE Pulse on output D3
+      PORTC = tmp8 & 0x7f;		// to clear any pending DRDY flag
+      PORTC = tmp8 | 0x80;
+      break;
+
+    case READHIST:
+      par.buf_index = 514;		// 1 status + 1 pad + 512 bytes data
+      break;
+
+    case CLEARHIST:
+      for(tmp16 = 1; tmp16 < 515; ++tmp16)	// Clear the buffer
+        par.buf[tmp16] = 0;
+      break;
+
+    case STOPHIST:
+      ACSR &= ~BV(ACIE);		// disable AC interrupt
+      break;
+
+/*------------------------------------------------------------------------
+Functions below are based on the TC0 interrupt. They setup TC0 registers
+and other required variables and return. The work is carried out later by
+the interrup service routine "SIGNAL (SIG_OUTPUT_COMPARE0)".
+PC collects the results later using appropriate function calls.
+*/
+
+    case SETTIME:
+/*
+Initialize the 32 bit integer 'pctime' to the Timestamp send from the PC.
+The ISR is set to run after every 8 milliseconds and it increments 'pctime' once
+in a second. GETTIME returns the current value of 'pctime' to the PC.
+*/
+      par.irq_func = CLOCK;		// maintain a local clock
+      par.pctime = par.buf[4];
+      par.pctime = (par.pctime << 8) | par.buf[3];
+      par.pctime = (par.pctime << 8) | par.buf[2];
+      par.pctime = (par.pctime << 8) | par.buf[1];
+      par.minor_ticks = 0;
+
+      TCCR0 = BV(WGM01) | BV(CS02);	// Wavegen mode, Clock/256 to TCC0
+      sbi(TIFR,OCF0);			// Clear pending int. flag, if any
+      OCR0 =  249;			// Interrupt every 32*250=8000 usec
+      TCNT0 = 0;			// ADC starts here OCR1B = TCNT1
+      TIMSK = BV(OCIE0);		// Enable Compare A match interrupts
+
+      break;
+
+    case GETTIME:
+      par.buf[par.buf_index++] = par.pctime & 255;
+      par.buf[par.buf_index++] = (par.pctime >> 8) & 255;
+      par.buf[par.buf_index++] = (par.pctime >> 16) & 255;
+      par.buf[par.buf_index++] = (par.pctime >> 24) & 255;
+      break;
+
+    case SETWAVEFORM:
+/*
+Configures TC0 interrupt to run in multiples of 32 microseconds. The DAC output
+is set by the ISR. The sinewave table is part of the code. Ramp and Triagular
+waves are generated by calculations. Generates waves from 0.5 Hz to 125 Hz.
+The wave forms are not of great quality since we do not have a proper DAC,
+we use the PWM DAC
+*/
+      par.irq_func = par.buf[2];		// Set the type of wave
+      if(par.irq_func == HRUSERWAVE)		// Use plug-in DAC
+        {
+//        SDACP_DIR |= SDACP_DMASK;		// Set direction for SDAC
+//        DDRA = PA_SPIMASK;	      		// and SPI communication
+        }
+      else
+        TCCR2 = BV(WGM21) | BV(WGM20) | BV(COM21) | BV(CS20); // use PWM DAC
+
+      par.minor_ticks = 0;		// Used by ISR
+      isr_tmp16 = 0;			// Used by TRI and RAMP
+      OCR0 = par.buf[1];		// Tick every 32 * par.buf[1] usecs
+      TCCR0 = BV(WGM01) | BV(CS02);	// TC0 in Wavegen mode, Clock/256, 32 usec
+      TIMSK = BV(OCIE0);		// Enable Compare0 match interrupts
+      break;
+
+    case STOPWAVE:
+      par.irq_func = 0;			// Mark that we are through
+      TIMSK &= ~BV(OCIE0);		// Disable Compare0 match interrupts
+      break;
+
+    case PULSE_D0D1:
+      par.irq_func = IN_PULSE;		// Mark where we are
+      par.minor_ticks = 0;		// use this as a counter
+      isr_tmp16 = par.buf[2] << 8;	// Toggle D0 and D1 when
+      isr_tmp16 |= par.buf[1];		// minor_ticks reaches isr_tmp16
+      OCR0 = 0;				// Tick every 32 usecs
+      TCCR0 = BV(WGM01) | BV(CS02);	// TC0 in Wavegen mode, Clock/256, 32 usec
+      TIMSK = BV(OCIE0);		// Enable Compare0 match interrupts
+      break;
+
+
+//------Functions using the Serial EEPROM Plug-in Module------------------
+
+    case PMRB_START:
+/*
+PROM MULTI READ BLOCK, PMRB, is meant for converting Phoenix-M into a multi-
+channel data logger. It requires the SEEPROM plug-in module. PMRB_START
+is called with the number of Samples and the delay between digitizations.
+SETTIME must be called before calling PMRB.
+The adc_size and active channel information should be set earlier.
+For its operations PMRB uses the last 256 bytes of the 800 byte buffer.
+It is possible to use BLOCKREADS during PMRB in progress but a digitization
+but there is a small probability of PMRB interrupt striking in between and
+corrupting the BLOCKREAD in progress.
+The data stored to EEPROM has 10 + 4 byte header:
+0,1 : number of 128 byte blocks going to be filled  (maximum 510 for 64K PROM)
+2,3 : Delay in seconds between samples
+4,5 : Number of samples (Maximum 8000 for 2 byte, 4 channels)
+6   : adc_size
+7   : Number of active channels
+8   : channel mask
+9   : pad byte
+10 to 13 : 4 byte absolute timestamp (Epoch loaded from the PC by SETTIME)
+After that the data is filled continuously. The ISR will stop only after
+digitizing few more points than specified Number of Samples, to fill the
+last 128 byte block.
+After that it adds an extra 128 byte block that contains only the final
+time stamp. The integrity of data can be checked from the two time stamps
+and the expected duration (num_samples * delay)
+The python routine smrb_getdata() formats the SEEPROM data properly.
+After giving a PMRB_START command, a battery powered Phoenix-M can be detached
+from the serial port and taken anywhere to record data.
+*/
+      if(par.irq_func != CLOCK)
+        {
+        par.buf[0] = NOCLOCK;
+        break;
+        }
+
+      par.irq_func = IN_PMRB;		// Set the function for ISR
+      par.pmrb_numblocks = par.buf[2];	// Number of samples
+      par.pmrb_numblocks = (par.pmrb_numblocks << 8) | par.buf[1];
+      par.pmrb_delay = par.buf[4];	// Delay between samples
+      par.pmrb_delay |= (par.pmrb_delay << 8) | par.buf[3];
+      for(tmp8 = 0; tmp8 < 4; ++tmp8)	// Copy the current channel list
+        par.pmrb_chlist[tmp8] = par.chlist[tmp8];
+      par.pmrb_num_chan = par.num_chan;	// and number of active channels
+
+      isr_tmp16 = 0;		// Point to EEPROM first block,128 bytes
+      par.pmrb_bufpos = 0;	// position on th 2 x 128 bytes buffer
+      par.filling_half = LOWER;	// Start with lower block
+
+      // Add the 10 bytes header with sampling details + 4 byte timestamp
+      par.buf[PMRB_INDEX + par.pmrb_bufpos++] = par.buf[1]; 	// numblocks
+      par.buf[PMRB_INDEX + par.pmrb_bufpos++] = par.buf[2];
+      par.buf[PMRB_INDEX + par.pmrb_bufpos++] = par.buf[3];	// delay
+      par.buf[PMRB_INDEX + par.pmrb_bufpos++] = par.buf[4];
+      par.buf[PMRB_INDEX + par.pmrb_bufpos++] = par.num_samples & 255;
+      par.buf[PMRB_INDEX + par.pmrb_bufpos++] = par.num_samples >> 8;
+      par.buf[PMRB_INDEX + par.pmrb_bufpos++] = par.adc_size;	// data size
+      par.buf[PMRB_INDEX + par.pmrb_bufpos++] = par.pmrb_num_chan;	// nchan
+      par.buf[PMRB_INDEX + par.pmrb_bufpos++] = par.chmask;	// chmask
+      par.buf[PMRB_INDEX + par.pmrb_bufpos++] = 0;		// pad byte
+      par.buf[PMRB_INDEX + par.pmrb_bufpos++] = par.pctime & 255;
+      par.buf[PMRB_INDEX + par.pmrb_bufpos++] = (par.pctime >> 8) & 255;
+      par.buf[PMRB_INDEX + par.pmrb_bufpos++] = (par.pctime >> 16) & 255;
+      par.buf[PMRB_INDEX + par.pmrb_bufpos++] = (par.pctime >> 24) & 255;
+
+      ADCSRA = BV(ADEN);	// Enable ADC
+      break;
+
+    case PMRB_RUNNING:
+      if(par.irq_func == IN_PMRB)
+        par.buf[par.buf_index++] = TRUE;
+      else
+        par.buf[par.buf_index++] = FALSE;
+      break;
+
+    case READSEEPROM:
+      tmp16 = par.buf[2] << 8;	// SEEPROM address to read
+      tmp16 |= par.buf[1];	// combine low and high bytes
+      tmp8 = par.buf[3];	// Number of bytes to read
+      while (tmp8--)
+        {
+        while(seeprom_status() & 1);		// Wait until chip is ready
+        par.buf[par.buf_index++] = seeprom_read_byte(tmp16++);
+        }
+      break;
+
+/* -----------------------------------------------------------------------
+High resolution AD/DA plug-in modules. Currently 16 bit.
+*/
+
+    case HR_ADCINIT:
+      hr_adc_init();
+      break;
+
+    case HR_SETCHAN:
+      hr_select_adc(par.buf[1]);
+      break;
+
+    case HR_CALINT:
+      hr_adc_internal_cal(par.buf[1]);
+      break;
+
+    case HR_CALEXT:
+      hr_adc_external_cal(par.buf[1]);
+      break;
+
+    case HRADCREAD:
+      hr_adc_read();
+      break;
+
+    case HRSETDAC:
+      hr_set_dac();
+      break;
+
+
+//--------------------------------------------------------------------
+    case PULSEOUT:
+/*
+http://www.andrew.cmu.edu/user/ebuehl/robosapien-lirc/ir_codes.htm
+*/
+        TCNT2 = 0;
+        OCR2 = 101;				// 39.2 KHz when clock_sel = 1
+        TCCR2 = BV(WGM21) | BV(COM20) | 1;  	// Pulsing for Start marker
+        delay_us(8 * 833);
+        TCCR2 = BV(WGM21) | BV(COM20);  	// Stop
+        tmp8_1 = par.buf[1];
+        tmp8 = 8;
+        while(tmp8--)
+          {
+          if(tmp8_1 & 128)			// MSB of data
+            delay_us(3332);			// HIGH (no pulse) duration
+          else
+            delay_us(833);			// HIGH (no pulse) duration
+          TCCR2 = BV(WGM21) | BV(COM20) | 1;  	// start Pulsing
+          delay_us(833);
+          TCCR2 = BV(WGM21) | BV(COM20);  	// Stop Pulsing
+          tmp8_1 <<= 1;
+          }
+      break;
+
+    case TABLEDATA:
+      tmp16 = par.buf[2] << 8;	// 16 bit Internal SEEPROM address by
+      tmp16 |= par.buf[1];	// combining low and high bytes
+      eeprom_write_byte ( (u8ptr)tmp16, par.buf[3]);
+      break;
+
+
+    default:
+      par.buf[0] = INVCMD;		// Invalid Command
+      break;
+    }
+
+//initDisplay(); writeLCD(par.buf[0]); write16(par.buf_index); // for debug only
+
+for(tmp16=0; tmp16 < par.buf_index; ++tmp16)
+    {
+    loop_until_bit_is_set (UCSRA, UDRE);
+    if (UCSRA & (1<<RXC))
+      {
+      writeLCD('X');
+      write16(UDR);		// look for XOFF ????
+      }
+    UDR = par.buf[tmp16];
+    }
+}
+  //------------------- End of processCommand function-------------------
+
+
+int
+main (void)
+{
+  delay(30000);
+  initialize();
+  initDisplay(); write16(BUFSIZE);
+
+  par.buf_index = 0;
+  for(;;)
+    {
+    while ( !(UCSRA & (1<<RXC)) ) ;		// wait for receiver data
+    par.buf[par.buf_index++] = UDR;		// Put the byte in the buffer. Error TODO
+
+    if(par.buf_index*GROUPSIZE > par.buf[0])	// Process after required no. of arguments
+      {
+      processCommand();
+      par.buf_index = 0;
+      }
+    }
+}
diff --git a/microhope/src/microhope/pwm-tc0-v2.c b/microhope/src/microhope/pwm-tc0-v2.c
new file mode 100644
index 0000000..85e9b14
--- /dev/null
+++ b/microhope/src/microhope/pwm-tc0-v2.c
@@ -0,0 +1,14 @@
+#include <avr/io.h>
+
+uint8_t  csb = 1;          // Clock select bits
+uint8_t  ocrval = 256/4;   // Output Compare register vaule
+
+
+int main()
+{
+// Set TCCR0 in the Fast PWM mode
+  TCCR0 =(1 << WGM01) | (1 << WGM00) | (1 << COM01) | csb;
+  OCR0 = ocrval;
+  TCNT0 = 0;
+  DDRB |= (1 << PB3);    // Set PB3(OC0) as output
+}
diff --git a/microhope/src/microhope/pwm-tc0.c b/microhope/src/microhope/pwm-tc0.c
new file mode 100644
index 0000000..d49e5f7
--- /dev/null
+++ b/microhope/src/microhope/pwm-tc0.c
@@ -0,0 +1,11 @@
+#include "mh-timer.c"
+#include "mh-utils.c"
+
+uint8_t  csb = 1;       // Clock select bits
+uint8_t  ocrval = 50;   // Output Compare register vaule
+
+int main()
+{
+while(1) {delay_ms(100); pwm_tc0(csb, ocrval); }
+return 0;
+}
diff --git a/microhope/src/microhope/pwm-tc1.c b/microhope/src/microhope/pwm-tc1.c
new file mode 100644
index 0000000..23d93c1
--- /dev/null
+++ b/microhope/src/microhope/pwm-tc1.c
@@ -0,0 +1,18 @@
+#include "mh-timer.c"
+#include "mh-adc.c"
+#include "mh-utils.c"
+
+uint8_t  csb = 1;         // 2 is divide by 8 option, 1MHz clock in
+uint16_t  ocra = 1024/3;  // around 33% duty cycle set
+
+
+int main()
+{
+while(1)
+    {
+    ocra = read_adc(0);    // 0 to 1023 output
+    pwm10_tc1(csb, ocra);
+    delay_ms(200);
+    }
+return 0;
+}
diff --git a/microhope/src/microhope/pymicro.c b/microhope/src/microhope/pymicro.c
new file mode 100644
index 0000000..095315c
--- /dev/null
+++ b/microhope/src/microhope/pymicro.c
@@ -0,0 +1,50 @@
+/*
+Program : pymicro.c
+author  : Ajith Kumar (ajith at iuac.res.in)
+License : GNU GPL version 3 or above
+A program to read/write the microcontroller registers from Python running on PC
+*/
+
+#include <avr/io.h>
+//#include "mh-lcd.c"
+
+#define READB  1
+#define WRITEB 2
+
+
+int main (void)
+{
+uint8_t cmd, data;
+uint16_t *port;
+
+  // Initialize the RS232 communication link to the PC 38400, 8, 1, N
+  UCSRB = (1 << RXEN) | (1 << TXEN);
+  UBRRH = 0;
+  UBRRL = 12;	// At 8MHz clock (12 =>38400 baudrate)
+  UCSRC = (1 <<URSEL) | (1 << UCSZ1) | (1 << UCSZ0); // 8,1,N
+
+//lcd_init();
+
+  for(;;)				// Infinite loop waiting for commands from PC
+    {
+    while ( !(UCSRA & (1<<RXC)) ) ;		// wait for command from PC
+    cmd = UDR;							// Store the received byte
+    if(cmd == READB)
+    	{
+    	while ( !(UCSRA & (1<<RXC)) ) ;		// wait for serial data
+		port =  UDR;						// get the port address to read
+		UDR = *port;
+		}
+	else if(cmd == WRITEB)
+		{
+		while ( !(UCSRA & (1<<RXC)) ) ;		// wait for serial data
+		port = UDR;							// get the port address to read
+		while ( !(UCSRA & (1<<RXC)) ) ;		// wait for serial data
+		data = UDR;
+		*port = data;						// write it to the port address
+//		lcd_clear(); lcd_put_int(port); lcd_put_char(':'); lcd_put_byte(data);
+		}
+	// Invalid commands are ignored silently
+    }
+}
+
diff --git a/microhope/src/microhope/pymicro.py b/microhope/src/microhope/pymicro.py
new file mode 100644
index 0000000..aa61fde
--- /dev/null
+++ b/microhope/src/microhope/pymicro.py
@@ -0,0 +1,54 @@
+'''
+Program : pymicro.py
+author  : Ajith Kumar (ajith at iuac.res.in)
+License : GNU GPL version 3 or above
+A program to read/write the microcontroller registers
+'''
+
+READB  = 1   # Codes for the uC end
+WRITEB = 2
+
+ADCL   = 0X24       # ADC data
+ADCH   = 0X25
+ADCSRA = 0X26		# ADC status/control
+ADMUX  = 0X27       # ADC channel, reference
+PIND   = 0x30       # Port D input
+DDRD   = 0x31		# Port D direction
+PORTD  = 0x32		# Port D output
+PINC   = 0x33
+DDRC   = 0x34
+PORTC  = 0x35
+PINB   = 0x36
+DDRB   = 0x37
+PORTB  = 0x38
+PINA   = 0x39
+DDRA   = 0x3A
+PORTA  = 0x3B
+OCR2   = 0X43		# Timer/Counter 2  Output Compare  Reg
+TCNT2  = 0X44		# Counter2
+TCCR2  = 0x45		# Timer/Counter 2 control reg
+TCNT0  = 0x52		# Timer/ Counter 0
+TCCR0  = 0x53
+OCR0   = 0x5C
+
+import serial, time
+
+class atm32:
+	fd = None
+	def __init__(self):   # Establish connection to ATmega32 connected to USB port
+		self.fd = serial.Serial('/dev/ttyUSB0', 38400, stopbits=1, timeout = 1.0)
+		if self.fd == None:
+			print 'Error opening ATmega32 connection'
+
+	def outb(self,port, data):			#Output a byte to the specified port
+		self.fd.write(chr(WRITEB))
+		self.fd.write(chr(port))
+		self.fd.write(chr(data))
+
+	def inb(self,port):					#Read a byte from the specified port
+		self.fd.write(chr(READB))
+		self.fd.write(chr(port))
+		val = self.fd.read()
+		return ord(val)
+
+
diff --git a/microhope/src/microhope/pymicro.pyc b/microhope/src/microhope/pymicro.pyc
new file mode 100644
index 0000000..d2e3de6
Binary files /dev/null and b/microhope/src/microhope/pymicro.pyc differ
diff --git a/microhope/src/microhope/r2ftime.c b/microhope/src/microhope/r2ftime.c
new file mode 100644
index 0000000..da11803
--- /dev/null
+++ b/microhope/src/microhope/r2ftime.c
@@ -0,0 +1,19 @@
+#include "mh-utils.c"
+#include "mh-timer.c"
+#include "mh-lcd.c"
+
+
+int main()
+{
+lcd_init();
+
+set_sqr_tc2(500);    // Test signal on PD7
+
+while(1)
+   {
+   lcd_clear();
+   lcd_put_long(r2ftime(PB1));
+   delay_ms(100);
+   }
+return 0;
+}
diff --git a/microhope/src/microhope/remote-adc.c b/microhope/src/microhope/remote-adc.c
new file mode 100644
index 0000000..8470543
--- /dev/null
+++ b/microhope/src/microhope/remote-adc.c
@@ -0,0 +1,28 @@
+#include "mh-lcd.c"
+#include "mh-uart.c"
+#include "mh-adc.c"
+
+int main(void)
+{
+uint8_t chan, low, hi;
+uint16_t adcval;
+
+lcd_init();
+uart_init(38400);
+adc_enable();
+
+for(;;)
+  {
+    chan = uart_recv_byte();
+    if (chan <=7)
+        {
+        adcval = read_adc(chan);
+	lcd_clear();
+        lcd_put_int(low);
+        low = adcval & 255;
+        hi = adcval >> 8;
+        uart_send_byte(low);       // send LOW byte
+        uart_send_byte(hi);        // send HI byte
+        }
+  }
+}
diff --git a/microhope/src/microhope/remote-adc.py b/microhope/src/microhope/remote-adc.py
new file mode 100644
index 0000000..3c28128
--- /dev/null
+++ b/microhope/src/microhope/remote-adc.py
@@ -0,0 +1,18 @@
+import serial, time
+fd = serial.Serial('/dev/ttyUSB0', 38400, stopbits=1, timeout = 1.0)
+fd.flush()
+time.sleep(1)
+
+while 1:
+  ch = input('Enter Channel Number to read ADC ')
+  if ch < 0 or ch > 7:
+     print 'Enter from 0 to 7 only'
+     continue
+  fd.write(chr(ch))
+  try:
+    low = fd.read()
+    hi = fd.read()
+    adcval = (ord(hi) <<  8) | ord(low)   # make 16 bit word from the two bytes
+    print 'adc out = %d , %5.3f volts'%(adcval,5.0 * adcval/1023)
+  except:
+    print 'No data'
diff --git a/microhope/src/microhope/sine-att85.c b/microhope/src/microhope/sine-att85.c
new file mode 100644
index 0000000..167b456
--- /dev/null
+++ b/microhope/src/microhope/sine-att85.c
@@ -0,0 +1,43 @@
+// Sinewave generator using ATtiny85. Squarewave input on pin2(PB3), output on pin3 (PB4)
+// avrdude  -c dapa -p t85 -U lfuse:w:0x61:m   , to set the fuse for 64MHz Timer/Counter clock
+
+#include <avr/io.h>
+#include <avr/interrupt.h>
+
+
+const uint8_t sinetab[64] = {64 , 70 , 75 , 81 , 86 , 91 , 96 , 101 , 105 , 109 , 112 , 115 , 117 , 119 , 120 , 121 , 122 , 121 , 120 , 119 , 117 , 115 , 112 , 109 , 105 , 101 , 96 , 91 , 86 , 81 , 75 , 70 , 64 , 58 , 53 , 47 , 42 , 37 , 32 , 27 , 23 , 19 , 16 , 13 , 11 , 9 , 8 , 7 , 6 , 7 , 8 , 9 , 11 , 13 , 16 , 19 , 23 , 27 , 32 , 37 , 42 , 47 , 53 , 58};
+
+
+const uint8_t sinetab2[32] = {64 , 75 , 86 , 96 , 105 , 112 , 117 , 120 , 122 , 120 , 117 , 112 , 105 , 96 , 86 , 75 , 64 , 53 , 42 , 32 , 23 , 16 , 11 , 8 , 6 , 8 , 11 , 16 , 23 , 32 , 42 , 53 };
+
+volatile uint8_t i;
+
+ISR(PCINT0_vect)
+{
+OCR1B = sinetab2[(i++ & 31)];
+}
+
+
+int main()
+{
+  DDRB |= (1 << PB4);    // Set PB4(OC1B) as output
+  PLLCSR = (1 << PCKE);         // Enable PLL clock source, for Timer/Counter1
+
+  // Set Timer/Counter1 for the Fast PWM on OCR1B
+  OCR1C = 128;
+  TCCR1 =(1 << CS10);
+  GTCCR =(1 << PWM1B) | (1 << COM1B1);
+  OCR1B = 70;
+
+  GIMSK |= (1 << PCIE);		// Enable Pin Change Interrupts
+  PCMSK |= (1 << PCINT3);   // Mask to select interrupt on PB3
+
+  sei();				 // enable interrupts
+  for (;;) ;             // Infinite loop is a must to keep interrupts enabled
+}
+
+
+
+
+
+
diff --git a/microhope/src/microhope/sqwave-tc0.c b/microhope/src/microhope/sqwave-tc0.c
new file mode 100644
index 0000000..d3f8961
--- /dev/null
+++ b/microhope/src/microhope/sqwave-tc0.c
@@ -0,0 +1,10 @@
+#include "mh-timer.c"
+
+uint8_t csb = 2;       // Clock select bits
+uint8_t ocrval = 99;   // Output Compare register vaule
+
+int main()
+{
+sqwave_tc0(csb, ocrval);
+return 0;
+}
diff --git a/microhope/src/microhope/sqwave-tc1.c b/microhope/src/microhope/sqwave-tc1.c
new file mode 100644
index 0000000..57cbde7
--- /dev/null
+++ b/microhope/src/microhope/sqwave-tc1.c
@@ -0,0 +1,12 @@
+#include "mh-timer.c"
+
+uint8_t  csb = 2;       // 2 is divide by 8 option, 1MHz clock in
+uint16_t  ocra = 50000;  // Output Compare register A
+
+// 10Hz squarewave on OC1A will be generated
+
+int main()
+{
+sqwave_tc1(csb, ocra);
+return 0;
+}
diff --git a/microhope/src/microhope/sqwave-tc2-v2.c b/microhope/src/microhope/sqwave-tc2-v2.c
new file mode 100644
index 0000000..51a620d
--- /dev/null
+++ b/microhope/src/microhope/sqwave-tc2-v2.c
@@ -0,0 +1,12 @@
+#include "mh-timer.c"
+#include "mh-lcd.c"
+
+int main()
+{
+uint32_t f;
+
+lcd_init();
+f = set_sqr_tc2(1500);
+lcd_put_long(f);
+return 0;
+}
diff --git a/microhope/src/microhope/temp-control.c b/microhope/src/microhope/temp-control.c
new file mode 100644
index 0000000..897e715
--- /dev/null
+++ b/microhope/src/microhope/temp-control.c
@@ -0,0 +1,27 @@
+// Reads ADC channel 0 and diplays the result on the LCD
+
+#include "mh-lcd.c"
+#include "mh-adc.c"
+#include "mh-utils.c"
+
+main()
+{
+uint16_t data;
+uint16_t v100c =  800;          // ADC output when temp is 100 degree C
+DDRB = 1;        				// PB0 as output
+
+lcd_init();
+adc_enable();
+adc_set_ref(REF_INT);
+while(1)
+    {
+    data = read_adc(0);   				// Read voltage at PA0
+    if (data > v100c)
+	PORTB = 0;              // switch ON heater control
+    else if (data < (v100c - 10))     // window of 10
+	PORTB = 1;              // switch OFF hrater control
+    lcd_clear();
+    lcd_put_int(data);
+    delay_ms(500);
+    }
+}
diff --git a/microhope/src/microhope/ultra-sound-echo.c b/microhope/src/microhope/ultra-sound-echo.c
new file mode 100644
index 0000000..53e0f59
--- /dev/null
+++ b/microhope/src/microhope/ultra-sound-echo.c
@@ -0,0 +1,28 @@
+#include "mh-utils.c"
+#include "mh-timer.c"
+#include "mh-lcd.c"
+
+int vsby2 = 17;  // velocity of sound = 34 mS/cm
+int main()
+{
+uint32_t x;
+
+DDRB |=  (1 << PB0);  // set PB0 as output
+DDRB &= ~(1 << PB1);  // and PB1 as inpt
+lcd_init();
+
+while(1)
+   {
+   PORTB |=  (1 << PB0);  // set PB0 HIGH
+   delay_100us(1);
+   PORTB &=  ~(1 << PB0);  // set PB0 LOW
+   delay_100us(5);
+   start_timer();
+   while( (PINB & 2) != 0 ) ;   // Wait for LOW on PB1
+   x = read_timer() + 400;
+   lcd_clear();
+   lcd_put_long(x*vsby2/1000);  // distance in cm
+   delay_ms(500);
+   }
+return 0;
+}
diff --git a/microhope/src/microhope/voltmeter.c b/microhope/src/microhope/voltmeter.c
new file mode 100644
index 0000000..ad3a219
--- /dev/null
+++ b/microhope/src/microhope/voltmeter.c
@@ -0,0 +1,23 @@
+// Reads ADC channel 0 and diplays the result on the LCD
+
+#include "mh-lcd.c"
+#include "mh-adc.c"
+#include <stdio.h>
+#include "mh-utils.c"
+
+main()
+{
+uint16_t data;
+double  v;
+char ss[10];
+
+lcd_init();
+adc_enable();
+while(1)
+    {
+    data = read_adc(0);   // Read voltage at PA0
+    lcd_clear();
+    lcd_put_int(data);
+    delay_ms(500);
+    }
+}
diff --git a/microhope/temp-control.c b/microhope/temp-control.c
new file mode 100644
index 0000000..2599967
--- /dev/null
+++ b/microhope/temp-control.c
@@ -0,0 +1,27 @@
+// Reads ADC channel 0 and diplays the result on the LCD 
+
+#include "mh-lcd.c"
+#include "mh-adc.c"
+#include "mh-utils.c"
+
+main()
+{
+uint16_t data;
+uint16_t v100c =  800;          // ADC output when temp is 100 degree C
+DDRB = 1;        				// PB0 as output
+
+lcd_init();
+adc_enable();
+adc_set_ref(REF_INT);
+while(1)
+    {
+    data = read_adc(0);   				// Read voltage at PA0
+    if (data > v100c) 
+	PORTB = 0;              // switch ON heater control
+    else if (data < (v100c - 10))     // window of 10
+	PORTB = 1;              // switch OFF hrater control
+    lcd_clear();
+    lcd_put_int(data);
+    delay_ms(500);
+    }
+}
diff --git a/microhope/uhope.1 b/microhope/uhope.1
new file mode 100644
index 0000000..1656df1
--- /dev/null
+++ b/microhope/uhope.1
@@ -0,0 +1,67 @@
+'\" t
+.\"     Title: UHOPE
+.\"    Author: Georges Khaznadar <georgesk at debian.org>
+.\" Generator: DocBook XSL Stylesheets v1.78.1 <http://docbook.sf.net/>
+.\"      Date: 01/08/2014
+.\"    Manual: uhope
+.\"    Source: uhope
+.\"  Language: English
+.\"
+.TH "UHOPE" "1" "01/08/2014" "uhope" "uhope"
+.\" -----------------------------------------------------------------
+.\" * Define some portability stuff
+.\" -----------------------------------------------------------------
+.\" ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
+.\" http://bugs.debian.org/507673
+.\" http://lists.gnu.org/archive/html/groff/2009-02/msg00013.html
+.\" ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
+.ie \n(.g .ds Aq \(aq
+.el       .ds Aq '
+.\" -----------------------------------------------------------------
+.\" * set default formatting
+.\" -----------------------------------------------------------------
+.\" disable hyphenation
+.nh
+.\" disable justification (adjust text to left margin only)
+.ad l
+.\" -----------------------------------------------------------------
+.\" * MAIN CONTENT STARTS HERE *
+.\" -----------------------------------------------------------------
+.SH "NAME"
+uhope \- Interactive program to learn microcontroller programming\&.
+.SH "SYNOPSIS"
+.HP \w'\fBuhope\fR\ 'u
+\fBuhope\fR
+.SH "DESCRIPTION"
+.PP
+\fBuhope\fR
+launches an Integrated Development Environment (IDE) which allows the user to develop several small programs for the ATmega32 microcontroller\&. This environment comes with useful examples and libraries which make the learn process easy\&.
+.PP
+This program has features quite identical to
+\fBmicrohope\fR
+which is implemented in Python\&. However,
+\fBuhope\fR
+is implemented in C language with gtk2 graphic libraries\&.
+.SH "SEE ALSO"
+.PP
+\fBcreate-microhope-env\fR(1)\&.
+.PP
+\fBmicrohope\fR(1)\&.
+.SH "AUTHOR"
+.PP
+\fBGeorges Khaznadar\fR <\&georgesk at debian\&.org\&>
+.RS 4
+Wrote this manpage for the Debian system\&.
+.RE
+.SH "COPYRIGHT"
+.br
+Copyright \(co 2014 Georges Khaznadar
+.br
+.PP
+This manual page was written for the Debian system (and may be used by others)\&.
+.PP
+Permission is granted to copy, distribute and/or modify this document under the terms of the GNU General Public License, Version 2 or (at your option) any later version published by the Free Software Foundation\&.
+.PP
+On Debian systems, the complete text of the GNU General Public License can be found in
+/usr/share/common\-licenses/GPL\&.
+.sp
diff --git a/microhope/uhope.c b/microhope/uhope.c
new file mode 100644
index 0000000..50dd9a4
--- /dev/null
+++ b/microhope/uhope.c
@@ -0,0 +1,965 @@
+/* uhope: A Linux Shell for Microhope Copyright (C) 2014  A.Chatterjee    *
+ * Author: A.Chatterjee <DrAmbar at gmail.com>                               *
+ * GNU General Public License, version 3 (see Help-->About)                         *
+ * Created: 1 Jan 2014 Last Update: 13 Jan 2014                            */
+#include <gtk/gtk.h>
+#include <stdlib.h>
+#include <stdio.h>
+#include <unistd.h>
+#include <string.h>
+#include <ctype.h>
+#include <sys/types.h>
+#include <sys/stat.h>
+#include <dirent.h>
+
+#define MAX_LINE    256                                                             //Max length of a line in the editor
+#define MAX_FNAME   256                                                                      //Max length of a file name
+#define MAX_SRCH    256                                                                    //Max length of search string
+#define MAX_STAT    512                                                                      //Max length of status line
+#define MAX_FSIZE   64000           //Max file size. If its exceeded Undo and Redo wont work, but program will not crash
+#define DSPL_SIZE   4096                                                   //Max size of redirected out files to display
+
+//Global variables
+gint System,StatID,UStat=0;
+gchar Path[MAX_FNAME],SrchStr[MAX_SRCH]="",ReplStr[MAX_SRCH]="",FileName[MAX_FNAME]="Untitled";
+gchar UBuf[2][MAX_FSIZE]={"",""},Device[MAX_FNAME]="";
+GtkTextBuffer *Buf;
+GtkWidget *MWin,*TextView,*StatBar,*FindEntry,*ChCase,*ChWrap,*RFind,*RRepl,*RChCase,*RChWrap;
+gboolean CaseMatch=FALSE,WrapAround=TRUE,Located,UnsavedChanges=FALSE,MaskBufChg=FALSE,
+         SubsAll=FALSE,Push=FALSE;
+//----------------------------------------------------------------------------------------------------------------------
+void Attention(gchar *Messg,gboolean Scroll)                     //Displays message. Set Scroll to TRUE for big messages
+{
+GtkWidget *W,*ScrlW,*Label,*But;
+
+W=gtk_dialog_new();
+gtk_window_set_modal(GTK_WINDOW(W),TRUE);
+gtk_window_set_transient_for(GTK_WINDOW(W),GTK_WINDOW(MWin));
+gtk_window_set_title(GTK_WINDOW(W),"Message");
+gtk_container_set_border_width(GTK_CONTAINER(W),10);
+
+if (Scroll)
+   {
+   ScrlW=gtk_scrolled_window_new(NULL,NULL);
+   gtk_scrolled_window_set_policy(GTK_SCROLLED_WINDOW(ScrlW),GTK_POLICY_NEVER,GTK_POLICY_AUTOMATIC);
+   gtk_widget_set_size_request(GTK_WIDGET(ScrlW),-1,300);
+   gtk_box_pack_start(GTK_BOX(GTK_DIALOG(W)->vbox),ScrlW,TRUE,TRUE,0);
+   }
+
+Label=gtk_label_new(Messg);
+if (Scroll) gtk_scrolled_window_add_with_viewport(GTK_SCROLLED_WINDOW(ScrlW),Label);
+else gtk_box_pack_start(GTK_BOX(GTK_DIALOG(W)->vbox),Label,FALSE,FALSE,0);
+But=gtk_button_new_from_stock(GTK_STOCK_OK);
+gtk_box_pack_start(GTK_BOX(GTK_DIALOG(W)->action_area),But,TRUE,FALSE,0);
+g_signal_connect_object(But,"clicked",G_CALLBACK(gtk_widget_destroy),GTK_OBJECT(W),G_CONNECT_SWAPPED);
+gtk_widget_show_all(W);
+}
+//----------------------------------------------------------------------------------------------------------------------
+void Dspl(gchar *What,gint Status,gboolean Scroll)                                        //Shows the output of system()
+{
+FILE *Fp;
+gchar Out[DSPL_SIZE],Line[256],StatusLine[MAX_STAT];
+
+if (Status) sprintf(Out,"ERROR:%s\n",What); else sprintf(Out,"SUCCESS:%s\n",What); 
+if (!(Fp=fopen("out.txt","r"))) return;
+while (TRUE)
+   {
+   if (strlen(Out)>(DSPL_SIZE-250)) { strcat(Out,"...output too big, view truncated"); break; }
+   if (!fgets(Line,255,Fp)) break;
+   strcat(Out,Line);
+   }
+fclose(Fp);
+Attention(Out,Scroll);
+gtk_statusbar_pop(GTK_STATUSBAR(StatBar),0);
+if (!Status) sprintf(StatusLine,"%s %s was successful",FileName,What);
+else sprintf(StatusLine,"%s of %s failed",What,FileName);
+gtk_statusbar_push(GTK_STATUSBAR(StatBar),StatID,StatusLine);
+UnsavedChanges=FALSE;
+}
+//----------------------------------------------------------------------------------------------------------------------
+void ShowDevice()
+{
+gchar StatusLine[MAX_STAT],Title[128];
+
+gtk_statusbar_pop(GTK_STATUSBAR(StatBar),0);
+if (strlen(Device)==0)
+   {
+   strcpy(Title,"uhope - No device detected");
+   strcpy(StatusLine,"No device detected");
+   }
+else
+   {
+   sprintf(Title,"uhope - Device:%s",Device);
+   sprintf(StatusLine,"Device:%s",Device);
+   }
+gtk_window_set_title(GTK_WINDOW(MWin),Title);
+gtk_statusbar_push(GTK_STATUSBAR(StatBar),StatID,StatusLine);
+}
+//----------------------------------------------------------------------------------------------------------------------
+void DeviceSelected(GtkComboBoxText *Combo,gpointer Data)
+{
+gchar *Str;
+
+Str=gtk_combo_box_text_get_active_text(Combo);
+strcpy(Device,Str); g_free(Str);
+ShowDevice();
+}
+//----------------------------------------------------------------------------------------------------------------------
+void DetectHardware(GtkWidget *W,gpointer Unused)
+{
+DIR *D;
+struct dirent *Dir;
+gchar DName[5][MAX_FNAME],Str[128];
+gint i,N;
+GtkWidget *Win,*VBox,*HBox,*Label,*Combo,*But;
+
+D=opendir("/dev/"); N=0;
+if (!D) return;
+while ((Dir=readdir(D)))
+   {
+   if (!strncmp("ttyACM",Dir->d_name,6) || !strncmp("ttyUSB",Dir->d_name,6))
+      { strcpy(DName[N],Dir->d_name); ++N; }
+   if (N>4) break;
+   }
+closedir(D);
+
+if (N==0) { Attention("No device detected!",FALSE); strcpy(Device,""); ShowDevice(); return; }
+if (N==1)
+   {
+   sprintf(Device,"/dev/%s",DName[0]); sprintf(Str,"Device detected: %s",Device);
+   Attention(Str,FALSE); ShowDevice(); return;
+   }
+
+Win=gtk_window_new(GTK_WINDOW_TOPLEVEL);
+gtk_window_set_modal(GTK_WINDOW(Win),TRUE);
+gtk_window_set_transient_for(GTK_WINDOW(Win),GTK_WINDOW(MWin));
+gtk_window_set_title(GTK_WINDOW(Win),"Select Microhope device");
+gtk_window_set_position(GTK_WINDOW(Win),GTK_WIN_POS_CENTER);
+VBox=gtk_vbox_new(FALSE,10);
+gtk_container_set_border_width(GTK_CONTAINER(VBox),10);
+gtk_container_add(GTK_CONTAINER(Win),VBox);
+
+Label=gtk_label_new("Multiple devices.\nPlease select Microhope from the list:");
+gtk_box_pack_start(GTK_BOX(VBox),Label,FALSE,FALSE,0);
+Combo=gtk_combo_box_text_new_with_entry();
+
+HBox=gtk_hbox_new(FALSE,0); gtk_box_pack_start(GTK_BOX(VBox),HBox,FALSE,FALSE,0);
+for (i=0;i<N;++i) { sprintf(Str,"/dev/%s",DName[i]); gtk_combo_box_text_append_text(GTK_COMBO_BOX_TEXT(Combo),Str); }
+
+g_signal_connect(G_OBJECT(Combo),"changed",G_CALLBACK(DeviceSelected),NULL);
+gtk_box_pack_start(GTK_BOX(HBox),Combo,FALSE,FALSE,0);
+
+HBox=gtk_hbox_new(FALSE,0); gtk_box_pack_start(GTK_BOX(VBox),HBox,FALSE,FALSE,0);
+But=gtk_button_new_from_stock(GTK_STOCK_OK); gtk_box_pack_start(GTK_BOX(HBox),But,TRUE,FALSE,0);
+g_signal_connect_swapped(But,"clicked",G_CALLBACK(gtk_widget_destroy),Win);
+
+gtk_widget_show_all(Win);
+}
+//----------------------------------------------------------------------------------------------------------------------
+void Upload(GtkWidget *W,gpointer Unused)
+{
+gchar BaseFName[MAX_FNAME],HexFName[MAX_FNAME],Cmd[1024];
+gint Status;
+FILE *Fp;
+
+if (!strcmp(FileName,"Untitled")) { Attention("ERROR: No file loaded!",FALSE); return; }
+strcpy(BaseFName,FileName); BaseFName[strlen(FileName)-2]='\0';
+sprintf(HexFName,"%s.hex",BaseFName);
+if (!(Fp=fopen(HexFName,"r"))) { Attention("ERROR: No hex file. Compile first!",FALSE); return; }
+else fclose(Fp);
+if (strlen(Device)==0) { Attention("ERROR: Please Detect Hardware first!",FALSE); return; }
+
+sprintf(Cmd,"avrdude -b 19200 -P %s -pm32 -c stk500v1 -U flash:w:%s 1>out.txt 2>>out.txt",Device,HexFName);
+Status=system(Cmd);
+Dspl("Upload",Status,TRUE);
+return;
+}
+//----------------------------------------------------------------------------------------------------------------------
+void FileOpen(GtkWidget *W,gpointer Unused)
+{
+GtkWidget *Dialog;
+GtkFileFilter *FilterC,*FilterS,*FilterT,*FilterO,*FilterA;
+gchar Line[MAX_LINE],StatusLine[MAX_STAT];
+FILE *Fp;
+GtkTextIter Start,End;
+gchar *P;
+
+Dialog=gtk_file_chooser_dialog_new("Open File",GTK_WINDOW(MWin),GTK_FILE_CHOOSER_ACTION_OPEN,
+       GTK_STOCK_CANCEL,GTK_RESPONSE_CANCEL,GTK_STOCK_OPEN,GTK_RESPONSE_ACCEPT,NULL);
+gtk_file_chooser_set_current_folder(GTK_FILE_CHOOSER(Dialog),Path);
+
+FilterC=gtk_file_filter_new(); gtk_file_filter_set_name(FilterC,"C source (*.c)");
+gtk_file_filter_add_pattern(FilterC,"*.c"); gtk_file_chooser_add_filter(GTK_FILE_CHOOSER(Dialog),FilterC);
+FilterS=gtk_file_filter_new(); gtk_file_filter_set_name(FilterS,"Asm (*.S,*.s)");
+gtk_file_filter_add_pattern(FilterS,"*.S"); gtk_file_filter_add_pattern(FilterS,"*.s");
+gtk_file_chooser_add_filter(GTK_FILE_CHOOSER(Dialog),FilterS);
+FilterT=gtk_file_filter_new(); gtk_file_filter_set_name(FilterT,"Text (*.txt)");
+gtk_file_filter_add_pattern(FilterT,"*.txt"); gtk_file_chooser_add_filter(GTK_FILE_CHOOSER(Dialog),FilterT);
+FilterO=gtk_file_filter_new(); gtk_file_filter_set_name(FilterO,"Obj Dump (*.lst)");
+gtk_file_filter_add_pattern(FilterO,"*.lst"); gtk_file_chooser_add_filter(GTK_FILE_CHOOSER(Dialog),FilterO);
+FilterA=gtk_file_filter_new(); gtk_file_filter_set_name(FilterA,"All types (*.*)");
+gtk_file_filter_add_pattern(FilterA,"*.*"); gtk_file_chooser_add_filter(GTK_FILE_CHOOSER(Dialog),FilterA);
+
+if (gtk_dialog_run(GTK_DIALOG(Dialog)) == GTK_RESPONSE_ACCEPT)
+  {
+  gchar *FName;
+  FName=gtk_file_chooser_get_filename(GTK_FILE_CHOOSER(Dialog));
+  Fp=fopen(FName,"r");
+  gtk_text_buffer_set_text(Buf,"",-1);
+  while (TRUE)
+     {
+     if (fgets(Line,MAX_LINE-1,Fp)==NULL) break;
+     gtk_text_buffer_get_end_iter(Buf,&End);
+     gtk_text_buffer_insert(Buf,&End,Line,strlen(Line));
+     }
+  fclose(Fp); strcpy(FileName,FName); g_free(FName);
+  if ((P=strrchr(FileName,'/'))) { strcpy(Path,FileName); Path[P-FileName]='\0'; }        //Store the path for next time
+  }
+gtk_widget_destroy(Dialog);
+
+gtk_text_buffer_get_start_iter(Buf,&Start); gtk_text_buffer_place_cursor(Buf,&Start);         //Position cursor at start
+gtk_statusbar_pop(GTK_STATUSBAR(StatBar),0);
+strcpy(StatusLine,FileName); gtk_statusbar_push(GTK_STATUSBAR(StatBar),StatID,StatusLine);
+UnsavedChanges=FALSE;
+}
+//----------------------------------------------------------------------------------------------------------------------
+void FileNew(GtkWidget *W,gpointer Unused)
+{
+gchar StatusLine[MAX_STAT];
+
+gtk_text_buffer_set_text(Buf,"",-1);
+gtk_statusbar_pop(GTK_STATUSBAR(StatBar),0);
+strcpy(FileName,"Untitled"); strcpy(StatusLine,FileName);
+gtk_statusbar_push(GTK_STATUSBAR(StatBar),StatID,StatusLine);
+UnsavedChanges=FALSE;
+}
+//----------------------------------------------------------------------------------------------------------------------
+void FileSaveAs(GtkWidget *W,gpointer Unused)
+{
+GtkWidget *Dialog;
+GtkFileFilter *FilterC,*FilterS,*FilterT,*FilterA;
+FILE *Fp;
+GtkTextIter Start,End;
+gchar *BufText,StatusLine[MAX_STAT];
+
+gtk_text_buffer_get_start_iter(Buf,&Start); gtk_text_buffer_get_end_iter(Buf,&End);
+BufText=gtk_text_buffer_get_text(Buf,&Start,&End,FALSE);
+
+Dialog=gtk_file_chooser_dialog_new("Save As",GTK_WINDOW(MWin),GTK_FILE_CHOOSER_ACTION_SAVE,
+       GTK_STOCK_CANCEL,GTK_RESPONSE_CANCEL,GTK_STOCK_SAVE,GTK_RESPONSE_ACCEPT,NULL);
+
+gtk_file_chooser_set_current_folder(GTK_FILE_CHOOSER(Dialog),Path);
+
+FilterC=gtk_file_filter_new(); gtk_file_filter_set_name(FilterC,"C source (*.c)");
+gtk_file_filter_add_pattern(FilterC,"*.c"); gtk_file_chooser_add_filter(GTK_FILE_CHOOSER(Dialog),FilterC);
+FilterS=gtk_file_filter_new(); gtk_file_filter_set_name(FilterS,"Asm (*.S,*.s)");
+gtk_file_filter_add_pattern(FilterS,"*.S"); gtk_file_filter_add_pattern(FilterS,"*.s");
+gtk_file_chooser_add_filter(GTK_FILE_CHOOSER(Dialog),FilterS);
+FilterT=gtk_file_filter_new(); gtk_file_filter_set_name(FilterT,"Text (*.txt)");
+gtk_file_filter_add_pattern(FilterT,"*.txt"); gtk_file_chooser_add_filter(GTK_FILE_CHOOSER(Dialog),FilterT);
+FilterA=gtk_file_filter_new(); gtk_file_filter_set_name(FilterA,"All types (*.*)");
+gtk_file_filter_add_pattern(FilterA,"*.*"); gtk_file_chooser_add_filter(GTK_FILE_CHOOSER(Dialog),FilterA);
+
+gtk_file_chooser_set_do_overwrite_confirmation(GTK_FILE_CHOOSER(Dialog),TRUE);
+if (strcmp(FileName,"Untitled")) gtk_file_chooser_set_filename(GTK_FILE_CHOOSER(Dialog),FileName);
+if (gtk_dialog_run(GTK_DIALOG(Dialog)) == GTK_RESPONSE_ACCEPT)
+  {
+  gchar *FName;
+  FName=gtk_file_chooser_get_filename(GTK_FILE_CHOOSER(Dialog));
+  Fp=fopen(FName,"w"); fputs(BufText,Fp); fclose(Fp);
+  strcpy(FileName,FName); g_free(FName);
+  gtk_statusbar_pop(GTK_STATUSBAR(StatBar),0);
+  sprintf(StatusLine,"%s saved",FileName); gtk_statusbar_push(GTK_STATUSBAR(StatBar),StatID,StatusLine);
+  UnsavedChanges=FALSE;
+  }
+gtk_widget_destroy(Dialog);
+}
+//----------------------------------------------------------------------------------------------------------------------
+void FileSave(GtkWidget *W,gpointer Unused)
+{
+FILE *Fp;
+GtkTextIter Start,End;
+gchar *BufText,StatusLine[MAX_STAT];
+
+if (!strcmp(FileName,"Untitled")) { FileSaveAs(W,Unused); return; }
+gtk_text_buffer_get_start_iter(Buf,&Start); gtk_text_buffer_get_end_iter(Buf,&End);
+BufText=gtk_text_buffer_get_text(Buf,&Start,&End,FALSE);
+Fp=fopen(FileName,"w"); fputs(BufText,Fp); fclose(Fp);
+gtk_statusbar_pop(GTK_STATUSBAR(StatBar),0);
+sprintf(StatusLine,"%s saved",FileName); gtk_statusbar_push(GTK_STATUSBAR(StatBar),StatID,StatusLine);
+UnsavedChanges=FALSE;
+}
+//----------------------------------------------------------------------------------------------------------------------
+void Compile(GtkWidget *W,gpointer Unused)
+{
+gchar BaseFName[MAX_FNAME],FType[5],Cmd[1024];
+gint Status,L;
+
+if (!strcmp(FileName,"Untitled")) { Attention("ERROR: No C file loaded!",FALSE); return; }
+L=strlen(FileName);
+strcpy(BaseFName,FileName); BaseFName[L-2]='\0'; strcpy(FType,&FileName[L-2]);
+if (strcmp(FType,".c")) { Attention("ERROR: The loaded file type is not .c",FALSE); return; }
+if (UnsavedChanges) FileSave(NULL,NULL);
+sprintf(Cmd,"avr-gcc -Wall -O2 -mmcu=atmega32 -o %s %s 1>out.txt 2>>out.txt",BaseFName,FileName);
+Status=system(Cmd);
+if (Status!=0) { Dspl("Compilation",Status,FALSE); return; }
+sprintf(Cmd,"avr-objcopy -j .text -j .data -O ihex %s %s.hex 1>>out.txt 2>>out.txt",BaseFName,BaseFName);
+Status=system(Cmd);
+Dspl("Compilation",Status,FALSE);
+sprintf(Cmd,"avr-objdump -S %s >%s.lst",BaseFName,BaseFName);
+Status=system(Cmd);
+}
+//----------------------------------------------------------------------------------------------------------------------
+void Assemble(GtkWidget *W,gpointer Unused)
+{
+gchar BaseFName[MAX_FNAME],FType[5],Cmd[1024];
+gint Status,L;
+
+if (!strcmp(FileName,"Untitled")) { Attention("ERROR: No assembler file loaded!",FALSE); return; }
+L=strlen(FileName);
+strcpy(BaseFName,FileName); BaseFName[L-2]='\0'; strcpy(FType,&FileName[L-2]);
+if (strcmp(FType,".S") && strcmp(FType,".s"))
+   { Attention("ERROR: The loaded file type is not .S or .s",FALSE); return; }
+if (UnsavedChanges) FileSave(NULL,NULL);
+sprintf(Cmd,"avr-gcc -Wall -O2 -mmcu=atmega32 -o %s %s 1>out.txt 2>>out.txt",BaseFName,FileName);
+Status=system(Cmd);
+if (Status!=0) { Dspl("Assembly",Status,FALSE); return; }
+sprintf(Cmd,"avr-objcopy -j .text -j .data -O ihex %s %s.hex 1>>out.txt 2>>out.txt",BaseFName,BaseFName);
+Status=system(Cmd);
+Dspl("Assembly",Status,FALSE);
+sprintf(Cmd,"avr-objdump -S %s >%s.lst",BaseFName,BaseFName);
+Status=system(Cmd);
+}
+//----------------------------------------------------------------------------------------------------------------------
+void EditDelete(GtkWidget *W,gpointer Unused)
+{
+GtkTextIter Start,End;
+
+if (gtk_text_buffer_get_selection_bounds(Buf,&Start,&End)) gtk_text_buffer_delete(Buf,&Start,&End);
+}
+//----------------------------------------------------------------------------------------------------------------------
+void EditCopy(GtkWidget *W,gpointer Unused)
+{
+GtkClipboard *ClipBoard;
+
+ClipBoard=gtk_clipboard_get(GDK_NONE);
+gtk_text_buffer_copy_clipboard(Buf,ClipBoard);
+}
+//----------------------------------------------------------------------------------------------------------------------
+void EditCut(GtkWidget *W,gpointer Unused)
+{
+GtkClipboard *ClipBoard;
+GtkTextIter Start,End;
+gchar *BufTxt;
+
+MaskBufChg=TRUE;                                                                         //Ensure BufferChanged wont act
+gtk_text_buffer_get_start_iter(Buf,&Start); gtk_text_buffer_get_end_iter(Buf,&End);
+BufTxt=gtk_text_buffer_get_text(Buf,&Start,&End,FALSE);
+if (strlen(BufTxt)<MAX_FSIZE-1) { strcpy(UBuf[UStat],BufTxt); UStat=1-UStat; }                          //Update UndoBuf
+
+ClipBoard=gtk_clipboard_get(GDK_NONE);
+gtk_text_buffer_cut_clipboard(Buf,ClipBoard,TRUE);
+
+MaskBufChg=FALSE;                                                                                         //Restore flag
+}
+//----------------------------------------------------------------------------------------------------------------------
+void EditPaste(GtkWidget *W,gpointer Unused)
+{
+GtkClipboard *ClipBoard;
+GtkTextIter Start,End;
+gchar *BufTxt;
+
+MaskBufChg=TRUE;                                                                         //Ensure BufferChanged wont act
+gtk_text_buffer_get_start_iter(Buf,&Start); gtk_text_buffer_get_end_iter(Buf,&End);
+BufTxt=gtk_text_buffer_get_text(Buf,&Start,&End,FALSE);
+if (strlen(BufTxt)<MAX_FSIZE-1) { strcpy(UBuf[UStat],BufTxt); UStat=1-UStat; }                          //Update UndoBuf
+
+ClipBoard=gtk_clipboard_get(GDK_NONE);
+gtk_text_buffer_paste_clipboard(Buf,ClipBoard,NULL,TRUE);
+
+MaskBufChg=FALSE;                                                                                         //Restore flag
+}
+//----------------------------------------------------------------------------------------------------------------------
+gchar* StrStrI(gchar *S1,gchar *S2)
+{
+gint i,j,k;
+
+for (i=0;S1[i];++i) for (j=i,k=0;tolower(S1[j])==tolower(S2[k]);j++,k++)
+if (!S2[k+1]) return (S1+i);
+return NULL;
+}
+//----------------------------------------------------------------------------------------------------------------------
+void FindNext(GtkWidget *W,gpointer Unused)
+{
+gchar *BufTxt1,*BufTxt2,*P,StatusLine[MAX_STAT];
+GtkTextIter Start,EndSel,End;
+GtkTextMark *Mark,*Pos;
+gint FindStart,FindEnd,CurOfs;
+gboolean Found,Wrapped;
+
+if (!strlen(SrchStr)) { Located=FALSE; return; }
+
+Mark=gtk_text_buffer_get_mark(Buf,"selection_bound");    //Mark at end-of-selection (or cursor position if no selection)
+gtk_text_buffer_get_iter_at_mark(Buf,&EndSel,Mark);                               //Iter at end-of-selection (or cursor)
+gtk_text_buffer_get_start_iter(Buf,&Start);                                                     //Iter at start position
+gtk_text_buffer_get_end_iter(Buf,&End);                                                           //Iter at end position
+BufTxt1=gtk_text_buffer_get_text(Buf,&Start,&EndSel,FALSE);            //Text from start to end-of-selection (or cursor)
+BufTxt2=gtk_text_buffer_get_text(Buf,&EndSel,&End,FALSE);                //Text from end-of-selection (or cursor) to end
+CurOfs=strlen(BufTxt1);                                                         //Offset to end-of-selection (or cursor)
+
+if (CaseMatch) P=strstr(BufTxt2,SrchStr); else P=StrStrI(BufTxt2,SrchStr);
+if (!P)
+   {
+   Found=FALSE;
+   if (WrapAround && !SubsAll)                                                       //SubsAll flag set in SubstituteAll
+      {
+      if (CaseMatch) P=strstr(BufTxt1,SrchStr); else P=StrStrI(BufTxt1,SrchStr);
+      CurOfs=0;
+      if (!P) Found=FALSE; else { Found=TRUE; Wrapped=TRUE; }
+      }
+   }
+else { Found=TRUE; Wrapped=FALSE; }
+
+if (!Found)
+   {
+   Located=FALSE;
+   gtk_statusbar_pop(GTK_STATUSBAR(StatBar),0);
+   sprintf(StatusLine,"%s not found",SrchStr);
+   gtk_statusbar_push(GTK_STATUSBAR(StatBar),StatID,StatusLine);
+   }
+else
+   {
+   Located=TRUE;
+   if (Wrapped) FindStart=(gint)(P-BufTxt1)+CurOfs; else FindStart=(gint)(P-BufTxt2)+CurOfs;
+   FindEnd=FindStart+strlen(SrchStr);
+   gtk_text_buffer_get_iter_at_offset(Buf,&Start,FindStart);
+   gtk_text_buffer_get_iter_at_offset(Buf,&End,FindEnd);
+   gtk_text_buffer_select_range(Buf,&Start,&End);
+   Pos=gtk_text_buffer_create_mark(Buf,"Pos",&End,FALSE);
+   gtk_text_view_scroll_mark_onscreen(GTK_TEXT_VIEW(TextView),Pos);
+   if (Wrapped) sprintf(StatusLine,"%s found after wrap",SrchStr); else sprintf(StatusLine,"%s found",SrchStr);
+   gtk_statusbar_pop(GTK_STATUSBAR(StatBar),0);
+   gtk_statusbar_push(GTK_STATUSBAR(StatBar),StatID,StatusLine);
+   }
+}
+//----------------------------------------------------------------------------------------------------------------------
+void Find(GtkWidget *W,gpointer Unused)
+{
+const gchar *FTxt;
+gchar *BufTxt1,*BufTxt2,*P,StatusLine[MAX_STAT];
+GtkTextIter Start,EndSel,End;
+GtkTextMark *Mark,*Pos;
+gint FindStart,FindEnd,CurOfs;
+gboolean Found,Wrapped;
+
+FTxt=gtk_entry_get_text(GTK_ENTRY(FindEntry));
+if (!strlen(FTxt)) return;
+strcpy(SrchStr,FTxt);
+if (GTK_TOGGLE_BUTTON(ChCase)->active) CaseMatch =TRUE; else CaseMatch =FALSE;
+if (GTK_TOGGLE_BUTTON(ChWrap)->active) WrapAround=TRUE; else WrapAround=FALSE;
+
+Mark=gtk_text_buffer_get_mark(Buf,"selection_bound");    //Mark at end-of-selection (or cursor position if no selection)
+gtk_text_buffer_get_iter_at_mark(Buf,&EndSel,Mark);                               //Iter at end-of-selection (or cursor)
+gtk_text_buffer_get_start_iter(Buf,&Start);                                                     //Iter at start position
+gtk_text_buffer_get_end_iter(Buf,&End);                                                           //Iter at end position
+BufTxt1=gtk_text_buffer_get_text(Buf,&Start,&EndSel,FALSE);            //Text from start to end-of-selection (or cursor)
+BufTxt2=gtk_text_buffer_get_text(Buf,&EndSel,&End,FALSE);                //Text from end-of-selection (or cursor) to end
+CurOfs=strlen(BufTxt1);                                                         //Offset to end-of-selection (or cursor)
+
+if (CaseMatch) P=strstr(BufTxt2,SrchStr); else P=StrStrI(BufTxt2,SrchStr);
+if (!P)
+   {
+   Found=FALSE;
+   if (WrapAround)
+      {
+      if (CaseMatch) P=strstr(BufTxt1,SrchStr); else P=StrStrI(BufTxt1,SrchStr);
+      CurOfs=0;
+      if (!P) Found=FALSE; else { Found=TRUE; Wrapped=TRUE; }
+      }
+   }
+else { Found=TRUE; Wrapped=FALSE; }
+
+if (!Found)
+   {
+   sprintf(StatusLine,"%s not found",FTxt);
+   gtk_statusbar_pop(GTK_STATUSBAR(StatBar),0);
+   gtk_statusbar_push(GTK_STATUSBAR(StatBar),StatID,StatusLine);
+   }
+else
+   {
+   if (Wrapped) FindStart=(gint)(P-BufTxt1)+CurOfs; else FindStart=(gint)(P-BufTxt2)+CurOfs;
+   FindEnd=FindStart+strlen(FTxt);
+   gtk_text_buffer_get_iter_at_offset(Buf,&Start,FindStart);
+   gtk_text_buffer_get_iter_at_offset(Buf,&End,FindEnd);
+   gtk_text_buffer_select_range(Buf,&Start,&End);
+   Pos=gtk_text_buffer_create_mark(Buf,"Pos",&End,FALSE);
+   gtk_text_view_scroll_mark_onscreen(GTK_TEXT_VIEW(TextView),Pos);
+   if (Wrapped) sprintf(StatusLine,"%s found after wrap",FTxt); else sprintf(StatusLine,"%s found",FTxt);
+   gtk_statusbar_pop(GTK_STATUSBAR(StatBar),0);
+   gtk_statusbar_push(GTK_STATUSBAR(StatBar),StatID,StatusLine);
+   }
+}
+//----------------------------------------------------------------------------------------------------------------------
+void EditFind(GtkWidget *W,gpointer Unused)
+{
+GtkWidget *FWin,*HBox,*VBox,*VBox0,*VBox1,*VBox2,*Label,*But;
+
+FWin=gtk_window_new(GTK_WINDOW_TOPLEVEL);
+gtk_window_set_modal(GTK_WINDOW(FWin),TRUE);
+gtk_window_set_transient_for(GTK_WINDOW(FWin),GTK_WINDOW(MWin));
+gtk_window_set_title(GTK_WINDOW(FWin),"Find");
+gtk_window_set_position(GTK_WINDOW(FWin),GTK_WIN_POS_CENTER);
+
+VBox0=gtk_vbox_new(FALSE,0); gtk_container_add(GTK_CONTAINER(FWin),VBox0);
+HBox=gtk_hbox_new(FALSE,10); gtk_container_add(GTK_CONTAINER(VBox0),HBox);
+gtk_container_set_border_width(GTK_CONTAINER(HBox),10);
+
+VBox1=gtk_vbox_new(FALSE,0); gtk_box_pack_start(GTK_BOX(HBox),VBox1,FALSE,FALSE,0);
+VBox2=gtk_vbox_new(FALSE,10); gtk_box_pack_start(GTK_BOX(HBox),VBox2,FALSE,FALSE,0);
+
+HBox=gtk_hbox_new(FALSE,0); gtk_box_pack_start(GTK_BOX(VBox1),HBox,FALSE,FALSE,0);
+Label=gtk_label_new("Text to Find:"); gtk_box_pack_start(GTK_BOX(HBox),Label,FALSE,FALSE,0);
+
+FindEntry=gtk_entry_new();
+gtk_entry_set_max_length(GTK_ENTRY(FindEntry),MAX_SRCH-1);
+gtk_box_pack_start(GTK_BOX(VBox1),FindEntry,FALSE,FALSE,0);
+gtk_entry_set_text(GTK_ENTRY(FindEntry),SrchStr);
+
+VBox=gtk_vbox_new(FALSE,0); gtk_box_pack_start(GTK_BOX(VBox1),VBox,FALSE,FALSE,10);
+ChCase=gtk_check_button_new_with_label("Match case"); gtk_box_pack_start(GTK_BOX(VBox),ChCase,FALSE,FALSE,0);
+if (CaseMatch) gtk_toggle_button_set_active(GTK_TOGGLE_BUTTON(ChCase),TRUE);
+else           gtk_toggle_button_set_active(GTK_TOGGLE_BUTTON(ChCase),FALSE);
+ChWrap=gtk_check_button_new_with_label("Wrap around"); gtk_box_pack_start(GTK_BOX(VBox),ChWrap,FALSE,FALSE,0);
+if (WrapAround) gtk_toggle_button_set_active(GTK_TOGGLE_BUTTON(ChWrap),TRUE);
+else            gtk_toggle_button_set_active(GTK_TOGGLE_BUTTON(ChWrap),FALSE);
+
+But=gtk_button_new_with_label("Find"); gtk_box_pack_start(GTK_BOX(VBox2),But,FALSE,FALSE,0);
+g_signal_connect(But,"clicked",G_CALLBACK(Find),NULL);
+
+But=gtk_button_new_from_stock(GTK_STOCK_CLOSE); gtk_box_pack_end(GTK_BOX(VBox2),But,FALSE,FALSE,0);
+g_signal_connect_swapped(But,"clicked",G_CALLBACK(gtk_widget_destroy),FWin);
+
+gtk_widget_show_all(FWin);
+}
+//----------------------------------------------------------------------------------------------------------------------
+void SelectAll(GtkWidget *W,gpointer Unused)
+{
+GtkTextIter Start,End;
+
+gtk_text_buffer_get_start_iter(Buf,&Start);                                                     //Iter at start position
+gtk_text_buffer_get_end_iter(Buf,&End);                                                           //Iter at end position
+gtk_text_buffer_select_range(Buf,&Start,&End);                                                //Select from Start to End
+}
+//----------------------------------------------------------------------------------------------------------------------
+void Substitute(GtkWidget *W,gpointer Unused)
+{
+const gchar *FTxt,*RTxt;
+GtkTextMark *Mark;
+GtkTextIter Start,End,SelStart,SelEnd;
+gchar *BufTxt,*BufTxt1,*BufTxt2,*BTxt,StatusLine[MAX_STAT];
+gint L1,L2;
+
+FTxt=gtk_entry_get_text(GTK_ENTRY(RFind)); if (!strlen(FTxt)) return;
+RTxt=gtk_entry_get_text(GTK_ENTRY(RRepl));
+strcpy(SrchStr,FTxt); strcpy(ReplStr,RTxt);
+if (GTK_TOGGLE_BUTTON(RChCase)->active) CaseMatch =TRUE; else CaseMatch =FALSE;
+if (GTK_TOGGLE_BUTTON(RChWrap)->active) WrapAround=TRUE; else WrapAround=FALSE;
+FindNext(W,NULL); if (!Located) return;
+
+MaskBufChg=TRUE;                                                                   //Ensure that BufferChanged wont act
+gtk_text_buffer_get_start_iter(Buf,&Start);                                                              //Iter at start
+gtk_text_buffer_get_end_iter(Buf,&End);                                                                    //Iter at end
+if (!SubsAll)                                                  //Update UndoBuf unless SubstituteAll has already done it
+   {
+   BufTxt=gtk_text_buffer_get_text(Buf,&Start,&End,FALSE);
+   if (strlen(BufTxt)<MAX_FSIZE-1) { strcpy(UBuf[UStat],BufTxt); UStat=1-UStat; }
+   }
+
+Mark=gtk_text_buffer_get_mark(Buf,"insert"); gtk_text_buffer_get_iter_at_mark(Buf,&SelStart,Mark);  //Start of selection
+Mark=gtk_text_buffer_get_mark(Buf,"selection_bound"); gtk_text_buffer_get_iter_at_mark(Buf,&SelEnd,Mark);   //End of sel
+BufTxt1=gtk_text_buffer_get_text(Buf,&Start,&SelStart,FALSE);                    //Text from start to start-of-selection
+BufTxt2=gtk_text_buffer_get_text(Buf,&SelEnd,&End,FALSE);                            //Text from end-of-selection to end
+
+L1=strlen(BufTxt1); L2=strlen(RTxt);
+BTxt=g_malloc(L1+L2+strlen(BufTxt2)+10);
+strcpy(BTxt,BufTxt1); strcat(BTxt,RTxt); strcat(BTxt,BufTxt2);
+gtk_text_buffer_set_text(Buf,BTxt,-1); g_free(BTxt);
+
+gtk_text_buffer_get_iter_at_offset(Buf,&SelStart,L1);
+gtk_text_buffer_get_iter_at_offset(Buf,&SelEnd,L1+L2);
+gtk_text_buffer_select_range(Buf,&SelStart,&SelEnd);
+Mark=gtk_text_buffer_create_mark(Buf,"Pos",&SelEnd,FALSE);
+gtk_text_view_scroll_mark_onscreen(GTK_TEXT_VIEW(TextView),Mark);
+
+gtk_statusbar_pop(GTK_STATUSBAR(StatBar),0);
+sprintf(StatusLine,"%s replaced by %s",FTxt,RTxt);
+gtk_statusbar_push(GTK_STATUSBAR(StatBar),StatID,StatusLine);
+MaskBufChg=FALSE;                                                                                   //Restore this flag
+}
+//----------------------------------------------------------------------------------------------------------------------
+void SubstituteAll(GtkWidget *W,gpointer Unused)
+{
+GtkTextIter Start,End;
+GtkTextMark *Mark;
+gint i;
+gchar StatusLine[MAX_STAT],*BufTxt;
+const gchar *FTxt;
+
+FTxt=gtk_entry_get_text(GTK_ENTRY(RFind)); if (!strlen(FTxt)) return;
+MaskBufChg=TRUE; SubsAll=TRUE;                                  //Ensure BufferChanged wont act and Substitute wont wrap
+gtk_text_buffer_get_start_iter(Buf,&Start); gtk_text_buffer_get_end_iter(Buf,&End);
+BufTxt=gtk_text_buffer_get_text(Buf,&Start,&End,FALSE);
+if (strlen(BufTxt)<MAX_FSIZE-1) { strcpy(UBuf[UStat],BufTxt); UStat=1-UStat; }                          //Update UndoBuf
+
+gtk_text_buffer_select_range(Buf,&Start,&Start);                                                  //Move cursor to start
+for (i=0;i<1000;++i) { Substitute(W,NULL); if (!Located) break; }                  //Repeat substitutions. Limit to 1000
+gtk_text_buffer_get_start_iter(Buf,&Start); gtk_text_buffer_select_range(Buf,&Start,&Start);      //Move cursor to start
+Mark=gtk_text_buffer_create_mark(Buf,"Start",&Start,FALSE);                                              //Mark at start
+gtk_text_view_scroll_mark_onscreen(GTK_TEXT_VIEW(TextView),Mark);                                      //Scroll to start
+gtk_statusbar_pop(GTK_STATUSBAR(StatBar),0);
+sprintf(StatusLine,"%d replacements made",i);
+gtk_statusbar_push(GTK_STATUSBAR(StatBar),StatID,StatusLine);                             //Display no. of substitutions
+MaskBufChg=FALSE; SubsAll=FALSE;                                                                   //Restore these flags
+}
+//----------------------------------------------------------------------------------------------------------------------
+void RFindNext(GtkWidget *W,gpointer Unused)
+{
+const gchar *FTxt;
+
+FTxt=gtk_entry_get_text(GTK_ENTRY(RFind));
+if (!strlen(FTxt)) return;
+strcpy(SrchStr,FTxt);
+if (GTK_TOGGLE_BUTTON(RChCase)->active) CaseMatch =TRUE; else CaseMatch =FALSE;
+if (GTK_TOGGLE_BUTTON(RChWrap)->active) WrapAround=TRUE; else WrapAround=FALSE;
+FindNext(W,Unused);
+}
+//----------------------------------------------------------------------------------------------------------------------
+void EditReplace(GtkWidget *W,gpointer Unused)
+{
+GtkWidget *RWin,*HBox,*VBox,*VBox0,*VBox1,*VBox2,*Label,*But;
+
+RWin=gtk_window_new(GTK_WINDOW_TOPLEVEL);
+gtk_window_set_modal(GTK_WINDOW(RWin),TRUE);
+gtk_window_set_transient_for(GTK_WINDOW(RWin),GTK_WINDOW(MWin));
+gtk_window_set_title(GTK_WINDOW(RWin),"Replace");
+gtk_window_set_position(GTK_WINDOW(RWin),GTK_WIN_POS_CENTER);
+
+VBox0=gtk_vbox_new(FALSE,0); gtk_container_add(GTK_CONTAINER(RWin),VBox0);
+HBox=gtk_hbox_new(FALSE,10); gtk_container_add(GTK_CONTAINER(VBox0),HBox);
+gtk_container_set_border_width(GTK_CONTAINER(HBox),10);
+
+VBox1=gtk_vbox_new(FALSE,0); gtk_box_pack_start(GTK_BOX(HBox),VBox1,FALSE,FALSE,0);
+VBox2=gtk_vbox_new(FALSE,10); gtk_box_pack_start(GTK_BOX(HBox),VBox2,FALSE,FALSE,0);
+
+HBox=gtk_hbox_new(FALSE,24); gtk_box_pack_start(GTK_BOX(VBox1),HBox,FALSE,FALSE,0);
+Label=gtk_label_new("Find what:"); gtk_box_pack_start(GTK_BOX(HBox),Label,FALSE,FALSE,0);
+RFind=gtk_entry_new(); gtk_entry_set_max_length(GTK_ENTRY(RFind),MAX_SRCH-1);
+gtk_box_pack_start(GTK_BOX(HBox),RFind,FALSE,FALSE,0);
+gtk_entry_set_text(GTK_ENTRY(RFind),SrchStr);
+
+HBox=gtk_hbox_new(FALSE,5); gtk_box_pack_start(GTK_BOX(VBox1),HBox,FALSE,FALSE,0);
+Label=gtk_label_new("Replace with:"); gtk_box_pack_start(GTK_BOX(HBox),Label,FALSE,FALSE,0);
+RRepl=gtk_entry_new(); gtk_entry_set_max_length(GTK_ENTRY(RRepl),MAX_SRCH-1);
+gtk_box_pack_start(GTK_BOX(HBox),RRepl,FALSE,FALSE,0);
+gtk_entry_set_text(GTK_ENTRY(RRepl),ReplStr);
+
+VBox=gtk_vbox_new(FALSE,0); gtk_box_pack_start(GTK_BOX(VBox1),VBox,FALSE,FALSE,10);
+RChCase=gtk_check_button_new_with_label("Match case"); gtk_box_pack_start(GTK_BOX(VBox),RChCase,FALSE,FALSE,0);
+if (CaseMatch) gtk_toggle_button_set_active(GTK_TOGGLE_BUTTON(RChCase),TRUE);
+else           gtk_toggle_button_set_active(GTK_TOGGLE_BUTTON(RChCase),FALSE);
+RChWrap=gtk_check_button_new_with_label("Wrap around"); gtk_box_pack_start(GTK_BOX(VBox),RChWrap,FALSE,FALSE,0);
+if (WrapAround) gtk_toggle_button_set_active(GTK_TOGGLE_BUTTON(RChWrap),TRUE);
+else            gtk_toggle_button_set_active(GTK_TOGGLE_BUTTON(RChWrap),FALSE);
+
+But=gtk_button_new_with_label("Find Next"); gtk_box_pack_start(GTK_BOX(VBox2),But,FALSE,FALSE,0);
+g_signal_connect(But,"clicked",G_CALLBACK(RFindNext),NULL);
+
+But=gtk_button_new_with_label("Replace"); gtk_box_pack_start(GTK_BOX(VBox2),But,FALSE,FALSE,0);
+g_signal_connect(But,"clicked",G_CALLBACK(Substitute),NULL);
+
+But=gtk_button_new_with_label("Replace All"); gtk_box_pack_start(GTK_BOX(VBox2),But,FALSE,FALSE,0);
+g_signal_connect(But,"clicked",G_CALLBACK(SubstituteAll),NULL);
+
+But=gtk_button_new_from_stock(GTK_STOCK_CLOSE); gtk_box_pack_end(GTK_BOX(VBox2),But,FALSE,FALSE,0);
+g_signal_connect_swapped(But,"clicked",G_CALLBACK(gtk_widget_destroy),RWin);
+
+gtk_widget_show_all(RWin);
+}
+//----------------------------------------------------------------------------------------------------------------------
+void Help(GtkWidget *W,gpointer Unused)
+{
+GtkWidget *Win,*Label;
+static gchar Txt[]=
+"uhope: A Linux Shell for Microhope\n\n\
+To use uhope:\n\
+   1. Create, Edit and Save C-source (*.c) and Assembler (*.S and *.s) files just like gedit\n\
+Sample files and the hardware manual are located in the folder microhope\n\
+in the same location where uhope is installed\n\
+   2. Compile C code by clicking Compile or Assembler code by clicking Assemble\n\
+   3. You can view the objdump file (*.lst) by opening it in the editor\n\
+   4. Connect Microhope and wait a minute\n\
+   5. Click Detect-MH\n\
+   6. If Microhope is not found, repeat or reconnect Microhope\n\
+   7. Upload the hex file to Microhope by clicking Upload\n\
+   8. After first connection, Upload may fail because Microhope is not ready\n\
+   9. If Upload fails, click Microhope->Upload again\n";
+
+Win=gtk_dialog_new_with_buttons("Help",GTK_WINDOW(MWin),GTK_DIALOG_DESTROY_WITH_PARENT,GTK_STOCK_OK,GTK_RESPONSE_NONE,NULL);
+gtk_window_set_position(GTK_WINDOW(Win),GTK_WIN_POS_CENTER);
+gtk_window_set_modal(GTK_WINDOW(Win),TRUE);
+gtk_window_set_transient_for(GTK_WINDOW(Win),GTK_WINDOW(MWin));
+Label=gtk_label_new(Txt);
+g_signal_connect_swapped(Win,"response",G_CALLBACK(gtk_widget_destroy),Win);
+gtk_container_add(GTK_CONTAINER(GTK_DIALOG(Win)->vbox),Label);
+gtk_widget_show_all(Win);
+}
+//----------------------------------------------------------------------------------------------------------------------
+void About(GtkWidget *W,gpointer Unused)
+{
+GtkWidget *Win,*Label;
+static gchar Txt[]=
+"uhope: A Linux Shell for Microhope\n\
+Copyright (C) 2014  A.Chatterjee <DrAmbar at gmail.com>\n\n\
+This program is free software: you can redistribute it and/or modify\n\
+it under the terms of the GNU General Public License as published by\n\
+the Free Software Foundation, either version 3 of the License, or\n\
+(at your option) any later version.\n\n\
+This program is distributed in the hope that it will be useful,\n\
+but WITHOUT ANY WARRANTY; without even the implied warranty of\n\
+MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the\n\
+GNU General Public License www.gnu.org/licenses\n\
+for more details.\n";
+
+Win=gtk_dialog_new_with_buttons("About",GTK_WINDOW(MWin),GTK_DIALOG_DESTROY_WITH_PARENT,GTK_STOCK_OK,GTK_RESPONSE_NONE,NULL);
+gtk_window_set_position(GTK_WINDOW(Win),GTK_WIN_POS_CENTER);
+gtk_window_set_modal(GTK_WINDOW(Win),TRUE);
+gtk_window_set_transient_for(GTK_WINDOW(Win),GTK_WINDOW(MWin));
+Label=gtk_label_new(Txt);
+g_signal_connect_swapped(Win,"response",G_CALLBACK(gtk_widget_destroy),Win);
+gtk_container_add(GTK_CONTAINER(GTK_DIALOG(Win)->vbox),Label);
+gtk_widget_show_all(Win);
+}
+//----------------------------------------------------------------------------------------------------------------------
+void ReallyQuit(GtkWidget *W,gint ResponseID,gpointer user_data)
+{
+if (ResponseID==GTK_RESPONSE_YES) gtk_main_quit();
+else gtk_widget_destroy(W);
+}
+//----------------------------------------------------------------------------------------------------------------------
+void Quit(GtkWidget *W,gpointer Unused)
+{
+GtkWidget *Win,*Label;
+
+if (!UnsavedChanges) gtk_main_quit();
+Win=gtk_dialog_new_with_buttons("Quit",NULL,GTK_DIALOG_MODAL,"Dont quit",GTK_RESPONSE_NO,GTK_STOCK_QUIT,
+    GTK_RESPONSE_YES,NULL);
+gtk_window_set_position(GTK_WINDOW(Win),GTK_WIN_POS_CENTER);
+Label=gtk_label_new("\nThere are unsaved changes.\nDo you really want to quit?\n");
+g_signal_connect(Win,"response",G_CALLBACK(ReallyQuit),NULL);
+gtk_container_add(GTK_CONTAINER(GTK_DIALOG(Win)->vbox),Label);
+gtk_widget_show_all(Win);
+}
+//----------------------------------------------------------------------------------------------------------------------
+void FillUndo(int N)                                                       //Fills UBuf[N] (N=0,1) with the current text
+{
+GtkTextIter Start,End;
+gchar *BufTxt;
+
+gtk_text_buffer_get_start_iter(Buf,&Start); gtk_text_buffer_get_end_iter(Buf,&End);
+BufTxt=gtk_text_buffer_get_text(Buf,&Start,&End,FALSE);
+if (strlen(BufTxt)<MAX_FSIZE-1) strcpy(UBuf[N],BufTxt);
+}
+//----------------------------------------------------------------------------------------------------------------------
+void Undo(GtkWidget *W,gpointer Unused)
+{
+MaskBufChg=TRUE;                                                                         //Ensure BufferChanged wont act
+FillUndo(1-UStat);
+gtk_text_buffer_set_text(Buf,UBuf[UStat],-1);
+UStat=1-UStat;
+MaskBufChg=FALSE;                                                                                    //Restore this flag
+}
+//----------------------------------------------------------------------------------------------------------------------
+void BufferChanged(GtkWidget *W,gpointer Unused)
+{
+gchar *BufTxt;
+GtkTextIter Start,End,EndSel;
+GtkTextMark *Mark;
+gint CurOfs;
+
+UnsavedChanges=TRUE;
+if (MaskBufChg) return;                                                 //Replace, Replace All or Undo is doing its work
+
+Mark=gtk_text_buffer_get_mark(Buf,"selection_bound");    //Mark at end-of-selection (or cursor position if no selection)
+gtk_text_buffer_get_iter_at_mark(Buf,&EndSel,Mark);                               //Iter at end-of-selection (or cursor)
+gtk_text_buffer_get_start_iter(Buf,&Start);                                                     //Iter at start position
+gtk_text_buffer_get_end_iter(Buf,&End);                                                           //Iter at end position
+BufTxt=gtk_text_buffer_get_text(Buf,&Start,&EndSel,FALSE);             //Text from start to end-of-selection (or cursor)
+CurOfs=strlen(BufTxt);                                                          //Offset to end-of-selection (or cursor)
+if ((BufTxt[CurOfs-1] == 10 || BufTxt[CurOfs-1] == 32))                       //Last character typed is space or newline
+   { FillUndo(1-UStat); Push=TRUE; }
+else if (Push) { Push=FALSE; UStat=1-UStat; }
+}
+//----------------------------------------------------------------------------------------------------------------------
+void CursorMoved(GtkWidget *W,gpointer Unused)
+{
+GtkTextIter Iter;
+gint Row,Col;
+gchar StatusLine[MAX_STAT];
+
+gtk_text_buffer_get_iter_at_mark(Buf,&Iter,gtk_text_buffer_get_insert(Buf));
+Row=gtk_text_iter_get_line(&Iter);
+Col=gtk_text_iter_get_line_offset(&Iter);
+gtk_statusbar_pop(GTK_STATUSBAR(StatBar),0);
+sprintf(StatusLine,"%s \t Line:%d Col:%d",FileName,Row,Col);
+gtk_statusbar_push(GTK_STATUSBAR(StatBar),StatID,StatusLine);
+}
+//----------------------------------------------------------------------------------------------------------------------
+gboolean DeleteMain(GtkWidget *W,GdkEvent *Event,gpointer Data)
+{
+if (UnsavedChanges) { Quit(NULL,NULL); return TRUE; };
+return FALSE;
+}
+//----------------------------------------------------------------------------------------------------------------------
+static GtkActionEntry entries[]=
+{
+  {"FileMenuAction",GTK_STOCK_FILE,"_File"},
+  {"EditMenuAction",GTK_STOCK_EDIT,"_Edit"},
+  {"MicrohopeMenuAction",GTK_STOCK_EDIT,"_Microhope"},
+
+  {"NewAction",GTK_STOCK_NEW,"_New","<control>N","New",G_CALLBACK(FileNew)},
+  {"OpenAction",GTK_STOCK_OPEN,"_Open","<control>O","Open",G_CALLBACK(FileOpen)},
+  {"SaveAction",GTK_STOCK_SAVE,"_Save","<control>S","Save",G_CALLBACK(FileSave)},
+  {"SaveAsAction",GTK_STOCK_SAVE_AS,"Save _As","<shift><control>S","Save As",G_CALLBACK(FileSaveAs)},
+  {"QuitAction",GTK_STOCK_QUIT,"_Quit","<control>Q","Quit",G_CALLBACK(Quit)},
+
+  {"UndoAction",GTK_STOCK_UNDO,"_Undo","<control>Z","Undo",G_CALLBACK(Undo)},
+  {"CutAction",GTK_STOCK_CUT,"Cu_t","<control>X","Cut",G_CALLBACK(EditCut)},
+  {"CopyAction",GTK_STOCK_COPY,"_Copy","<control>C","Copy",G_CALLBACK(EditCopy)},
+  {"PasteAction",GTK_STOCK_PASTE,"_Paste","<control>V","Paste",G_CALLBACK(EditPaste)},
+  {"DeleteAction",GTK_STOCK_DELETE,"De_lete","Delete","Delete",G_CALLBACK(EditDelete)},
+  {"FindAction",GTK_STOCK_FIND,"Find","<control>F","Find",G_CALLBACK(EditFind)},
+  {"FindNextAction",GTK_STOCK_FIND,"Find Ne_xt","<control>G","Find Next",G_CALLBACK(FindNext)},
+  {"ReplaceAction",GTK_STOCK_FIND_AND_REPLACE,"_Replace","<control>H","Replace",G_CALLBACK(EditReplace)},
+  {"SelectAllAction",GTK_STOCK_SELECT_ALL,"SelectAll","<control>A","SelectAll",G_CALLBACK(SelectAll)},
+
+  {"CompileAction",NULL,"_Compile","","Compile",G_CALLBACK(Compile)},
+  {"AssembleAction",NULL,"_Assemble","","Assemble",G_CALLBACK(Assemble)},
+  {"UploadAction",NULL,"_Upload","","Upload",G_CALLBACK(Upload)},
+  {"DetectHardwareAction",NULL,"_Detect-MH","","Detect Microhope",G_CALLBACK(DetectHardware)},
+
+  {"HelpAction",NULL,"Help","","Help",G_CALLBACK(Help)},
+  {"AboutAction",NULL,"About","","About",G_CALLBACK(About)},
+};
+//---------------------------------------------------------------------------------------------------------------------
+static guint n_entries=G_N_ELEMENTS(entries);
+//----------------------------------------------------------------------------------------------------------------------
+int main(int argc,char *argv[])
+{
+GtkWidget *VBox,*HBox,*SBox,*MenuBar,*Toolbar;
+GtkActionGroup *ActionGroup;
+GtkUIManager *MenuManager;
+GError *Error;
+struct stat Info;
+gchar StatusLine[MAX_STAT],Str[MAX_FNAME+128];
+char *HomeStr;
+static gchar GuiStr[]="\
+   <ui>\
+     <menubar name='MainMenu'>\
+        <menu name='FileMenu' action='FileMenuAction'>\
+           <menuitem name='New' action='NewAction' always-show-image='true'/>\
+           <menuitem name='Open' action='OpenAction' always-show-image='true'/>\
+           <menuitem name='Save' action='SaveAction' always-show-image='true'/>\
+           <menuitem name='Save As' action='SaveAsAction' always-show-image='true'/>\
+           <separator/>\
+           <menuitem name='Quit' action='QuitAction' always-show-image='true'/>\
+        </menu>\
+        <menu name='EditMenu' action='EditMenuAction'>\
+           <menuitem name='Undo' action='UndoAction' always-show-image='true'/><separator/>\
+           <menuitem name='Cut' action='CutAction' always-show-image='true'/>\
+           <menuitem name='Copy' action='CopyAction' always-show-image='true'/>\
+           <menuitem name='Paste' action='PasteAction' always-show-image='true'/>\
+           <menuitem name='Delete' action='DeleteAction' always-show-image='true'/><separator/>\
+           <menuitem name='Find' action='FindAction' always-show-image='true'/>\
+           <menuitem name='FindNext' action='FindNextAction' always-show-image='true'/>\
+           <menuitem name='Replace' action='ReplaceAction' always-show-image='true'/>\
+           <separator/>\
+           <menuitem name='SelectAll' action='SelectAllAction' always-show-image='true'/>\
+        </menu>\
+     </menubar>\
+     <toolbar name='MainToolbar' action='MainMenuBarAction'>\
+       <placeholder name='ToolItems'>\
+         <toolitem name='Compile' action='CompileAction'/>\
+         <toolitem name='Assemble' action='AssembleAction'/>\
+         <toolitem name='Upload' action='UploadAction'/>\
+         <toolitem name='DetectHardware' action='DetectHardwareAction'/>\
+         <toolitem name='Help' action='HelpAction'/>\
+         <toolitem name='About' action='AboutAction'/>\
+       </placeholder>\
+     </toolbar>\
+   </ui>";
+
+gtk_init(&argc,&argv);
+MWin=gtk_window_new(GTK_WINDOW_TOPLEVEL);
+
+g_signal_connect(MWin,"delete_event",G_CALLBACK(DeleteMain),NULL);
+g_signal_connect(MWin,"destroy",G_CALLBACK(gtk_main_quit),NULL);
+
+gtk_window_set_title(GTK_WINDOW(MWin),"uhope - A Linux Shell for Microhope");
+gtk_window_set_default_size(GTK_WINDOW(MWin),920,470);
+gtk_window_set_position(GTK_WINDOW(MWin),GTK_WIN_POS_CENTER);
+ 
+VBox=gtk_vbox_new(FALSE,1);
+gtk_container_set_border_width(GTK_CONTAINER(VBox),1);
+gtk_container_add(GTK_CONTAINER(MWin),VBox);
+HBox=gtk_hbox_new(FALSE,0);
+gtk_box_pack_start(GTK_BOX(VBox),HBox,FALSE,TRUE,0);
+
+ActionGroup=gtk_action_group_new("GuiActions");
+gtk_action_group_set_translation_domain(ActionGroup,"blah");
+MenuManager=gtk_ui_manager_new();
+gtk_action_group_add_actions(ActionGroup,entries,n_entries,NULL);
+gtk_ui_manager_insert_action_group(MenuManager,ActionGroup,0);
+
+Error=NULL;
+gtk_ui_manager_add_ui_from_string(MenuManager,GuiStr,-1,&Error);
+if (Error) { Attention("Building menus failed",FALSE); g_error_free(Error); }
+
+MenuBar=gtk_ui_manager_get_widget(MenuManager,"/MainMenu");
+gtk_box_pack_start(GTK_BOX(HBox),MenuBar,FALSE,FALSE,0);
+Toolbar=gtk_ui_manager_get_widget(MenuManager,"/MainToolbar");
+gtk_toolbar_set_style(GTK_TOOLBAR(Toolbar),GTK_TOOLBAR_TEXT);
+gtk_box_pack_start(GTK_BOX(HBox),Toolbar,TRUE,TRUE,0);
+gtk_window_add_accel_group(GTK_WINDOW(MWin),gtk_ui_manager_get_accel_group(MenuManager));
+
+SBox=gtk_scrolled_window_new(NULL,NULL);
+gtk_container_set_border_width(GTK_CONTAINER(SBox),2);
+gtk_scrolled_window_set_policy(GTK_SCROLLED_WINDOW(SBox),GTK_POLICY_AUTOMATIC,GTK_POLICY_AUTOMATIC);
+gtk_box_pack_start(GTK_BOX(VBox),SBox,TRUE,TRUE,0);
+
+TextView=gtk_text_view_new();
+gtk_container_add(GTK_CONTAINER(SBox),TextView);
+Buf=gtk_text_view_get_buffer(GTK_TEXT_VIEW(TextView));
+gtk_text_buffer_set_text(Buf,"",-1);
+g_signal_connect(Buf,"changed",G_CALLBACK(BufferChanged),NULL);
+g_signal_connect(Buf,"mark_set",G_CALLBACK(CursorMoved),NULL);
+
+StatBar=gtk_statusbar_new();
+gtk_box_pack_start(GTK_BOX(VBox),StatBar,FALSE,TRUE,0);
+StatID=gtk_statusbar_get_context_id(GTK_STATUSBAR(StatBar),"StatBar");
+strcpy(StatusLine,FileName);
+gtk_statusbar_push(GTK_STATUSBAR(StatBar),StatID,StatusLine);
+
+gtk_widget_show_all(MWin);
+
+HomeStr=getenv("HOME");
+sprintf(Path,"%s/microhope",HomeStr);
+if (stat(Path,&Info))
+   {
+   sprintf(Str,"The directory %s is missing\nYou can work with another directory",Path);
+   Attention(Str,FALSE);
+   (void)getcwd(Path,MAX_FNAME);                              //If directory does not exist, revert to current directory
+   }
+gtk_main();
+return 0;
+}
+//----------------------------------------------------------------------------------------------------------------------
diff --git a/microhope/uhope.xml b/microhope/uhope.xml
new file mode 100644
index 0000000..e590d31
--- /dev/null
+++ b/microhope/uhope.xml
@@ -0,0 +1,132 @@
+<?xml version='1.0' encoding='UTF-8'?>
+<!DOCTYPE refentry PUBLIC "-//OASIS//DTD DocBook XML V4.5//EN"
+"http://www.oasis-open.org/docbook/xml/4.5/docbookx.dtd" [
+
+<!--
+
+`xsltproc -''-nonet \
+          -''-param man.charmap.use.subset "0" \
+          -''-param make.year.ranges "1" \
+          -''-param make.single.year.ranges "1" \
+          /usr/share/xml/docbook/stylesheet/docbook-xsl/manpages/docbook.xsl \
+          manpage.xml'
+
+A manual page <package>.<section> will be generated. You may view the
+manual page with: nroff -man <package>.<section> | less'. A typical entry
+in a Makefile or Makefile.am is:
+
+DB2MAN = /usr/share/sgml/docbook/stylesheet/xsl/docbook-xsl/manpages/docbook.xsl
+XP     = xsltproc -''-nonet -''-param man.charmap.use.subset "0"
+
+manpage.1: manpage.xml
+        $(XP) $(DB2MAN) $<
+
+The xsltproc binary is found in the xsltproc package. The XSL files are in
+docbook-xsl. A description of the parameters you can use can be found in the
+docbook-xsl-doc-* packages. Please remember that if you create the nroff
+version in one of the debian/rules file targets (such as build), you will need
+to include xsltproc and docbook-xsl in your Build-Depends control field.
+Alternatively use the xmlto command/package. That will also automatically
+pull in xsltproc and docbook-xsl.
+
+Notes for using docbook2x: docbook2x-man does not automatically create the
+AUTHOR(S) and COPYRIGHT sections. In this case, please add them manually as
+<refsect1> ... </refsect1>.
+
+To disable the automatic creation of the AUTHOR(S) and COPYRIGHT sections
+read /usr/share/doc/docbook-xsl/doc/manpages/authors.html. This file can be
+found in the docbook-xsl-doc-html package.
+
+Validation can be done using: `xmllint -''-noout -''-valid manpage.xml`
+
+General documentation about man-pages and man-page-formatting:
+man(1), man(7), http://www.tldp.org/HOWTO/Man-Page/
+
+-->
+
+  <!-- Fill in your name for FIRSTNAME and SURNAME. -->
+  <!ENTITY dhfirstname "Georges">
+  <!ENTITY dhsurname   "Khaznadar">
+  <!-- dhusername could also be set to "&dhfirstname; &dhsurname;". -->
+  <!ENTITY dhusername  "Georges Khaznadar">
+  <!ENTITY dhemail     "georgesk at debian.org">
+  <!-- SECTION should be 1-8, maybe w/ subsection other parameters are
+       allowed: see man(7), man(1) and
+       http://www.tldp.org/HOWTO/Man-Page/q2.html. -->
+  <!ENTITY dhsection   "1">
+  <!-- TITLE should be something like "User commands" or similar (see
+       http://www.tldp.org/HOWTO/Man-Page/q2.html). -->
+  <!ENTITY dhtitle     "uhope">
+  <!ENTITY dhucpackage "UHOPE">
+  <!ENTITY dhpackage   "uhope">
+]>
+
+<refentry>
+  <refentryinfo>
+    <title>&dhtitle;</title>
+    <productname>&dhpackage;</productname>
+    <authorgroup>
+      <author>
+       <firstname>&dhfirstname;</firstname>
+        <surname>&dhsurname;</surname>
+        <contrib>Wrote this manpage for the Debian system.</contrib>
+        <address>
+          <email>&dhemail;</email>
+        </address>
+      </author>
+    </authorgroup>
+    <copyright>
+      <year>2014</year>
+      <holder>&dhusername;</holder>
+    </copyright>
+    <legalnotice>
+      <para>This manual page was written for the Debian system
+        (and may be used by others).</para>
+      <para>Permission is granted to copy, distribute and/or modify this
+        document under the terms of the GNU General Public License,
+        Version 2 or (at your option) any later version published by
+        the Free Software Foundation.</para>
+      <para>On Debian systems, the complete text of the GNU General Public
+        License can be found in
+        <filename>/usr/share/common-licenses/GPL</filename>.</para>
+    </legalnotice>
+  </refentryinfo>
+  <refmeta>
+    <refentrytitle>&dhucpackage;</refentrytitle>
+    <manvolnum>&dhsection;</manvolnum>
+  </refmeta>
+  <refnamediv>
+    <refname>&dhpackage;</refname>
+    <refpurpose>Interactive program to learn microcontroller programming.</refpurpose>
+  </refnamediv>
+  <refsynopsisdiv>
+    <cmdsynopsis>
+      <command>&dhpackage;</command>
+    </cmdsynopsis>
+  </refsynopsisdiv>
+  <refsect1 id="description">
+    <title>DESCRIPTION</title>
+    <para><command>&dhpackage;</command> launches an Integrated Development
+    Environment (IDE) which allows the user to develop several small programs
+    for the ATmega32 microcontroller. This environment comes with useful
+    examples and libraries which make the learn process easy.</para>
+    <para>This program has features quite identical to
+    <command>microhope</command> which is implemented in Python. However,
+    <command>&dhpackage;</command> is implemented in C language with gtk2
+    graphic libraries.</para>
+  </refsect1>
+  <refsect1 id="see_also">
+    <title>SEE ALSO</title>
+    <para><citerefentry>
+        <refentrytitle>create-microhope-env</refentrytitle>
+        <manvolnum>1</manvolnum>
+      </citerefentry>.
+    </para>
+    <para><citerefentry>
+        <refentrytitle>microhope</refentrytitle>
+        <manvolnum>1</manvolnum>
+      </citerefentry>.
+    </para>
+  </refsect1>
+</refentry>
+
diff --git a/microhope/ultra-sound-echo.c b/microhope/ultra-sound-echo.c
new file mode 100644
index 0000000..c13af3b
--- /dev/null
+++ b/microhope/ultra-sound-echo.c
@@ -0,0 +1,28 @@
+#include "mh-utils.c"
+#include "mh-timer.c"
+#include "mh-lcd.c"
+
+int vsby2 = 17;  // velocity of sound = 34 mS/cm 
+int main()
+{
+uint32_t x;
+
+DDRB |=  (1 << PB0);  // set PB0 as output   
+DDRB &= ~(1 << PB1);  // and PB1 as inpt   
+lcd_init();
+
+while(1)
+   {
+   PORTB |=  (1 << PB0);  // set PB0 HIGH   
+   delay_100us(1);
+   PORTB &=  ~(1 << PB0);  // set PB0 LOW   
+   delay_100us(5);
+   start_timer();
+   while( (PINB & 2) != 0 ) ;   // Wait for LOW on PB1
+   x = read_timer() + 400;
+   lcd_clear();
+   lcd_put_long(x*vsby2/1000);  // distance in cm
+   delay_ms(500);
+   }
+return 0;
+}
diff --git a/microhope/voltmeter.c b/microhope/voltmeter.c
new file mode 100644
index 0000000..a1acacb
--- /dev/null
+++ b/microhope/voltmeter.c
@@ -0,0 +1,23 @@
+// Reads ADC channel 0 and diplays the result on the LCD 
+
+#include "mh-lcd.c"
+#include "mh-adc.c"
+#include <stdio.h>
+#include "mh-utils.c"
+
+main()
+{
+uint16_t data;
+double  v;
+char ss[10];
+
+lcd_init();
+adc_enable();
+while(1)
+    {
+    data = read_adc(0);   // Read voltage at PA0
+    lcd_clear();
+    lcd_put_int(data);
+    delay_ms(500);
+    }
+}
diff --git a/pixmaps/expeyes-junior-icon.png b/pixmaps/expeyes-junior-icon.png
new file mode 100644
index 0000000..46a65c5
Binary files /dev/null and b/pixmaps/expeyes-junior-icon.png differ
diff --git a/pixmaps/expeyes-junior-icon.svg b/pixmaps/expeyes-junior-icon.svg
new file mode 100644
index 0000000..54d758b
--- /dev/null
+++ b/pixmaps/expeyes-junior-icon.svg
@@ -0,0 +1,94 @@
+<?xml version="1.0" encoding="UTF-8" standalone="no"?>
+<!-- Created with Inkscape (http://www.inkscape.org/) -->
+
+<svg
+   xmlns:dc="http://purl.org/dc/elements/1.1/"
+   xmlns:cc="http://creativecommons.org/ns#"
+   xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#"
+   xmlns:svg="http://www.w3.org/2000/svg"
+   xmlns="http://www.w3.org/2000/svg"
+   xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
+   xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
+   id="svg2"
+   version="1.1"
+   inkscape:version="0.48.3.1 r9886"
+   width="104.78125"
+   height="99.96637"
+   sodipodi:docname="expeyes-junior-icon.svg"
+   inkscape:export-filename="/home/georgesk/developpement/expeyes/expeyes-3.0.0/pixmaps/expeyes-junior-icon.png"
+   inkscape:export-xdpi="120"
+   inkscape:export-ydpi="120">
+  <metadata
+     id="metadata8">
+    <rdf:RDF>
+      <cc:Work
+         rdf:about="">
+        <dc:format>image/svg+xml</dc:format>
+        <dc:type
+           rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
+        <dc:title></dc:title>
+      </cc:Work>
+    </rdf:RDF>
+  </metadata>
+  <defs
+     id="defs6" />
+  <sodipodi:namedview
+     pagecolor="#ffffff"
+     bordercolor="#666666"
+     borderopacity="1"
+     objecttolerance="10"
+     gridtolerance="10"
+     guidetolerance="10"
+     inkscape:pageopacity="0"
+     inkscape:pageshadow="2"
+     inkscape:window-width="959"
+     inkscape:window-height="576"
+     id="namedview4"
+     showgrid="false"
+     fit-margin-top="0"
+     fit-margin-left="0"
+     fit-margin-right="0"
+     fit-margin-bottom="0"
+     inkscape:zoom="1.0442478"
+     inkscape:cx="110.97031"
+     inkscape:cy="167.4988"
+     inkscape:window-x="65"
+     inkscape:window-y="24"
+     inkscape:window-maximized="1"
+     inkscape:current-layer="svg2" />
+  <g
+     id="g3829"
+     transform="translate(-127.2602,89.846398)"
+     style="fill:#c0db67;fill-opacity:1">
+    <g
+       transform="translate(11.635196,-38.783898)"
+       id="g3801"
+       style="fill:#c0db67;fill-opacity:1">
+      <path
+         style="fill:#c0db67;fill-opacity:1;stroke:#ff0000;stroke-width:0;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
+         d="m 169.16737,-41.322564 c -8.46212,0 -15.3125,7.085933 -15.3125,15.8125 0,8.726567 6.85038,15.8125004 15.3125,15.8125004 8.46213,0 15.34375,-7.0859334 15.34375,-15.8125004 0,-8.726567 -6.88162,-15.8125 -15.34375,-15.8125 z m 4.25,11.1875 c 2.49075,0 4.53125,2.08516 4.53125,4.65625 0,2.57109 -2.0405,4.65625 -4.53125,4.65625 -2.49074,0 -4.5,-2.08516 -4.5,-4.65625 0,-2.57109 2.00926,-4.65625 4.5,-4.65625 z"
+         id="path3795"
+         inkscape:connector-curvature="0" />
+      <path
+         inkscape:connector-curvature="0"
+         style="fill:#c0db67;fill-opacity:1;stroke:#ff0000;stroke-width:0;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
+         d="m 167.9375,-51 c -21.16794,0 -40.01321,9.756806 -52.25,24.96875 12.23307,15.301514 31.13458,25.125 52.375,25.125 21.16793,0 40.04445,-9.756806 52.28125,-24.96875 C 208.11089,-41.18152 189.18196,-51 167.9375,-51 z m 0,11.5 c 14.63194,0 27.69973,5.309873 36.125,13.59375 -8.42799,8.232692 -21.45202,13.53125 -36.03125,13.53125 -14.62916,0 -27.63708,-5.312583 -36.0625,-13.59375 C 140.39673,-34.201443 153.35826,-39.5 167.9375,-39.5 z"
+         id="path2987" />
+    </g>
+    <g
+       transform="translate(11.635196,10.963718)"
+       id="g3801-0"
+       style="fill:#c0db67;fill-opacity:1">
+      <path
+         style="fill:#c0db67;fill-opacity:1;stroke:#ff0000;stroke-width:0;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
+         d="m 169.16737,-41.322564 c -8.46212,0 -15.3125,7.085933 -15.3125,15.8125 0,8.726567 6.85038,15.8125004 15.3125,15.8125004 8.46213,0 15.34375,-7.0859334 15.34375,-15.8125004 0,-8.726567 -6.88162,-15.8125 -15.34375,-15.8125 z m 4.25,11.1875 c 2.49075,0 4.53125,2.08516 4.53125,4.65625 0,2.57109 -2.0405,4.65625 -4.53125,4.65625 -2.49074,0 -4.5,-2.08516 -4.5,-4.65625 0,-2.57109 2.00926,-4.65625 4.5,-4.65625 z"
+         id="path3795-2"
+         inkscape:connector-curvature="0" />
+      <path
+         inkscape:connector-curvature="0"
+         style="fill:#c0db67;fill-opacity:1;stroke:#ff0000;stroke-width:0;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
+         d="m 167.9375,-51 c -21.16794,0 -40.01321,9.756806 -52.25,24.96875 12.23307,15.301514 31.13458,25.125 52.375,25.125 21.16793,0 40.04445,-9.756806 52.28125,-24.96875 C 208.11089,-41.18152 189.18196,-51 167.9375,-51 z m 0,11.5 c 14.63194,0 27.69973,5.309873 36.125,13.59375 -8.42799,8.232692 -21.45202,13.53125 -36.03125,13.53125 -14.62916,0 -27.63708,-5.312583 -36.0625,-13.59375 C 140.39673,-34.201443 153.35826,-39.5 167.9375,-39.5 z"
+         id="path2987-2" />
+    </g>
+  </g>
+</svg>
diff --git a/pixmaps/expeyes-logo.png b/pixmaps/expeyes-logo.png
new file mode 100644
index 0000000..522ef65
Binary files /dev/null and b/pixmaps/expeyes-logo.png differ
diff --git a/pixmaps/expeyes-logo.svg b/pixmaps/expeyes-logo.svg
new file mode 100644
index 0000000..d4e040c
--- /dev/null
+++ b/pixmaps/expeyes-logo.svg
@@ -0,0 +1,230 @@
+<?xml version="1.0" encoding="UTF-8" standalone="no"?>
+<!-- Created with Inkscape (http://www.inkscape.org/) -->
+
+<svg
+   xmlns:dc="http://purl.org/dc/elements/1.1/"
+   xmlns:cc="http://creativecommons.org/ns#"
+   xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#"
+   xmlns:svg="http://www.w3.org/2000/svg"
+   xmlns="http://www.w3.org/2000/svg"
+   xmlns:xlink="http://www.w3.org/1999/xlink"
+   xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
+   xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
+   width="1018.7751"
+   height="1018.923"
+   id="svg2"
+   version="1.1"
+   inkscape:version="0.47 r22583"
+   sodipodi:docname="logo.svg"
+   inkscape:export-filename="/var/www/docs/phoenix/logo10-1.svg.png"
+   inkscape:export-xdpi="28.269243"
+   inkscape:export-ydpi="28.269243">
+  <defs
+     id="defs4">
+    <linearGradient
+       id="linearGradient3919">
+      <stop
+         style="stop-color:#96cbe1;stop-opacity:1;"
+         offset="0"
+         id="stop3921" />
+      <stop
+         id="stop3929"
+         offset="0.93442625"
+         style="stop-color:#61a4c0;stop-opacity:1;" />
+      <stop
+         style="stop-color:#2d7e9f;stop-opacity:1;"
+         offset="1"
+         id="stop3923" />
+    </linearGradient>
+    <inkscape:perspective
+       sodipodi:type="inkscape:persp3d"
+       inkscape:vp_x="0 : 526.18109 : 1"
+       inkscape:vp_y="0 : 1000 : 0"
+       inkscape:vp_z="744.09448 : 526.18109 : 1"
+       inkscape:persp3d-origin="372.04724 : 350.78739 : 1"
+       id="perspective10" />
+    <inkscape:perspective
+       id="perspective2944"
+       inkscape:persp3d-origin="0.5 : 0.33333333 : 1"
+       inkscape:vp_z="1 : 0.5 : 1"
+       inkscape:vp_y="0 : 1000 : 0"
+       inkscape:vp_x="0 : 0.5 : 1"
+       sodipodi:type="inkscape:persp3d" />
+    <inkscape:perspective
+       id="perspective3000"
+       inkscape:persp3d-origin="0.5 : 0.33333333 : 1"
+       inkscape:vp_z="1 : 0.5 : 1"
+       inkscape:vp_y="0 : 1000 : 0"
+       inkscape:vp_x="0 : 0.5 : 1"
+       sodipodi:type="inkscape:persp3d" />
+    <inkscape:perspective
+       id="perspective3025"
+       inkscape:persp3d-origin="0.5 : 0.33333333 : 1"
+       inkscape:vp_z="1 : 0.5 : 1"
+       inkscape:vp_y="0 : 1000 : 0"
+       inkscape:vp_x="0 : 0.5 : 1"
+       sodipodi:type="inkscape:persp3d" />
+    <inkscape:perspective
+       id="perspective3048"
+       inkscape:persp3d-origin="0.5 : 0.33333333 : 1"
+       inkscape:vp_z="1 : 0.5 : 1"
+       inkscape:vp_y="0 : 1000 : 0"
+       inkscape:vp_x="0 : 0.5 : 1"
+       sodipodi:type="inkscape:persp3d" />
+    <inkscape:perspective
+       id="perspective3070"
+       inkscape:persp3d-origin="0.5 : 0.33333333 : 1"
+       inkscape:vp_z="1 : 0.5 : 1"
+       inkscape:vp_y="0 : 1000 : 0"
+       inkscape:vp_x="0 : 0.5 : 1"
+       sodipodi:type="inkscape:persp3d" />
+    <filter
+       inkscape:collect="always"
+       id="filter3897"
+       x="-0.082665171"
+       width="1.1653303"
+       y="-0.21530652"
+       height="1.430613">
+      <feGaussianBlur
+         inkscape:collect="always"
+         stdDeviation="24.221841"
+         id="feGaussianBlur3899" />
+    </filter>
+    <filter
+       inkscape:collect="always"
+       id="filter3901"
+       x="-0.13256418"
+       width="1.2651284"
+       y="-0.30380194"
+       height="1.6076039">
+      <feGaussianBlur
+         inkscape:collect="always"
+         stdDeviation="24.221841"
+         id="feGaussianBlur3903" />
+    </filter>
+    <filter
+       inkscape:collect="always"
+       id="filter3905"
+       x="-0.25604931"
+       width="1.5120986"
+       y="-0.49341003"
+       height="1.9868201">
+      <feGaussianBlur
+         inkscape:collect="always"
+         stdDeviation="24.221841"
+         id="feGaussianBlur3907" />
+    </filter>
+    <filter
+       inkscape:collect="always"
+       id="filter3909"
+       x="-0.093455691"
+       width="1.1869114"
+       y="-0.237512"
+       height="1.475024">
+      <feGaussianBlur
+         inkscape:collect="always"
+         stdDeviation="24.221841"
+         id="feGaussianBlur3911" />
+    </filter>
+    <radialGradient
+       inkscape:collect="always"
+       xlink:href="#linearGradient3919"
+       id="radialGradient3925"
+       cx="377.04283"
+       cy="541.70502"
+       fx="377.04283"
+       fy="541.70502"
+       r="509.38752"
+       gradientTransform="matrix(1, 0, 0, 1.00015, 0, -0.0786646)"
+       gradientUnits="userSpaceOnUse" />
+  </defs>
+  <sodipodi:namedview
+     id="base"
+     pagecolor="#ffffff"
+     bordercolor="#666666"
+     borderopacity="1.0"
+     inkscape:pageopacity="0.0"
+     inkscape:pageshadow="2"
+     inkscape:zoom="0.35"
+     inkscape:cx="210.78861"
+     inkscape:cy="477.44449"
+     inkscape:document-units="px"
+     inkscape:current-layer="layer1"
+     showgrid="false"
+     inkscape:window-width="1142"
+     inkscape:window-height="874"
+     inkscape:window-x="373"
+     inkscape:window-y="32"
+     inkscape:window-maximized="0" />
+  <metadata
+     id="metadata7">
+    <rdf:RDF>
+      <cc:Work
+         rdf:about="">
+        <dc:format>image/svg+xml</dc:format>
+        <dc:type
+           rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
+        <dc:title />
+      </cc:Work>
+    </rdf:RDF>
+  </metadata>
+  <g
+     inkscape:label="Calque 1"
+     inkscape:groupmode="layer"
+     id="layer1"
+     transform="translate(134.386, -28.4963)">
+    <path
+       id="path2960-0"
+       d="m 332.54103,1050.2149 c -41.37651,-4.1037 -76.28554,-11.2214 -112.5,-22.9379 C 38.968243,968.69418 -94.671257,813.794 -125.87966,626.32367 c -4.6661,-28.02936 -5.7479,-41.01945 -6.2877,-75.5 -0.4033,-25.76467 -0.1559,-37.16579 1.1283,-52 10.5333,-121.66953 61.903003,-232.02054 148.039403,-318.01409 77.4907,-77.36231 175.938087,-126.967865 284.540687,-143.374125 46.93981,-7.09105 105.93133,-6.90678 154,0.48105 92.41833,14.20408 176.81184,52.628171 251.00004,114.279535 15.1527,12 [...]
+       style="fill:url(#radialGradient3925);fill-opacity:1"
+       sodipodi:nodetypes="cssssssssssssssscc" />
+    <g
+       id="g2840"
+       transform="matrix(1.1504804,0,0,1.1504804,-101.74504,87.967194)">
+      <g
+         transform="translate(1214.29,154.286)"
+         id="g3913">
+        <path
+           id="path2958-6"
+           d="m -621.15696,351.81795 c -7.696,-1.97596 -16.0375,-5.04835 -20.5,-7.55075 -8.5088,-4.77142 -24.5186,-15.74087 -36,-24.66615 -6.325,-4.91686 -13.3,-10.32719 -15.5,-12.02294 -2.2,-1.69576 -4.225,-3.35343 -4.5,-3.68372 -0.275,-0.3303 -3.875,-3.38012 -8,-6.77739 -10.4969,-8.6451 -14.7675,-12.2334 -17.9971,-15.12208 -1.5372,-1.375 -4.9263,-4.3 -7.5311,-6.5 -2.6049,-2.2 -6.17546,-5.35 -7.93461,-7 -1.75915,-1.65 -5.5849,-5.025 -8.50168,-7.5 -2.91677,-2.475 -6.75786,-5.85 -8.53575, [...]
+           style="opacity:0.79919679;fill:#000000;fill-opacity:1;filter:url(#filter3897)"
+           sodipodi:nodetypes="cssssssssssssssssssssssssscccsssssssssssssssc" />
+        <path
+           id="path2952-9"
+           d="m -845.33709,474.55131 c -20.76154,-3.74492 -36.41193,-13.73702 -62.53095,-39.9233 -9.64202,-9.66685 -22.45876,-22.97609 -28.48163,-29.57609 -13.35115,-14.63047 -15.35289,-16.5928 -27.98742,-27.43632 -42.78691,-36.72168 -83.55401,-58.66197 -120.84511,-65.0372 -12.0329,-2.05712 -47.3982,-4.00954 -72.8268,-4.02056 l -13.672,-0.006 -17.913,-11.2924 c -14.8294,-9.34848 -17.6771,-11.51273 -16.5428,-12.57232 1.0028,-0.93673 3.7068,-1.12385 10.0849,-0.69786 4.7931,0.32012 38.4148, [...]
+           style="opacity:0.79919679;fill:#000000;fill-opacity:1;filter:url(#filter3901)"
+           sodipodi:nodetypes="csssscccssssssssc" />
+        <path
+           id="path2954-2-1"
+           d="m -523.47016,282.54624 c -3.5668,-2.44268 -18.185,-12.91489 -32.485,-23.27158 -14.3,-10.35668 -32.2007,-23.31746 -39.7794,-28.80171 -15.9436,-11.5375 -19.0123,-14.80502 -25.2546,-26.89122 -4.292,-8.31023 -12.466,-30.93271 -12.466,-34.50131 0,-0.96629 3.5088,1.75978 8.75,6.79813 13.1948,12.68405 24.8915,21.11121 36.7533,26.47967 6.6998,3.03225 11.2835,3.37644 28.4967,2.13981 19.8052,-1.42283 79.4187,-1.97928 83.8621,-0.78279 5.2523,1.41429 7.1419,4.2908 7.6879,11.70335 0.236 [...]
+           style="opacity:0.79919679;fill:#000000;fill-opacity:1;filter:url(#filter3905)"
+           sodipodi:nodetypes="cssssssssssssssssssssssssc" />
+        <path
+           style="opacity:0.79919679;fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:none;filter:url(#filter3909)"
+           d="m -1103.4014,178.82446 c -60.3721,0.15195 -136.0938,1.05079 -136.0938,2.0625 0,0.37215 3.7126,2.57678 8.25,4.90625 4.5375,2.32947 12.4078,6.41579 17.5,9.0625 5.0923,2.6467 9.4092,4.65329 9.5938,4.46875 0.4819,-0.48195 80.3264,-0.20804 91.6563,0.3125 13.0337,0.59882 14.6889,0.8061 29.5,3.875 32.0308,6.63688 70.9529,23.60273 115.2812,50.25 26.31675,15.81991 65.61734,43.0767 75.96875,52.6875 1.94153,1.80262 5.06029,4.56513 6.9375,6.15625 3.52028,2.98378 8.48988,7.31567 15.4062 [...]
+           id="rect2985-4"
+           sodipodi:nodetypes="csssssssssssssssssssssssssssssssc" />
+      </g>
+      <path
+         sodipodi:nodetypes="cssssssssssssssssssssssssscccsssssssssssssssc"
+         style="fill:#f39e37"
+         d="m 623.89446,497.27107 c -7.69606,-1.97596 -16.03749,-5.04835 -20.5,-7.55075 -8.50881,-4.77142 -24.51863,-15.74087 -36,-24.66615 -6.325,-4.91686 -13.3,-10.32719 -15.5,-12.02294 -2.2,-1.69576 -4.225,-3.35343 -4.5,-3.68372 -0.275,-0.3303 -3.875,-3.38012 -8,-6.77739 -10.49698,-8.6451 -14.76749,-12.2334 -17.99709,-15.12208 -1.53728,-1.375 -4.92631,-4.3 -7.53118,-6.5 -2.60487,-2.2 -6.17543,-5.35 -7.93458,-7 -1.75915,-1.65 -5.5849,-5.025 -8.50168,-7.5 -2.91677,-2.475 -6.75786,-5.85  [...]
+         id="path2958" />
+      <path
+         sodipodi:nodetypes="csssscccssssssssc"
+         style="fill:#6b8c45"
+         d="m 399.71429,620.00443 c -20.76154,-3.74492 -36.41193,-13.73702 -62.53095,-39.9233 -9.64202,-9.66685 -22.45876,-22.97609 -28.48163,-29.57609 -13.35115,-14.63047 -15.35289,-16.5928 -27.98742,-27.43632 -42.78693,-36.72168 -83.55401,-58.66197 -120.84512,-65.0372 -12.03288,-2.05712 -47.39824,-4.00954 -72.826831,-4.02056 l -13.67195,-0.006 -17.913,-11.2924 c -14.82937,-9.34848 -17.67713,-11.51273 -16.54283,-12.57232 1.00277,-0.93673 3.70686,-1.12385 10.08494,-0.69786 4.79313,0.3201 [...]
+         id="path2952" />
+      <path
+         sodipodi:nodetypes="cssssssssssssssssssssssssc"
+         style="fill:#7e29fd"
+         d="m 721.58124,427.99936 c -3.5668,-2.44268 -18.185,-12.91489 -32.485,-23.27158 -14.3,-10.35668 -32.2007,-23.31746 -39.7794,-28.80171 -15.9436,-11.5375 -19.0123,-14.80502 -25.2546,-26.89122 -4.292,-8.31023 -12.466,-30.93271 -12.466,-34.50131 0,-0.96629 3.5088,1.75978 8.75,6.79813 13.1948,12.68405 24.8915,21.11121 36.7533,26.47967 6.6998,3.03225 11.2835,3.37644 28.4967,2.13981 19.8052,-1.42283 79.4187,-1.97928 83.8621,-0.78279 5.2523,1.41429 7.1419,4.2908 7.6879,11.70335 0.2363,3 [...]
+         id="path2954-2" />
+      <path
+         sodipodi:nodetypes="csssssssssssssssssssssssssssssssc"
+         id="rect2985"
+         d="m 141.64998,324.27758 c -60.372126,0.15195 -136.0937957,1.05079 -136.0937957,2.0625 0,0.37215 3.7126,2.57678 8.2499997,4.90625 4.5375,2.32947 12.4078,6.41579 17.5,9.0625 5.0923,2.6467 9.4092,4.65329 9.5938,4.46875 0.4819,-0.48195 80.326346,-0.20804 91.656246,0.3125 13.0337,0.59882 14.6889,0.8061 29.5,3.875 32.03081,6.63688 70.95299,23.60273 115.28125,50.25 26.31675,15.81991 65.61734,43.0767 75.96875,52.6875 1.94153,1.80262 5.06029,4.56513 6.9375,6.15625 3.52028,2.98378 8.4898 [...]
+         style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:none" />
+    </g>
+  </g>
+</svg>
diff --git a/pixmaps/expeyes-progman-jr-doc.png b/pixmaps/expeyes-progman-jr-doc.png
new file mode 100644
index 0000000..33bccf7
Binary files /dev/null and b/pixmaps/expeyes-progman-jr-doc.png differ
diff --git a/pixmaps/expeyes-progman-jr-doc.svg b/pixmaps/expeyes-progman-jr-doc.svg
new file mode 100644
index 0000000..dbe4548
--- /dev/null
+++ b/pixmaps/expeyes-progman-jr-doc.svg
@@ -0,0 +1,991 @@
+<?xml version="1.0" encoding="UTF-8" standalone="no"?>
+<!-- Created with Inkscape (http://www.inkscape.org/) -->
+
+<svg
+   xmlns:dc="http://purl.org/dc/elements/1.1/"
+   xmlns:cc="http://creativecommons.org/ns#"
+   xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#"
+   xmlns:svg="http://www.w3.org/2000/svg"
+   xmlns="http://www.w3.org/2000/svg"
+   xmlns:xlink="http://www.w3.org/1999/xlink"
+   xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
+   xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
+   width="49.836979"
+   height="45.77232"
+   id="svg2"
+   version="1.1"
+   inkscape:version="0.48.4 r9939"
+   sodipodi:docname="eyesj-doc.svg"
+   inkscape:export-filename="/home/georgesk/developpement/expeyes/expeyes-2.9.0/pixmaps/eyesj-doc.png"
+   inkscape:export-xdpi="123.71"
+   inkscape:export-ydpi="123.71">
+  <defs
+     id="defs4">
+    <linearGradient
+       y2="134.25"
+       x2="-158.75"
+       y1="115.93846"
+       x1="-158.75"
+       gradientTransform="matrix(0.1892115,-0.1892253,0.1892115,0.1892253,49.738733,-37.732231)"
+       gradientUnits="userSpaceOnUse"
+       id="linearGradient10314"
+       xlink:href="#linearGradient4099-6-7"
+       inkscape:collect="always" />
+    <radialGradient
+       r="9.1267023"
+       fy="128.00087"
+       fx="-138.83727"
+       cy="128.00087"
+       cx="-138.83727"
+       gradientTransform="matrix(0.320394,-0.3203948,0.322414,0.3224148,50.518433,-74.157887)"
+       gradientUnits="userSpaceOnUse"
+       id="radialGradient10312"
+       xlink:href="#linearGradient4117-1-0"
+       inkscape:collect="always" />
+    <linearGradient
+       y2="32.714375"
+       x2="25.485056"
+       y1="34.389839"
+       x1="26.379272"
+       gradientUnits="userSpaceOnUse"
+       id="linearGradient10310"
+       xlink:href="#linearGradient6437"
+       inkscape:collect="always" />
+    <linearGradient
+       y2="22.118853"
+       x2="22.810215"
+       y1="21.481258"
+       x1="23.447809"
+       gradientTransform="translate(6.39225,12.18459)"
+       gradientUnits="userSpaceOnUse"
+       id="linearGradient10308"
+       xlink:href="#linearGradient2301"
+       inkscape:collect="always" />
+    <linearGradient
+       y2="14.691495"
+       x2="30.432114"
+       y1="12.338078"
+       x1="28.078697"
+       gradientTransform="translate(6.39225,12.18459)"
+       gradientUnits="userSpaceOnUse"
+       id="linearGradient10306"
+       xlink:href="#linearGradient6445"
+       inkscape:collect="always" />
+    <linearGradient
+       y2="18.392296"
+       x2="32.9375"
+       y1="18.53828"
+       x1="18.1875"
+       gradientTransform="matrix(1,0,0,0.415008,6.5485,26.7669)"
+       gradientUnits="userSpaceOnUse"
+       id="linearGradient10304"
+       xlink:href="#linearGradient1813"
+       inkscape:collect="always" />
+    <radialGradient
+       r="14.625"
+       fy="33.1875"
+       fx="37.375"
+       cy="33.1875"
+       cx="37.375"
+       gradientTransform="matrix(1,0,0,0.226496,0,25.67067)"
+       gradientUnits="userSpaceOnUse"
+       id="radialGradient10302"
+       xlink:href="#linearGradient4333"
+       inkscape:collect="always" />
+    <linearGradient
+       y2="14.662594"
+       x2="11.580806"
+       y1="6.728353"
+       x1="11.669194"
+       gradientUnits="userSpaceOnUse"
+       id="linearGradient8539"
+       xlink:href="#linearGradient2791"
+       inkscape:collect="always" />
+    <radialGradient
+       r="1.499999"
+       fy="11.669307"
+       fx="12.321928"
+       cy="11.669307"
+       cx="12.321928"
+       gradientTransform="matrix(9.835652,1.854444e-6,0,1.136384,-108.6942,-1.914856)"
+       gradientUnits="userSpaceOnUse"
+       id="radialGradient8537"
+       xlink:href="#linearGradient2804"
+       inkscape:collect="always" />
+    <radialGradient
+       inkscape:collect="always"
+       xlink:href="#linearGradient4690"
+       id="radialGradient4696"
+       cx="29.480816"
+       cy="36.776756"
+       fx="29.480816"
+       fy="36.776756"
+       r="18.5"
+       gradientTransform="matrix(2.012905,0,0,1.338879,-28.88714,-12.68199)"
+       gradientUnits="userSpaceOnUse" />
+    <linearGradient
+       inkscape:collect="always"
+       id="linearGradient4690">
+      <stop
+         style="stop-color:white;stop-opacity:1"
+         offset="0"
+         id="stop4692" />
+      <stop
+         style="stop-color:#eeeeec;stop-opacity:1"
+         offset="1"
+         id="stop4694" />
+    </linearGradient>
+    <linearGradient
+       inkscape:collect="always"
+       xlink:href="#linearGradient4700"
+       id="linearGradient4698"
+       x1="5.6568546"
+       y1="53.320892"
+       x2="4"
+       y2="16.003418"
+       gradientUnits="userSpaceOnUse" />
+    <linearGradient
+       id="linearGradient4700"
+       inkscape:collect="always">
+      <stop
+         id="stop4702"
+         offset="0"
+         style="stop-color:#2e3436;stop-opacity:1" />
+      <stop
+         id="stop4704"
+         offset="1"
+         style="stop-color:#888a85;stop-opacity:1" />
+    </linearGradient>
+    <radialGradient
+       inkscape:collect="always"
+       xlink:href="#linearGradient4333"
+       id="radialGradient4339"
+       cx="37.375"
+       cy="33.1875"
+       fx="37.375"
+       fy="33.1875"
+       r="14.625"
+       gradientTransform="matrix(1,0,0,0.226496,0,25.67067)"
+       gradientUnits="userSpaceOnUse" />
+    <linearGradient
+       inkscape:collect="always"
+       id="linearGradient4333">
+      <stop
+         style="stop-color:black;stop-opacity:1;"
+         offset="0"
+         id="stop4335" />
+      <stop
+         style="stop-color:black;stop-opacity:0;"
+         offset="1"
+         id="stop4337" />
+    </linearGradient>
+    <linearGradient
+       inkscape:collect="always"
+       xlink:href="#linearGradient2919"
+       id="linearGradient2925"
+       x1="11.949747"
+       y1="40.664974"
+       x2="94.364037"
+       y2="47.897068"
+       gradientUnits="userSpaceOnUse"
+       gradientTransform="matrix(1,0,0,1.489708,-2,-22.55226)" />
+    <linearGradient
+       inkscape:collect="always"
+       id="linearGradient2919">
+      <stop
+         style="stop-color:#d3d7cf;stop-opacity:1;"
+         offset="0"
+         id="stop2921" />
+      <stop
+         style="stop-color:#d3d7cf;stop-opacity:0;"
+         offset="1"
+         id="stop2923" />
+    </linearGradient>
+    <linearGradient
+       inkscape:collect="always"
+       xlink:href="#linearGradient4682"
+       id="linearGradient4688"
+       x1="6.7928934"
+       y1="32.963203"
+       x2="7.9215727"
+       y2="54.448856"
+       gradientUnits="userSpaceOnUse" />
+    <linearGradient
+       inkscape:collect="always"
+       id="linearGradient4682">
+      <stop
+         style="stop-color:white;stop-opacity:1;"
+         offset="0"
+         id="stop4684" />
+      <stop
+         style="stop-color:white;stop-opacity:0;"
+         offset="1"
+         id="stop4686" />
+    </linearGradient>
+    <linearGradient
+       id="linearGradient2804">
+      <stop
+         style="stop-color:white;stop-opacity:1"
+         offset="0"
+         id="stop2806" />
+      <stop
+         style="stop-color:#d3d7cf;stop-opacity:1"
+         offset="1"
+         id="stop2808" />
+    </linearGradient>
+    <linearGradient
+       id="linearGradient2791">
+      <stop
+         style="stop-color:#555753;stop-opacity:1;"
+         offset="0"
+         id="stop2793" />
+      <stop
+         id="stop2799"
+         offset="0.5"
+         style="stop-color:#cccdcb;stop-opacity:1;" />
+      <stop
+         style="stop-color:#555753;stop-opacity:1"
+         offset="1"
+         id="stop2795" />
+    </linearGradient>
+    <linearGradient
+       inkscape:collect="always"
+       xlink:href="#linearGradient1813"
+       id="linearGradient6482"
+       gradientUnits="userSpaceOnUse"
+       gradientTransform="matrix(1,0,0,0.415008,6.5485,26.7669)"
+       x1="18.1875"
+       y1="18.53828"
+       x2="32.9375"
+       y2="18.392296" />
+    <linearGradient
+       id="linearGradient1813"
+       inkscape:collect="always">
+      <stop
+         id="stop1815"
+         offset="0"
+         style="stop-color:#000000;stop-opacity:1;" />
+      <stop
+         id="stop1817"
+         offset="1"
+         style="stop-color:#000000;stop-opacity:0;" />
+    </linearGradient>
+    <linearGradient
+       inkscape:collect="always"
+       xlink:href="#linearGradient6445"
+       id="linearGradient6484"
+       gradientUnits="userSpaceOnUse"
+       gradientTransform="translate(6.39225,12.18459)"
+       x1="28.078697"
+       y1="12.338078"
+       x2="30.432114"
+       y2="14.691495" />
+    <linearGradient
+       inkscape:collect="always"
+       id="linearGradient6445">
+      <stop
+         style="stop-color:#fcaf3e;stop-opacity:1"
+         offset="0"
+         id="stop6447" />
+      <stop
+         style="stop-color:#ce5c00"
+         offset="1"
+         id="stop6449" />
+    </linearGradient>
+    <linearGradient
+       inkscape:collect="always"
+       xlink:href="#linearGradient2301"
+       id="linearGradient6486"
+       gradientUnits="userSpaceOnUse"
+       gradientTransform="translate(6.39225,12.18459)"
+       x1="23.447809"
+       y1="21.481258"
+       x2="22.810215"
+       y2="22.118853" />
+    <linearGradient
+       id="linearGradient2301"
+       inkscape:collect="always">
+      <stop
+         id="stop2303"
+         offset="0"
+         style="stop-color:#ce5c00;stop-opacity:1" />
+      <stop
+         id="stop2305"
+         offset="1"
+         style="stop-color:#ce5c00" />
+    </linearGradient>
+    <linearGradient
+       inkscape:collect="always"
+       xlink:href="#linearGradient6437"
+       id="linearGradient6488"
+       gradientUnits="userSpaceOnUse"
+       x1="26.379272"
+       y1="34.389839"
+       x2="25.485056"
+       y2="32.714375" />
+    <linearGradient
+       inkscape:collect="always"
+       id="linearGradient6437">
+      <stop
+         style="stop-color:#e9b96e;stop-opacity:1"
+         offset="0"
+         id="stop6439" />
+      <stop
+         style="stop-color:#ffffff;stop-opacity:1"
+         offset="1"
+         id="stop6441" />
+    </linearGradient>
+    <radialGradient
+       r="9.1267023"
+       fy="128.00087"
+       fx="-138.83727"
+       cy="128.00087"
+       cx="-138.83727"
+       gradientTransform="matrix(0.320394,-0.3203948,0.322414,0.3224148,50.518433,-74.157887)"
+       gradientUnits="userSpaceOnUse"
+       id="radialGradient691"
+       xlink:href="#linearGradient4117-1-0"
+       inkscape:collect="always" />
+    <linearGradient
+       inkscape:collect="always"
+       id="linearGradient4117-1-0">
+      <stop
+         id="stop4121-0-5"
+         offset="0"
+         style="stop-color:#f9a9a9;stop-opacity:1" />
+      <stop
+         id="stop4119-1-6"
+         offset="1"
+         style="stop-color:#ab5f5f;stop-opacity:1" />
+    </linearGradient>
+    <linearGradient
+       y2="134.25"
+       x2="-158.75"
+       y1="115.93846"
+       x1="-158.75"
+       gradientTransform="matrix(0.1892115,-0.1892253,0.1892115,0.1892253,49.738733,-37.732231)"
+       gradientUnits="userSpaceOnUse"
+       id="linearGradient649"
+       xlink:href="#linearGradient4099-6-7"
+       inkscape:collect="always" />
+    <linearGradient
+       inkscape:collect="always"
+       id="linearGradient4099-6-7">
+      <stop
+         style="stop-color:#dddddd;stop-opacity:1;"
+         offset="0"
+         id="stop4101-1-8" />
+      <stop
+         id="stop4107-2-2"
+         offset="0.34467545"
+         style="stop-color:#ffffff;stop-opacity:1" />
+      <stop
+         style="stop-color:#737373;stop-opacity:1"
+         offset="0.72694808"
+         id="stop4109-5-7" />
+      <stop
+         style="stop-color:#bbbbbb;stop-opacity:1"
+         offset="1"
+         id="stop4103-9-2" />
+    </linearGradient>
+    <radialGradient
+       r="3"
+       fy="39.5"
+       fx="6"
+       cy="39.5"
+       cx="6"
+       gradientTransform="matrix(1,0,0,2.166667,0,-46.08333)"
+       gradientUnits="userSpaceOnUse"
+       id="radialGradient3430"
+       xlink:href="#linearGradient3399"
+       inkscape:collect="always" />
+    <linearGradient
+       id="linearGradient3399"
+       inkscape:collect="always">
+      <stop
+         id="stop3401"
+         offset="0"
+         style="stop-color:black;stop-opacity:1;" />
+      <stop
+         id="stop3403"
+         offset="1"
+         style="stop-color:black;stop-opacity:0;" />
+    </linearGradient>
+    <radialGradient
+       r="3"
+       fy="39.5"
+       fx="6"
+       cy="39.5"
+       cx="6"
+       gradientTransform="matrix(1,0,0,2.166667,-45,-125.0833)"
+       gradientUnits="userSpaceOnUse"
+       id="radialGradient3432"
+       xlink:href="#linearGradient3399"
+       inkscape:collect="always" />
+    <linearGradient
+       y2="32.999397"
+       x2="18"
+       y1="46"
+       x1="18"
+       gradientUnits="userSpaceOnUse"
+       id="linearGradient3434"
+       xlink:href="#linearGradient3415"
+       inkscape:collect="always" />
+    <linearGradient
+       id="linearGradient3415">
+      <stop
+         id="stop3417"
+         offset="0"
+         style="stop-color:black;stop-opacity:0;" />
+      <stop
+         style="stop-color:black;stop-opacity:1;"
+         offset="0.5"
+         id="stop3423" />
+      <stop
+         id="stop3419"
+         offset="1"
+         style="stop-color:black;stop-opacity:0;" />
+    </linearGradient>
+    <inkscape:perspective
+       sodipodi:type="inkscape:persp3d"
+       inkscape:vp_x="0 : 0.5 : 1"
+       inkscape:vp_y="0 : 1000 : 0"
+       inkscape:vp_z="1 : 0.5 : 1"
+       inkscape:persp3d-origin="0.5 : 0.33333333 : 1"
+       id="perspective3070" />
+    <inkscape:perspective
+       sodipodi:type="inkscape:persp3d"
+       inkscape:vp_x="0 : 0.5 : 1"
+       inkscape:vp_y="0 : 1000 : 0"
+       inkscape:vp_z="1 : 0.5 : 1"
+       inkscape:persp3d-origin="0.5 : 0.33333333 : 1"
+       id="perspective3048" />
+    <inkscape:perspective
+       sodipodi:type="inkscape:persp3d"
+       inkscape:vp_x="0 : 0.5 : 1"
+       inkscape:vp_y="0 : 1000 : 0"
+       inkscape:vp_z="1 : 0.5 : 1"
+       inkscape:persp3d-origin="0.5 : 0.33333333 : 1"
+       id="perspective3025" />
+    <inkscape:perspective
+       sodipodi:type="inkscape:persp3d"
+       inkscape:vp_x="0 : 0.5 : 1"
+       inkscape:vp_y="0 : 1000 : 0"
+       inkscape:vp_z="1 : 0.5 : 1"
+       inkscape:persp3d-origin="0.5 : 0.33333333 : 1"
+       id="perspective3000" />
+    <inkscape:perspective
+       sodipodi:type="inkscape:persp3d"
+       inkscape:vp_x="0 : 0.5 : 1"
+       inkscape:vp_y="0 : 1000 : 0"
+       inkscape:vp_z="1 : 0.5 : 1"
+       inkscape:persp3d-origin="0.5 : 0.33333333 : 1"
+       id="perspective2944" />
+    <inkscape:perspective
+       id="perspective10"
+       inkscape:persp3d-origin="372.04724 : 350.78739 : 1"
+       inkscape:vp_z="744.09448 : 526.18109 : 1"
+       inkscape:vp_y="0 : 1000 : 0"
+       inkscape:vp_x="0 : 526.18109 : 1"
+       sodipodi:type="inkscape:persp3d" />
+    <linearGradient
+       id="linearGradient3919">
+      <stop
+         id="stop3921"
+         offset="0"
+         style="stop-color:#96cbe1;stop-opacity:1;" />
+      <stop
+         style="stop-color:#61a4c0;stop-opacity:1;"
+         offset="0.93442625"
+         id="stop3929" />
+      <stop
+         id="stop3923"
+         offset="1"
+         style="stop-color:#2d7e9f;stop-opacity:1;" />
+    </linearGradient>
+    <filter
+       inkscape:collect="always"
+       id="filter4683"
+       color-interpolation-filters="sRGB">
+      <feGaussianBlur
+         inkscape:collect="always"
+         stdDeviation="2.9461521"
+         id="feGaussianBlur4685" />
+    </filter>
+    <linearGradient
+       gradientUnits="userSpaceOnUse"
+       y2="5.9588566"
+       x2="15.044075"
+       y1="37.333858"
+       x1="35.694206"
+       id="linearGradient2231"
+       xlink:href="#linearGradient2223"
+       inkscape:collect="always" />
+    <radialGradient
+       gradientUnits="userSpaceOnUse"
+       gradientTransform="matrix(1.768625,0,0,1.387102,-18.44248,-15.29268)"
+       r="19.088932"
+       fy="32.266911"
+       fx="23.994133"
+       cy="32.266911"
+       cx="23.994133"
+       id="radialGradient2229"
+       xlink:href="#linearGradient2222"
+       inkscape:collect="always" />
+    <linearGradient
+       y2="24.238262"
+       x2="12.499243"
+       y1="12.53757"
+       x1="8.8207808"
+       gradientTransform="matrix(3.809547,0,0,1.750325,-16.00036,-15.78719)"
+       gradientUnits="userSpaceOnUse"
+       id="linearGradient1340"
+       xlink:href="#linearGradient2180"
+       inkscape:collect="always" />
+    <linearGradient
+       gradientTransform="matrix(0.952387,0,0,1.015657,1.142599,-0.876325)"
+       gradientUnits="userSpaceOnUse"
+       y2="39.708324"
+       x2="46.092255"
+       y1="19.636894"
+       x1="20.338758"
+       id="linearGradient2244"
+       xlink:href="#linearGradient2238"
+       inkscape:collect="always" />
+    <radialGradient
+       gradientUnits="userSpaceOnUse"
+       gradientTransform="matrix(1,0,0,0.304598,0,29.37527)"
+       r="17.576654"
+       fy="42.24213"
+       fx="24.04163"
+       cy="42.24213"
+       cx="24.04163"
+       id="radialGradient2230"
+       xlink:href="#linearGradient2224"
+       inkscape:collect="always" />
+    <linearGradient
+       gradientTransform="matrix(0.952387,0,0,1.018339,1.142599,-1.941627)"
+       gradientUnits="userSpaceOnUse"
+       y2="24.012266"
+       x2="47.998764"
+       y1="24.012266"
+       x1="0.0012142062"
+       id="linearGradient2220"
+       xlink:href="#linearGradient2214"
+       inkscape:collect="always" />
+    <linearGradient
+       gradientTransform="matrix(0.952387,0,0,1.018339,1.142599,-1.941627)"
+       gradientUnits="userSpaceOnUse"
+       y2="19.567596"
+       x2="18.300278"
+       y1="26.133587"
+       x1="25.861118"
+       id="linearGradient2212"
+       xlink:href="#linearGradient2206"
+       inkscape:collect="always" />
+    <linearGradient
+       id="linearGradient2180"
+       inkscape:collect="always">
+      <stop
+         id="stop2182"
+         offset="0"
+         style="stop-color:#ffffff;stop-opacity:1;" />
+      <stop
+         id="stop2184"
+         offset="1"
+         style="stop-color:#ffffff;stop-opacity:0;" />
+    </linearGradient>
+    <linearGradient
+       id="linearGradient2198">
+      <stop
+         id="stop2200"
+         offset="0"
+         style="stop-color:#32342f;stop-opacity:1;" />
+      <stop
+         id="stop2202"
+         offset="1.0000000"
+         style="stop-color:#171816;stop-opacity:1.0000000;" />
+    </linearGradient>
+    <linearGradient
+       id="linearGradient2206">
+      <stop
+         id="stop2208"
+         offset="0.0000000"
+         style="stop-color:#c3c6c0;stop-opacity:1.0000000;" />
+      <stop
+         id="stop2210"
+         offset="1.0000000"
+         style="stop-color:#e8eae6;stop-opacity:1.0000000;" />
+    </linearGradient>
+    <linearGradient
+       id="linearGradient2214">
+      <stop
+         id="stop2216"
+         offset="0.0000000"
+         style="stop-color:#a9aaa7;stop-opacity:1.0000000;" />
+      <stop
+         id="stop2218"
+         offset="1.0000000"
+         style="stop-color:#676964;stop-opacity:1.0000000;" />
+    </linearGradient>
+    <linearGradient
+       id="linearGradient2224">
+      <stop
+         id="stop2226"
+         offset="0.0000000"
+         style="stop-color:#32342f;stop-opacity:0.54639173;" />
+      <stop
+         id="stop2228"
+         offset="1"
+         style="stop-color:#32342f;stop-opacity:0;" />
+    </linearGradient>
+    <linearGradient
+       id="linearGradient2238"
+       inkscape:collect="always">
+      <stop
+         id="stop2240"
+         offset="0"
+         style="stop-color:#ffffff;stop-opacity:1;" />
+      <stop
+         id="stop2242"
+         offset="1"
+         style="stop-color:#ffffff;stop-opacity:0;" />
+    </linearGradient>
+    <linearGradient
+       id="linearGradient3090">
+      <stop
+         id="stop3092"
+         offset="0"
+         style="stop-color:#626c07;stop-opacity:1;" />
+      <stop
+         id="stop3094"
+         offset="1"
+         style="stop-color:#313603;stop-opacity:1;" />
+    </linearGradient>
+    <linearGradient
+       id="linearGradient2222">
+      <stop
+         id="stop2224"
+         offset="0"
+         style="stop-color:#5187d6;stop-opacity:1;" />
+      <stop
+         id="stop2227"
+         offset="1"
+         style="stop-color:#1e4580;stop-opacity:1;" />
+    </linearGradient>
+    <linearGradient
+       id="linearGradient2223"
+       inkscape:collect="always">
+      <stop
+         id="stop2225"
+         offset="0"
+         style="stop-color:#ffffff;stop-opacity:1;" />
+      <stop
+         id="stop2229"
+         offset="1"
+         style="stop-color:#ffffff;stop-opacity:0;" />
+    </linearGradient>
+    <linearGradient
+       y2="609.50507"
+       x2="302.85715"
+       y1="366.64789"
+       x1="302.85715"
+       gradientTransform="matrix(2.774389,0,0,1.969706,-1892.179,-872.8854)"
+       gradientUnits="userSpaceOnUse"
+       id="linearGradient5027"
+       xlink:href="#linearGradient5048"
+       inkscape:collect="always" />
+    <linearGradient
+       id="linearGradient5048">
+      <stop
+         id="stop5050"
+         offset="0"
+         style="stop-color:black;stop-opacity:0;" />
+      <stop
+         style="stop-color:black;stop-opacity:1;"
+         offset="0.5"
+         id="stop5056" />
+      <stop
+         id="stop5052"
+         offset="1"
+         style="stop-color:black;stop-opacity:0;" />
+    </linearGradient>
+    <radialGradient
+       r="117.14286"
+       fy="486.64789"
+       fx="605.71429"
+       cy="486.64789"
+       cx="605.71429"
+       gradientTransform="matrix(2.774389,0,0,1.969706,-1891.633,-872.8854)"
+       gradientUnits="userSpaceOnUse"
+       id="radialGradient5029"
+       xlink:href="#linearGradient5060"
+       inkscape:collect="always" />
+    <linearGradient
+       id="linearGradient5060"
+       inkscape:collect="always">
+      <stop
+         id="stop5062"
+         offset="0"
+         style="stop-color:black;stop-opacity:1;" />
+      <stop
+         id="stop5064"
+         offset="1"
+         style="stop-color:black;stop-opacity:0;" />
+    </linearGradient>
+    <radialGradient
+       r="117.14286"
+       fy="486.64789"
+       fx="605.71429"
+       cy="486.64789"
+       cx="605.71429"
+       gradientTransform="matrix(-2.774389,0,0,1.969706,112.7623,-872.8854)"
+       gradientUnits="userSpaceOnUse"
+       id="radialGradient5031"
+       xlink:href="#linearGradient5060"
+       inkscape:collect="always" />
+    <inkscape:perspective
+       id="perspective69"
+       inkscape:persp3d-origin="24 : 16 : 1"
+       inkscape:vp_z="48 : 24 : 1"
+       inkscape:vp_y="0 : 1000 : 0"
+       inkscape:vp_x="0 : 24 : 1"
+       sodipodi:type="inkscape:persp3d" />
+  </defs>
+  <sodipodi:namedview
+     id="base"
+     pagecolor="#ffffff"
+     bordercolor="#666666"
+     borderopacity="1.0"
+     inkscape:pageopacity="0.0"
+     inkscape:pageshadow="2"
+     inkscape:zoom="3.6298142"
+     inkscape:cx="25.174119"
+     inkscape:cy="22.687713"
+     inkscape:document-units="px"
+     inkscape:current-layer="layer1"
+     showgrid="false"
+     inkscape:window-width="1668"
+     inkscape:window-height="980"
+     inkscape:window-x="0"
+     inkscape:window-y="27"
+     inkscape:window-maximized="1"
+     fit-margin-top="0"
+     fit-margin-left="0"
+     fit-margin-right="0"
+     fit-margin-bottom="0" />
+  <metadata
+     id="metadata7">
+    <rdf:RDF>
+      <cc:Work
+         rdf:about="">
+        <dc:format>image/svg+xml</dc:format>
+        <dc:type
+           rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
+        <dc:title></dc:title>
+      </cc:Work>
+    </rdf:RDF>
+  </metadata>
+  <g
+     inkscape:label="Calque 1"
+     inkscape:groupmode="layer"
+     id="layer1"
+     transform="translate(-350.37687,-511.8987)">
+    <g
+       id="g3605">
+      <g
+         transform="translate(351.23286,510.74245)"
+         id="layer1-2"
+         inkscape:label="Layer 1">
+        <g
+           id="g5022"
+           transform="matrix(0.02454499,0,0,0.02086758,45.89369,40.09109)">
+          <rect
+             y="-150.69685"
+             x="-1559.2523"
+             height="478.35718"
+             width="1339.6335"
+             id="rect4173"
+             style="opacity:0.40206185;color:#000000;fill:url(#linearGradient5027);fill-opacity:1;fill-rule:nonzero;stroke:none;stroke-width:1;marker:none;visibility:visible;display:inline;overflow:visible" />
+          <path
+             inkscape:connector-curvature="0"
+             sodipodi:nodetypes="cccc"
+             id="path5058"
+             d="m -219.61876,-150.68038 c 0,0 0,478.33079 0,478.33079 142.874166,0.90045 345.40022,-107.16966 345.40014,-239.196175 0,-132.026537 -159.436816,-239.134595 -345.40014,-239.134615 z"
+             style="opacity:0.40206185;color:#000000;fill:url(#radialGradient5029);fill-opacity:1;fill-rule:nonzero;stroke:none;stroke-width:1;marker:none;visibility:visible;display:inline;overflow:visible" />
+          <path
+             inkscape:connector-curvature="0"
+             style="opacity:0.40206185;color:#000000;fill:url(#radialGradient5031);fill-opacity:1;fill-rule:nonzero;stroke:none;stroke-width:1;marker:none;visibility:visible;display:inline;overflow:visible"
+             d="m -1559.2523,-150.68038 c 0,0 0,478.33079 0,478.33079 -142.8742,0.90045 -345.4002,-107.16966 -345.4002,-239.196175 0,-132.026537 159.4368,-239.134595 345.4002,-239.134615 z"
+             id="path5018"
+             sodipodi:nodetypes="cccc" />
+        </g>
+        <rect
+           style="fill:url(#linearGradient2212);fill-opacity:1;fill-rule:evenodd;stroke:url(#linearGradient2220);stroke-width:0.9999997;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
+           id="rect1316"
+           width="44.759838"
+           height="41.701817"
+           x="1.6199486"
+           y="1.6600797"
+           rx="4.5659914"
+           ry="4.5659928" />
+        <rect
+           style="fill:url(#radialGradient2229);fill-opacity:1;fill-rule:evenodd;stroke:#173562;stroke-width:0.99999982;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
+           id="rect1314"
+           width="37.177864"
+           height="28.954594"
+           x="5.4052019"
+           y="5.4815545"
+           rx="1.6946707"
+           ry="1.694671" />
+        <path
+           inkscape:connector-curvature="0"
+           style="fill:#ecffd9;fill-opacity:1;fill-rule:evenodd;stroke:#c3ea9b;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:10;stroke-opacity:0.41618497;stroke-dasharray:none"
+           d="m 12.390689,20.935247 -6.0218276,0 0,1.217006 7.7187846,0 1.405922,-5.913121 3.462514,13.69752 3.208722,-10.8197 2.894544,5.911803 3.88696,-3.511969 12.708428,0 0,-2.059645 -13.149501,0 -3.170216,3.1396 -3.275462,-6.659153 -3.009834,8.174898 -3.268533,-12.863774 -3.390501,9.686535 z"
+           id="path2188"
+           sodipodi:nodetypes="ccccccccccccccccc" />
+        <rect
+           style="fill:none;stroke:url(#linearGradient2244);stroke-width:0.99999982;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
+           id="rect2232"
+           width="42.894741"
+           height="39.646549"
+           x="2.553669"
+           y="2.6544337"
+           rx="4.1303334"
+           ry="4.1303344" />
+        <path
+           inkscape:connector-curvature="0"
+           sodipodi:nodetypes="ccccccccccccccccc"
+           id="path3088"
+           d="m 11.515689,20.012278 -5.1468276,0 0,3.048751 8.5937846,-0.125 0.655922,-3.042642 3.344661,12.708339 3.576575,-11.466635 2.519544,5.4161 4.26196,-4.108582 12.333428,-0.125 -0.75,-3.909538 -12.399501,-0.125 -3.045216,3.172955 -3.400462,-7.79041 -2.634834,6.93865 L 15.90619,8.333659 11.515689,20.012278 z"
+           style="opacity:0.38068183;fill:#ecffd9;fill-opacity:1;fill-rule:evenodd;stroke:#c3ea9b;stroke-width:1.00000036;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:0.41618497;stroke-dasharray:none" />
+        <path
+           inkscape:connector-curvature="0"
+           style="opacity:0.43181817;fill:url(#linearGradient1340);fill-opacity:1;fill-rule:evenodd;stroke:none"
+           d="m 6.84375,6.96875 0,8.826323 C 10.513653,16.483179 14.582567,16.875 18.875,16.875 c 8.935295,0 16.937258,-1.66481 22.28125,-4.278171 l 0,-5.628079 -34.3125,0 z"
+           id="rect2178"
+           sodipodi:nodetypes="ccsccc" />
+        <g
+           id="g3126"
+           style="opacity:0.07954544;fill:#000000;fill-opacity:1;stroke:#000000;stroke-opacity:1"
+           transform="translate(0.1875,0.6875)">
+          <path
+             inkscape:connector-curvature="0"
+             style="color:#000000;fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:10;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0;marker:none;visibility:visible;display:inline;overflow:visible"
+             d="M 39.125,37.8125 38.0625,37.34375 C 37.805688,37.204184 37.500156,37.125 37.1875,37.125 c -1.0005,0 -1.8125,0.812 -1.8125,1.8125 0,1.0005 0.812,1.8125 1.8125,1.8125 0.969234,0 1.763926,-0.761519 1.8125,-1.71875 3.92e-4,-0.0077 -2.95e-4,-0.02351 0,-0.03125 l 0.125,-1.1875 z"
+             id="path3120"
+             sodipodi:nodetypes="ccsssscc" />
+          <path
+             inkscape:connector-curvature="0"
+             sodipodi:nodetypes="ccsssscc"
+             id="path3122"
+             d="m 28.992526,37.544659 -0.09939,1.165177 c -0.03733,0.289893 -7.43e-4,0.603392 0.112246,0.894918 0.361567,0.932882 1.412134,1.396559 2.345017,1.034993 0.932882,-0.361567 1.396559,-1.412134 1.034992,-2.345017 -0.350267,-0.903729 -1.34751,-1.369511 -2.257602,-1.068872 -0.0073,0.0024 -0.02181,0.0088 -0.02914,0.01129 l -1.106128,0.307508 z"
+             style="color:#000000;fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:10;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0;marker:none;visibility:visible;display:inline;overflow:visible" />
+          <path
+             inkscape:connector-curvature="0"
+             style="color:#000000;fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:10;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0;marker:none;visibility:visible;display:inline;overflow:visible"
+             d="M 25.5,37.8125 24.5625,37.34375 C 24.305688,37.204184 24.000156,37.125 23.6875,37.125 c -1.0005,0 -1.8125,0.812 -1.8125,1.8125 0,1.0005 0.812,1.8125 1.8125,1.8125 0.969234,0 1.763926,-0.761519 1.8125,-1.71875 3.92e-4,-0.0077 -2.95e-4,-0.02351 0,-0.03125 l 0,-1.1875 z"
+             id="path3124"
+             sodipodi:nodetypes="ccsssscc" />
+        </g>
+        <path
+           inkscape:connector-curvature="0"
+           style="color:#000000;fill:#e0e2df;fill-opacity:1;fill-rule:nonzero;stroke:#9b9d99;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:10;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0;marker:none;visibility:visible;display:inline;overflow:visible"
+           d="M 39.125,37.8125 38.0625,37.34375 C 37.805688,37.204184 37.500156,37.125 37.1875,37.125 c -1.0005,0 -1.8125,0.812 -1.8125,1.8125 0,1.0005 0.812,1.8125 1.8125,1.8125 0.969234,0 1.763926,-0.761519 1.8125,-1.71875 3.92e-4,-0.0077 -2.95e-4,-0.02351 0,-0.03125 l 0.125,-1.1875 z"
+           id="path3111"
+           sodipodi:nodetypes="ccsssscc" />
+        <path
+           inkscape:connector-curvature="0"
+           sodipodi:nodetypes="ccsssscc"
+           id="path3116"
+           d="m 28.992526,37.544659 -0.09939,1.165177 c -0.03733,0.289893 -7.43e-4,0.603392 0.112246,0.894918 0.361567,0.932882 1.412134,1.396559 2.345017,1.034993 0.932882,-0.361567 1.396559,-1.412134 1.034992,-2.345017 -0.350267,-0.903729 -1.34751,-1.369511 -2.257602,-1.068872 -0.0073,0.0024 -0.02181,0.0088 -0.02914,0.01129 l -1.106128,0.307508 z"
+           style="color:#000000;fill:#e0e2df;fill-opacity:1;fill-rule:nonzero;stroke:#9b9d99;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:10;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0;marker:none;visibility:visible;display:inline;overflow:visible" />
+        <path
+           inkscape:connector-curvature="0"
+           style="color:#000000;fill:#e0e2df;fill-opacity:1;fill-rule:nonzero;stroke:#9b9d99;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:10;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0;marker:none;visibility:visible;display:inline;overflow:visible"
+           d="M 25.5,37.8125 24.5625,37.34375 C 24.305688,37.204184 24.000156,37.125 23.6875,37.125 c -1.0005,0 -1.8125,0.812 -1.8125,1.8125 0,1.0005 0.812,1.8125 1.8125,1.8125 0.969234,0 1.763926,-0.761519 1.8125,-1.71875 3.92e-4,-0.0077 -2.95e-4,-0.02351 0,-0.03125 l 0,-1.1875 z"
+           id="path3118"
+           sodipodi:nodetypes="ccsssscc" />
+        <rect
+           ry="2.5696716"
+           rx="2.5696716"
+           y="4.635767"
+           x="4.3192058"
+           height="30.646179"
+           width="39.349869"
+           id="rect2221"
+           style="opacity:0.57386361;fill:none;stroke:url(#linearGradient2231);stroke-width:1;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" />
+      </g>
+      <g
+         id="g3829-0"
+         transform="matrix(0.23134175,0,0,0.23134175,325.43076,537.94663)"
+         style="opacity:0.76632301;fill:#000000;fill-opacity:1;filter:url(#filter4683)">
+        <g
+           transform="translate(11.635196,-38.783898)"
+           id="g3801-5"
+           style="fill:#000000;fill-opacity:1">
+          <path
+             style="fill:#000000;fill-opacity:1;stroke:#ff0000;stroke-width:0;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
+             d="m 169.16737,-41.322564 c -8.46212,0 -15.3125,7.085933 -15.3125,15.8125 0,8.726567 6.85038,15.8125004 15.3125,15.8125004 8.46213,0 15.34375,-7.0859334 15.34375,-15.8125004 0,-8.726567 -6.88162,-15.8125 -15.34375,-15.8125 z m 4.25,11.1875 c 2.49075,0 4.53125,2.08516 4.53125,4.65625 0,2.57109 -2.0405,4.65625 -4.53125,4.65625 -2.49074,0 -4.5,-2.08516 -4.5,-4.65625 0,-2.57109 2.00926,-4.65625 4.5,-4.65625 z"
+             id="path3795-6"
+             inkscape:connector-curvature="0" />
+          <path
+             inkscape:connector-curvature="0"
+             style="fill:#000000;fill-opacity:1;stroke:#ff0000;stroke-width:0;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
+             d="m 167.9375,-51 c -21.16794,0 -40.01321,9.756806 -52.25,24.96875 12.23307,15.301514 31.13458,25.125 52.375,25.125 21.16793,0 40.04445,-9.756806 52.28125,-24.96875 C 208.11089,-41.18152 189.18196,-51 167.9375,-51 z m 0,11.5 c 14.63194,0 27.69973,5.309873 36.125,13.59375 -8.42799,8.232692 -21.45202,13.53125 -36.03125,13.53125 -14.62916,0 -27.63708,-5.312583 -36.0625,-13.59375 C 140.39673,-34.201443 153.35826,-39.5 167.9375,-39.5 z"
+             id="path2987-8" />
+        </g>
+        <g
+           transform="translate(11.635196,10.963718)"
+           id="g3801-0-6"
+           style="fill:#000000;fill-opacity:1">
+          <path
+             style="fill:#000000;fill-opacity:1;stroke:#ff0000;stroke-width:0;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
+             d="m 169.16737,-41.322564 c -8.46212,0 -15.3125,7.085933 -15.3125,15.8125 0,8.726567 6.85038,15.8125004 15.3125,15.8125004 8.46213,0 15.34375,-7.0859334 15.34375,-15.8125004 0,-8.726567 -6.88162,-15.8125 -15.34375,-15.8125 z m 4.25,11.1875 c 2.49075,0 4.53125,2.08516 4.53125,4.65625 0,2.57109 -2.0405,4.65625 -4.53125,4.65625 -2.49074,0 -4.5,-2.08516 -4.5,-4.65625 0,-2.57109 2.00926,-4.65625 4.5,-4.65625 z"
+             id="path3795-2-0"
+             inkscape:connector-curvature="0" />
+          <path
+             inkscape:connector-curvature="0"
+             style="fill:#000000;fill-opacity:1;stroke:#ff0000;stroke-width:0;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
+             d="m 167.9375,-51 c -21.16794,0 -40.01321,9.756806 -52.25,24.96875 12.23307,15.301514 31.13458,25.125 52.375,25.125 21.16793,0 40.04445,-9.756806 52.28125,-24.96875 C 208.11089,-41.18152 189.18196,-51 167.9375,-51 z m 0,11.5 c 14.63194,0 27.69973,5.309873 36.125,13.59375 -8.42799,8.232692 -21.45202,13.53125 -36.03125,13.53125 -14.62916,0 -27.63708,-5.312583 -36.0625,-13.59375 C 140.39673,-34.201443 153.35826,-39.5 167.9375,-39.5 z"
+             id="path2987-2-3" />
+        </g>
+      </g>
+      <g
+         id="g3829"
+         transform="matrix(0.23134175,0,0,0.23134175,324.0724,537.23996)"
+         style="fill:#c0db67;fill-opacity:1">
+        <g
+           transform="translate(11.635196,-38.783898)"
+           id="g3801"
+           style="fill:#c0db67;fill-opacity:1">
+          <path
+             style="fill:#c0db67;fill-opacity:1;stroke:#ff0000;stroke-width:0;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
+             d="m 169.16737,-41.322564 c -8.46212,0 -15.3125,7.085933 -15.3125,15.8125 0,8.726567 6.85038,15.8125004 15.3125,15.8125004 8.46213,0 15.34375,-7.0859334 15.34375,-15.8125004 0,-8.726567 -6.88162,-15.8125 -15.34375,-15.8125 z m 4.25,11.1875 c 2.49075,0 4.53125,2.08516 4.53125,4.65625 0,2.57109 -2.0405,4.65625 -4.53125,4.65625 -2.49074,0 -4.5,-2.08516 -4.5,-4.65625 0,-2.57109 2.00926,-4.65625 4.5,-4.65625 z"
+             id="path3795"
+             inkscape:connector-curvature="0" />
+          <path
+             inkscape:connector-curvature="0"
+             style="fill:#c0db67;fill-opacity:1;stroke:#ff0000;stroke-width:0;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
+             d="m 167.9375,-51 c -21.16794,0 -40.01321,9.756806 -52.25,24.96875 12.23307,15.301514 31.13458,25.125 52.375,25.125 21.16793,0 40.04445,-9.756806 52.28125,-24.96875 C 208.11089,-41.18152 189.18196,-51 167.9375,-51 z m 0,11.5 c 14.63194,0 27.69973,5.309873 36.125,13.59375 -8.42799,8.232692 -21.45202,13.53125 -36.03125,13.53125 -14.62916,0 -27.63708,-5.312583 -36.0625,-13.59375 C 140.39673,-34.201443 153.35826,-39.5 167.9375,-39.5 z"
+             id="path2987" />
+        </g>
+        <g
+           transform="translate(11.635196,10.963718)"
+           id="g3801-0"
+           style="fill:#c0db67;fill-opacity:1">
+          <path
+             style="fill:#c0db67;fill-opacity:1;stroke:#ff0000;stroke-width:0;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
+             d="m 169.16737,-41.322564 c -8.46212,0 -15.3125,7.085933 -15.3125,15.8125 0,8.726567 6.85038,15.8125004 15.3125,15.8125004 8.46213,0 15.34375,-7.0859334 15.34375,-15.8125004 0,-8.726567 -6.88162,-15.8125 -15.34375,-15.8125 z m 4.25,11.1875 c 2.49075,0 4.53125,2.08516 4.53125,4.65625 0,2.57109 -2.0405,4.65625 -4.53125,4.65625 -2.49074,0 -4.5,-2.08516 -4.5,-4.65625 0,-2.57109 2.00926,-4.65625 4.5,-4.65625 z"
+             id="path3795-2"
+             inkscape:connector-curvature="0" />
+          <path
+             inkscape:connector-curvature="0"
+             style="fill:#c0db67;fill-opacity:1;stroke:#ff0000;stroke-width:0;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
+             d="m 167.9375,-51 c -21.16794,0 -40.01321,9.756806 -52.25,24.96875 12.23307,15.301514 31.13458,25.125 52.375,25.125 21.16793,0 40.04445,-9.756806 52.28125,-24.96875 C 208.11089,-41.18152 189.18196,-51 167.9375,-51 z m 0,11.5 c 14.63194,0 27.69973,5.309873 36.125,13.59375 -8.42799,8.232692 -21.45202,13.53125 -36.03125,13.53125 -14.62916,0 -27.63708,-5.312583 -36.0625,-13.59375 C 140.39673,-34.201443 153.35826,-39.5 167.9375,-39.5 z"
+             id="path2987-2" />
+        </g>
+      </g>
+    </g>
+  </g>
+</svg>
diff --git a/pixmaps/eyes-doc.png b/pixmaps/eyes-doc.png
new file mode 100644
index 0000000..40782ce
Binary files /dev/null and b/pixmaps/eyes-doc.png differ
diff --git a/pixmaps/eyes-doc.svg b/pixmaps/eyes-doc.svg
new file mode 100644
index 0000000..f7bbd75
--- /dev/null
+++ b/pixmaps/eyes-doc.svg
@@ -0,0 +1,1502 @@
+<?xml version="1.0" encoding="UTF-8" standalone="no"?>
+<!-- Created with Inkscape (http://www.inkscape.org/) -->
+
+<svg
+   xmlns:dc="http://purl.org/dc/elements/1.1/"
+   xmlns:cc="http://creativecommons.org/ns#"
+   xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#"
+   xmlns:svg="http://www.w3.org/2000/svg"
+   xmlns="http://www.w3.org/2000/svg"
+   xmlns:xlink="http://www.w3.org/1999/xlink"
+   xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
+   xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
+   width="46.560955"
+   height="46.560001"
+   id="svg2"
+   version="1.1"
+   inkscape:version="0.48.3.1 r9886"
+   sodipodi:docname="eyes-doc.svg"
+   inkscape:export-filename="/home/georgesk/developpement/expeyes/expeyes-2.9.0/pixmaps/eyes-doc.png"
+   inkscape:export-xdpi="123.71"
+   inkscape:export-ydpi="123.71">
+  <defs
+     id="defs4">
+    <linearGradient
+       y2="134.25"
+       x2="-158.75"
+       y1="115.93846"
+       x1="-158.75"
+       gradientTransform="matrix(0.1892115,-0.1892253,0.1892115,0.1892253,49.738733,-37.732231)"
+       gradientUnits="userSpaceOnUse"
+       id="linearGradient10314"
+       xlink:href="#linearGradient4099-6-7"
+       inkscape:collect="always" />
+    <radialGradient
+       r="9.1267023"
+       fy="128.00087"
+       fx="-138.83727"
+       cy="128.00087"
+       cx="-138.83727"
+       gradientTransform="matrix(0.320394,-0.3203948,0.322414,0.3224148,50.518433,-74.157887)"
+       gradientUnits="userSpaceOnUse"
+       id="radialGradient10312"
+       xlink:href="#linearGradient4117-1-0"
+       inkscape:collect="always" />
+    <linearGradient
+       y2="32.714375"
+       x2="25.485056"
+       y1="34.389839"
+       x1="26.379272"
+       gradientUnits="userSpaceOnUse"
+       id="linearGradient10310"
+       xlink:href="#linearGradient6437"
+       inkscape:collect="always" />
+    <linearGradient
+       y2="22.118853"
+       x2="22.810215"
+       y1="21.481258"
+       x1="23.447809"
+       gradientTransform="translate(6.39225,12.18459)"
+       gradientUnits="userSpaceOnUse"
+       id="linearGradient10308"
+       xlink:href="#linearGradient2301"
+       inkscape:collect="always" />
+    <linearGradient
+       y2="14.691495"
+       x2="30.432114"
+       y1="12.338078"
+       x1="28.078697"
+       gradientTransform="translate(6.39225,12.18459)"
+       gradientUnits="userSpaceOnUse"
+       id="linearGradient10306"
+       xlink:href="#linearGradient6445"
+       inkscape:collect="always" />
+    <linearGradient
+       y2="18.392296"
+       x2="32.9375"
+       y1="18.53828"
+       x1="18.1875"
+       gradientTransform="matrix(1,0,0,0.415008,6.5485,26.7669)"
+       gradientUnits="userSpaceOnUse"
+       id="linearGradient10304"
+       xlink:href="#linearGradient1813"
+       inkscape:collect="always" />
+    <radialGradient
+       r="14.625"
+       fy="33.1875"
+       fx="37.375"
+       cy="33.1875"
+       cx="37.375"
+       gradientTransform="matrix(1,0,0,0.226496,0,25.67067)"
+       gradientUnits="userSpaceOnUse"
+       id="radialGradient10302"
+       xlink:href="#linearGradient4333"
+       inkscape:collect="always" />
+    <linearGradient
+       y2="14.662594"
+       x2="11.580806"
+       y1="6.728353"
+       x1="11.669194"
+       gradientUnits="userSpaceOnUse"
+       id="linearGradient8539"
+       xlink:href="#linearGradient2791"
+       inkscape:collect="always" />
+    <radialGradient
+       r="1.499999"
+       fy="11.669307"
+       fx="12.321928"
+       cy="11.669307"
+       cx="12.321928"
+       gradientTransform="matrix(9.835652,1.854444e-6,0,1.136384,-108.6942,-1.914856)"
+       gradientUnits="userSpaceOnUse"
+       id="radialGradient8537"
+       xlink:href="#linearGradient2804"
+       inkscape:collect="always" />
+    <radialGradient
+       inkscape:collect="always"
+       xlink:href="#linearGradient4690"
+       id="radialGradient4696"
+       cx="29.480816"
+       cy="36.776756"
+       fx="29.480816"
+       fy="36.776756"
+       r="18.5"
+       gradientTransform="matrix(2.012905,0,0,1.338879,-28.88714,-12.68199)"
+       gradientUnits="userSpaceOnUse" />
+    <linearGradient
+       inkscape:collect="always"
+       id="linearGradient4690">
+      <stop
+         style="stop-color:white;stop-opacity:1"
+         offset="0"
+         id="stop4692" />
+      <stop
+         style="stop-color:#eeeeec;stop-opacity:1"
+         offset="1"
+         id="stop4694" />
+    </linearGradient>
+    <linearGradient
+       inkscape:collect="always"
+       xlink:href="#linearGradient4700"
+       id="linearGradient4698"
+       x1="5.6568546"
+       y1="53.320892"
+       x2="4"
+       y2="16.003418"
+       gradientUnits="userSpaceOnUse" />
+    <linearGradient
+       id="linearGradient4700"
+       inkscape:collect="always">
+      <stop
+         id="stop4702"
+         offset="0"
+         style="stop-color:#2e3436;stop-opacity:1" />
+      <stop
+         id="stop4704"
+         offset="1"
+         style="stop-color:#888a85;stop-opacity:1" />
+    </linearGradient>
+    <radialGradient
+       inkscape:collect="always"
+       xlink:href="#linearGradient4333"
+       id="radialGradient4339"
+       cx="37.375"
+       cy="33.1875"
+       fx="37.375"
+       fy="33.1875"
+       r="14.625"
+       gradientTransform="matrix(1,0,0,0.226496,0,25.67067)"
+       gradientUnits="userSpaceOnUse" />
+    <linearGradient
+       inkscape:collect="always"
+       id="linearGradient4333">
+      <stop
+         style="stop-color:black;stop-opacity:1;"
+         offset="0"
+         id="stop4335" />
+      <stop
+         style="stop-color:black;stop-opacity:0;"
+         offset="1"
+         id="stop4337" />
+    </linearGradient>
+    <linearGradient
+       inkscape:collect="always"
+       xlink:href="#linearGradient2919"
+       id="linearGradient2925"
+       x1="11.949747"
+       y1="40.664974"
+       x2="94.364037"
+       y2="47.897068"
+       gradientUnits="userSpaceOnUse"
+       gradientTransform="matrix(1,0,0,1.489708,-2,-22.55226)" />
+    <linearGradient
+       inkscape:collect="always"
+       id="linearGradient2919">
+      <stop
+         style="stop-color:#d3d7cf;stop-opacity:1;"
+         offset="0"
+         id="stop2921" />
+      <stop
+         style="stop-color:#d3d7cf;stop-opacity:0;"
+         offset="1"
+         id="stop2923" />
+    </linearGradient>
+    <linearGradient
+       inkscape:collect="always"
+       xlink:href="#linearGradient4682"
+       id="linearGradient4688"
+       x1="6.7928934"
+       y1="32.963203"
+       x2="7.9215727"
+       y2="54.448856"
+       gradientUnits="userSpaceOnUse" />
+    <linearGradient
+       inkscape:collect="always"
+       id="linearGradient4682">
+      <stop
+         style="stop-color:white;stop-opacity:1;"
+         offset="0"
+         id="stop4684" />
+      <stop
+         style="stop-color:white;stop-opacity:0;"
+         offset="1"
+         id="stop4686" />
+    </linearGradient>
+    <linearGradient
+       id="linearGradient2804">
+      <stop
+         style="stop-color:white;stop-opacity:1"
+         offset="0"
+         id="stop2806" />
+      <stop
+         style="stop-color:#d3d7cf;stop-opacity:1"
+         offset="1"
+         id="stop2808" />
+    </linearGradient>
+    <linearGradient
+       id="linearGradient2791">
+      <stop
+         style="stop-color:#555753;stop-opacity:1;"
+         offset="0"
+         id="stop2793" />
+      <stop
+         id="stop2799"
+         offset="0.5"
+         style="stop-color:#cccdcb;stop-opacity:1;" />
+      <stop
+         style="stop-color:#555753;stop-opacity:1"
+         offset="1"
+         id="stop2795" />
+    </linearGradient>
+    <linearGradient
+       inkscape:collect="always"
+       xlink:href="#linearGradient1813"
+       id="linearGradient6482"
+       gradientUnits="userSpaceOnUse"
+       gradientTransform="matrix(1,0,0,0.415008,6.5485,26.7669)"
+       x1="18.1875"
+       y1="18.53828"
+       x2="32.9375"
+       y2="18.392296" />
+    <linearGradient
+       id="linearGradient1813"
+       inkscape:collect="always">
+      <stop
+         id="stop1815"
+         offset="0"
+         style="stop-color:#000000;stop-opacity:1;" />
+      <stop
+         id="stop1817"
+         offset="1"
+         style="stop-color:#000000;stop-opacity:0;" />
+    </linearGradient>
+    <linearGradient
+       inkscape:collect="always"
+       xlink:href="#linearGradient6445"
+       id="linearGradient6484"
+       gradientUnits="userSpaceOnUse"
+       gradientTransform="translate(6.39225,12.18459)"
+       x1="28.078697"
+       y1="12.338078"
+       x2="30.432114"
+       y2="14.691495" />
+    <linearGradient
+       inkscape:collect="always"
+       id="linearGradient6445">
+      <stop
+         style="stop-color:#fcaf3e;stop-opacity:1"
+         offset="0"
+         id="stop6447" />
+      <stop
+         style="stop-color:#ce5c00"
+         offset="1"
+         id="stop6449" />
+    </linearGradient>
+    <linearGradient
+       inkscape:collect="always"
+       xlink:href="#linearGradient2301"
+       id="linearGradient6486"
+       gradientUnits="userSpaceOnUse"
+       gradientTransform="translate(6.39225,12.18459)"
+       x1="23.447809"
+       y1="21.481258"
+       x2="22.810215"
+       y2="22.118853" />
+    <linearGradient
+       id="linearGradient2301"
+       inkscape:collect="always">
+      <stop
+         id="stop2303"
+         offset="0"
+         style="stop-color:#ce5c00;stop-opacity:1" />
+      <stop
+         id="stop2305"
+         offset="1"
+         style="stop-color:#ce5c00" />
+    </linearGradient>
+    <linearGradient
+       inkscape:collect="always"
+       xlink:href="#linearGradient6437"
+       id="linearGradient6488"
+       gradientUnits="userSpaceOnUse"
+       x1="26.379272"
+       y1="34.389839"
+       x2="25.485056"
+       y2="32.714375" />
+    <linearGradient
+       inkscape:collect="always"
+       id="linearGradient6437">
+      <stop
+         style="stop-color:#e9b96e;stop-opacity:1"
+         offset="0"
+         id="stop6439" />
+      <stop
+         style="stop-color:#ffffff;stop-opacity:1"
+         offset="1"
+         id="stop6441" />
+    </linearGradient>
+    <radialGradient
+       r="9.1267023"
+       fy="128.00087"
+       fx="-138.83727"
+       cy="128.00087"
+       cx="-138.83727"
+       gradientTransform="matrix(0.320394,-0.3203948,0.322414,0.3224148,50.518433,-74.157887)"
+       gradientUnits="userSpaceOnUse"
+       id="radialGradient691"
+       xlink:href="#linearGradient4117-1-0"
+       inkscape:collect="always" />
+    <linearGradient
+       inkscape:collect="always"
+       id="linearGradient4117-1-0">
+      <stop
+         id="stop4121-0-5"
+         offset="0"
+         style="stop-color:#f9a9a9;stop-opacity:1" />
+      <stop
+         id="stop4119-1-6"
+         offset="1"
+         style="stop-color:#ab5f5f;stop-opacity:1" />
+    </linearGradient>
+    <linearGradient
+       y2="134.25"
+       x2="-158.75"
+       y1="115.93846"
+       x1="-158.75"
+       gradientTransform="matrix(0.1892115,-0.1892253,0.1892115,0.1892253,49.738733,-37.732231)"
+       gradientUnits="userSpaceOnUse"
+       id="linearGradient649"
+       xlink:href="#linearGradient4099-6-7"
+       inkscape:collect="always" />
+    <linearGradient
+       inkscape:collect="always"
+       id="linearGradient4099-6-7">
+      <stop
+         style="stop-color:#dddddd;stop-opacity:1;"
+         offset="0"
+         id="stop4101-1-8" />
+      <stop
+         id="stop4107-2-2"
+         offset="0.34467545"
+         style="stop-color:#ffffff;stop-opacity:1" />
+      <stop
+         style="stop-color:#737373;stop-opacity:1"
+         offset="0.72694808"
+         id="stop4109-5-7" />
+      <stop
+         style="stop-color:#bbbbbb;stop-opacity:1"
+         offset="1"
+         id="stop4103-9-2" />
+    </linearGradient>
+    <radialGradient
+       r="3"
+       fy="39.5"
+       fx="6"
+       cy="39.5"
+       cx="6"
+       gradientTransform="matrix(1,0,0,2.166667,0,-46.08333)"
+       gradientUnits="userSpaceOnUse"
+       id="radialGradient3430"
+       xlink:href="#linearGradient3399"
+       inkscape:collect="always" />
+    <linearGradient
+       id="linearGradient3399"
+       inkscape:collect="always">
+      <stop
+         id="stop3401"
+         offset="0"
+         style="stop-color:black;stop-opacity:1;" />
+      <stop
+         id="stop3403"
+         offset="1"
+         style="stop-color:black;stop-opacity:0;" />
+    </linearGradient>
+    <radialGradient
+       r="3"
+       fy="39.5"
+       fx="6"
+       cy="39.5"
+       cx="6"
+       gradientTransform="matrix(1,0,0,2.166667,-45,-125.0833)"
+       gradientUnits="userSpaceOnUse"
+       id="radialGradient3432"
+       xlink:href="#linearGradient3399"
+       inkscape:collect="always" />
+    <linearGradient
+       y2="32.999397"
+       x2="18"
+       y1="46"
+       x1="18"
+       gradientUnits="userSpaceOnUse"
+       id="linearGradient3434"
+       xlink:href="#linearGradient3415"
+       inkscape:collect="always" />
+    <linearGradient
+       id="linearGradient3415">
+      <stop
+         id="stop3417"
+         offset="0"
+         style="stop-color:black;stop-opacity:0;" />
+      <stop
+         style="stop-color:black;stop-opacity:1;"
+         offset="0.5"
+         id="stop3423" />
+      <stop
+         id="stop3419"
+         offset="1"
+         style="stop-color:black;stop-opacity:0;" />
+    </linearGradient>
+    <radialGradient
+       gradientUnits="userSpaceOnUse"
+       gradientTransform="matrix(1,0,0,1.00015,0,-0.0786646)"
+       r="509.38751"
+       fy="541.70502"
+       fx="377.04282"
+       cy="541.70502"
+       cx="377.04282"
+       id="radialGradient3925"
+       xlink:href="#linearGradient3919"
+       inkscape:collect="always" />
+    <filter
+       height="1.475024"
+       y="-0.23751201"
+       width="1.1869113"
+       x="-0.093455695"
+       id="filter3909"
+       inkscape:collect="always"
+       color-interpolation-filters="sRGB">
+      <feGaussianBlur
+         id="feGaussianBlur3911"
+         stdDeviation="24.221841"
+         inkscape:collect="always" />
+    </filter>
+    <filter
+       height="1.9868201"
+       y="-0.49341002"
+       width="1.5120986"
+       x="-0.25604931"
+       id="filter3905"
+       inkscape:collect="always"
+       color-interpolation-filters="sRGB">
+      <feGaussianBlur
+         id="feGaussianBlur3907"
+         stdDeviation="24.221841"
+         inkscape:collect="always" />
+    </filter>
+    <filter
+       height="1.6076039"
+       y="-0.30380195"
+       width="1.2651284"
+       x="-0.13256419"
+       id="filter3901"
+       inkscape:collect="always"
+       color-interpolation-filters="sRGB">
+      <feGaussianBlur
+         id="feGaussianBlur3903"
+         stdDeviation="24.221841"
+         inkscape:collect="always" />
+    </filter>
+    <filter
+       height="1.430613"
+       y="-0.21530652"
+       width="1.1653303"
+       x="-0.082665168"
+       id="filter3897"
+       inkscape:collect="always"
+       color-interpolation-filters="sRGB">
+      <feGaussianBlur
+         id="feGaussianBlur3899"
+         stdDeviation="24.221841"
+         inkscape:collect="always" />
+    </filter>
+    <inkscape:perspective
+       sodipodi:type="inkscape:persp3d"
+       inkscape:vp_x="0 : 0.5 : 1"
+       inkscape:vp_y="0 : 1000 : 0"
+       inkscape:vp_z="1 : 0.5 : 1"
+       inkscape:persp3d-origin="0.5 : 0.33333333 : 1"
+       id="perspective3070" />
+    <inkscape:perspective
+       sodipodi:type="inkscape:persp3d"
+       inkscape:vp_x="0 : 0.5 : 1"
+       inkscape:vp_y="0 : 1000 : 0"
+       inkscape:vp_z="1 : 0.5 : 1"
+       inkscape:persp3d-origin="0.5 : 0.33333333 : 1"
+       id="perspective3048" />
+    <inkscape:perspective
+       sodipodi:type="inkscape:persp3d"
+       inkscape:vp_x="0 : 0.5 : 1"
+       inkscape:vp_y="0 : 1000 : 0"
+       inkscape:vp_z="1 : 0.5 : 1"
+       inkscape:persp3d-origin="0.5 : 0.33333333 : 1"
+       id="perspective3025" />
+    <inkscape:perspective
+       sodipodi:type="inkscape:persp3d"
+       inkscape:vp_x="0 : 0.5 : 1"
+       inkscape:vp_y="0 : 1000 : 0"
+       inkscape:vp_z="1 : 0.5 : 1"
+       inkscape:persp3d-origin="0.5 : 0.33333333 : 1"
+       id="perspective3000" />
+    <inkscape:perspective
+       sodipodi:type="inkscape:persp3d"
+       inkscape:vp_x="0 : 0.5 : 1"
+       inkscape:vp_y="0 : 1000 : 0"
+       inkscape:vp_z="1 : 0.5 : 1"
+       inkscape:persp3d-origin="0.5 : 0.33333333 : 1"
+       id="perspective2944" />
+    <inkscape:perspective
+       id="perspective10"
+       inkscape:persp3d-origin="372.04724 : 350.78739 : 1"
+       inkscape:vp_z="744.09448 : 526.18109 : 1"
+       inkscape:vp_y="0 : 1000 : 0"
+       inkscape:vp_x="0 : 526.18109 : 1"
+       sodipodi:type="inkscape:persp3d" />
+    <linearGradient
+       id="linearGradient3919">
+      <stop
+         id="stop3921"
+         offset="0"
+         style="stop-color:#96cbe1;stop-opacity:1;" />
+      <stop
+         style="stop-color:#61a4c0;stop-opacity:1;"
+         offset="0.93442625"
+         id="stop3929" />
+      <stop
+         id="stop3923"
+         offset="1"
+         style="stop-color:#2d7e9f;stop-opacity:1;" />
+    </linearGradient>
+    <filter
+       inkscape:collect="always"
+       id="filter4540"
+       color-interpolation-filters="sRGB">
+      <feGaussianBlur
+         inkscape:collect="always"
+         stdDeviation="0.99178631"
+         id="feGaussianBlur4542" />
+    </filter>
+    <radialGradient
+       inkscape:collect="always"
+       xlink:href="#linearGradient3399"
+       id="radialGradient3277"
+       gradientUnits="userSpaceOnUse"
+       gradientTransform="matrix(1,0,0,2.166667,0,-46.08333)"
+       cx="6"
+       cy="39.5"
+       fx="6"
+       fy="39.5"
+       r="3" />
+    <radialGradient
+       inkscape:collect="always"
+       xlink:href="#linearGradient3399"
+       id="radialGradient3279"
+       gradientUnits="userSpaceOnUse"
+       gradientTransform="matrix(1,0,0,2.166667,-45,-125.0833)"
+       cx="6"
+       cy="39.5"
+       fx="6"
+       fy="39.5"
+       r="3" />
+    <linearGradient
+       inkscape:collect="always"
+       xlink:href="#linearGradient3415"
+       id="linearGradient3281"
+       gradientUnits="userSpaceOnUse"
+       x1="18"
+       y1="46"
+       x2="18"
+       y2="32.999397" />
+    <radialGradient
+       inkscape:collect="always"
+       xlink:href="#linearGradient4690"
+       id="radialGradient3283"
+       gradientUnits="userSpaceOnUse"
+       gradientTransform="matrix(2.012905,0,0,1.338879,-28.88714,-12.68199)"
+       cx="29.480816"
+       cy="36.776756"
+       fx="29.480816"
+       fy="36.776756"
+       r="18.5" />
+    <linearGradient
+       inkscape:collect="always"
+       xlink:href="#linearGradient4700"
+       id="linearGradient3285"
+       gradientUnits="userSpaceOnUse"
+       x1="5.6568546"
+       y1="53.320892"
+       x2="4"
+       y2="16.003418" />
+    <linearGradient
+       inkscape:collect="always"
+       xlink:href="#linearGradient2919"
+       id="linearGradient3287"
+       gradientUnits="userSpaceOnUse"
+       gradientTransform="matrix(1,0,0,1.489708,-2,-22.55226)"
+       x1="11.949747"
+       y1="40.664974"
+       x2="94.364037"
+       y2="47.897068" />
+    <linearGradient
+       inkscape:collect="always"
+       xlink:href="#linearGradient4682"
+       id="linearGradient3289"
+       gradientUnits="userSpaceOnUse"
+       x1="6.7928934"
+       y1="32.963203"
+       x2="7.9215727"
+       y2="54.448856" />
+    <radialGradient
+       inkscape:collect="always"
+       xlink:href="#linearGradient4333"
+       id="radialGradient3295"
+       gradientUnits="userSpaceOnUse"
+       gradientTransform="matrix(1,0,0,0.226496,0,25.67067)"
+       cx="37.375"
+       cy="33.1875"
+       fx="37.375"
+       fy="33.1875"
+       r="14.625" />
+    <linearGradient
+       inkscape:collect="always"
+       xlink:href="#linearGradient1813"
+       id="linearGradient3297"
+       gradientUnits="userSpaceOnUse"
+       gradientTransform="matrix(1,0,0,0.415008,6.5485,26.7669)"
+       x1="18.1875"
+       y1="18.53828"
+       x2="32.9375"
+       y2="18.392296" />
+    <linearGradient
+       inkscape:collect="always"
+       xlink:href="#linearGradient6445"
+       id="linearGradient3299"
+       gradientUnits="userSpaceOnUse"
+       gradientTransform="translate(6.39225,12.18459)"
+       x1="28.078697"
+       y1="12.338078"
+       x2="30.432114"
+       y2="14.691495" />
+    <linearGradient
+       inkscape:collect="always"
+       xlink:href="#linearGradient2301"
+       id="linearGradient3301"
+       gradientUnits="userSpaceOnUse"
+       gradientTransform="translate(6.39225,12.18459)"
+       x1="23.447809"
+       y1="21.481258"
+       x2="22.810215"
+       y2="22.118853" />
+    <linearGradient
+       inkscape:collect="always"
+       xlink:href="#linearGradient6437"
+       id="linearGradient3303"
+       gradientUnits="userSpaceOnUse"
+       x1="26.379272"
+       y1="34.389839"
+       x2="25.485056"
+       y2="32.714375" />
+    <radialGradient
+       inkscape:collect="always"
+       xlink:href="#linearGradient4117-1-0"
+       id="radialGradient3305"
+       gradientUnits="userSpaceOnUse"
+       gradientTransform="matrix(0.320394,-0.3203948,0.322414,0.3224148,50.518433,-74.157887)"
+       cx="-138.83727"
+       cy="128.00087"
+       fx="-138.83727"
+       fy="128.00087"
+       r="9.1267023" />
+    <linearGradient
+       inkscape:collect="always"
+       xlink:href="#linearGradient4099-6-7"
+       id="linearGradient3307"
+       gradientUnits="userSpaceOnUse"
+       gradientTransform="matrix(0.1892115,-0.1892253,0.1892115,0.1892253,49.738733,-37.732231)"
+       x1="-158.75"
+       y1="115.93846"
+       x2="-158.75"
+       y2="134.25" />
+    <radialGradient
+       inkscape:collect="always"
+       xlink:href="#linearGradient3919"
+       id="radialGradient3309"
+       gradientUnits="userSpaceOnUse"
+       gradientTransform="matrix(1,0,0,1.00015,0,-0.0786646)"
+       cx="377.04282"
+       cy="541.70502"
+       fx="377.04282"
+       fy="541.70502"
+       r="509.38751" />
+    <radialGradient
+       inkscape:collect="always"
+       xlink:href="#linearGradient2804"
+       id="radialGradient3311"
+       gradientUnits="userSpaceOnUse"
+       gradientTransform="matrix(9.835652,1.854444e-6,0,1.136384,-108.6942,-1.914856)"
+       cx="12.321928"
+       cy="11.669307"
+       fx="12.321928"
+       fy="11.669307"
+       r="1.499999" />
+    <linearGradient
+       inkscape:collect="always"
+       xlink:href="#linearGradient2791"
+       id="linearGradient3313"
+       gradientUnits="userSpaceOnUse"
+       x1="11.669194"
+       y1="6.728353"
+       x2="11.580806"
+       y2="14.662594" />
+  </defs>
+  <sodipodi:namedview
+     id="base"
+     pagecolor="#ffffff"
+     bordercolor="#666666"
+     borderopacity="1.0"
+     inkscape:pageopacity="0.0"
+     inkscape:pageshadow="2"
+     inkscape:zoom="3.6298141"
+     inkscape:cx="23.440306"
+     inkscape:cy="10.823317"
+     inkscape:document-units="px"
+     inkscape:current-layer="layer1"
+     showgrid="false"
+     inkscape:window-width="1024"
+     inkscape:window-height="576"
+     inkscape:window-x="0"
+     inkscape:window-y="24"
+     inkscape:window-maximized="1"
+     fit-margin-top="0"
+     fit-margin-left="0"
+     fit-margin-right="0"
+     fit-margin-bottom="0" />
+  <metadata
+     id="metadata7">
+    <rdf:RDF>
+      <cc:Work
+         rdf:about="">
+        <dc:format>image/svg+xml</dc:format>
+        <dc:type
+           rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
+        <dc:title></dc:title>
+      </cc:Work>
+    </rdf:RDF>
+  </metadata>
+  <g
+     inkscape:label="Calque 1"
+     inkscape:groupmode="layer"
+     id="layer1"
+     transform="translate(-351.55969,-510.26647)">
+    <g
+       id="g3175">
+      <g
+         transform="translate(350.87819,514.32647)"
+         id="layer1-70"
+         inkscape:label="Layer 1">
+        <g
+           id="g7666"
+           transform="matrix(1.0512821,0,0,0.4615385,-2.1538463,21.269229)"
+           style="opacity:0.5">
+          <rect
+             y="33"
+             x="3"
+             height="13"
+             width="3"
+             id="rect3397"
+             style="fill:url(#radialGradient3277);fill-opacity:1;stroke:none" />
+          <rect
+             transform="scale(-1,-1)"
+             y="-46"
+             x="-42"
+             height="13"
+             width="3"
+             id="rect3407"
+             style="fill:url(#radialGradient3279);fill-opacity:1;stroke:none" />
+          <rect
+             y="33"
+             x="6"
+             height="13"
+             width="33"
+             id="rect3413"
+             style="fill:url(#linearGradient3281);fill-opacity:1;stroke:none" />
+        </g>
+        <g
+           style="display:inline;enable-background:new"
+           id="layer1-7"
+           inkscape:label="Livello 1"
+           transform="translate(-0.9999986,-3.0000074)">
+          <path
+             inkscape:connector-curvature="0"
+             sodipodi:nodetypes="cczcccczc"
+             id="rect3790"
+             d="m 8.267767,10.523348 28.464443,0 c 0.979343,0 1.696788,0.752675 1.767767,1.767767 L 40.437477,40 40.5,43.5 l -36,0 0,-3.5 2,-27.708885 c 0.070075,-0.970851 0.788424,-1.767767 1.767767,-1.767767 z"
+             style="fill:url(#radialGradient3283);fill-opacity:1;stroke:url(#linearGradient3285);stroke-width:0.99999952;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:4;stroke-opacity:1;stroke-dashoffset:0" />
+          <g
+             style="opacity:0.4"
+             transform="matrix(1.0416677,0,0,0.4579337,-0.9375015,24.411627)"
+             id="g3425" />
+          <g
+             transform="translate(-1.75,-1)"
+             id="g2894">
+            <path
+               sodipodi:type="arc"
+               style="fill:#ffffff;fill-opacity:1;stroke:none"
+               id="path2878"
+               sodipodi:cx="12.257812"
+               sodipodi:cy="15.109375"
+               sodipodi:rx="1.7421875"
+               sodipodi:ry="1.578125"
+               d="m 13.999999,15.109375 c 0,0.871574 -0.780004,1.578125 -1.742187,1.578125 -0.962184,0 -1.742188,-0.706551 -1.742188,-1.578125 0,-0.871574 0.780004,-1.578125 1.742188,-1.578125 0.962183,0 1.742187,0.706551 1.742187,1.578125 z"
+               transform="matrix(1.143498,0,0,1.268986,-1.524595,-5.176204)" />
+            <path
+               sodipodi:type="arc"
+               style="fill:#ffffff;fill-opacity:1;stroke:none"
+               id="path2880"
+               sodipodi:cx="12.257812"
+               sodipodi:cy="15.109375"
+               sodipodi:rx="1.7421875"
+               sodipodi:ry="1.578125"
+               d="m 13.999999,15.109375 c 0,0.871574 -0.780004,1.578125 -1.742187,1.578125 -0.962184,0 -1.742188,-0.706551 -1.742188,-1.578125 0,-0.871574 0.780004,-1.578125 1.742188,-1.578125 0.962183,0 1.742187,0.706551 1.742187,1.578125 z"
+               transform="matrix(1.143498,0,0,1.268986,2.475405,-5.176204)" />
+            <path
+               sodipodi:type="arc"
+               style="fill:#ffffff;fill-opacity:1;stroke:none"
+               id="path2882"
+               sodipodi:cx="12.257812"
+               sodipodi:cy="15.109375"
+               sodipodi:rx="1.7421875"
+               sodipodi:ry="1.578125"
+               d="m 13.999999,15.109375 c 0,0.871574 -0.780004,1.578125 -1.742187,1.578125 -0.962184,0 -1.742188,-0.706551 -1.742188,-1.578125 0,-0.871574 0.780004,-1.578125 1.742188,-1.578125 0.962183,0 1.742187,0.706551 1.742187,1.578125 z"
+               transform="matrix(1.143498,0,0,1.268986,6.475405,-5.176204)" />
+            <path
+               sodipodi:type="arc"
+               style="fill:#ffffff;fill-opacity:1;stroke:none"
+               id="path2884"
+               sodipodi:cx="12.257812"
+               sodipodi:cy="15.109375"
+               sodipodi:rx="1.7421875"
+               sodipodi:ry="1.578125"
+               d="m 13.999999,15.109375 c 0,0.871574 -0.780004,1.578125 -1.742187,1.578125 -0.962184,0 -1.742188,-0.706551 -1.742188,-1.578125 0,-0.871574 0.780004,-1.578125 1.742188,-1.578125 0.962183,0 1.742187,0.706551 1.742187,1.578125 z"
+               transform="matrix(1.143498,0,0,1.268986,10.47541,-5.176204)" />
+            <path
+               sodipodi:type="arc"
+               style="fill:#ffffff;fill-opacity:1;stroke:none"
+               id="path2886"
+               sodipodi:cx="12.257812"
+               sodipodi:cy="15.109375"
+               sodipodi:rx="1.7421875"
+               sodipodi:ry="1.578125"
+               d="m 13.999999,15.109375 c 0,0.871574 -0.780004,1.578125 -1.742187,1.578125 -0.962184,0 -1.742188,-0.706551 -1.742188,-1.578125 0,-0.871574 0.780004,-1.578125 1.742188,-1.578125 0.962183,0 1.742187,0.706551 1.742187,1.578125 z"
+               transform="matrix(1.143498,0,0,1.268986,14.47541,-5.176204)" />
+            <path
+               sodipodi:type="arc"
+               style="fill:#ffffff;fill-opacity:1;stroke:none"
+               id="path2888"
+               sodipodi:cx="12.257812"
+               sodipodi:cy="15.109375"
+               sodipodi:rx="1.7421875"
+               sodipodi:ry="1.578125"
+               d="m 13.999999,15.109375 c 0,0.871574 -0.780004,1.578125 -1.742187,1.578125 -0.962184,0 -1.742188,-0.706551 -1.742188,-1.578125 0,-0.871574 0.780004,-1.578125 1.742188,-1.578125 0.962183,0 1.742187,0.706551 1.742187,1.578125 z"
+               transform="matrix(1.143498,0,0,1.268986,18.47541,-5.176204)" />
+            <path
+               sodipodi:type="arc"
+               style="fill:#ffffff;fill-opacity:1;stroke:none"
+               id="path2890"
+               sodipodi:cx="12.257812"
+               sodipodi:cy="15.109375"
+               sodipodi:rx="1.7421875"
+               sodipodi:ry="1.578125"
+               d="m 13.999999,15.109375 c 0,0.871574 -0.780004,1.578125 -1.742187,1.578125 -0.962184,0 -1.742188,-0.706551 -1.742188,-1.578125 0,-0.871574 0.780004,-1.578125 1.742188,-1.578125 0.962183,0 1.742187,0.706551 1.742187,1.578125 z"
+               transform="matrix(1.143498,0,0,1.268986,22.47541,-5.176204)" />
+          </g>
+          <rect
+             y="40"
+             x="5"
+             height="3.0103984"
+             width="35"
+             id="rect2757"
+             style="fill:url(#linearGradient3287);fill-opacity:1;stroke:none" />
+          <path
+             d="m 8.28125,11.5 c -0.4636608,0 -0.7747289,0.320452 -0.8125,0.84375 l -2,27.65625 0,0.0625 0,2.46875 34.03125,0 -0.03125,-2.46875 0,-0.03125 -1.9375,-27.6875 C 37.490391,11.75941 37.211695,11.5 36.71875,11.5 l -28.4375,0 z"
+             id="path4680"
+             style="fill:none;stroke:url(#linearGradient3289);stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
+             inkscape:original="M 8.28125 10.53125 C 7.301907 10.53125 6.570075 11.310399 6.5 12.28125 L 4.5 40 L 4.5 43.5 L 40.5 43.5 L 40.4375 40 L 38.5 12.28125 C 38.429021 11.266158 37.698095 10.53125 36.71875 10.53125 L 8.28125 10.53125 z "
+             inkscape:radius="-0.9722718"
+             sodipodi:type="inkscape:offset" />
+          <path
+             inkscape:connector-curvature="0"
+             sodipodi:nodetypes="cc"
+             id="path2760"
+             d="m 4.5,43.5 36,0"
+             style="fill:none;stroke:#373a3a;stroke-width:1px;stroke-linecap:square;stroke-linejoin:miter;stroke-opacity:1" />
+          <g
+             transform="translate(-2,-1)"
+             id="g2814">
+            <rect
+               style="fill:url(#radialGradient3311);fill-opacity:1;stroke:url(#linearGradient3313);stroke-width:1.00199974;stroke-linecap:square;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:1.20000057"
+               id="rect2776"
+               width="1.9979982"
+               height="5.0440111"
+               x="11.500997"
+               y="9.5010004"
+               rx="0.99899912"
+               ry="1.5072321" />
+            <path
+               sodipodi:type="arc"
+               style="fill:#ffffff;fill-opacity:1;stroke:none"
+               id="path2812"
+               sodipodi:cx="12.125"
+               sodipodi:cy="11.257812"
+               sodipodi:rx="0.59375"
+               sodipodi:ry="0.6484375"
+               d="m 12.71875,11.257812 c 0,0.358122 -0.265831,0.648437 -0.59375,0.648437 -0.327919,0 -0.59375,-0.290315 -0.59375,-0.648437 0,-0.358123 0.265831,-0.648438 0.59375,-0.648438 0.327919,0 0.59375,0.290315 0.59375,0.648438 z"
+               transform="translate(-0.21875,-0.109374)" />
+          </g>
+          <g
+             transform="translate(60.78337,49.43458)"
+             style="display:inline"
+             inkscape:label="base"
+             id="g2637" />
+          <g
+             transform="translate(0,-1)"
+             style="opacity:0.6"
+             id="g2953">
+            <rect
+               style="opacity:0.8;fill:#888a85;fill-opacity:1;stroke:none"
+               id="rect3297"
+               width="5"
+               height="1"
+               x="31"
+               y="18"
+               rx="0.5"
+               ry="0.5" />
+            <rect
+               style="opacity:0.8;fill:#888a85;fill-opacity:1;stroke:none"
+               id="rect3305"
+               width="2.125"
+               height="1"
+               x="8.875"
+               y="20"
+               rx="0.5"
+               ry="0.5" />
+            <rect
+               style="opacity:0.8;fill:#888a85;fill-opacity:1;stroke:none"
+               id="rect3287"
+               width="4.171875"
+               height="1"
+               x="7.828125"
+               y="36"
+               rx="0.46088129"
+               ry="0.5" />
+            <rect
+               style="opacity:0.8;fill:#888a85;fill-opacity:1;stroke:none"
+               id="rect3307"
+               width="8.390625"
+               height="1"
+               x="8.609375"
+               y="23"
+               rx="0.5"
+               ry="0.5" />
+            <rect
+               style="opacity:0.8;fill:#888a85;fill-opacity:1;stroke:none"
+               id="rect3309"
+               width="3.515625"
+               height="1"
+               x="8.484375"
+               y="25"
+               rx="0.49520382"
+               ry="0.5" />
+            <rect
+               style="opacity:0.8;fill:#888a85;fill-opacity:1;stroke:none"
+               id="rect3313"
+               width="4"
+               height="1"
+               x="33"
+               y="33"
+               rx="0.5"
+               ry="0.5" />
+            <rect
+               style="opacity:0.8;fill:#888a85;fill-opacity:1;stroke:none"
+               id="rect2940"
+               width="3"
+               height="1"
+               x="21"
+               y="36"
+               rx="0.5"
+               ry="0.5"
+               inkscape:transform-center-x="0.28125" />
+            <rect
+               style="opacity:0.8;fill:#888a85;fill-opacity:1;stroke:none"
+               id="rect2915"
+               width="5"
+               height="1"
+               x="30"
+               y="23"
+               rx="0.54237288"
+               ry="0.5" />
+            <rect
+               style="opacity:0.8;fill:#888a85;fill-opacity:1;stroke:none"
+               id="rect2885"
+               width="2.640625"
+               height="1"
+               x="8.359375"
+               y="28"
+               rx="0.5"
+               ry="0.5" />
+            <rect
+               style="opacity:0.8;fill:#888a85;fill-opacity:1;stroke:none"
+               id="rect2893"
+               width="6"
+               height="1"
+               x="9"
+               y="18"
+               rx="0.5"
+               ry="0.5" />
+            <rect
+               style="opacity:0.8;fill:#888a85;fill-opacity:1;stroke:none"
+               id="rect2895"
+               width="5"
+               height="1"
+               x="21"
+               y="18"
+               rx="0.5"
+               ry="0.5" />
+            <rect
+               style="opacity:0.8;fill:#888a85;fill-opacity:1;stroke:none"
+               id="rect2897"
+               width="2"
+               height="1"
+               x="28"
+               y="18"
+               rx="0.5"
+               ry="0.5" />
+            <rect
+               style="opacity:0.8;fill:#888a85;fill-opacity:1;stroke:none"
+               id="rect2899"
+               width="2"
+               height="1"
+               x="16"
+               y="18"
+               rx="0.5"
+               ry="0.5" />
+            <rect
+               style="opacity:0.8;fill:#888a85;fill-opacity:1;stroke:none"
+               id="rect2901"
+               width="2"
+               height="1"
+               x="19"
+               y="18"
+               rx="0.5"
+               ry="0.5" />
+            <rect
+               style="opacity:0.8;fill:#888a85;fill-opacity:1;stroke:none"
+               id="rect2903"
+               width="4"
+               height="1"
+               x="12"
+               y="20"
+               rx="0.54237288"
+               ry="0.5" />
+            <rect
+               style="opacity:0.8;fill:#888a85;fill-opacity:1;stroke:none"
+               id="rect2905"
+               width="2"
+               height="1"
+               x="17"
+               y="20"
+               rx="0.54237288"
+               ry="0.5" />
+            <rect
+               style="opacity:0.8;fill:#888a85;fill-opacity:1;stroke:none"
+               id="rect2907"
+               width="4"
+               height="1"
+               x="20"
+               y="20"
+               rx="0.54237288"
+               ry="0.5" />
+            <rect
+               style="opacity:0.8;fill:#888a85;fill-opacity:1;stroke:none"
+               id="rect2909"
+               width="4"
+               height="1"
+               x="19"
+               y="23"
+               rx="0.54237288"
+               ry="0.5" />
+            <rect
+               style="opacity:0.8;fill:#888a85;fill-opacity:1;stroke:none"
+               id="rect2911"
+               width="2"
+               height="1"
+               x="23"
+               y="23"
+               rx="0.54237288"
+               ry="0.5" />
+            <rect
+               style="opacity:0.8;fill:#888a85;fill-opacity:1;stroke:none"
+               id="rect2913"
+               width="3"
+               height="1"
+               x="26"
+               y="23"
+               rx="0.54237288"
+               ry="0.5" />
+            <rect
+               style="opacity:0.8;fill:#888a85;fill-opacity:1;stroke:none"
+               id="rect2919"
+               width="2"
+               height="1"
+               x="13"
+               y="25"
+               rx="0.54237288"
+               ry="0.5" />
+            <rect
+               style="opacity:0.8;fill:#888a85;fill-opacity:1;stroke:none"
+               id="rect2921"
+               width="4"
+               height="1"
+               x="16"
+               y="25"
+               rx="0.54237288"
+               ry="0.5" />
+            <rect
+               style="opacity:0.8;fill:#888a85;fill-opacity:1;stroke:none"
+               id="rect2923"
+               width="2"
+               height="1"
+               x="20"
+               y="25"
+               rx="0.54237288"
+               ry="0.5" />
+            <rect
+               style="opacity:0.8;fill:#888a85;fill-opacity:1;stroke:none"
+               id="rect2925"
+               width="2"
+               height="1"
+               x="24"
+               y="25"
+               rx="0.54237288"
+               ry="0.5" />
+            <rect
+               style="opacity:0.8;fill:#888a85;fill-opacity:1;stroke:none"
+               id="rect2931"
+               width="2"
+               height="1"
+               x="12"
+               y="28"
+               rx="0.54237288"
+               ry="0.5" />
+            <rect
+               style="opacity:0.8;fill:#888a85;fill-opacity:1;stroke:none"
+               id="rect2933"
+               width="4"
+               height="1"
+               x="14"
+               y="28"
+               rx="0.54237288"
+               ry="0.5" />
+            <rect
+               style="opacity:0.8;fill:#888a85;fill-opacity:1;stroke:none"
+               id="rect2935"
+               width="2"
+               height="1"
+               x="19"
+               y="28"
+               rx="0.54237288"
+               ry="0.5" />
+            <rect
+               style="opacity:0.8;fill:#888a85;fill-opacity:1;stroke:none"
+               id="rect2938"
+               width="6"
+               height="1"
+               x="13"
+               y="36"
+               rx="0.54237288"
+               ry="0.5" />
+            <rect
+               style="opacity:0.8;fill:#888a85;fill-opacity:1;stroke:none"
+               id="rect2942"
+               width="2"
+               height="1"
+               x="8"
+               y="33"
+               rx="0.5"
+               ry="0.5" />
+            <rect
+               style="opacity:0.8;fill:#888a85;fill-opacity:1;stroke:none"
+               id="rect2945"
+               width="4"
+               height="1"
+               x="11"
+               y="33"
+               rx="0.54237288"
+               ry="0.5" />
+            <rect
+               style="opacity:0.8;fill:#888a85;fill-opacity:1;stroke:none"
+               id="rect2947"
+               width="5"
+               height="1"
+               x="17"
+               y="33"
+               rx="0.54237288"
+               ry="0.5" />
+            <rect
+               style="opacity:0.8;fill:#888a85;fill-opacity:1;stroke:none"
+               id="rect2949"
+               width="4"
+               height="1"
+               x="23"
+               y="33"
+               rx="0.54237288"
+               ry="0.5" />
+            <rect
+               style="opacity:0.8;fill:#888a85;fill-opacity:1;stroke:none"
+               id="rect2951"
+               width="4"
+               height="1"
+               x="28.007845"
+               y="33.004311"
+               rx="0.54237288"
+               ry="0.5" />
+          </g>
+          <use
+             height="48"
+             width="48"
+             transform="translate(4,0)"
+             id="use4712"
+             xlink:href="#g2814"
+             y="0"
+             x="0" />
+          <use
+             height="48"
+             width="48"
+             transform="translate(8,0)"
+             id="use4714"
+             xlink:href="#g2814"
+             y="0"
+             x="0" />
+          <use
+             height="48"
+             width="48"
+             transform="translate(12,0)"
+             id="use4716"
+             xlink:href="#g2814"
+             y="0"
+             x="0" />
+          <use
+             height="48"
+             width="48"
+             transform="translate(16,0)"
+             id="use4718"
+             xlink:href="#g2814"
+             y="0"
+             x="0" />
+          <use
+             height="48"
+             width="48"
+             transform="translate(20,0)"
+             id="use4720"
+             xlink:href="#g2814"
+             y="0"
+             x="0" />
+          <use
+             height="48"
+             width="48"
+             transform="translate(24,0)"
+             id="use4722"
+             xlink:href="#g2814"
+             y="0"
+             x="0" />
+          <g
+             id="g6471">
+            <g
+               id="g6462">
+              <g
+                 id="g6458" />
+              <g
+                 id="g6451" />
+            </g>
+          </g>
+        </g>
+        <g
+           id="g10271"
+           transform="matrix(1.0512821,0,0,0.4615385,-3.153849,21.26924)"
+           style="opacity:0.5" />
+        <g
+           style="display:inline;enable-background:new"
+           id="g10273"
+           inkscape:label="Livello 1"
+           transform="translate(-2.000002,-2.999997)">
+          <g
+             style="opacity:0.4"
+             transform="matrix(1.0416677,0,0,0.4579337,-0.9375015,24.411627)"
+             id="g10275" />
+          <path
+             transform="matrix(0.897436,0,0,0.981132,1.333333,1.688679)"
+             d="M 52,33.1875 C 52,35.016943 45.452164,36.5 37.375,36.5 29.297836,36.5 22.75,35.016943 22.75,33.1875 c 0,-1.829443 6.547836,-3.3125 14.625,-3.3125 8.077164,0 14.625,1.483057 14.625,3.3125 z"
+             sodipodi:ry="3.3125"
+             sodipodi:rx="14.625"
+             sodipodi:cy="33.1875"
+             sodipodi:cx="37.375"
+             id="path4331"
+             style="opacity:0.07000002;fill:url(#radialGradient3295);fill-opacity:1;stroke:none"
+             sodipodi:type="arc" />
+          <g
+             transform="translate(-1.75,-1)"
+             id="g10278" />
+          <g
+             transform="translate(-2,-1)"
+             id="g10280" />
+          <g
+             transform="translate(60.78337,49.43458)"
+             style="display:inline"
+             inkscape:label="base"
+             id="g10282" />
+          <g
+             transform="translate(0,-1)"
+             style="opacity:0.6"
+             id="g10284" />
+          <g
+             id="g10286">
+            <path
+               inkscape:connector-curvature="0"
+               sodipodi:nodetypes="cccccccccc"
+               style="opacity:0.4;fill:url(#linearGradient3297);fill-opacity:1;fill-rule:evenodd;stroke:none;display:inline"
+               d="m 41.07975,28.46584 -15,4.472913 -0.125,0.06484 -0.09375,0.07781 -2.75,2.853178 7.4375,-0.842984 0.28125,-0.02594 0.1875,-0.07781 10.0625,-2.683193 0,-3.838821 0,7e-6 0,0 z"
+               id="path2334" />
+            <g
+               id="g10289">
+              <g
+                 id="g10291">
+                <path
+                   inkscape:connector-curvature="0"
+                   sodipodi:nodetypes="ccccccc"
+                   id="path2273"
+                   d="m 25.89225,30.18459 19,-19 c 2.175049,0.359961 3.084719,1.732225 3.5,3.5 l -19,19 -4.616117,0.704505 1.116117,-4.204505 0,0 0,0 z"
+                   style="fill:url(#linearGradient3299);fill-opacity:1;fill-rule:evenodd;stroke:url(#linearGradient3301);stroke-width:1;stroke-linecap:butt;stroke-linejoin:round;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
+                <path
+                   inkscape:connector-curvature="0"
+                   sodipodi:nodetypes="ccccccc"
+                   id="path2313"
+                   d="m 26.792248,30.68459 18.49775,-18.397748 c 1.089745,0.178435 1.517261,0.987944 2,2 l -18.397748,18.49775 -3.300003,0.900001 1.200001,-3.000003 0,0 0,0 z"
+                   style="opacity:0.28235294;fill:none;stroke:#ffffff;stroke-width:1.0000006;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline" />
+              </g>
+              <g
+                 id="g10295">
+                <path
+                   inkscape:connector-curvature="0"
+                   sodipodi:nodetypes="cczcc"
+                   id="path2298"
+                   d="m 24.549577,34.633026 1.666322,-4.180309 c 0,0 1.199535,0.24536 1.932177,0.975089 0.732642,0.729729 0.998391,1.943828 0.998391,1.943828 l -4.59689,1.261392 z"
+                   style="fill:url(#linearGradient3303);fill-opacity:1;fill-rule:evenodd;stroke:none" />
+                <path
+                   inkscape:connector-curvature="0"
+                   transform="translate(6.39225,12.18459)"
+                   sodipodi:nodetypes="ccc"
+                   id="path5446"
+                   d="m 23,21.5 -5.5,1.5 2,-5"
+                   style="fill:none;stroke:#e9b96e;stroke-width:1;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
+                <path
+                   inkscape:connector-curvature="0"
+                   id="path2283"
+                   d="m 23.95475,33.68459 -0.90625,2.25 2.34375,-0.65625 c 0.002,-0.03184 0,-0.06141 0,-0.09375 0,-0.802125 -0.645308,-1.459801 -1.4375,-1.5 z"
+                   style="fill:#000000;fill-opacity:1;fill-rule:evenodd;stroke:none;display:inline"
+                   sodipodi:nodetypes="cccsc" />
+              </g>
+            </g>
+          </g>
+          <path
+             inkscape:connector-curvature="0"
+             style="fill:url(#radialGradient3305);fill-opacity:1;fill-rule:nonzero;stroke:#ef2929;stroke-width:0.99999994;marker:none;visibility:visible;display:inline;overflow:visible;enable-background:new"
+             d="m 42.821682,13.147263 c 1.834152,-0.500531 3.885052,1.651475 3.449911,3.449919 L 48.46699,14.40178 c 1.066511,-2.466563 -1.132252,-4.4097129 -3.494714,-3.494723 l -2.150594,2.240206 0,0 z"
+             id="path4113-1"
+             sodipodi:nodetypes="ccccc" />
+          <path
+             inkscape:connector-curvature="0"
+             sodipodi:nodetypes="ccccc"
+             id="path4095-8"
+             d="m 40.561976,15.25084 c 1.936448,-0.528484 4.101732,1.743706 3.642321,3.642586 l 2.317841,-2.31801 c 0.754457,-1.595776 -2.044633,-4.337495 -3.689625,-3.689891 l -2.270537,2.365315 0,0 z"
+             style="fill:url(#linearGradient3307);fill-opacity:1;fill-rule:nonzero;stroke:#888a85;stroke-width:1;marker:none;visibility:visible;display:inline;overflow:visible;enable-background:new" />
+        </g>
+      </g>
+      <path
+         transform="translate(1.377481,-0.5509924)"
+         d="m 377.98077,531.67438 c 0,6.6947 -5.4888,12.12183 -12.25958,12.12183 -6.77078,0 -12.25958,-5.42713 -12.25958,-12.12183 0,-6.69471 5.4888,-12.12184 12.25958,-12.12184 6.77078,0 12.25958,5.42713 12.25958,12.12184 z"
+         sodipodi:ry="12.121833"
+         sodipodi:rx="12.259581"
+         sodipodi:cy="531.67438"
+         sodipodi:cx="365.72119"
+         id="path3762"
+         style="opacity:0.7;fill:#000000;fill-opacity:1;stroke:#000000;stroke-width:1.77165353;stroke-miterlimit:4;stroke-dasharray:none;filter:url(#filter4540)"
+         sodipodi:type="arc" />
+      <g
+         inkscape:label="Calque 1"
+         id="layer1-3"
+         transform="matrix(0.02440257,0,0,0.02440257,356.89075,517.10941)">
+        <path
+           inkscape:connector-curvature="0"
+           id="path2960-0"
+           d="m 332.54103,1050.2149 c -41.37651,-4.1037 -76.28554,-11.2214 -112.5,-22.9379 C 38.968243,968.69418 -94.671257,813.794 -125.87966,626.32367 c -4.6661,-28.02936 -5.7479,-41.01945 -6.2877,-75.5 -0.4033,-25.76467 -0.1559,-37.16579 1.1283,-52 10.5333,-121.66953 61.903003,-232.02054 148.039403,-318.01409 77.4907,-77.36231 175.938087,-126.967865 284.540687,-143.374125 46.93981,-7.09105 105.93133,-6.90678 154,0.48105 92.41833,14.20408 176.81184,52.628171 251.00004,114.279535 15.152 [...]
+           style="fill:url(#radialGradient3309);fill-opacity:1"
+           sodipodi:nodetypes="cssssssssssssssscc" />
+        <g
+           id="g2840"
+           transform="matrix(1.1504804,0,0,1.1504804,-101.74504,87.967194)">
+          <g
+             transform="translate(1214.29,154.286)"
+             id="g3913">
+            <path
+               inkscape:connector-curvature="0"
+               id="path2958-6"
+               d="m -621.15696,351.81795 c -7.696,-1.97596 -16.0375,-5.04835 -20.5,-7.55075 -8.5088,-4.77142 -24.5186,-15.74087 -36,-24.66615 -6.325,-4.91686 -13.3,-10.32719 -15.5,-12.02294 -2.2,-1.69576 -4.225,-3.35343 -4.5,-3.68372 -0.275,-0.3303 -3.875,-3.38012 -8,-6.77739 -10.4969,-8.6451 -14.7675,-12.2334 -17.9971,-15.12208 -1.5372,-1.375 -4.9263,-4.3 -7.5311,-6.5 -2.6049,-2.2 -6.17546,-5.35 -7.93461,-7 -1.75915,-1.65 -5.5849,-5.025 -8.50168,-7.5 -2.91677,-2.475 -6.75786,-5.85 -8.53 [...]
+               style="opacity:0.79919679;fill:#000000;fill-opacity:1;filter:url(#filter3897)"
+               sodipodi:nodetypes="cssssssssssssssssssssssssscccsssssssssssssssc" />
+            <path
+               inkscape:connector-curvature="0"
+               id="path2952-9"
+               d="m -845.33709,474.55131 c -20.76154,-3.74492 -36.41193,-13.73702 -62.53095,-39.9233 -9.64202,-9.66685 -22.45876,-22.97609 -28.48163,-29.57609 -13.35115,-14.63047 -15.35289,-16.5928 -27.98742,-27.43632 -42.78691,-36.72168 -83.55401,-58.66197 -120.84511,-65.0372 -12.0329,-2.05712 -47.3982,-4.00954 -72.8268,-4.02056 l -13.672,-0.006 -17.913,-11.2924 c -14.8294,-9.34848 -17.6771,-11.51273 -16.5428,-12.57232 1.0028,-0.93673 3.7068,-1.12385 10.0849,-0.69786 4.7931,0.32012 38.4 [...]
+               style="opacity:0.79919679;fill:#000000;fill-opacity:1;filter:url(#filter3901)"
+               sodipodi:nodetypes="csssscccssssssssc" />
+            <path
+               inkscape:connector-curvature="0"
+               id="path2954-2-1"
+               d="m -523.47016,282.54624 c -3.5668,-2.44268 -18.185,-12.91489 -32.485,-23.27158 -14.3,-10.35668 -32.2007,-23.31746 -39.7794,-28.80171 -15.9436,-11.5375 -19.0123,-14.80502 -25.2546,-26.89122 -4.292,-8.31023 -12.466,-30.93271 -12.466,-34.50131 0,-0.96629 3.5088,1.75978 8.75,6.79813 13.1948,12.68405 24.8915,21.11121 36.7533,26.47967 6.6998,3.03225 11.2835,3.37644 28.4967,2.13981 19.8052,-1.42283 79.4187,-1.97928 83.8621,-0.78279 5.2523,1.41429 7.1419,4.2908 7.6879,11.70335 0 [...]
+               style="opacity:0.79919679;fill:#000000;fill-opacity:1;filter:url(#filter3905)"
+               sodipodi:nodetypes="cssssssssssssssssssssssssc" />
+            <path
+               inkscape:connector-curvature="0"
+               style="opacity:0.79919679;fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:none;filter:url(#filter3909)"
+               d="m -1103.4014,178.82446 c -60.3721,0.15195 -136.0938,1.05079 -136.0938,2.0625 0,0.37215 3.7126,2.57678 8.25,4.90625 4.5375,2.32947 12.4078,6.41579 17.5,9.0625 5.0923,2.6467 9.4092,4.65329 9.5938,4.46875 0.4819,-0.48195 80.3264,-0.20804 91.6563,0.3125 13.0337,0.59882 14.6889,0.8061 29.5,3.875 32.0308,6.63688 70.9529,23.60273 115.2812,50.25 26.31675,15.81991 65.61734,43.0767 75.96875,52.6875 1.94153,1.80262 5.06029,4.56513 6.9375,6.15625 3.52028,2.98378 8.48988,7.31567 15. [...]
+               id="rect2985-4"
+               sodipodi:nodetypes="csssssssssssssssssssssssssssssssc" />
+          </g>
+          <path
+             inkscape:connector-curvature="0"
+             sodipodi:nodetypes="cssssssssssssssssssssssssscccsssssssssssssssc"
+             style="fill:#f39e37"
+             d="m 623.89446,497.27107 c -7.69606,-1.97596 -16.03749,-5.04835 -20.5,-7.55075 -8.50881,-4.77142 -24.51863,-15.74087 -36,-24.66615 -6.325,-4.91686 -13.3,-10.32719 -15.5,-12.02294 -2.2,-1.69576 -4.225,-3.35343 -4.5,-3.68372 -0.275,-0.3303 -3.875,-3.38012 -8,-6.77739 -10.49698,-8.6451 -14.76749,-12.2334 -17.99709,-15.12208 -1.53728,-1.375 -4.92631,-4.3 -7.53118,-6.5 -2.60487,-2.2 -6.17543,-5.35 -7.93458,-7 -1.75915,-1.65 -5.5849,-5.025 -8.50168,-7.5 -2.91677,-2.475 -6.75786,-5 [...]
+             id="path2958" />
+          <path
+             inkscape:connector-curvature="0"
+             sodipodi:nodetypes="csssscccssssssssc"
+             style="fill:#6b8c45"
+             d="m 399.71429,620.00443 c -20.76154,-3.74492 -36.41193,-13.73702 -62.53095,-39.9233 -9.64202,-9.66685 -22.45876,-22.97609 -28.48163,-29.57609 -13.35115,-14.63047 -15.35289,-16.5928 -27.98742,-27.43632 -42.78693,-36.72168 -83.55401,-58.66197 -120.84512,-65.0372 -12.03288,-2.05712 -47.39824,-4.00954 -72.826831,-4.02056 l -13.67195,-0.006 -17.913,-11.2924 c -14.82937,-9.34848 -17.67713,-11.51273 -16.54283,-12.57232 1.00277,-0.93673 3.70686,-1.12385 10.08494,-0.69786 4.79313,0. [...]
+             id="path2952" />
+          <path
+             inkscape:connector-curvature="0"
+             sodipodi:nodetypes="cssssssssssssssssssssssssc"
+             style="fill:#7e29fd"
+             d="m 721.58124,427.99936 c -3.5668,-2.44268 -18.185,-12.91489 -32.485,-23.27158 -14.3,-10.35668 -32.2007,-23.31746 -39.7794,-28.80171 -15.9436,-11.5375 -19.0123,-14.80502 -25.2546,-26.89122 -4.292,-8.31023 -12.466,-30.93271 -12.466,-34.50131 0,-0.96629 3.5088,1.75978 8.75,6.79813 13.1948,12.68405 24.8915,21.11121 36.7533,26.47967 6.6998,3.03225 11.2835,3.37644 28.4967,2.13981 19.8052,-1.42283 79.4187,-1.97928 83.8621,-0.78279 5.2523,1.41429 7.1419,4.2908 7.6879,11.70335 0.23 [...]
+             id="path2954-2" />
+          <path
+             inkscape:connector-curvature="0"
+             sodipodi:nodetypes="csssssssssssssssssssssssssssssssc"
+             id="rect2985"
+             d="m 141.64998,324.27758 c -60.372126,0.15195 -136.0937957,1.05079 -136.0937957,2.0625 0,0.37215 3.7126,2.57678 8.2499997,4.90625 4.5375,2.32947 12.4078,6.41579 17.5,9.0625 5.0923,2.6467 9.4092,4.65329 9.5938,4.46875 0.4819,-0.48195 80.326346,-0.20804 91.656246,0.3125 13.0337,0.59882 14.6889,0.8061 29.5,3.875 32.03081,6.63688 70.95299,23.60273 115.28125,50.25 26.31675,15.81991 65.61734,43.0767 75.96875,52.6875 1.94153,1.80262 5.06029,4.56513 6.9375,6.15625 3.52028,2.98378 8. [...]
+             style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:none" />
+        </g>
+      </g>
+    </g>
+  </g>
+</svg>
diff --git a/pixmaps/eyesj-doc.png b/pixmaps/eyesj-doc.png
new file mode 100644
index 0000000..60c4ade
Binary files /dev/null and b/pixmaps/eyesj-doc.png differ
diff --git a/pixmaps/eyesj-doc.svg b/pixmaps/eyesj-doc.svg
new file mode 100644
index 0000000..e9c0099
--- /dev/null
+++ b/pixmaps/eyesj-doc.svg
@@ -0,0 +1,1422 @@
+<?xml version="1.0" encoding="UTF-8" standalone="no"?>
+<!-- Created with Inkscape (http://www.inkscape.org/) -->
+
+<svg
+   xmlns:dc="http://purl.org/dc/elements/1.1/"
+   xmlns:cc="http://creativecommons.org/ns#"
+   xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#"
+   xmlns:svg="http://www.w3.org/2000/svg"
+   xmlns="http://www.w3.org/2000/svg"
+   xmlns:xlink="http://www.w3.org/1999/xlink"
+   xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
+   xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
+   width="46.242458"
+   height="46.240002"
+   id="svg2"
+   version="1.1"
+   inkscape:version="0.48.3.1 r9886"
+   sodipodi:docname="eyesj-doc.svg"
+   inkscape:export-filename="/home/georgesk/developpement/expeyes/expeyes-2.9.0/pixmaps/eyesj-doc.png"
+   inkscape:export-xdpi="123.71"
+   inkscape:export-ydpi="123.71">
+  <defs
+     id="defs4">
+    <linearGradient
+       y2="134.25"
+       x2="-158.75"
+       y1="115.93846"
+       x1="-158.75"
+       gradientTransform="matrix(0.1892115,-0.1892253,0.1892115,0.1892253,49.738733,-37.732231)"
+       gradientUnits="userSpaceOnUse"
+       id="linearGradient10314"
+       xlink:href="#linearGradient4099-6-7"
+       inkscape:collect="always" />
+    <radialGradient
+       r="9.1267023"
+       fy="128.00087"
+       fx="-138.83727"
+       cy="128.00087"
+       cx="-138.83727"
+       gradientTransform="matrix(0.320394,-0.3203948,0.322414,0.3224148,50.518433,-74.157887)"
+       gradientUnits="userSpaceOnUse"
+       id="radialGradient10312"
+       xlink:href="#linearGradient4117-1-0"
+       inkscape:collect="always" />
+    <linearGradient
+       y2="32.714375"
+       x2="25.485056"
+       y1="34.389839"
+       x1="26.379272"
+       gradientUnits="userSpaceOnUse"
+       id="linearGradient10310"
+       xlink:href="#linearGradient6437"
+       inkscape:collect="always" />
+    <linearGradient
+       y2="22.118853"
+       x2="22.810215"
+       y1="21.481258"
+       x1="23.447809"
+       gradientTransform="translate(6.39225,12.18459)"
+       gradientUnits="userSpaceOnUse"
+       id="linearGradient10308"
+       xlink:href="#linearGradient2301"
+       inkscape:collect="always" />
+    <linearGradient
+       y2="14.691495"
+       x2="30.432114"
+       y1="12.338078"
+       x1="28.078697"
+       gradientTransform="translate(6.39225,12.18459)"
+       gradientUnits="userSpaceOnUse"
+       id="linearGradient10306"
+       xlink:href="#linearGradient6445"
+       inkscape:collect="always" />
+    <linearGradient
+       y2="18.392296"
+       x2="32.9375"
+       y1="18.53828"
+       x1="18.1875"
+       gradientTransform="matrix(1,0,0,0.415008,6.5485,26.7669)"
+       gradientUnits="userSpaceOnUse"
+       id="linearGradient10304"
+       xlink:href="#linearGradient1813"
+       inkscape:collect="always" />
+    <radialGradient
+       r="14.625"
+       fy="33.1875"
+       fx="37.375"
+       cy="33.1875"
+       cx="37.375"
+       gradientTransform="matrix(1,0,0,0.226496,0,25.67067)"
+       gradientUnits="userSpaceOnUse"
+       id="radialGradient10302"
+       xlink:href="#linearGradient4333"
+       inkscape:collect="always" />
+    <linearGradient
+       y2="14.662594"
+       x2="11.580806"
+       y1="6.728353"
+       x1="11.669194"
+       gradientUnits="userSpaceOnUse"
+       id="linearGradient8539"
+       xlink:href="#linearGradient2791"
+       inkscape:collect="always" />
+    <radialGradient
+       r="1.499999"
+       fy="11.669307"
+       fx="12.321928"
+       cy="11.669307"
+       cx="12.321928"
+       gradientTransform="matrix(9.835652,1.854444e-6,0,1.136384,-108.6942,-1.914856)"
+       gradientUnits="userSpaceOnUse"
+       id="radialGradient8537"
+       xlink:href="#linearGradient2804"
+       inkscape:collect="always" />
+    <radialGradient
+       inkscape:collect="always"
+       xlink:href="#linearGradient4690"
+       id="radialGradient4696"
+       cx="29.480816"
+       cy="36.776756"
+       fx="29.480816"
+       fy="36.776756"
+       r="18.5"
+       gradientTransform="matrix(2.012905,0,0,1.338879,-28.88714,-12.68199)"
+       gradientUnits="userSpaceOnUse" />
+    <linearGradient
+       inkscape:collect="always"
+       id="linearGradient4690">
+      <stop
+         style="stop-color:white;stop-opacity:1"
+         offset="0"
+         id="stop4692" />
+      <stop
+         style="stop-color:#eeeeec;stop-opacity:1"
+         offset="1"
+         id="stop4694" />
+    </linearGradient>
+    <linearGradient
+       inkscape:collect="always"
+       xlink:href="#linearGradient4700"
+       id="linearGradient4698"
+       x1="5.6568546"
+       y1="53.320892"
+       x2="4"
+       y2="16.003418"
+       gradientUnits="userSpaceOnUse" />
+    <linearGradient
+       id="linearGradient4700"
+       inkscape:collect="always">
+      <stop
+         id="stop4702"
+         offset="0"
+         style="stop-color:#2e3436;stop-opacity:1" />
+      <stop
+         id="stop4704"
+         offset="1"
+         style="stop-color:#888a85;stop-opacity:1" />
+    </linearGradient>
+    <radialGradient
+       inkscape:collect="always"
+       xlink:href="#linearGradient4333"
+       id="radialGradient4339"
+       cx="37.375"
+       cy="33.1875"
+       fx="37.375"
+       fy="33.1875"
+       r="14.625"
+       gradientTransform="matrix(1,0,0,0.226496,0,25.67067)"
+       gradientUnits="userSpaceOnUse" />
+    <linearGradient
+       inkscape:collect="always"
+       id="linearGradient4333">
+      <stop
+         style="stop-color:black;stop-opacity:1;"
+         offset="0"
+         id="stop4335" />
+      <stop
+         style="stop-color:black;stop-opacity:0;"
+         offset="1"
+         id="stop4337" />
+    </linearGradient>
+    <linearGradient
+       inkscape:collect="always"
+       xlink:href="#linearGradient2919"
+       id="linearGradient2925"
+       x1="11.949747"
+       y1="40.664974"
+       x2="94.364037"
+       y2="47.897068"
+       gradientUnits="userSpaceOnUse"
+       gradientTransform="matrix(1,0,0,1.489708,-2,-22.55226)" />
+    <linearGradient
+       inkscape:collect="always"
+       id="linearGradient2919">
+      <stop
+         style="stop-color:#d3d7cf;stop-opacity:1;"
+         offset="0"
+         id="stop2921" />
+      <stop
+         style="stop-color:#d3d7cf;stop-opacity:0;"
+         offset="1"
+         id="stop2923" />
+    </linearGradient>
+    <linearGradient
+       inkscape:collect="always"
+       xlink:href="#linearGradient4682"
+       id="linearGradient4688"
+       x1="6.7928934"
+       y1="32.963203"
+       x2="7.9215727"
+       y2="54.448856"
+       gradientUnits="userSpaceOnUse" />
+    <linearGradient
+       inkscape:collect="always"
+       id="linearGradient4682">
+      <stop
+         style="stop-color:white;stop-opacity:1;"
+         offset="0"
+         id="stop4684" />
+      <stop
+         style="stop-color:white;stop-opacity:0;"
+         offset="1"
+         id="stop4686" />
+    </linearGradient>
+    <linearGradient
+       id="linearGradient2804">
+      <stop
+         style="stop-color:white;stop-opacity:1"
+         offset="0"
+         id="stop2806" />
+      <stop
+         style="stop-color:#d3d7cf;stop-opacity:1"
+         offset="1"
+         id="stop2808" />
+    </linearGradient>
+    <linearGradient
+       id="linearGradient2791">
+      <stop
+         style="stop-color:#555753;stop-opacity:1;"
+         offset="0"
+         id="stop2793" />
+      <stop
+         id="stop2799"
+         offset="0.5"
+         style="stop-color:#cccdcb;stop-opacity:1;" />
+      <stop
+         style="stop-color:#555753;stop-opacity:1"
+         offset="1"
+         id="stop2795" />
+    </linearGradient>
+    <linearGradient
+       inkscape:collect="always"
+       xlink:href="#linearGradient1813"
+       id="linearGradient6482"
+       gradientUnits="userSpaceOnUse"
+       gradientTransform="matrix(1,0,0,0.415008,6.5485,26.7669)"
+       x1="18.1875"
+       y1="18.53828"
+       x2="32.9375"
+       y2="18.392296" />
+    <linearGradient
+       id="linearGradient1813"
+       inkscape:collect="always">
+      <stop
+         id="stop1815"
+         offset="0"
+         style="stop-color:#000000;stop-opacity:1;" />
+      <stop
+         id="stop1817"
+         offset="1"
+         style="stop-color:#000000;stop-opacity:0;" />
+    </linearGradient>
+    <linearGradient
+       inkscape:collect="always"
+       xlink:href="#linearGradient6445"
+       id="linearGradient6484"
+       gradientUnits="userSpaceOnUse"
+       gradientTransform="translate(6.39225,12.18459)"
+       x1="28.078697"
+       y1="12.338078"
+       x2="30.432114"
+       y2="14.691495" />
+    <linearGradient
+       inkscape:collect="always"
+       id="linearGradient6445">
+      <stop
+         style="stop-color:#fcaf3e;stop-opacity:1"
+         offset="0"
+         id="stop6447" />
+      <stop
+         style="stop-color:#ce5c00"
+         offset="1"
+         id="stop6449" />
+    </linearGradient>
+    <linearGradient
+       inkscape:collect="always"
+       xlink:href="#linearGradient2301"
+       id="linearGradient6486"
+       gradientUnits="userSpaceOnUse"
+       gradientTransform="translate(6.39225,12.18459)"
+       x1="23.447809"
+       y1="21.481258"
+       x2="22.810215"
+       y2="22.118853" />
+    <linearGradient
+       id="linearGradient2301"
+       inkscape:collect="always">
+      <stop
+         id="stop2303"
+         offset="0"
+         style="stop-color:#ce5c00;stop-opacity:1" />
+      <stop
+         id="stop2305"
+         offset="1"
+         style="stop-color:#ce5c00" />
+    </linearGradient>
+    <linearGradient
+       inkscape:collect="always"
+       xlink:href="#linearGradient6437"
+       id="linearGradient6488"
+       gradientUnits="userSpaceOnUse"
+       x1="26.379272"
+       y1="34.389839"
+       x2="25.485056"
+       y2="32.714375" />
+    <linearGradient
+       inkscape:collect="always"
+       id="linearGradient6437">
+      <stop
+         style="stop-color:#e9b96e;stop-opacity:1"
+         offset="0"
+         id="stop6439" />
+      <stop
+         style="stop-color:#ffffff;stop-opacity:1"
+         offset="1"
+         id="stop6441" />
+    </linearGradient>
+    <radialGradient
+       r="9.1267023"
+       fy="128.00087"
+       fx="-138.83727"
+       cy="128.00087"
+       cx="-138.83727"
+       gradientTransform="matrix(0.320394,-0.3203948,0.322414,0.3224148,50.518433,-74.157887)"
+       gradientUnits="userSpaceOnUse"
+       id="radialGradient691"
+       xlink:href="#linearGradient4117-1-0"
+       inkscape:collect="always" />
+    <linearGradient
+       inkscape:collect="always"
+       id="linearGradient4117-1-0">
+      <stop
+         id="stop4121-0-5"
+         offset="0"
+         style="stop-color:#f9a9a9;stop-opacity:1" />
+      <stop
+         id="stop4119-1-6"
+         offset="1"
+         style="stop-color:#ab5f5f;stop-opacity:1" />
+    </linearGradient>
+    <linearGradient
+       y2="134.25"
+       x2="-158.75"
+       y1="115.93846"
+       x1="-158.75"
+       gradientTransform="matrix(0.1892115,-0.1892253,0.1892115,0.1892253,49.738733,-37.732231)"
+       gradientUnits="userSpaceOnUse"
+       id="linearGradient649"
+       xlink:href="#linearGradient4099-6-7"
+       inkscape:collect="always" />
+    <linearGradient
+       inkscape:collect="always"
+       id="linearGradient4099-6-7">
+      <stop
+         style="stop-color:#dddddd;stop-opacity:1;"
+         offset="0"
+         id="stop4101-1-8" />
+      <stop
+         id="stop4107-2-2"
+         offset="0.34467545"
+         style="stop-color:#ffffff;stop-opacity:1" />
+      <stop
+         style="stop-color:#737373;stop-opacity:1"
+         offset="0.72694808"
+         id="stop4109-5-7" />
+      <stop
+         style="stop-color:#bbbbbb;stop-opacity:1"
+         offset="1"
+         id="stop4103-9-2" />
+    </linearGradient>
+    <radialGradient
+       r="3"
+       fy="39.5"
+       fx="6"
+       cy="39.5"
+       cx="6"
+       gradientTransform="matrix(1,0,0,2.166667,0,-46.08333)"
+       gradientUnits="userSpaceOnUse"
+       id="radialGradient3430"
+       xlink:href="#linearGradient3399"
+       inkscape:collect="always" />
+    <linearGradient
+       id="linearGradient3399"
+       inkscape:collect="always">
+      <stop
+         id="stop3401"
+         offset="0"
+         style="stop-color:black;stop-opacity:1;" />
+      <stop
+         id="stop3403"
+         offset="1"
+         style="stop-color:black;stop-opacity:0;" />
+    </linearGradient>
+    <radialGradient
+       r="3"
+       fy="39.5"
+       fx="6"
+       cy="39.5"
+       cx="6"
+       gradientTransform="matrix(1,0,0,2.166667,-45,-125.0833)"
+       gradientUnits="userSpaceOnUse"
+       id="radialGradient3432"
+       xlink:href="#linearGradient3399"
+       inkscape:collect="always" />
+    <linearGradient
+       y2="32.999397"
+       x2="18"
+       y1="46"
+       x1="18"
+       gradientUnits="userSpaceOnUse"
+       id="linearGradient3434"
+       xlink:href="#linearGradient3415"
+       inkscape:collect="always" />
+    <linearGradient
+       id="linearGradient3415">
+      <stop
+         id="stop3417"
+         offset="0"
+         style="stop-color:black;stop-opacity:0;" />
+      <stop
+         style="stop-color:black;stop-opacity:1;"
+         offset="0.5"
+         id="stop3423" />
+      <stop
+         id="stop3419"
+         offset="1"
+         style="stop-color:black;stop-opacity:0;" />
+    </linearGradient>
+    <inkscape:perspective
+       sodipodi:type="inkscape:persp3d"
+       inkscape:vp_x="0 : 0.5 : 1"
+       inkscape:vp_y="0 : 1000 : 0"
+       inkscape:vp_z="1 : 0.5 : 1"
+       inkscape:persp3d-origin="0.5 : 0.33333333 : 1"
+       id="perspective3070" />
+    <inkscape:perspective
+       sodipodi:type="inkscape:persp3d"
+       inkscape:vp_x="0 : 0.5 : 1"
+       inkscape:vp_y="0 : 1000 : 0"
+       inkscape:vp_z="1 : 0.5 : 1"
+       inkscape:persp3d-origin="0.5 : 0.33333333 : 1"
+       id="perspective3048" />
+    <inkscape:perspective
+       sodipodi:type="inkscape:persp3d"
+       inkscape:vp_x="0 : 0.5 : 1"
+       inkscape:vp_y="0 : 1000 : 0"
+       inkscape:vp_z="1 : 0.5 : 1"
+       inkscape:persp3d-origin="0.5 : 0.33333333 : 1"
+       id="perspective3025" />
+    <inkscape:perspective
+       sodipodi:type="inkscape:persp3d"
+       inkscape:vp_x="0 : 0.5 : 1"
+       inkscape:vp_y="0 : 1000 : 0"
+       inkscape:vp_z="1 : 0.5 : 1"
+       inkscape:persp3d-origin="0.5 : 0.33333333 : 1"
+       id="perspective3000" />
+    <inkscape:perspective
+       sodipodi:type="inkscape:persp3d"
+       inkscape:vp_x="0 : 0.5 : 1"
+       inkscape:vp_y="0 : 1000 : 0"
+       inkscape:vp_z="1 : 0.5 : 1"
+       inkscape:persp3d-origin="0.5 : 0.33333333 : 1"
+       id="perspective2944" />
+    <inkscape:perspective
+       id="perspective10"
+       inkscape:persp3d-origin="372.04724 : 350.78739 : 1"
+       inkscape:vp_z="744.09448 : 526.18109 : 1"
+       inkscape:vp_y="0 : 1000 : 0"
+       inkscape:vp_x="0 : 526.18109 : 1"
+       sodipodi:type="inkscape:persp3d" />
+    <linearGradient
+       id="linearGradient3919">
+      <stop
+         id="stop3921"
+         offset="0"
+         style="stop-color:#96cbe1;stop-opacity:1;" />
+      <stop
+         style="stop-color:#61a4c0;stop-opacity:1;"
+         offset="0.93442625"
+         id="stop3929" />
+      <stop
+         id="stop3923"
+         offset="1"
+         style="stop-color:#2d7e9f;stop-opacity:1;" />
+    </linearGradient>
+    <filter
+       inkscape:collect="always"
+       id="filter4683"
+       color-interpolation-filters="sRGB">
+      <feGaussianBlur
+         inkscape:collect="always"
+         stdDeviation="2.9461521"
+         id="feGaussianBlur4685" />
+    </filter>
+    <radialGradient
+       inkscape:collect="always"
+       xlink:href="#linearGradient3399"
+       id="radialGradient4626"
+       gradientUnits="userSpaceOnUse"
+       gradientTransform="matrix(1,0,0,2.166667,0,-46.08333)"
+       cx="6"
+       cy="39.5"
+       fx="6"
+       fy="39.5"
+       r="3" />
+    <radialGradient
+       inkscape:collect="always"
+       xlink:href="#linearGradient3399"
+       id="radialGradient4628"
+       gradientUnits="userSpaceOnUse"
+       gradientTransform="matrix(1,0,0,2.166667,-45,-125.0833)"
+       cx="6"
+       cy="39.5"
+       fx="6"
+       fy="39.5"
+       r="3" />
+    <linearGradient
+       inkscape:collect="always"
+       xlink:href="#linearGradient3415"
+       id="linearGradient4630"
+       gradientUnits="userSpaceOnUse"
+       x1="18"
+       y1="46"
+       x2="18"
+       y2="32.999397" />
+    <radialGradient
+       inkscape:collect="always"
+       xlink:href="#linearGradient4690"
+       id="radialGradient4632"
+       gradientUnits="userSpaceOnUse"
+       gradientTransform="matrix(2.012905,0,0,1.338879,-28.88714,-12.68199)"
+       cx="29.480816"
+       cy="36.776756"
+       fx="29.480816"
+       fy="36.776756"
+       r="18.5" />
+    <linearGradient
+       inkscape:collect="always"
+       xlink:href="#linearGradient4700"
+       id="linearGradient4634"
+       gradientUnits="userSpaceOnUse"
+       x1="5.6568546"
+       y1="53.320892"
+       x2="4"
+       y2="16.003418" />
+    <linearGradient
+       inkscape:collect="always"
+       xlink:href="#linearGradient2919"
+       id="linearGradient4636"
+       gradientUnits="userSpaceOnUse"
+       gradientTransform="matrix(1,0,0,1.489708,-2,-22.55226)"
+       x1="11.949747"
+       y1="40.664974"
+       x2="94.364037"
+       y2="47.897068" />
+    <linearGradient
+       inkscape:collect="always"
+       xlink:href="#linearGradient4682"
+       id="linearGradient4638"
+       gradientUnits="userSpaceOnUse"
+       x1="6.7928934"
+       y1="32.963203"
+       x2="7.9215727"
+       y2="54.448856" />
+    <radialGradient
+       inkscape:collect="always"
+       xlink:href="#linearGradient4333"
+       id="radialGradient4644"
+       gradientUnits="userSpaceOnUse"
+       gradientTransform="matrix(1,0,0,0.226496,0,25.67067)"
+       cx="37.375"
+       cy="33.1875"
+       fx="37.375"
+       fy="33.1875"
+       r="14.625" />
+    <linearGradient
+       inkscape:collect="always"
+       xlink:href="#linearGradient1813"
+       id="linearGradient4646"
+       gradientUnits="userSpaceOnUse"
+       gradientTransform="matrix(1,0,0,0.415008,6.5485,26.7669)"
+       x1="18.1875"
+       y1="18.53828"
+       x2="32.9375"
+       y2="18.392296" />
+    <linearGradient
+       inkscape:collect="always"
+       xlink:href="#linearGradient6445"
+       id="linearGradient4648"
+       gradientUnits="userSpaceOnUse"
+       gradientTransform="translate(6.39225,12.18459)"
+       x1="28.078697"
+       y1="12.338078"
+       x2="30.432114"
+       y2="14.691495" />
+    <linearGradient
+       inkscape:collect="always"
+       xlink:href="#linearGradient2301"
+       id="linearGradient4650"
+       gradientUnits="userSpaceOnUse"
+       gradientTransform="translate(6.39225,12.18459)"
+       x1="23.447809"
+       y1="21.481258"
+       x2="22.810215"
+       y2="22.118853" />
+    <linearGradient
+       inkscape:collect="always"
+       xlink:href="#linearGradient6437"
+       id="linearGradient4652"
+       gradientUnits="userSpaceOnUse"
+       x1="26.379272"
+       y1="34.389839"
+       x2="25.485056"
+       y2="32.714375" />
+    <radialGradient
+       inkscape:collect="always"
+       xlink:href="#linearGradient4117-1-0"
+       id="radialGradient4654"
+       gradientUnits="userSpaceOnUse"
+       gradientTransform="matrix(0.320394,-0.3203948,0.322414,0.3224148,50.518433,-74.157887)"
+       cx="-138.83727"
+       cy="128.00087"
+       fx="-138.83727"
+       fy="128.00087"
+       r="9.1267023" />
+    <linearGradient
+       inkscape:collect="always"
+       xlink:href="#linearGradient4099-6-7"
+       id="linearGradient4656"
+       gradientUnits="userSpaceOnUse"
+       gradientTransform="matrix(0.1892115,-0.1892253,0.1892115,0.1892253,49.738733,-37.732231)"
+       x1="-158.75"
+       y1="115.93846"
+       x2="-158.75"
+       y2="134.25" />
+    <radialGradient
+       inkscape:collect="always"
+       xlink:href="#linearGradient2804"
+       id="radialGradient4662"
+       gradientUnits="userSpaceOnUse"
+       gradientTransform="matrix(9.835652,1.854444e-6,0,1.136384,-108.6942,-1.914856)"
+       cx="12.321928"
+       cy="11.669307"
+       fx="12.321928"
+       fy="11.669307"
+       r="1.499999" />
+    <linearGradient
+       inkscape:collect="always"
+       xlink:href="#linearGradient2791"
+       id="linearGradient4664"
+       gradientUnits="userSpaceOnUse"
+       x1="11.669194"
+       y1="6.728353"
+       x2="11.580806"
+       y2="14.662594" />
+  </defs>
+  <sodipodi:namedview
+     id="base"
+     pagecolor="#ffffff"
+     bordercolor="#666666"
+     borderopacity="1.0"
+     inkscape:pageopacity="0.0"
+     inkscape:pageshadow="2"
+     inkscape:zoom="3.6298142"
+     inkscape:cx="23.12181"
+     inkscape:cy="21.843167"
+     inkscape:document-units="px"
+     inkscape:current-layer="layer1"
+     showgrid="false"
+     inkscape:window-width="1024"
+     inkscape:window-height="576"
+     inkscape:window-x="0"
+     inkscape:window-y="24"
+     inkscape:window-maximized="1"
+     fit-margin-top="0"
+     fit-margin-left="0"
+     fit-margin-right="0"
+     fit-margin-bottom="0" />
+  <metadata
+     id="metadata7">
+    <rdf:RDF>
+      <cc:Work
+         rdf:about="">
+        <dc:format>image/svg+xml</dc:format>
+        <dc:type
+           rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
+        <dc:title></dc:title>
+      </cc:Work>
+    </rdf:RDF>
+  </metadata>
+  <g
+     inkscape:label="Calque 1"
+     inkscape:groupmode="layer"
+     id="layer1"
+     transform="translate(-351.87819,-510.58647)">
+    <g
+       id="g4523"
+       transform="translate(0,-2.2039695)">
+      <g
+         transform="translate(350.87819,514.32647)"
+         id="layer1-70"
+         inkscape:label="Layer 1">
+        <g
+           id="g7666"
+           transform="matrix(1.0512821,0,0,0.4615385,-2.1538463,21.269229)"
+           style="opacity:0.5">
+          <rect
+             y="33"
+             x="3"
+             height="13"
+             width="3"
+             id="rect3397"
+             style="fill:url(#radialGradient4626);fill-opacity:1;stroke:none" />
+          <rect
+             transform="scale(-1,-1)"
+             y="-46"
+             x="-42"
+             height="13"
+             width="3"
+             id="rect3407"
+             style="fill:url(#radialGradient4628);fill-opacity:1;stroke:none" />
+          <rect
+             y="33"
+             x="6"
+             height="13"
+             width="33"
+             id="rect3413"
+             style="fill:url(#linearGradient4630);fill-opacity:1;stroke:none" />
+        </g>
+        <g
+           style="display:inline;enable-background:new"
+           id="layer1-7"
+           inkscape:label="Livello 1"
+           transform="translate(-0.9999986,-3.0000074)">
+          <path
+             inkscape:connector-curvature="0"
+             sodipodi:nodetypes="cczcccczc"
+             id="rect3790"
+             d="m 8.267767,10.523348 28.464443,0 c 0.979343,0 1.696788,0.752675 1.767767,1.767767 L 40.437477,40 40.5,43.5 l -36,0 0,-3.5 2,-27.708885 c 0.070075,-0.970851 0.788424,-1.767767 1.767767,-1.767767 z"
+             style="fill:url(#radialGradient4632);fill-opacity:1;stroke:url(#linearGradient4634);stroke-width:0.99999952;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:4;stroke-opacity:1;stroke-dashoffset:0" />
+          <g
+             style="opacity:0.4"
+             transform="matrix(1.0416677,0,0,0.4579337,-0.9375015,24.411627)"
+             id="g3425" />
+          <g
+             transform="translate(-1.75,-1)"
+             id="g2894">
+            <path
+               sodipodi:type="arc"
+               style="fill:#ffffff;fill-opacity:1;stroke:none"
+               id="path2878"
+               sodipodi:cx="12.257812"
+               sodipodi:cy="15.109375"
+               sodipodi:rx="1.7421875"
+               sodipodi:ry="1.578125"
+               d="m 13.999999,15.109375 c 0,0.871574 -0.780004,1.578125 -1.742187,1.578125 -0.962184,0 -1.742188,-0.706551 -1.742188,-1.578125 0,-0.871574 0.780004,-1.578125 1.742188,-1.578125 0.962183,0 1.742187,0.706551 1.742187,1.578125 z"
+               transform="matrix(1.143498,0,0,1.268986,-1.524595,-5.176204)" />
+            <path
+               sodipodi:type="arc"
+               style="fill:#ffffff;fill-opacity:1;stroke:none"
+               id="path2880"
+               sodipodi:cx="12.257812"
+               sodipodi:cy="15.109375"
+               sodipodi:rx="1.7421875"
+               sodipodi:ry="1.578125"
+               d="m 13.999999,15.109375 c 0,0.871574 -0.780004,1.578125 -1.742187,1.578125 -0.962184,0 -1.742188,-0.706551 -1.742188,-1.578125 0,-0.871574 0.780004,-1.578125 1.742188,-1.578125 0.962183,0 1.742187,0.706551 1.742187,1.578125 z"
+               transform="matrix(1.143498,0,0,1.268986,2.475405,-5.176204)" />
+            <path
+               sodipodi:type="arc"
+               style="fill:#ffffff;fill-opacity:1;stroke:none"
+               id="path2882"
+               sodipodi:cx="12.257812"
+               sodipodi:cy="15.109375"
+               sodipodi:rx="1.7421875"
+               sodipodi:ry="1.578125"
+               d="m 13.999999,15.109375 c 0,0.871574 -0.780004,1.578125 -1.742187,1.578125 -0.962184,0 -1.742188,-0.706551 -1.742188,-1.578125 0,-0.871574 0.780004,-1.578125 1.742188,-1.578125 0.962183,0 1.742187,0.706551 1.742187,1.578125 z"
+               transform="matrix(1.143498,0,0,1.268986,6.475405,-5.176204)" />
+            <path
+               sodipodi:type="arc"
+               style="fill:#ffffff;fill-opacity:1;stroke:none"
+               id="path2884"
+               sodipodi:cx="12.257812"
+               sodipodi:cy="15.109375"
+               sodipodi:rx="1.7421875"
+               sodipodi:ry="1.578125"
+               d="m 13.999999,15.109375 c 0,0.871574 -0.780004,1.578125 -1.742187,1.578125 -0.962184,0 -1.742188,-0.706551 -1.742188,-1.578125 0,-0.871574 0.780004,-1.578125 1.742188,-1.578125 0.962183,0 1.742187,0.706551 1.742187,1.578125 z"
+               transform="matrix(1.143498,0,0,1.268986,10.47541,-5.176204)" />
+            <path
+               sodipodi:type="arc"
+               style="fill:#ffffff;fill-opacity:1;stroke:none"
+               id="path2886"
+               sodipodi:cx="12.257812"
+               sodipodi:cy="15.109375"
+               sodipodi:rx="1.7421875"
+               sodipodi:ry="1.578125"
+               d="m 13.999999,15.109375 c 0,0.871574 -0.780004,1.578125 -1.742187,1.578125 -0.962184,0 -1.742188,-0.706551 -1.742188,-1.578125 0,-0.871574 0.780004,-1.578125 1.742188,-1.578125 0.962183,0 1.742187,0.706551 1.742187,1.578125 z"
+               transform="matrix(1.143498,0,0,1.268986,14.47541,-5.176204)" />
+            <path
+               sodipodi:type="arc"
+               style="fill:#ffffff;fill-opacity:1;stroke:none"
+               id="path2888"
+               sodipodi:cx="12.257812"
+               sodipodi:cy="15.109375"
+               sodipodi:rx="1.7421875"
+               sodipodi:ry="1.578125"
+               d="m 13.999999,15.109375 c 0,0.871574 -0.780004,1.578125 -1.742187,1.578125 -0.962184,0 -1.742188,-0.706551 -1.742188,-1.578125 0,-0.871574 0.780004,-1.578125 1.742188,-1.578125 0.962183,0 1.742187,0.706551 1.742187,1.578125 z"
+               transform="matrix(1.143498,0,0,1.268986,18.47541,-5.176204)" />
+            <path
+               sodipodi:type="arc"
+               style="fill:#ffffff;fill-opacity:1;stroke:none"
+               id="path2890"
+               sodipodi:cx="12.257812"
+               sodipodi:cy="15.109375"
+               sodipodi:rx="1.7421875"
+               sodipodi:ry="1.578125"
+               d="m 13.999999,15.109375 c 0,0.871574 -0.780004,1.578125 -1.742187,1.578125 -0.962184,0 -1.742188,-0.706551 -1.742188,-1.578125 0,-0.871574 0.780004,-1.578125 1.742188,-1.578125 0.962183,0 1.742187,0.706551 1.742187,1.578125 z"
+               transform="matrix(1.143498,0,0,1.268986,22.47541,-5.176204)" />
+          </g>
+          <rect
+             y="40"
+             x="5"
+             height="3.0103984"
+             width="35"
+             id="rect2757"
+             style="fill:url(#linearGradient4636);fill-opacity:1;stroke:none" />
+          <path
+             d="m 8.28125,11.5 c -0.4636608,0 -0.7747289,0.320452 -0.8125,0.84375 l -2,27.65625 0,0.0625 0,2.46875 34.03125,0 -0.03125,-2.46875 0,-0.03125 -1.9375,-27.6875 C 37.490391,11.75941 37.211695,11.5 36.71875,11.5 l -28.4375,0 z"
+             id="path4680"
+             style="fill:none;stroke:url(#linearGradient4638);stroke-width:1;stroke-linecap:round;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
+             inkscape:original="M 8.28125 10.53125 C 7.301907 10.53125 6.570075 11.310399 6.5 12.28125 L 4.5 40 L 4.5 43.5 L 40.5 43.5 L 40.4375 40 L 38.5 12.28125 C 38.429021 11.266158 37.698095 10.53125 36.71875 10.53125 L 8.28125 10.53125 z "
+             inkscape:radius="-0.9722718"
+             sodipodi:type="inkscape:offset" />
+          <path
+             inkscape:connector-curvature="0"
+             sodipodi:nodetypes="cc"
+             id="path2760"
+             d="m 4.5,43.5 36,0"
+             style="fill:none;stroke:#373a3a;stroke-width:1px;stroke-linecap:square;stroke-linejoin:miter;stroke-opacity:1" />
+          <g
+             transform="translate(-2,-1)"
+             id="g2814">
+            <rect
+               style="fill:url(#radialGradient4662);fill-opacity:1;stroke:url(#linearGradient4664);stroke-width:1.00199974;stroke-linecap:square;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:1.20000057"
+               id="rect2776"
+               width="1.9979982"
+               height="5.0440111"
+               x="11.500997"
+               y="9.5010004"
+               rx="0.99899912"
+               ry="1.5072321" />
+            <path
+               sodipodi:type="arc"
+               style="fill:#ffffff;fill-opacity:1;stroke:none"
+               id="path2812"
+               sodipodi:cx="12.125"
+               sodipodi:cy="11.257812"
+               sodipodi:rx="0.59375"
+               sodipodi:ry="0.6484375"
+               d="m 12.71875,11.257812 c 0,0.358122 -0.265831,0.648437 -0.59375,0.648437 -0.327919,0 -0.59375,-0.290315 -0.59375,-0.648437 0,-0.358123 0.265831,-0.648438 0.59375,-0.648438 0.327919,0 0.59375,0.290315 0.59375,0.648438 z"
+               transform="translate(-0.21875,-0.109374)" />
+          </g>
+          <g
+             transform="translate(60.78337,49.43458)"
+             style="display:inline"
+             inkscape:label="base"
+             id="g2637" />
+          <g
+             transform="translate(0,-1)"
+             style="opacity:0.6"
+             id="g2953">
+            <rect
+               style="opacity:0.8;fill:#888a85;fill-opacity:1;stroke:none"
+               id="rect3297"
+               width="5"
+               height="1"
+               x="31"
+               y="18"
+               rx="0.5"
+               ry="0.5" />
+            <rect
+               style="opacity:0.8;fill:#888a85;fill-opacity:1;stroke:none"
+               id="rect3305"
+               width="2.125"
+               height="1"
+               x="8.875"
+               y="20"
+               rx="0.5"
+               ry="0.5" />
+            <rect
+               style="opacity:0.8;fill:#888a85;fill-opacity:1;stroke:none"
+               id="rect3287"
+               width="4.171875"
+               height="1"
+               x="7.828125"
+               y="36"
+               rx="0.46088129"
+               ry="0.5" />
+            <rect
+               style="opacity:0.8;fill:#888a85;fill-opacity:1;stroke:none"
+               id="rect3307"
+               width="8.390625"
+               height="1"
+               x="8.609375"
+               y="23"
+               rx="0.5"
+               ry="0.5" />
+            <rect
+               style="opacity:0.8;fill:#888a85;fill-opacity:1;stroke:none"
+               id="rect3309"
+               width="3.515625"
+               height="1"
+               x="8.484375"
+               y="25"
+               rx="0.49520382"
+               ry="0.5" />
+            <rect
+               style="opacity:0.8;fill:#888a85;fill-opacity:1;stroke:none"
+               id="rect3313"
+               width="4"
+               height="1"
+               x="33"
+               y="33"
+               rx="0.5"
+               ry="0.5" />
+            <rect
+               style="opacity:0.8;fill:#888a85;fill-opacity:1;stroke:none"
+               id="rect2940"
+               width="3"
+               height="1"
+               x="21"
+               y="36"
+               rx="0.5"
+               ry="0.5"
+               inkscape:transform-center-x="0.28125" />
+            <rect
+               style="opacity:0.8;fill:#888a85;fill-opacity:1;stroke:none"
+               id="rect2915"
+               width="5"
+               height="1"
+               x="30"
+               y="23"
+               rx="0.54237288"
+               ry="0.5" />
+            <rect
+               style="opacity:0.8;fill:#888a85;fill-opacity:1;stroke:none"
+               id="rect2885"
+               width="2.640625"
+               height="1"
+               x="8.359375"
+               y="28"
+               rx="0.5"
+               ry="0.5" />
+            <rect
+               style="opacity:0.8;fill:#888a85;fill-opacity:1;stroke:none"
+               id="rect2893"
+               width="6"
+               height="1"
+               x="9"
+               y="18"
+               rx="0.5"
+               ry="0.5" />
+            <rect
+               style="opacity:0.8;fill:#888a85;fill-opacity:1;stroke:none"
+               id="rect2895"
+               width="5"
+               height="1"
+               x="21"
+               y="18"
+               rx="0.5"
+               ry="0.5" />
+            <rect
+               style="opacity:0.8;fill:#888a85;fill-opacity:1;stroke:none"
+               id="rect2897"
+               width="2"
+               height="1"
+               x="28"
+               y="18"
+               rx="0.5"
+               ry="0.5" />
+            <rect
+               style="opacity:0.8;fill:#888a85;fill-opacity:1;stroke:none"
+               id="rect2899"
+               width="2"
+               height="1"
+               x="16"
+               y="18"
+               rx="0.5"
+               ry="0.5" />
+            <rect
+               style="opacity:0.8;fill:#888a85;fill-opacity:1;stroke:none"
+               id="rect2901"
+               width="2"
+               height="1"
+               x="19"
+               y="18"
+               rx="0.5"
+               ry="0.5" />
+            <rect
+               style="opacity:0.8;fill:#888a85;fill-opacity:1;stroke:none"
+               id="rect2903"
+               width="4"
+               height="1"
+               x="12"
+               y="20"
+               rx="0.54237288"
+               ry="0.5" />
+            <rect
+               style="opacity:0.8;fill:#888a85;fill-opacity:1;stroke:none"
+               id="rect2905"
+               width="2"
+               height="1"
+               x="17"
+               y="20"
+               rx="0.54237288"
+               ry="0.5" />
+            <rect
+               style="opacity:0.8;fill:#888a85;fill-opacity:1;stroke:none"
+               id="rect2907"
+               width="4"
+               height="1"
+               x="20"
+               y="20"
+               rx="0.54237288"
+               ry="0.5" />
+            <rect
+               style="opacity:0.8;fill:#888a85;fill-opacity:1;stroke:none"
+               id="rect2909"
+               width="4"
+               height="1"
+               x="19"
+               y="23"
+               rx="0.54237288"
+               ry="0.5" />
+            <rect
+               style="opacity:0.8;fill:#888a85;fill-opacity:1;stroke:none"
+               id="rect2911"
+               width="2"
+               height="1"
+               x="23"
+               y="23"
+               rx="0.54237288"
+               ry="0.5" />
+            <rect
+               style="opacity:0.8;fill:#888a85;fill-opacity:1;stroke:none"
+               id="rect2913"
+               width="3"
+               height="1"
+               x="26"
+               y="23"
+               rx="0.54237288"
+               ry="0.5" />
+            <rect
+               style="opacity:0.8;fill:#888a85;fill-opacity:1;stroke:none"
+               id="rect2919"
+               width="2"
+               height="1"
+               x="13"
+               y="25"
+               rx="0.54237288"
+               ry="0.5" />
+            <rect
+               style="opacity:0.8;fill:#888a85;fill-opacity:1;stroke:none"
+               id="rect2921"
+               width="4"
+               height="1"
+               x="16"
+               y="25"
+               rx="0.54237288"
+               ry="0.5" />
+            <rect
+               style="opacity:0.8;fill:#888a85;fill-opacity:1;stroke:none"
+               id="rect2923"
+               width="2"
+               height="1"
+               x="20"
+               y="25"
+               rx="0.54237288"
+               ry="0.5" />
+            <rect
+               style="opacity:0.8;fill:#888a85;fill-opacity:1;stroke:none"
+               id="rect2925"
+               width="2"
+               height="1"
+               x="24"
+               y="25"
+               rx="0.54237288"
+               ry="0.5" />
+            <rect
+               style="opacity:0.8;fill:#888a85;fill-opacity:1;stroke:none"
+               id="rect2931"
+               width="2"
+               height="1"
+               x="12"
+               y="28"
+               rx="0.54237288"
+               ry="0.5" />
+            <rect
+               style="opacity:0.8;fill:#888a85;fill-opacity:1;stroke:none"
+               id="rect2933"
+               width="4"
+               height="1"
+               x="14"
+               y="28"
+               rx="0.54237288"
+               ry="0.5" />
+            <rect
+               style="opacity:0.8;fill:#888a85;fill-opacity:1;stroke:none"
+               id="rect2935"
+               width="2"
+               height="1"
+               x="19"
+               y="28"
+               rx="0.54237288"
+               ry="0.5" />
+            <rect
+               style="opacity:0.8;fill:#888a85;fill-opacity:1;stroke:none"
+               id="rect2938"
+               width="6"
+               height="1"
+               x="13"
+               y="36"
+               rx="0.54237288"
+               ry="0.5" />
+            <rect
+               style="opacity:0.8;fill:#888a85;fill-opacity:1;stroke:none"
+               id="rect2942"
+               width="2"
+               height="1"
+               x="8"
+               y="33"
+               rx="0.5"
+               ry="0.5" />
+            <rect
+               style="opacity:0.8;fill:#888a85;fill-opacity:1;stroke:none"
+               id="rect2945"
+               width="4"
+               height="1"
+               x="11"
+               y="33"
+               rx="0.54237288"
+               ry="0.5" />
+            <rect
+               style="opacity:0.8;fill:#888a85;fill-opacity:1;stroke:none"
+               id="rect2947"
+               width="5"
+               height="1"
+               x="17"
+               y="33"
+               rx="0.54237288"
+               ry="0.5" />
+            <rect
+               style="opacity:0.8;fill:#888a85;fill-opacity:1;stroke:none"
+               id="rect2949"
+               width="4"
+               height="1"
+               x="23"
+               y="33"
+               rx="0.54237288"
+               ry="0.5" />
+            <rect
+               style="opacity:0.8;fill:#888a85;fill-opacity:1;stroke:none"
+               id="rect2951"
+               width="4"
+               height="1"
+               x="28.007845"
+               y="33.004311"
+               rx="0.54237288"
+               ry="0.5" />
+          </g>
+          <use
+             height="48"
+             width="48"
+             transform="translate(4,0)"
+             id="use4712"
+             xlink:href="#g2814"
+             y="0"
+             x="0" />
+          <use
+             height="48"
+             width="48"
+             transform="translate(8,0)"
+             id="use4714"
+             xlink:href="#g2814"
+             y="0"
+             x="0" />
+          <use
+             height="48"
+             width="48"
+             transform="translate(12,0)"
+             id="use4716"
+             xlink:href="#g2814"
+             y="0"
+             x="0" />
+          <use
+             height="48"
+             width="48"
+             transform="translate(16,0)"
+             id="use4718"
+             xlink:href="#g2814"
+             y="0"
+             x="0" />
+          <use
+             height="48"
+             width="48"
+             transform="translate(20,0)"
+             id="use4720"
+             xlink:href="#g2814"
+             y="0"
+             x="0" />
+          <use
+             height="48"
+             width="48"
+             transform="translate(24,0)"
+             id="use4722"
+             xlink:href="#g2814"
+             y="0"
+             x="0" />
+          <g
+             id="g6471">
+            <g
+               id="g6462">
+              <g
+                 id="g6458" />
+              <g
+                 id="g6451" />
+            </g>
+          </g>
+        </g>
+        <g
+           id="g10271"
+           transform="matrix(1.0512821,0,0,0.4615385,-3.153849,21.26924)"
+           style="opacity:0.5" />
+        <g
+           style="display:inline;enable-background:new"
+           id="g10273"
+           inkscape:label="Livello 1"
+           transform="translate(-2.000002,-2.999997)">
+          <g
+             style="opacity:0.4"
+             transform="matrix(1.0416677,0,0,0.4579337,-0.9375015,24.411627)"
+             id="g10275" />
+          <path
+             transform="matrix(0.897436,0,0,0.981132,1.333333,1.688679)"
+             d="M 52,33.1875 C 52,35.016943 45.452164,36.5 37.375,36.5 29.297836,36.5 22.75,35.016943 22.75,33.1875 c 0,-1.829443 6.547836,-3.3125 14.625,-3.3125 8.077164,0 14.625,1.483057 14.625,3.3125 z"
+             sodipodi:ry="3.3125"
+             sodipodi:rx="14.625"
+             sodipodi:cy="33.1875"
+             sodipodi:cx="37.375"
+             id="path4331"
+             style="opacity:0.07000002;fill:url(#radialGradient4644);fill-opacity:1;stroke:none"
+             sodipodi:type="arc" />
+          <g
+             transform="translate(-1.75,-1)"
+             id="g10278" />
+          <g
+             transform="translate(-2,-1)"
+             id="g10280" />
+          <g
+             transform="translate(60.78337,49.43458)"
+             style="display:inline"
+             inkscape:label="base"
+             id="g10282" />
+          <g
+             transform="translate(0,-1)"
+             style="opacity:0.6"
+             id="g10284" />
+          <g
+             id="g10286">
+            <path
+               inkscape:connector-curvature="0"
+               sodipodi:nodetypes="cccccccccc"
+               style="opacity:0.4;fill:url(#linearGradient4646);fill-opacity:1;fill-rule:evenodd;stroke:none;display:inline"
+               d="m 41.07975,28.46584 -15,4.472913 -0.125,0.06484 -0.09375,0.07781 -2.75,2.853178 7.4375,-0.842984 0.28125,-0.02594 0.1875,-0.07781 10.0625,-2.683193 0,-3.838821 0,7e-6 0,0 z"
+               id="path2334" />
+            <g
+               id="g10289">
+              <g
+                 id="g10291">
+                <path
+                   inkscape:connector-curvature="0"
+                   sodipodi:nodetypes="ccccccc"
+                   id="path2273"
+                   d="m 25.89225,30.18459 19,-19 c 2.175049,0.359961 3.084719,1.732225 3.5,3.5 l -19,19 -4.616117,0.704505 1.116117,-4.204505 0,0 0,0 z"
+                   style="fill:url(#linearGradient4648);fill-opacity:1;fill-rule:evenodd;stroke:url(#linearGradient4650);stroke-width:1;stroke-linecap:butt;stroke-linejoin:round;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
+                <path
+                   inkscape:connector-curvature="0"
+                   sodipodi:nodetypes="ccccccc"
+                   id="path2313"
+                   d="m 26.792248,30.68459 18.49775,-18.397748 c 1.089745,0.178435 1.517261,0.987944 2,2 l -18.397748,18.49775 -3.300003,0.900001 1.200001,-3.000003 0,0 0,0 z"
+                   style="opacity:0.28235294;fill:none;stroke:#ffffff;stroke-width:1.0000006;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline" />
+              </g>
+              <g
+                 id="g10295">
+                <path
+                   inkscape:connector-curvature="0"
+                   sodipodi:nodetypes="cczcc"
+                   id="path2298"
+                   d="m 24.549577,34.633026 1.666322,-4.180309 c 0,0 1.199535,0.24536 1.932177,0.975089 0.732642,0.729729 0.998391,1.943828 0.998391,1.943828 l -4.59689,1.261392 z"
+                   style="fill:url(#linearGradient4652);fill-opacity:1;fill-rule:evenodd;stroke:none" />
+                <path
+                   inkscape:connector-curvature="0"
+                   transform="translate(6.39225,12.18459)"
+                   sodipodi:nodetypes="ccc"
+                   id="path5446"
+                   d="m 23,21.5 -5.5,1.5 2,-5"
+                   style="fill:none;stroke:#e9b96e;stroke-width:1;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
+                <path
+                   inkscape:connector-curvature="0"
+                   id="path2283"
+                   d="m 23.95475,33.68459 -0.90625,2.25 2.34375,-0.65625 c 0.002,-0.03184 0,-0.06141 0,-0.09375 0,-0.802125 -0.645308,-1.459801 -1.4375,-1.5 z"
+                   style="fill:#000000;fill-opacity:1;fill-rule:evenodd;stroke:none;display:inline"
+                   sodipodi:nodetypes="cccsc" />
+              </g>
+            </g>
+          </g>
+          <path
+             inkscape:connector-curvature="0"
+             style="fill:url(#radialGradient4654);fill-opacity:1;fill-rule:nonzero;stroke:#ef2929;stroke-width:0.99999994;marker:none;visibility:visible;display:inline;overflow:visible;enable-background:new"
+             d="m 42.821682,13.147263 c 1.834152,-0.500531 3.885052,1.651475 3.449911,3.449919 L 48.46699,14.40178 c 1.066511,-2.466563 -1.132252,-4.4097129 -3.494714,-3.494723 l -2.150594,2.240206 0,0 z"
+             id="path4113-1"
+             sodipodi:nodetypes="ccccc" />
+          <path
+             inkscape:connector-curvature="0"
+             sodipodi:nodetypes="ccccc"
+             id="path4095-8"
+             d="m 40.561976,15.25084 c 1.936448,-0.528484 4.101732,1.743706 3.642321,3.642586 l 2.317841,-2.31801 c 0.754457,-1.595776 -2.044633,-4.337495 -3.689625,-3.689891 l -2.270537,2.365315 0,0 z"
+             style="fill:url(#linearGradient4656);fill-opacity:1;fill-rule:nonzero;stroke:#888a85;stroke-width:1;marker:none;visibility:visible;display:inline;overflow:visible;enable-background:new" />
+        </g>
+      </g>
+      <g
+         id="g3829-0"
+         transform="matrix(0.23134175,0,0,0.23134175,325.43076,540.1506)"
+         style="opacity:0.76632301;fill:#000000;fill-opacity:1;filter:url(#filter4683)">
+        <g
+           transform="translate(11.635196,-38.783898)"
+           id="g3801-5"
+           style="fill:#000000;fill-opacity:1">
+          <path
+             style="fill:#000000;fill-opacity:1;stroke:#ff0000;stroke-width:0;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
+             d="m 169.16737,-41.322564 c -8.46212,0 -15.3125,7.085933 -15.3125,15.8125 0,8.726567 6.85038,15.8125004 15.3125,15.8125004 8.46213,0 15.34375,-7.0859334 15.34375,-15.8125004 0,-8.726567 -6.88162,-15.8125 -15.34375,-15.8125 z m 4.25,11.1875 c 2.49075,0 4.53125,2.08516 4.53125,4.65625 0,2.57109 -2.0405,4.65625 -4.53125,4.65625 -2.49074,0 -4.5,-2.08516 -4.5,-4.65625 0,-2.57109 2.00926,-4.65625 4.5,-4.65625 z"
+             id="path3795-6"
+             inkscape:connector-curvature="0" />
+          <path
+             inkscape:connector-curvature="0"
+             style="fill:#000000;fill-opacity:1;stroke:#ff0000;stroke-width:0;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
+             d="m 167.9375,-51 c -21.16794,0 -40.01321,9.756806 -52.25,24.96875 12.23307,15.301514 31.13458,25.125 52.375,25.125 21.16793,0 40.04445,-9.756806 52.28125,-24.96875 C 208.11089,-41.18152 189.18196,-51 167.9375,-51 z m 0,11.5 c 14.63194,0 27.69973,5.309873 36.125,13.59375 -8.42799,8.232692 -21.45202,13.53125 -36.03125,13.53125 -14.62916,0 -27.63708,-5.312583 -36.0625,-13.59375 C 140.39673,-34.201443 153.35826,-39.5 167.9375,-39.5 z"
+             id="path2987-8" />
+        </g>
+        <g
+           transform="translate(11.635196,10.963718)"
+           id="g3801-0-6"
+           style="fill:#000000;fill-opacity:1">
+          <path
+             style="fill:#000000;fill-opacity:1;stroke:#ff0000;stroke-width:0;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
+             d="m 169.16737,-41.322564 c -8.46212,0 -15.3125,7.085933 -15.3125,15.8125 0,8.726567 6.85038,15.8125004 15.3125,15.8125004 8.46213,0 15.34375,-7.0859334 15.34375,-15.8125004 0,-8.726567 -6.88162,-15.8125 -15.34375,-15.8125 z m 4.25,11.1875 c 2.49075,0 4.53125,2.08516 4.53125,4.65625 0,2.57109 -2.0405,4.65625 -4.53125,4.65625 -2.49074,0 -4.5,-2.08516 -4.5,-4.65625 0,-2.57109 2.00926,-4.65625 4.5,-4.65625 z"
+             id="path3795-2-0"
+             inkscape:connector-curvature="0" />
+          <path
+             inkscape:connector-curvature="0"
+             style="fill:#000000;fill-opacity:1;stroke:#ff0000;stroke-width:0;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
+             d="m 167.9375,-51 c -21.16794,0 -40.01321,9.756806 -52.25,24.96875 12.23307,15.301514 31.13458,25.125 52.375,25.125 21.16793,0 40.04445,-9.756806 52.28125,-24.96875 C 208.11089,-41.18152 189.18196,-51 167.9375,-51 z m 0,11.5 c 14.63194,0 27.69973,5.309873 36.125,13.59375 -8.42799,8.232692 -21.45202,13.53125 -36.03125,13.53125 -14.62916,0 -27.63708,-5.312583 -36.0625,-13.59375 C 140.39673,-34.201443 153.35826,-39.5 167.9375,-39.5 z"
+             id="path2987-2-3" />
+        </g>
+      </g>
+      <g
+         id="g3829"
+         transform="matrix(0.23134175,0,0,0.23134175,324.0724,539.44393)"
+         style="fill:#c0db67;fill-opacity:1">
+        <g
+           transform="translate(11.635196,-38.783898)"
+           id="g3801"
+           style="fill:#c0db67;fill-opacity:1">
+          <path
+             style="fill:#c0db67;fill-opacity:1;stroke:#ff0000;stroke-width:0;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
+             d="m 169.16737,-41.322564 c -8.46212,0 -15.3125,7.085933 -15.3125,15.8125 0,8.726567 6.85038,15.8125004 15.3125,15.8125004 8.46213,0 15.34375,-7.0859334 15.34375,-15.8125004 0,-8.726567 -6.88162,-15.8125 -15.34375,-15.8125 z m 4.25,11.1875 c 2.49075,0 4.53125,2.08516 4.53125,4.65625 0,2.57109 -2.0405,4.65625 -4.53125,4.65625 -2.49074,0 -4.5,-2.08516 -4.5,-4.65625 0,-2.57109 2.00926,-4.65625 4.5,-4.65625 z"
+             id="path3795"
+             inkscape:connector-curvature="0" />
+          <path
+             inkscape:connector-curvature="0"
+             style="fill:#c0db67;fill-opacity:1;stroke:#ff0000;stroke-width:0;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
+             d="m 167.9375,-51 c -21.16794,0 -40.01321,9.756806 -52.25,24.96875 12.23307,15.301514 31.13458,25.125 52.375,25.125 21.16793,0 40.04445,-9.756806 52.28125,-24.96875 C 208.11089,-41.18152 189.18196,-51 167.9375,-51 z m 0,11.5 c 14.63194,0 27.69973,5.309873 36.125,13.59375 -8.42799,8.232692 -21.45202,13.53125 -36.03125,13.53125 -14.62916,0 -27.63708,-5.312583 -36.0625,-13.59375 C 140.39673,-34.201443 153.35826,-39.5 167.9375,-39.5 z"
+             id="path2987" />
+        </g>
+        <g
+           transform="translate(11.635196,10.963718)"
+           id="g3801-0"
+           style="fill:#c0db67;fill-opacity:1">
+          <path
+             style="fill:#c0db67;fill-opacity:1;stroke:#ff0000;stroke-width:0;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
+             d="m 169.16737,-41.322564 c -8.46212,0 -15.3125,7.085933 -15.3125,15.8125 0,8.726567 6.85038,15.8125004 15.3125,15.8125004 8.46213,0 15.34375,-7.0859334 15.34375,-15.8125004 0,-8.726567 -6.88162,-15.8125 -15.34375,-15.8125 z m 4.25,11.1875 c 2.49075,0 4.53125,2.08516 4.53125,4.65625 0,2.57109 -2.0405,4.65625 -4.53125,4.65625 -2.49074,0 -4.5,-2.08516 -4.5,-4.65625 0,-2.57109 2.00926,-4.65625 4.5,-4.65625 z"
+             id="path3795-2"
+             inkscape:connector-curvature="0" />
+          <path
+             inkscape:connector-curvature="0"
+             style="fill:#c0db67;fill-opacity:1;stroke:#ff0000;stroke-width:0;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
+             d="m 167.9375,-51 c -21.16794,0 -40.01321,9.756806 -52.25,24.96875 12.23307,15.301514 31.13458,25.125 52.375,25.125 21.16793,0 40.04445,-9.756806 52.28125,-24.96875 C 208.11089,-41.18152 189.18196,-51 167.9375,-51 z m 0,11.5 c 14.63194,0 27.69973,5.309873 36.125,13.59375 -8.42799,8.232692 -21.45202,13.53125 -36.03125,13.53125 -14.62916,0 -27.63708,-5.312583 -36.0625,-13.59375 C 140.39673,-34.201443 153.35826,-39.5 167.9375,-39.5 z"
+             id="path2987-2" />
+        </g>
+      </g>
+    </g>
+  </g>
+</svg>
diff --git a/pixmaps/nuclear-icon.png b/pixmaps/nuclear-icon.png
new file mode 100644
index 0000000..efd900f
Binary files /dev/null and b/pixmaps/nuclear-icon.png differ
diff --git a/pixmaps/phoenixicon.png b/pixmaps/phoenixicon.png
new file mode 100644
index 0000000..a4ae344
Binary files /dev/null and b/pixmaps/phoenixicon.png differ
diff --git a/pixmaps/phoenixicon.svg b/pixmaps/phoenixicon.svg
new file mode 100644
index 0000000..0be4cd4
--- /dev/null
+++ b/pixmaps/phoenixicon.svg
@@ -0,0 +1,514 @@
+<?xml version="1.0" encoding="UTF-8" standalone="no"?>
+<!-- Created with Inkscape (http://www.inkscape.org/) -->
+
+<svg
+   xmlns:dc="http://purl.org/dc/elements/1.1/"
+   xmlns:cc="http://creativecommons.org/ns#"
+   xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#"
+   xmlns:svg="http://www.w3.org/2000/svg"
+   xmlns="http://www.w3.org/2000/svg"
+   xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
+   xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
+   width="48px"
+   height="48px"
+   id="svg3085"
+   version="1.1"
+   inkscape:version="0.47pre4 r22446"
+   sodipodi:docname="phoenix icon.svg">
+  <defs
+     id="defs3087">
+    <inkscape:perspective
+       sodipodi:type="inkscape:persp3d"
+       inkscape:vp_x="0 : 24 : 1"
+       inkscape:vp_y="0 : 1000 : 0"
+       inkscape:vp_z="48 : 24 : 1"
+       inkscape:persp3d-origin="24 : 16 : 1"
+       id="perspective3093" />
+    <inkscape:perspective
+       id="perspective2994"
+       inkscape:persp3d-origin="0.5 : 0.33333333 : 1"
+       inkscape:vp_z="1 : 0.5 : 1"
+       inkscape:vp_y="0 : 1000 : 0"
+       inkscape:vp_x="0 : 0.5 : 1"
+       sodipodi:type="inkscape:persp3d" />
+  </defs>
+  <sodipodi:namedview
+     id="base"
+     pagecolor="#ffffff"
+     bordercolor="#666666"
+     borderopacity="1.0"
+     inkscape:pageopacity="0.0"
+     inkscape:pageshadow="2"
+     inkscape:zoom="6.404399"
+     inkscape:cx="9.0979859"
+     inkscape:cy="10.247698"
+     inkscape:current-layer="layer1"
+     showgrid="true"
+     inkscape:grid-bbox="true"
+     inkscape:document-units="px"
+     inkscape:window-width="1680"
+     inkscape:window-height="1024"
+     inkscape:window-x="0"
+     inkscape:window-y="1"
+     inkscape:window-maximized="1" />
+  <metadata
+     id="metadata3090">
+    <rdf:RDF>
+      <cc:Work
+         rdf:about="">
+        <dc:format>image/svg+xml</dc:format>
+        <dc:type
+           rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
+        <dc:title />
+      </cc:Work>
+    </rdf:RDF>
+  </metadata>
+  <g
+     id="layer1"
+     inkscape:label="Layer 1"
+     inkscape:groupmode="layer">
+    <text
+       xml:space="preserve"
+       style="font-size:72.49557495px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;text-align:start;line-height:125%;writing-mode:lr-tb;text-anchor:start;fill:#4e78b2;fill-opacity:1;stroke:none;font-family:Doulos SIL;-inkscape-font-specification:Doulos SIL"
+       x="4.7198043"
+       y="47.470676"
+       id="text3506"
+       sodipodi:linespacing="125%"><tspan
+         sodipodi:role="line"
+         id="tspan3508"
+         x="4.7198043"
+         y="47.470676">P</tspan></text>
+    <g
+       id="g4435"
+       transform="matrix(0.15037947,0,0,0.15037947,-4.9386622,-25.675968)">
+      <g
+         transform="translate(423.23383,-209.54838)"
+         id="g4312">
+        <path
+           sodipodi:type="arc"
+           style="fill:#df1a1a;fill-opacity:1;stroke:none"
+           id="path3510"
+           sodipodi:cx="-454.52219"
+           sodipodi:cy="311.20438"
+           sodipodi:rx="21.838903"
+           sodipodi:ry="21.838903"
+           d="m -432.68328,311.20438 c 0,12.06129 -9.77761,21.8389 -21.83891,21.8389 -12.06129,0 -21.8389,-9.77761 -21.8389,-21.8389 0,-12.0613 9.77761,-21.83891 21.8389,-21.83891 12.0613,0 21.83891,9.77761 21.83891,21.83891 z"
+           transform="translate(121.4789,116.01918)" />
+        <path
+           style="fill:#1a1a1a;fill-opacity:1;stroke:none"
+           d="m -334.05235,407.42543 c -14.38645,-0.0111 -23.99615,18.07118 -15.96875,30.03125 6.82423,12.6574 27.1112,12.60714 34,0 8.27897,-13.13493 -2.46286,-31.21093 -18.03125,-30.03125 z"
+           id="path4296" />
+        <path
+           style="fill:#ff0000;fill-opacity:1;stroke:none"
+           d="m -335.28125,409.34375 c -14.7635,1.24878 -21.44746,23.29451 -9.0625,31.875 10.60373,9.1098 30.2852,0.50584 29.21875,-13.9375 -0.0943,-10.37199 -9.63721,-19.33866 -20.15625,-17.9375 z"
+           id="path4300" />
+        <path
+           style="fill:#000000;fill-opacity:1;stroke:none"
+           d="m -334.6875,420.09375 c -7.73482,0.8931 -8.25179,15.09689 0.34375,14.96875 6.12238,0.72039 11.5338,-7.19563 6.75,-11.9375 -1.67951,-2.16363 -4.38827,-3.37579 -7.09375,-3.03125 z"
+           id="path4284" />
+      </g>
+      <g
+         transform="translate(411.25482,-69.553302)"
+         id="g4340">
+        <path
+           transform="translate(133.45791,119.6112)"
+           d="m -432.68328,311.20438 c 0,12.06129 -9.77761,21.8389 -21.83891,21.8389 -12.06129,0 -21.8389,-9.77761 -21.8389,-21.8389 0,-12.0613 9.77761,-21.83891 21.8389,-21.83891 12.0613,0 21.83891,9.77761 21.83891,21.83891 z"
+           sodipodi:ry="21.838903"
+           sodipodi:rx="21.838903"
+           sodipodi:cy="311.20438"
+           sodipodi:cx="-454.52219"
+           id="path4320"
+           style="fill:#ffff00;fill-opacity:1;stroke:none"
+           sodipodi:type="arc" />
+        <path
+           id="path4322"
+           d="m -322.07334,411.01745 c -14.38645,-0.0111 -23.99615,18.07118 -15.96875,30.03125 6.82423,12.6574 27.1112,12.60714 34,0 8.27897,-13.13493 -2.46286,-31.21093 -18.03125,-30.03125 z"
+           style="fill:#1a1a1a;fill-opacity:1;stroke:none" />
+        <path
+           id="path4324"
+           d="m -323.30224,412.93577 c -14.7635,1.24878 -21.44746,23.29451 -9.0625,31.875 10.60373,9.1098 30.2852,0.50584 29.21875,-13.9375 -0.0943,-10.37199 -9.63721,-19.33866 -20.15625,-17.9375 z"
+           style="fill:#ffff00;fill-opacity:1;stroke:none" />
+        <path
+           id="path4326"
+           d="m -322.70849,423.68577 c -7.73482,0.8931 -8.25179,15.09689 0.34375,14.96875 6.12238,0.72039 11.5338,-7.19563 6.75,-11.9375 -1.67951,-2.16363 -4.38827,-3.37579 -7.09375,-3.03125 z"
+           style="fill:#000000;fill-opacity:1;stroke:none" />
+      </g>
+      <g
+         transform="translate(449.64164,-112.74871)"
+         id="g4365">
+        <path
+           sodipodi:type="arc"
+           style="fill:#0000ff;fill-opacity:1;stroke:none"
+           id="path4332"
+           sodipodi:cx="-454.52219"
+           sodipodi:cy="311.20438"
+           sodipodi:rx="21.838903"
+           sodipodi:ry="21.838903"
+           d="m -432.68328,311.20438 c 0,12.06129 -9.77761,21.8389 -21.83891,21.8389 -12.06129,0 -21.8389,-9.77761 -21.8389,-21.8389 0,-12.0613 9.77761,-21.83891 21.8389,-21.83891 12.0613,0 21.83891,9.77761 21.83891,21.83891 z"
+           transform="translate(95.071099,91.013026)" />
+        <path
+           style="fill:#1a1a1a;fill-opacity:1;stroke:none"
+           d="m -360.46015,382.41928 c -14.38645,-0.0111 -23.99615,18.07118 -15.96875,30.03125 6.82423,12.6574 27.1112,12.60714 34,0 8.27897,-13.13493 -2.46286,-31.21093 -18.03125,-30.03125 z"
+           id="path4334" />
+        <path
+           style="fill:#0000ff;fill-opacity:1;stroke:none"
+           d="m -361.68905,384.3376 c -14.7635,1.24878 -21.44746,23.29451 -9.0625,31.875 10.60373,9.1098 30.2852,0.50584 29.21875,-13.9375 -0.0943,-10.37199 -9.63721,-19.33866 -20.15625,-17.9375 z"
+           id="path4336" />
+        <path
+           style="fill:#000000;fill-opacity:1;stroke:none"
+           d="m -361.0953,395.0876 c -7.73482,0.8931 -8.25179,15.09689 0.34375,14.96875 6.12238,0.72039 11.5338,-7.19563 6.75,-11.9375 -1.67951,-2.16363 -4.38827,-3.37579 -7.09375,-3.03125 z"
+           id="path4338" />
+      </g>
+      <g
+         transform="translate(449.64164,30.838437)"
+         id="g4354">
+        <path
+           transform="translate(95.071099,91.013026)"
+           d="m -432.68328,311.20438 c 0,12.06129 -9.77761,21.8389 -21.83891,21.8389 -12.06129,0 -21.8389,-9.77761 -21.8389,-21.8389 0,-12.0613 9.77761,-21.83891 21.8389,-21.83891 12.0613,0 21.83891,9.77761 21.83891,21.83891 z"
+           sodipodi:ry="21.838903"
+           sodipodi:rx="21.838903"
+           sodipodi:cy="311.20438"
+           sodipodi:cx="-454.52219"
+           id="path4346"
+           style="fill:#008000;fill-opacity:1;stroke:none"
+           sodipodi:type="arc" />
+        <path
+           id="path4348"
+           d="m -360.46015,382.41928 c -14.38645,-0.0111 -23.99615,18.07118 -15.96875,30.03125 6.82423,12.6574 27.1112,12.60714 34,0 8.27897,-13.13493 -2.46286,-31.21093 -18.03125,-30.03125 z"
+           style="fill:#1a1a1a;fill-opacity:1;stroke:none" />
+        <path
+           id="path4350"
+           d="m -361.68905,384.3376 c -14.7635,1.24878 -21.44746,23.29451 -9.0625,31.875 10.60373,9.1098 30.2852,0.50584 29.21875,-13.9375 -0.0943,-10.37199 -9.63721,-19.33866 -20.15625,-17.9375 z"
+           style="fill:#008000;fill-opacity:1;stroke:none" />
+        <path
+           id="path4352"
+           d="m -361.0953,395.0876 c -7.73482,0.8931 -8.25179,15.09689 0.34375,14.96875 6.12238,0.72039 11.5338,-7.19563 6.75,-11.9375 -1.67951,-2.16363 -4.38827,-3.37579 -7.09375,-3.03125 z"
+           style="fill:#000000;fill-opacity:1;stroke:none" />
+      </g>
+    </g>
+    <g
+       id="g4457"
+       transform="matrix(0.15037947,0,0,0.15037947,2.5013158,-25.675968)">
+      <g
+         id="g4459"
+         transform="translate(423.23383,-209.54838)">
+        <path
+           transform="translate(121.4789,116.01918)"
+           d="m -432.68328,311.20438 c 0,12.06129 -9.77761,21.8389 -21.83891,21.8389 -12.06129,0 -21.8389,-9.77761 -21.8389,-21.8389 0,-12.0613 9.77761,-21.83891 21.8389,-21.83891 12.0613,0 21.83891,9.77761 21.83891,21.83891 z"
+           sodipodi:ry="21.838903"
+           sodipodi:rx="21.838903"
+           sodipodi:cy="311.20438"
+           sodipodi:cx="-454.52219"
+           id="path4461"
+           style="fill:#df1a1a;fill-opacity:1;stroke:none"
+           sodipodi:type="arc" />
+        <path
+           id="path4463"
+           d="m -334.05235,407.42543 c -14.38645,-0.0111 -23.99615,18.07118 -15.96875,30.03125 6.82423,12.6574 27.1112,12.60714 34,0 8.27897,-13.13493 -2.46286,-31.21093 -18.03125,-30.03125 z"
+           style="fill:#1a1a1a;fill-opacity:1;stroke:none" />
+        <path
+           id="path4465"
+           d="m -335.28125,409.34375 c -14.7635,1.24878 -21.44746,23.29451 -9.0625,31.875 10.60373,9.1098 30.2852,0.50584 29.21875,-13.9375 -0.0943,-10.37199 -9.63721,-19.33866 -20.15625,-17.9375 z"
+           style="fill:#ff0000;fill-opacity:1;stroke:none" />
+        <path
+           id="path4467"
+           d="m -334.6875,420.09375 c -7.73482,0.8931 -8.25179,15.09689 0.34375,14.96875 6.12238,0.72039 11.5338,-7.19563 6.75,-11.9375 -1.67951,-2.16363 -4.38827,-3.37579 -7.09375,-3.03125 z"
+           style="fill:#000000;fill-opacity:1;stroke:none" />
+      </g>
+      <g
+         id="g4469"
+         transform="translate(411.25482,-69.553302)">
+        <path
+           sodipodi:type="arc"
+           style="fill:#ffff00;fill-opacity:1;stroke:none"
+           id="path4471"
+           sodipodi:cx="-454.52219"
+           sodipodi:cy="311.20438"
+           sodipodi:rx="21.838903"
+           sodipodi:ry="21.838903"
+           d="m -432.68328,311.20438 c 0,12.06129 -9.77761,21.8389 -21.83891,21.8389 -12.06129,0 -21.8389,-9.77761 -21.8389,-21.8389 0,-12.0613 9.77761,-21.83891 21.8389,-21.83891 12.0613,0 21.83891,9.77761 21.83891,21.83891 z"
+           transform="translate(133.45791,119.6112)" />
+        <path
+           style="fill:#1a1a1a;fill-opacity:1;stroke:none"
+           d="m -322.07334,411.01745 c -14.38645,-0.0111 -23.99615,18.07118 -15.96875,30.03125 6.82423,12.6574 27.1112,12.60714 34,0 8.27897,-13.13493 -2.46286,-31.21093 -18.03125,-30.03125 z"
+           id="path4473" />
+        <path
+           style="fill:#ffff00;fill-opacity:1;stroke:none"
+           d="m -323.30224,412.93577 c -14.7635,1.24878 -21.44746,23.29451 -9.0625,31.875 10.60373,9.1098 30.2852,0.50584 29.21875,-13.9375 -0.0943,-10.37199 -9.63721,-19.33866 -20.15625,-17.9375 z"
+           id="path4475" />
+        <path
+           style="fill:#000000;fill-opacity:1;stroke:none"
+           d="m -322.70849,423.68577 c -7.73482,0.8931 -8.25179,15.09689 0.34375,14.96875 6.12238,0.72039 11.5338,-7.19563 6.75,-11.9375 -1.67951,-2.16363 -4.38827,-3.37579 -7.09375,-3.03125 z"
+           id="path4477" />
+      </g>
+      <g
+         id="g4479"
+         transform="translate(449.64164,-112.74871)">
+        <path
+           transform="translate(95.071099,91.013026)"
+           d="m -432.68328,311.20438 c 0,12.06129 -9.77761,21.8389 -21.83891,21.8389 -12.06129,0 -21.8389,-9.77761 -21.8389,-21.8389 0,-12.0613 9.77761,-21.83891 21.8389,-21.83891 12.0613,0 21.83891,9.77761 21.83891,21.83891 z"
+           sodipodi:ry="21.838903"
+           sodipodi:rx="21.838903"
+           sodipodi:cy="311.20438"
+           sodipodi:cx="-454.52219"
+           id="path4481"
+           style="fill:#0000ff;fill-opacity:1;stroke:none"
+           sodipodi:type="arc" />
+        <path
+           id="path4483"
+           d="m -360.46015,382.41928 c -14.38645,-0.0111 -23.99615,18.07118 -15.96875,30.03125 6.82423,12.6574 27.1112,12.60714 34,0 8.27897,-13.13493 -2.46286,-31.21093 -18.03125,-30.03125 z"
+           style="fill:#1a1a1a;fill-opacity:1;stroke:none" />
+        <path
+           id="path4485"
+           d="m -361.68905,384.3376 c -14.7635,1.24878 -21.44746,23.29451 -9.0625,31.875 10.60373,9.1098 30.2852,0.50584 29.21875,-13.9375 -0.0943,-10.37199 -9.63721,-19.33866 -20.15625,-17.9375 z"
+           style="fill:#0000ff;fill-opacity:1;stroke:none" />
+        <path
+           id="path4487"
+           d="m -361.0953,395.0876 c -7.73482,0.8931 -8.25179,15.09689 0.34375,14.96875 6.12238,0.72039 11.5338,-7.19563 6.75,-11.9375 -1.67951,-2.16363 -4.38827,-3.37579 -7.09375,-3.03125 z"
+           style="fill:#000000;fill-opacity:1;stroke:none" />
+      </g>
+      <g
+         id="g4489"
+         transform="translate(449.64164,30.838437)">
+        <path
+           sodipodi:type="arc"
+           style="fill:#008000;fill-opacity:1;stroke:none"
+           id="path4491"
+           sodipodi:cx="-454.52219"
+           sodipodi:cy="311.20438"
+           sodipodi:rx="21.838903"
+           sodipodi:ry="21.838903"
+           d="m -432.68328,311.20438 c 0,12.06129 -9.77761,21.8389 -21.83891,21.8389 -12.06129,0 -21.8389,-9.77761 -21.8389,-21.8389 0,-12.0613 9.77761,-21.83891 21.8389,-21.83891 12.0613,0 21.83891,9.77761 21.83891,21.83891 z"
+           transform="translate(95.071099,91.013026)" />
+        <path
+           style="fill:#1a1a1a;fill-opacity:1;stroke:none"
+           d="m -360.46015,382.41928 c -14.38645,-0.0111 -23.99615,18.07118 -15.96875,30.03125 6.82423,12.6574 27.1112,12.60714 34,0 8.27897,-13.13493 -2.46286,-31.21093 -18.03125,-30.03125 z"
+           id="path4493" />
+        <path
+           style="fill:#008000;fill-opacity:1;stroke:none"
+           d="m -361.68905,384.3376 c -14.7635,1.24878 -21.44746,23.29451 -9.0625,31.875 10.60373,9.1098 30.2852,0.50584 29.21875,-13.9375 -0.0943,-10.37199 -9.63721,-19.33866 -20.15625,-17.9375 z"
+           id="path4495" />
+        <path
+           style="fill:#000000;fill-opacity:1;stroke:none"
+           d="m -361.0953,395.0876 c -7.73482,0.8931 -8.25179,15.09689 0.34375,14.96875 6.12238,0.72039 11.5338,-7.19563 6.75,-11.9375 -1.67951,-2.16363 -4.38827,-3.37579 -7.09375,-3.03125 z"
+           id="path4497" />
+      </g>
+    </g>
+    <g
+       transform="matrix(0.15037947,0,0,0.15037947,9.9412921,-25.675968)"
+       id="g4499">
+      <g
+         transform="translate(423.23383,-209.54838)"
+         id="g4501">
+        <path
+           sodipodi:type="arc"
+           style="fill:#df1a1a;fill-opacity:1;stroke:none"
+           id="path4503"
+           sodipodi:cx="-454.52219"
+           sodipodi:cy="311.20438"
+           sodipodi:rx="21.838903"
+           sodipodi:ry="21.838903"
+           d="m -432.68328,311.20438 c 0,12.06129 -9.77761,21.8389 -21.83891,21.8389 -12.06129,0 -21.8389,-9.77761 -21.8389,-21.8389 0,-12.0613 9.77761,-21.83891 21.8389,-21.83891 12.0613,0 21.83891,9.77761 21.83891,21.83891 z"
+           transform="translate(121.4789,116.01918)" />
+        <path
+           style="fill:#1a1a1a;fill-opacity:1;stroke:none"
+           d="m -334.05235,407.42543 c -14.38645,-0.0111 -23.99615,18.07118 -15.96875,30.03125 6.82423,12.6574 27.1112,12.60714 34,0 8.27897,-13.13493 -2.46286,-31.21093 -18.03125,-30.03125 z"
+           id="path4505" />
+        <path
+           style="fill:#ff0000;fill-opacity:1;stroke:none"
+           d="m -335.28125,409.34375 c -14.7635,1.24878 -21.44746,23.29451 -9.0625,31.875 10.60373,9.1098 30.2852,0.50584 29.21875,-13.9375 -0.0943,-10.37199 -9.63721,-19.33866 -20.15625,-17.9375 z"
+           id="path4507" />
+        <path
+           style="fill:#000000;fill-opacity:1;stroke:none"
+           d="m -334.6875,420.09375 c -7.73482,0.8931 -8.25179,15.09689 0.34375,14.96875 6.12238,0.72039 11.5338,-7.19563 6.75,-11.9375 -1.67951,-2.16363 -4.38827,-3.37579 -7.09375,-3.03125 z"
+           id="path4509" />
+      </g>
+      <g
+         transform="translate(411.25482,-69.553302)"
+         id="g4511">
+        <path
+           transform="translate(133.45791,119.6112)"
+           d="m -432.68328,311.20438 c 0,12.06129 -9.77761,21.8389 -21.83891,21.8389 -12.06129,0 -21.8389,-9.77761 -21.8389,-21.8389 0,-12.0613 9.77761,-21.83891 21.8389,-21.83891 12.0613,0 21.83891,9.77761 21.83891,21.83891 z"
+           sodipodi:ry="21.838903"
+           sodipodi:rx="21.838903"
+           sodipodi:cy="311.20438"
+           sodipodi:cx="-454.52219"
+           id="path4513"
+           style="fill:#ffff00;fill-opacity:1;stroke:none"
+           sodipodi:type="arc" />
+        <path
+           id="path4515"
+           d="m -322.07334,411.01745 c -14.38645,-0.0111 -23.99615,18.07118 -15.96875,30.03125 6.82423,12.6574 27.1112,12.60714 34,0 8.27897,-13.13493 -2.46286,-31.21093 -18.03125,-30.03125 z"
+           style="fill:#1a1a1a;fill-opacity:1;stroke:none" />
+        <path
+           id="path4517"
+           d="m -323.30224,412.93577 c -14.7635,1.24878 -21.44746,23.29451 -9.0625,31.875 10.60373,9.1098 30.2852,0.50584 29.21875,-13.9375 -0.0943,-10.37199 -9.63721,-19.33866 -20.15625,-17.9375 z"
+           style="fill:#ffff00;fill-opacity:1;stroke:none" />
+        <path
+           id="path4519"
+           d="m -322.70849,423.68577 c -7.73482,0.8931 -8.25179,15.09689 0.34375,14.96875 6.12238,0.72039 11.5338,-7.19563 6.75,-11.9375 -1.67951,-2.16363 -4.38827,-3.37579 -7.09375,-3.03125 z"
+           style="fill:#000000;fill-opacity:1;stroke:none" />
+      </g>
+      <g
+         transform="translate(449.64164,-112.74871)"
+         id="g4521">
+        <path
+           sodipodi:type="arc"
+           style="fill:#0000ff;fill-opacity:1;stroke:none"
+           id="path4523"
+           sodipodi:cx="-454.52219"
+           sodipodi:cy="311.20438"
+           sodipodi:rx="21.838903"
+           sodipodi:ry="21.838903"
+           d="m -432.68328,311.20438 c 0,12.06129 -9.77761,21.8389 -21.83891,21.8389 -12.06129,0 -21.8389,-9.77761 -21.8389,-21.8389 0,-12.0613 9.77761,-21.83891 21.8389,-21.83891 12.0613,0 21.83891,9.77761 21.83891,21.83891 z"
+           transform="translate(95.071099,91.013026)" />
+        <path
+           style="fill:#1a1a1a;fill-opacity:1;stroke:none"
+           d="m -360.46015,382.41928 c -14.38645,-0.0111 -23.99615,18.07118 -15.96875,30.03125 6.82423,12.6574 27.1112,12.60714 34,0 8.27897,-13.13493 -2.46286,-31.21093 -18.03125,-30.03125 z"
+           id="path4525" />
+        <path
+           style="fill:#0000ff;fill-opacity:1;stroke:none"
+           d="m -361.68905,384.3376 c -14.7635,1.24878 -21.44746,23.29451 -9.0625,31.875 10.60373,9.1098 30.2852,0.50584 29.21875,-13.9375 -0.0943,-10.37199 -9.63721,-19.33866 -20.15625,-17.9375 z"
+           id="path4527" />
+        <path
+           style="fill:#000000;fill-opacity:1;stroke:none"
+           d="m -361.0953,395.0876 c -7.73482,0.8931 -8.25179,15.09689 0.34375,14.96875 6.12238,0.72039 11.5338,-7.19563 6.75,-11.9375 -1.67951,-2.16363 -4.38827,-3.37579 -7.09375,-3.03125 z"
+           id="path4529" />
+      </g>
+      <g
+         transform="translate(449.64164,30.838437)"
+         id="g4531">
+        <path
+           transform="translate(95.071099,91.013026)"
+           d="m -432.68328,311.20438 c 0,12.06129 -9.77761,21.8389 -21.83891,21.8389 -12.06129,0 -21.8389,-9.77761 -21.8389,-21.8389 0,-12.0613 9.77761,-21.83891 21.8389,-21.83891 12.0613,0 21.83891,9.77761 21.83891,21.83891 z"
+           sodipodi:ry="21.838903"
+           sodipodi:rx="21.838903"
+           sodipodi:cy="311.20438"
+           sodipodi:cx="-454.52219"
+           id="path4533"
+           style="fill:#008000;fill-opacity:1;stroke:none"
+           sodipodi:type="arc" />
+        <path
+           id="path4535"
+           d="m -360.46015,382.41928 c -14.38645,-0.0111 -23.99615,18.07118 -15.96875,30.03125 6.82423,12.6574 27.1112,12.60714 34,0 8.27897,-13.13493 -2.46286,-31.21093 -18.03125,-30.03125 z"
+           style="fill:#1a1a1a;fill-opacity:1;stroke:none" />
+        <path
+           id="path4537"
+           d="m -361.68905,384.3376 c -14.7635,1.24878 -21.44746,23.29451 -9.0625,31.875 10.60373,9.1098 30.2852,0.50584 29.21875,-13.9375 -0.0943,-10.37199 -9.63721,-19.33866 -20.15625,-17.9375 z"
+           style="fill:#008000;fill-opacity:1;stroke:none" />
+        <path
+           id="path4539"
+           d="m -361.0953,395.0876 c -7.73482,0.8931 -8.25179,15.09689 0.34375,14.96875 6.12238,0.72039 11.5338,-7.19563 6.75,-11.9375 -1.67951,-2.16363 -4.38827,-3.37579 -7.09375,-3.03125 z"
+           style="fill:#000000;fill-opacity:1;stroke:none" />
+      </g>
+    </g>
+    <g
+       id="g4541"
+       transform="matrix(0.15037947,0,0,0.15037947,17.381269,-25.675968)">
+      <g
+         id="g4543"
+         transform="translate(423.23383,-209.54838)">
+        <path
+           transform="translate(121.4789,116.01918)"
+           d="m -432.68328,311.20438 c 0,12.06129 -9.77761,21.8389 -21.83891,21.8389 -12.06129,0 -21.8389,-9.77761 -21.8389,-21.8389 0,-12.0613 9.77761,-21.83891 21.8389,-21.83891 12.0613,0 21.83891,9.77761 21.83891,21.83891 z"
+           sodipodi:ry="21.838903"
+           sodipodi:rx="21.838903"
+           sodipodi:cy="311.20438"
+           sodipodi:cx="-454.52219"
+           id="path4545"
+           style="fill:#df1a1a;fill-opacity:1;stroke:none"
+           sodipodi:type="arc" />
+        <path
+           id="path4547"
+           d="m -334.05235,407.42543 c -14.38645,-0.0111 -23.99615,18.07118 -15.96875,30.03125 6.82423,12.6574 27.1112,12.60714 34,0 8.27897,-13.13493 -2.46286,-31.21093 -18.03125,-30.03125 z"
+           style="fill:#1a1a1a;fill-opacity:1;stroke:none" />
+        <path
+           id="path4549"
+           d="m -335.28125,409.34375 c -14.7635,1.24878 -21.44746,23.29451 -9.0625,31.875 10.60373,9.1098 30.2852,0.50584 29.21875,-13.9375 -0.0943,-10.37199 -9.63721,-19.33866 -20.15625,-17.9375 z"
+           style="fill:#ff0000;fill-opacity:1;stroke:none" />
+        <path
+           id="path4551"
+           d="m -334.6875,420.09375 c -7.73482,0.8931 -8.25179,15.09689 0.34375,14.96875 6.12238,0.72039 11.5338,-7.19563 6.75,-11.9375 -1.67951,-2.16363 -4.38827,-3.37579 -7.09375,-3.03125 z"
+           style="fill:#000000;fill-opacity:1;stroke:none" />
+      </g>
+      <g
+         id="g4553"
+         transform="translate(411.25482,-69.553302)">
+        <path
+           sodipodi:type="arc"
+           style="fill:#ffff00;fill-opacity:1;stroke:none"
+           id="path4555"
+           sodipodi:cx="-454.52219"
+           sodipodi:cy="311.20438"
+           sodipodi:rx="21.838903"
+           sodipodi:ry="21.838903"
+           d="m -432.68328,311.20438 c 0,12.06129 -9.77761,21.8389 -21.83891,21.8389 -12.06129,0 -21.8389,-9.77761 -21.8389,-21.8389 0,-12.0613 9.77761,-21.83891 21.8389,-21.83891 12.0613,0 21.83891,9.77761 21.83891,21.83891 z"
+           transform="translate(133.45791,119.6112)" />
+        <path
+           style="fill:#1a1a1a;fill-opacity:1;stroke:none"
+           d="m -322.07334,411.01745 c -14.38645,-0.0111 -23.99615,18.07118 -15.96875,30.03125 6.82423,12.6574 27.1112,12.60714 34,0 8.27897,-13.13493 -2.46286,-31.21093 -18.03125,-30.03125 z"
+           id="path4557" />
+        <path
+           style="fill:#ffff00;fill-opacity:1;stroke:none"
+           d="m -323.30224,412.93577 c -14.7635,1.24878 -21.44746,23.29451 -9.0625,31.875 10.60373,9.1098 30.2852,0.50584 29.21875,-13.9375 -0.0943,-10.37199 -9.63721,-19.33866 -20.15625,-17.9375 z"
+           id="path4559" />
+        <path
+           style="fill:#000000;fill-opacity:1;stroke:none"
+           d="m -322.70849,423.68577 c -7.73482,0.8931 -8.25179,15.09689 0.34375,14.96875 6.12238,0.72039 11.5338,-7.19563 6.75,-11.9375 -1.67951,-2.16363 -4.38827,-3.37579 -7.09375,-3.03125 z"
+           id="path4561" />
+      </g>
+      <g
+         id="g4563"
+         transform="translate(449.64164,-112.74871)">
+        <path
+           transform="translate(95.071099,91.013026)"
+           d="m -432.68328,311.20438 c 0,12.06129 -9.77761,21.8389 -21.83891,21.8389 -12.06129,0 -21.8389,-9.77761 -21.8389,-21.8389 0,-12.0613 9.77761,-21.83891 21.8389,-21.83891 12.0613,0 21.83891,9.77761 21.83891,21.83891 z"
+           sodipodi:ry="21.838903"
+           sodipodi:rx="21.838903"
+           sodipodi:cy="311.20438"
+           sodipodi:cx="-454.52219"
+           id="path4565"
+           style="fill:#0000ff;fill-opacity:1;stroke:none"
+           sodipodi:type="arc" />
+        <path
+           id="path4567"
+           d="m -360.46015,382.41928 c -14.38645,-0.0111 -23.99615,18.07118 -15.96875,30.03125 6.82423,12.6574 27.1112,12.60714 34,0 8.27897,-13.13493 -2.46286,-31.21093 -18.03125,-30.03125 z"
+           style="fill:#1a1a1a;fill-opacity:1;stroke:none" />
+        <path
+           id="path4569"
+           d="m -361.68905,384.3376 c -14.7635,1.24878 -21.44746,23.29451 -9.0625,31.875 10.60373,9.1098 30.2852,0.50584 29.21875,-13.9375 -0.0943,-10.37199 -9.63721,-19.33866 -20.15625,-17.9375 z"
+           style="fill:#0000ff;fill-opacity:1;stroke:none" />
+        <path
+           id="path4571"
+           d="m -361.0953,395.0876 c -7.73482,0.8931 -8.25179,15.09689 0.34375,14.96875 6.12238,0.72039 11.5338,-7.19563 6.75,-11.9375 -1.67951,-2.16363 -4.38827,-3.37579 -7.09375,-3.03125 z"
+           style="fill:#000000;fill-opacity:1;stroke:none" />
+      </g>
+      <g
+         id="g4573"
+         transform="translate(449.64164,30.838437)">
+        <path
+           sodipodi:type="arc"
+           style="fill:#008000;fill-opacity:1;stroke:none"
+           id="path4575"
+           sodipodi:cx="-454.52219"
+           sodipodi:cy="311.20438"
+           sodipodi:rx="21.838903"
+           sodipodi:ry="21.838903"
+           d="m -432.68328,311.20438 c 0,12.06129 -9.77761,21.8389 -21.83891,21.8389 -12.06129,0 -21.8389,-9.77761 -21.8389,-21.8389 0,-12.0613 9.77761,-21.83891 21.8389,-21.83891 12.0613,0 21.83891,9.77761 21.83891,21.83891 z"
+           transform="translate(95.071099,91.013026)" />
+        <path
+           style="fill:#1a1a1a;fill-opacity:1;stroke:none"
+           d="m -360.46015,382.41928 c -14.38645,-0.0111 -23.99615,18.07118 -15.96875,30.03125 6.82423,12.6574 27.1112,12.60714 34,0 8.27897,-13.13493 -2.46286,-31.21093 -18.03125,-30.03125 z"
+           id="path4577" />
+        <path
+           style="fill:#008000;fill-opacity:1;stroke:none"
+           d="m -361.68905,384.3376 c -14.7635,1.24878 -21.44746,23.29451 -9.0625,31.875 10.60373,9.1098 30.2852,0.50584 29.21875,-13.9375 -0.0943,-10.37199 -9.63721,-19.33866 -20.15625,-17.9375 z"
+           id="path4579" />
+        <path
+           style="fill:#000000;fill-opacity:1;stroke:none"
+           d="m -361.0953,395.0876 c -7.73482,0.8931 -8.25179,15.09689 0.34375,14.96875 6.12238,0.72039 11.5338,-7.19563 6.75,-11.9375 -1.67951,-2.16363 -4.38827,-3.37579 -7.09375,-3.03125 z"
+           id="path4581" />
+      </g>
+    </g>
+  </g>
+</svg>
diff --git a/po/Makefile b/po/Makefile
new file mode 100644
index 0000000..62a388f
--- /dev/null
+++ b/po/Makefile
@@ -0,0 +1,33 @@
+DESTDIR =
+SOURCES = $(shell ls ../eyes/*.py ../expeyes/*.py ../eyes-junior/*.py ../microhope/create-microhope-env ../microhope/*.py)
+POFILES = $(shell ls *.po)
+MOFILES = $(patsubst %.po, %.mo, $(POFILES))
+LANG = $(patsubst %.po, %, $(POFILES))
+
+all: $(MOFILES)
+
+%.mo: %.po
+	msgfmt --output-file=$@ $<
+
+$(POFILES): messages.pot
+	for f in $(POFILES); do \
+	  msgmerge --update --previous $$f messages.pot; \
+	done
+
+messages.pot: $(SOURCES)
+	if [ -f $@ ]; then \
+	  xgettext --output=$@  --language=python --join-existing --keyword=_ --add-location $(SOURCES); \
+	else \
+	  xgettext --output=$@  --language=python --keyword=_ --add-location $(SOURCES); \
+	fi
+
+clean:
+	rm -f messages.pot *.mo *~
+
+install: all
+	for l in $(LANG); do \
+	  install -d $(DESTDIR)/usr/share/locale/$$l/LC_MESSAGES; \
+	  install -m 644 $$l.mo $(DESTDIR)/usr/share/locale/$$l/LC_MESSAGES/expeyes.mo; \
+	done
+
+.PHONY: all clean install
diff --git a/po/debian/expeyes/usr/share/locale/eo/LC_MESSAGES/expeyes.mo b/po/debian/expeyes/usr/share/locale/eo/LC_MESSAGES/expeyes.mo
new file mode 100644
index 0000000..c63dc19
Binary files /dev/null and b/po/debian/expeyes/usr/share/locale/eo/LC_MESSAGES/expeyes.mo differ
diff --git a/po/debian/expeyes/usr/share/locale/fr/LC_MESSAGES/expeyes.mo b/po/debian/expeyes/usr/share/locale/fr/LC_MESSAGES/expeyes.mo
new file mode 100644
index 0000000..d4be355
Binary files /dev/null and b/po/debian/expeyes/usr/share/locale/fr/LC_MESSAGES/expeyes.mo differ
diff --git a/po/eo.po b/po/eo.po
new file mode 100644
index 0000000..221d838
--- /dev/null
+++ b/po/eo.po
@@ -0,0 +1,2900 @@
+# SOME DESCRIPTIVE TITLE.
+# Copyright (C) YEAR THE PACKAGE'S COPYRIGHT HOLDER
+# This file is distributed under the same license as the PACKAGE package.
+# FIRST AUTHOR <EMAIL at ADDRESS>, YEAR.
+#
+msgid ""
+msgstr ""
+"Project-Id-Version: expEYES 2.0\n"
+"Report-Msgid-Bugs-To: \n"
+"POT-Creation-Date: 2014-01-19 16:35+0100\n"
+"PO-Revision-Date: 2012-07-08 11:35+0100\n"
+"Last-Translator: Georges Khaznadar <georgesk at ofset.org>\n"
+"Language-Team: ESPERANTO <eo at li.org>\n"
+"Language: Esperanto\n"
+"MIME-Version: 1.0\n"
+"Content-Type: text/plain; charset=utf-8\n"
+"Content-Transfer-Encoding: 8bit\n"
+
+#: ../expeyes/eyeplot.py:51
+msgid "mSec"
+msgstr ""
+
+#: ../expeyes/eyeplot.py:149 ../expeyes/eyeplot.py:153
+#, python-format
+msgid "%3.2f %s/div"
+msgstr ""
+
+#: ../expeyes/eyeplot.py:315
+msgid "EYES plot"
+msgstr ""
+
+#: ../expeyes/eyeplot.py:317 ../eyes/amfm.py:47 ../eyes/amfm.py:68
+#: ../eyes/amfm.py:69 ../eyes/cro.py:44 ../eyes/cro.py:62 ../eyes/cro.py:122
+#: ../eyes/explore.py:336 ../eyes/explore.py:457 ../eyes/explore.py:474
+#: ../eyes/explore.py:492 ../eyes/explore.py:511 ../eyes/induction.py:111
+#: ../eyes/interference-sound.py:50 ../eyes/interference-sound.py:110
+#: ../eyes-junior/ac-circuit.py:57 ../eyes-junior/ac-circuit.py:132
+#: ../eyes-junior/ac-circuit.py:174 ../eyes-junior/amfm.py:48
+#: ../eyes-junior/amfm.py:68 ../eyes-junior/amfm.py:69
+#: ../eyes-junior/croplus.py:141 ../eyes-junior/croplus.py:356
+#: ../eyes-junior/croplus.py:591 ../eyes-junior/induction.py:112
+#: ../eyes-junior/interference-sound.py:55
+#: ../eyes-junior/interference-sound.py:108 ../eyes-junior/RCcircuit.py:102
+#: ../eyes-junior/RLCdischarge.py:86 ../eyes-junior/RLcircuit.py:104
+#: ../eyes-junior/sound-burst.py:113 ../eyes-junior/velocity-sound.py:90
+#: ../eyes/RCcircuit.py:98 ../eyes/RLCdischarge.py:86 ../eyes/RLcircuit.py:102
+#: ../eyes/sound.py:98 ../eyes/velocity-sound.py:98
+msgid "mS"
+msgstr "ms"
+
+#: ../expeyes/eyeplot.py:319 ../eyes/amfm.py:47 ../eyes/amfm.py:68
+#: ../eyes/amfm.py:69 ../eyes/amfm.py:116 ../eyes/cro.py:44 ../eyes/cro.py:62
+#: ../eyes/cro.py:122 ../eyes/diode_iv.py:42 ../eyes/diode_iv.py:119
+#: ../eyes/explore.py:336 ../eyes/explore.py:457 ../eyes/explore.py:474
+#: ../eyes/explore.py:492 ../eyes/explore.py:511 ../eyes/induction.py:111
+#: ../eyes/interference-sound.py:50 ../eyes/interference-sound.py:110
+#: ../eyes-junior/ac-circuit.py:57 ../eyes-junior/ac-circuit.py:132
+#: ../eyes-junior/ac-circuit.py:174 ../eyes-junior/diode_iv.py:113
+#: ../eyes-junior/induction.py:112 ../eyes-junior/interference-sound.py:55
+#: ../eyes-junior/interference-sound.py:108 ../eyes-junior/RCcircuit.py:102
+#: ../eyes-junior/RCcircuit.py:108 ../eyes-junior/RLCdischarge.py:86
+#: ../eyes-junior/RLCdischarge.py:92 ../eyes-junior/RLcircuit.py:104
+#: ../eyes-junior/RLcircuit.py:110 ../eyes-junior/sound-burst.py:113
+#: ../eyes-junior/transistor.py:126 ../eyes-junior/velocity-sound.py:90
+#: ../eyes/LED_iv.py:39 ../eyes/LED_iv.py:136 ../eyes/phototransistor.py:99
+#: ../eyes/RCcircuit.py:98 ../eyes/RCcircuit.py:105 ../eyes/resistor_iv.py:121
+#: ../eyes/RLCdischarge.py:86 ../eyes/RLCdischarge.py:93
+#: ../eyes/RLcircuit.py:102 ../eyes/RLcircuit.py:109 ../eyes/sound.py:98
+#: ../eyes/transistor.py:124 ../eyes/transistor.py:135
+#: ../eyes/velocity-sound.py:98
+msgid "V"
+msgstr ""
+
+#: ../expeyes/eyeplot.py:341 ../expeyes/eyeplot.py:347
+msgid "Schematic"
+msgstr ""
+
+#: ../expeyes/eyesj.py:121
+msgid "Could not find EYES Junior hardware"
+msgstr ""
+
+#: ../expeyes/eyesj.py:122 ../expeyes/eyes.py:118 ../expeyes/mca.py:39
+msgid "Check the connections."
+msgstr ""
+
+#: ../expeyes/eyesj.py:167 ../expeyes/eyes.py:162 ../expeyes/mca.py:70
+#, python-format
+msgid "Port %s is existing "
+msgstr ""
+
+#: ../expeyes/eyesj.py:169 ../expeyes/eyes.py:164 ../expeyes/mca.py:72
+msgid "but could not open"
+msgstr ""
+
+#: ../expeyes/eyesj.py:171 ../expeyes/eyes.py:166 ../expeyes/mca.py:74
+msgid "and opened. "
+msgstr ""
+
+#: ../expeyes/eyesj.py:189
+msgid "No EYES Junior hardware detected"
+msgstr ""
+
+#: ../expeyes/eyesj.py:206
+msgid "GETVERSION ERROR"
+msgstr ""
+
+#: ../expeyes/eyesj.py:218
+msgid "WREEPROM ERROR "
+msgstr ""
+
+#: ../expeyes/eyesj.py:219
+msgid "WREEPROM ERROR"
+msgstr ""
+
+#: ../expeyes/eyesj.py:228
+msgid "RDEEPROM ERROR "
+msgstr ""
+
+#: ../expeyes/eyesj.py:298
+msgid "Invalid Calibration factors for A1,A2"
+msgstr ""
+
+#: ../expeyes/eyesj.py:300
+msgid "Could not load A1 & A2 Calibration"
+msgstr ""
+
+#: ../expeyes/eyesj.py:310
+msgid "Invalid Calibration factors for IN1"
+msgstr ""
+
+#: ../expeyes/eyesj.py:312
+msgid "Could not load IN1 Capacitor Calibration"
+msgstr ""
+
+#: ../expeyes/eyesj.py:320
+msgid "Invalid Pullup resistor value"
+msgstr ""
+
+#: ../expeyes/eyesj.py:322
+msgid "Could not load SEN Pullup calibration"
+msgstr ""
+
+#: ../expeyes/eyesj.py:330
+msgid "IRSEND1 ERROR "
+msgstr ""
+
+#: ../expeyes/eyesj.py:331
+msgid "IRSEND1 ERROR"
+msgstr ""
+
+#: ../expeyes/eyesj.py:343
+msgid "IRSEND4 ERROR "
+msgstr ""
+
+#: ../expeyes/eyesj.py:344
+msgid "IRSEND4 ERROR"
+msgstr ""
+
+#: ../expeyes/eyesj.py:384
+msgid "Current to be set only on IN1(3) or IN2(4)"
+msgstr ""
+
+#: ../expeyes/eyesj.py:385 ../expeyes/eyesj.py:456 ../expeyes/eyesj.py:457
+msgid "Current to be set only on IN1 or IN2"
+msgstr ""
+
+#: ../expeyes/eyesj.py:393
+msgid "MEASURECV ERROR "
+msgstr ""
+
+#: ../expeyes/eyesj.py:394
+msgid "MEASURECV ERROR"
+msgstr ""
+
+#: ../expeyes/eyesj.py:412
+#, python-format
+msgid "Error measuring capacitance %5.3f"
+msgstr ""
+
+#: ../expeyes/eyesj.py:413
+msgid "Error measuring capacitance"
+msgstr ""
+
+#: ../expeyes/eyesj.py:436 ../expeyes/eyesj.py:437
+msgid "Resistance NOT in 100 Ohm to 100 kOhm range"
+msgstr ""
+
+#: ../expeyes/eyesj.py:464 ../expeyes/eyesj.py:465
+msgid "SETCURRENT ERROR"
+msgstr ""
+
+#: ../expeyes/eyesj.py:480
+msgid "READTEMP error "
+msgstr ""
+
+#: ../expeyes/eyesj.py:481
+msgid "READTEMP error"
+msgstr ""
+
+#: ../expeyes/eyesj.py:496 ../expeyes/eyesj.py:497
+msgid "Pin should be digital input capable: 0,3,4,5,6 or 7"
+msgstr ""
+
+#: ../expeyes/eyesj.py:500 ../expeyes/eyesj.py:501
+msgid "skip exceeded 249 edges"
+msgstr ""
+
+#: ../expeyes/eyesj.py:505 ../expeyes/eyesj.py:506
+msgid "Both pins should be digital input capable: 0,3,4,5,6 or 7"
+msgstr ""
+
+#: ../expeyes/eyesj.py:510 ../expeyes/eyesj.py:511
+msgid "Starting pin should be digital output capable: 8,9,10 or 11"
+msgstr ""
+
+#: ../expeyes/eyesj.py:514 ../expeyes/eyesj.py:515
+msgid "Destination pin should be digital input capable: 0,3,4,5,6 or 7"
+msgstr ""
+
+#: ../expeyes/eyesj.py:522
+msgid "Time measurement command error"
+msgstr ""
+
+#: ../expeyes/eyesj.py:523
+#, python-format
+msgid "Time measurement command %d error "
+msgstr ""
+
+#: ../expeyes/eyesj.py:635 ../expeyes/eyesj.py:636
+msgid "SETSTATE error "
+msgstr ""
+
+#: ../expeyes/eyesj.py:648 ../expeyes/eyesj.py:649
+msgid "GETSTATE error "
+msgstr ""
+
+#: ../expeyes/eyesj.py:661 ../expeyes/eyesj.py:662
+msgid "GETPORTB error "
+msgstr ""
+
+#: ../expeyes/eyesj.py:688 ../expeyes/eyesj.py:689
+msgid "SETPWM error "
+msgstr ""
+
+#: ../expeyes/eyesj.py:726
+msgid "Invalid channel number"
+msgstr ""
+
+#: ../expeyes/eyesj.py:727
+msgid "Invalid Channel"
+msgstr ""
+
+#: ../expeyes/eyesj.py:748 ../expeyes/eyesj.py:807 ../expeyes/eyesj.py:808
+msgid "Invalid Freqency"
+msgstr ""
+
+#: ../expeyes/eyesj.py:758
+msgid "SETSQR error "
+msgstr ""
+
+#: ../expeyes/eyesj.py:790 ../expeyes/eyesj.py:791
+msgid "Invalid phase difference"
+msgstr ""
+
+#: ../expeyes/eyesj.py:820 ../expeyes/eyesj.py:821
+msgid "SETSQRS error "
+msgstr ""
+
+#: ../expeyes/eyesj.py:838 ../expeyes/eyesj.py:839
+msgid "SETDAC error "
+msgstr ""
+
+#: ../expeyes/eyesj.py:847 ../expeyes/eyesj.py:900 ../expeyes/eyesj.py:920
+#: ../expeyes/eyesj.py:934 ../expeyes/eyesj.py:946 ../expeyes/eyesj.py:947
+#: ../expeyes/eyesj.py:962 ../expeyes/eyesj.py:972 ../expeyes/eyesj.py:973
+#: ../expeyes/eyes.py:511 ../expeyes/eyes.py:529 ../expeyes/eyes.py:548
+#: ../expeyes/mca.py:148
+msgid "Argument error"
+msgstr ""
+
+#: ../expeyes/eyesj.py:853 ../expeyes/eyesj.py:854 ../expeyes/eyes.py:517
+#: ../expeyes/mca.py:154
+msgid "READADC error "
+msgstr ""
+
+#: ../expeyes/eyesj.py:866 ../expeyes/eyesj.py:867
+msgid "invalid voltage"
+msgstr ""
+
+#: ../expeyes/eyesj.py:889 ../expeyes/eyesj.py:890
+msgid "SETADCREF error "
+msgstr ""
+
+#: ../expeyes/eyesj.py:899
+msgid "READADC: Argument error"
+msgstr ""
+
+#: ../expeyes/eyesj.py:906 ../expeyes/eyesj.py:907
+msgid "READADC error"
+msgstr ""
+
+#: ../expeyes/eyesj.py:919
+msgid "get_voltage: Argument error"
+msgstr ""
+
+#: ../expeyes/eyesj.py:933
+msgid "get_voltageNS: Argument error"
+msgstr ""
+
+#: ../expeyes/eyesj.py:961
+msgid "get_voltage_time: Argument error"
+msgstr ""
+
+#: ../expeyes/eyesj.py:985 ../expeyes/eyesj.py:1020
+msgid "Minimum Timegap is 4 us"
+msgstr ""
+
+#: ../expeyes/eyesj.py:993
+msgid "CAPTURE error"
+msgstr ""
+
+#: ../expeyes/eyesj.py:994 ../expeyes/eyesj.py:1028 ../expeyes/eyesj.py:1029
+#: ../expeyes/eyes.py:621
+msgid "CAPTURE error "
+msgstr ""
+
+#: ../expeyes/eyesj.py:1000 ../expeyes/eyesj.py:1035
+#, python-format
+msgid "CAPTURE: size mismatch %d %d"
+msgstr ""
+
+#: ../expeyes/eyesj.py:1001 ../expeyes/eyesj.py:1036 ../expeyes/eyes.py:629
+msgid "CAPTURE: size mismatch "
+msgstr ""
+
+#: ../expeyes/eyesj.py:1055 ../expeyes/eyesj.py:1093 ../expeyes/eyesj.py:1130
+#: ../expeyes/eyesj.py:1174
+msgid "Minimum Timegap is (4*number of channels)usec"
+msgstr ""
+
+#: ../expeyes/eyesj.py:1064 ../expeyes/eyesj.py:1065
+msgid "CAPTURE2 error "
+msgstr ""
+
+#: ../expeyes/eyesj.py:1071 ../expeyes/eyesj.py:1072
+msgid "CAPTURE2: size mismatch"
+msgstr ""
+
+#: ../expeyes/eyesj.py:1102 ../expeyes/eyesj.py:1103
+msgid "CAPTURE2_HR error "
+msgstr ""
+
+#: ../expeyes/eyesj.py:1109 ../expeyes/eyesj.py:1110
+msgid "CAPTURE2_HR: size mismatch"
+msgstr ""
+
+#: ../expeyes/eyesj.py:1140 ../expeyes/eyesj.py:1141
+msgid "CAPTURE3 error "
+msgstr ""
+
+#: ../expeyes/eyesj.py:1147 ../expeyes/eyesj.py:1148
+msgid "CAPTURE3: size mismatch "
+msgstr ""
+
+#: ../expeyes/eyesj.py:1185 ../expeyes/eyesj.py:1186
+msgid "CAPTURE4 error ="
+msgstr ""
+
+#: ../expeyes/eyesj.py:1192 ../expeyes/eyesj.py:1193
+msgid "CAPTURE4: size mismatch "
+msgstr ""
+
+#: ../expeyes/eyesj.py:1233 ../expeyes/eyesj.py:1234
+msgid "SETTRIGVAL error "
+msgstr ""
+
+#: ../expeyes/eyesj.py:1249 ../expeyes/eyesj.py:1250
+msgid "ERROR: SETACTION"
+msgstr ""
+
+#: ../expeyes/eyesj.py:1263
+msgid "SETACTION ERR"
+msgstr ""
+
+#: ../expeyes/eyesj.py:1264
+#, python-format
+msgid "SETACTION ERR: action = %d ch = %d"
+msgstr ""
+
+#: ../expeyes/eyesj.py:1329
+msgid "Invalid pulse width"
+msgstr ""
+
+#: ../expeyes/eyesj.py:1335 ../expeyes/eyesj.py:1336
+msgid "ERROR: SETPULWIDTH"
+msgstr ""
+
+#: ../expeyes/eyes.py:117
+msgid "Could not find Phoenix-EYES hardware"
+msgstr ""
+
+#: ../expeyes/eyes.py:179
+msgid "Found EYES version "
+msgstr ""
+
+#: ../expeyes/eyes.py:182
+msgid "No EYES hardware detected"
+msgstr ""
+
+#: ../expeyes/eyes.py:394
+msgid "eeprom write byte error = "
+msgstr ""
+
+#: ../expeyes/eyes.py:407
+msgid "eeprom read block error = "
+msgstr ""
+
+#: ../expeyes/eyes.py:427
+#, python-format
+msgid "SC: ch = %d m=%10.6f  c=%10.6f"
+msgstr ""
+
+#: ../expeyes/eyes.py:435
+msgid "BAD Calibration data. EEPROM does not have any data "
+msgstr ""
+
+#: ../expeyes/eyes.py:442
+#, python-format
+msgid "LC: ch = %d m=%10.6f  c=%10.6f"
+msgstr ""
+
+#: ../expeyes/eyes.py:458
+msgid "ERR:Current must be from 0.02 to 2.0 mA"
+msgstr ""
+
+#: ../expeyes/eyes.py:479 ../expeyes/eyes.py:535 ../expeyes/eyes.py:555
+msgid "WRITEDAC error "
+msgstr ""
+
+#: ../expeyes/eyes.py:568
+msgid "Sampling time MUST NOT exceed 250 microseconds"
+msgstr ""
+
+#: ../expeyes/eyes.py:574
+msgid "SETSAMTIME ERROR "
+msgstr ""
+
+#: ../expeyes/eyes.py:582
+msgid "ADC datasize MUST be 1 or 2 bytes"
+msgstr ""
+
+#: ../expeyes/eyes.py:588
+msgid "SETADCSIZE ERROR "
+msgstr ""
+
+#: ../expeyes/eyes.py:609
+msgid "QCAPTURE Error "
+msgstr ""
+
+#: ../expeyes/eyes.py:674 ../expeyes/eyes.py:686
+msgid "CAPTURE01 error "
+msgstr ""
+
+#: ../expeyes/eyes.py:696
+msgid "CAPTURE01: size mismatch "
+msgstr ""
+
+#: ../expeyes/eyes.py:736
+msgid "CAPTURE_M32 error "
+msgstr ""
+
+#: ../expeyes/eyes.py:743
+msgid "CAPTURE_M32: size mismatch "
+msgstr ""
+
+#: ../expeyes/eyes.py:786 ../expeyes/eyes.py:813
+msgid "wait_rising "
+msgstr ""
+
+#: ../expeyes/eyes.py:895
+msgid "Echo error = "
+msgstr ""
+
+#: ../expeyes/eyes.py:924
+msgid "Time Measurement call Error. CMD = "
+msgstr ""
+
+#: ../expeyes/eyes.py:1040
+msgid "DIGIN error"
+msgstr ""
+
+#: ../expeyes/mca.py:38
+msgid "Could not find Phoenix-MCA hardware"
+msgstr ""
+
+#: ../expeyes/mca.py:78
+msgid "inWaiting"
+msgstr ""
+
+#: ../expeyes/mca.py:82
+msgid "res = "
+msgstr ""
+
+#: ../expeyes/mca.py:90
+msgid "Found MCA version "
+msgstr ""
+
+#: ../expeyes/mca.py:93
+msgid "No MCA hardware detected"
+msgstr ""
+
+#: ../expeyes/mca.py:133
+msgid "HIST read data error"
+msgstr ""
+
+#: ../eyes/alpha.py:25 ../eyes/alpha.py:59
+msgid "Channel"
+msgstr ""
+
+#: ../eyes/alpha.py:33 ../eyes/alpha.py:51 ../eyes/alpha.py:59
+#: ../eyes/alpha.py:68 ../eyes/alpha.py:96 ../eyes/alpha.py:130
+msgid "dN"
+msgstr ""
+
+#: ../eyes/alpha.py:38
+msgid "No data yet"
+msgstr ""
+
+#: ../eyes/alpha.py:41
+msgid "Mark a Peak before calibration"
+msgstr ""
+
+#: ../eyes/alpha.py:50
+msgid "Energy (MeV)"
+msgstr ""
+
+#: ../eyes/alpha.py:51
+msgid "Energy(MeV)"
+msgstr ""
+
+#: ../eyes/alpha.py:54
+msgid "Calibration done"
+msgstr ""
+
+#: ../eyes/alpha.py:60
+msgid "Existing Calibration Removed. Do it again"
+msgstr ""
+
+#: ../eyes/alpha.py:68 ../eyes/alpha.py:141
+msgid "E"
+msgstr ""
+
+#: ../eyes/alpha.py:75
+msgid "No data to fit"
+msgstr ""
+
+#: ../eyes/alpha.py:79
+#, python-format
+msgid "Amplitude= %5.1f  %s= %5.2f  sigma = %5.2f"
+msgstr ""
+
+#: ../eyes/alpha.py:110
+msgid "Acquisition Started"
+msgstr ""
+
+#: ../eyes/alpha.py:116
+msgid "Acquisition stopped by user"
+msgstr ""
+
+#: ../eyes/alpha.py:121
+msgid "Spectrum Cleared by user"
+msgstr ""
+
+#: ../eyes/alpha.py:126
+msgid "Histogram saved"
+msgstr ""
+
+#: ../eyes/alpha.py:131
+msgid "Called xmgrace"
+msgstr ""
+
+#: ../eyes/alpha.py:141
+msgid "dN/dE"
+msgstr ""
+
+#: ../eyes/alpha.py:145
+msgid "y-scale"
+msgstr ""
+
+#: ../eyes/alpha.py:154
+msgid "Auto/Man"
+msgstr ""
+
+#: ../eyes/alpha.py:156
+msgid "UPDATE"
+msgstr ""
+
+#: ../eyes/alpha.py:158 ../eyes/diode_iv.py:127 ../eyes/freq-response.py:124
+#: ../eyes/interference-sound.py:74 ../eyes/interference-sound.py:145
+#: ../eyes-junior/diode_iv.py:118 ../eyes-junior/freq-response.py:123
+#: ../eyes-junior/interference-sound.py:141 ../eyes-junior/logger.py:153
+#: ../eyes-junior/pendulum.py:156 ../eyes-junior/pt100.py:205
+#: ../eyes-junior/rodpend.py:126 ../eyes-junior/transistor.py:139
+#: ../eyes/LED_iv.py:142 ../eyes/logger.py:153 ../eyes/pendulum.py:118
+#: ../eyes/phototransistor.py:104 ../eyes/pt100.py:206
+#: ../eyes/resistor_iv.py:126 ../eyes/rodpend.py:154
+#: ../eyes/temp-controller.py:190 ../eyes/transistor.py:137
+msgid "START"
+msgstr ""
+
+#: ../eyes/alpha.py:160 ../eyes/interference-sound.py:71
+#: ../eyes-junior/interference-sound.py:143 ../eyes-junior/logger.py:155
+#: ../eyes-junior/pendulum.py:158 ../eyes-junior/pt100.py:207
+#: ../eyes-junior/rodpend.py:128 ../eyes/logger.py:155 ../eyes/pendulum.py:120
+#: ../eyes/pt100.py:208 ../eyes/rodpend.py:156 ../eyes/temp-controller.py:192
+msgid "STOP"
+msgstr ""
+
+#: ../eyes/alpha.py:162 ../eyes/diode_iv.py:138 ../eyes/freq-response.py:136
+#: ../eyes/induction.py:127 ../eyes-junior/diode_iv.py:129
+#: ../eyes-junior/freq-response.py:135 ../eyes-junior/induction.py:128
+#: ../eyes-junior/logger.py:157 ../eyes-junior/pendulum.py:162
+#: ../eyes-junior/pt100.py:209 ../eyes-junior/RCcircuit.py:140
+#: ../eyes-junior/RLCdischarge.py:116 ../eyes-junior/RLcircuit.py:129
+#: ../eyes-junior/rodpend.py:130 ../eyes-junior/sound-burst.py:124
+#: ../eyes-junior/transistor.py:150 ../eyes/LED_iv.py:153
+#: ../eyes/logger.py:157 ../eyes/pendulum.py:124
+#: ../eyes/phototransistor.py:115 ../eyes/pt100.py:210
+#: ../eyes/RCcircuit.py:135 ../eyes/resistor_iv.py:138
+#: ../eyes/RLCdischarge.py:117 ../eyes/RLcircuit.py:128 ../eyes/rodpend.py:158
+#: ../eyes/temp-controller.py:194 ../eyes/transistor.py:148
+msgid "CLEAR"
+msgstr ""
+
+#: ../eyes/alpha.py:164 ../eyes/cro.py:158 ../eyes/diode_iv.py:142
+#: ../eyes/explore.py:544 ../eyes/gravity_tof2.py:114
+#: ../eyes-junior/diode_iv.py:133 ../eyes-junior/pendulum.py:160
+#: ../eyes-junior/RLCdischarge.py:118 ../eyes/LED_iv.py:157
+#: ../eyes/pendulum.py:122 ../eyes/RLCdischarge.py:119
+msgid "FIT"
+msgstr ""
+
+#: ../eyes/alpha.py:166
+msgid "xmGrace"
+msgstr ""
+
+#: ../eyes/alpha.py:171
+msgid "Calibrate using Peak at"
+msgstr ""
+
+#: ../eyes/alpha.py:177
+msgid "MeV"
+msgstr ""
+
+#: ../eyes/alpha.py:180
+msgid "SAVE Histogram to"
+msgstr ""
+
+#: ../eyes/alpha.py:190 ../eyes/amfm.py:89 ../eyes/calibrate.py:178
+#: ../eyes/cro.py:160 ../eyes/diode_iv.py:136 ../eyes/explore.py:553
+#: ../eyes/freq-response.py:134 ../eyes/gravity_tof2.py:121
+#: ../eyes/gravity_tof.py:97 ../eyes/induction.py:123
+#: ../eyes/interference-sound.py:160 ../eyes/janagana.py:82
+#: ../eyes-junior/ac-circuit.py:198 ../eyes-junior/amfm.py:89
+#: ../eyes-junior/change-pvs.py:22 ../eyes-junior/croplus.py:710
+#: ../eyes-junior/diode_iv.py:127 ../eyes-junior/driven-pendulum.py:19
+#: ../eyes-junior/freq-response.py:133 ../eyes-junior/induction.py:124
+#: ../eyes-junior/interference-sound.py:157 ../eyes-junior/logger.py:169
+#: ../eyes-junior/pendulum.py:172 ../eyes-junior/pt100.py:246
+#: ../eyes-junior/RCcircuit.py:131 ../eyes-junior/RLCdischarge.py:112
+#: ../eyes-junior/RLcircuit.py:149 ../eyes-junior/rodpend.py:140
+#: ../eyes-junior/sound-burst.py:136 ../eyes-junior/stroboscope.py:19
+#: ../eyes-junior/transistor.py:148 ../eyes-junior/velocity-sound.py:120
+#: ../eyes/LED_iv.py:151 ../eyes/logger.py:169 ../eyes/pendulum.py:134
+#: ../eyes/phototransistor.py:113 ../eyes/pt100.py:220
+#: ../eyes/RCcircuit.py:126 ../eyes/resistor_iv.py:136
+#: ../eyes/RLCdischarge.py:113 ../eyes/RLcircuit.py:148 ../eyes/rodpend.py:183
+#: ../eyes/sound.py:130 ../eyes/temp-controller.py:204
+#: ../eyes/transistor.py:146 ../eyes/usound_tof.py:37
+#: ../eyes/velocity-sound.py:131
+msgid "QUIT"
+msgstr "FOR"
+
+#: ../eyes/alpha.py:195 ../eyes/amfm.py:121 ../eyes/cro.py:165
+#: ../eyes/explore.py:558 ../eyes/induction.py:132
+#: ../eyes/interference-sound.py:166 ../eyes-junior/amfm.py:121
+#: ../eyes-junior/induction.py:133 ../eyes-junior/interference-sound.py:163
+#: ../eyes-junior/RLCdischarge.py:123 ../eyes-junior/sound-burst.py:141
+#: ../eyes-junior/velocity-sound.py:126 ../eyes/RLCdischarge.py:124
+#: ../eyes/sound.py:140 ../eyes/velocity-sound.py:137
+msgid "Messages"
+msgstr ""
+
+#: ../eyes/alpha.py:199 ../eyes/alpha.py:200
+msgid "ERROR: Spectrometer hardware NOT found"
+msgstr ""
+
+#: ../eyes/alpha.py:202
+msgid "PHOENIX Alpha Spectrometer"
+msgstr ""
+
+#: ../eyes/amfm.py:29 ../eyes/explore.py:254 ../eyes/interference-sound.py:84
+#: ../eyes-junior/amfm.py:29 ../eyes-junior/interference-sound.py:84
+#: ../eyes-junior/velocity-sound.py:66 ../eyes/sound.py:74
+#: ../eyes/velocity-sound.py:72
+msgid "freq"
+msgstr "frek"
+
+#: ../eyes/amfm.py:29 ../eyes/explore.py:254 ../eyes/interference-sound.py:84
+#: ../eyes-junior/amfm.py:29 ../eyes-junior/interference-sound.py:84
+#: ../eyes-junior/velocity-sound.py:66 ../eyes/sound.py:74
+#: ../eyes/velocity-sound.py:72
+msgid "power"
+msgstr ""
+
+#: ../eyes/amfm.py:83 ../eyes-junior/amfm.py:83 ../eyes/sound.py:118
+msgid "Capture"
+msgstr ""
+
+#: ../eyes/amfm.py:86 ../eyes-junior/amfm.py:86
+msgid "Power Spectrum"
+msgstr ""
+
+#: ../eyes/amfm.py:94 ../eyes-junior/amfm.py:94
+msgid "Number of Samples ="
+msgstr ""
+
+#: ../eyes/amfm.py:101 ../eyes-junior/amfm.py:101
+msgid "Delay between samples="
+msgstr ""
+
+#: ../eyes/amfm.py:107 ../eyes-junior/amfm.py:107
+msgid "uS."
+msgstr "µs."
+
+#: ../eyes/amfm.py:110
+msgid "UPV ="
+msgstr ""
+
+#: ../eyes/amfm.py:124 ../eyes-junior/amfm.py:123 ../eyes-junior/amfm.py:124
+msgid "Amplitude Modulation"
+msgstr ""
+
+#: ../eyes/calibrate.py:48
+#, python-format
+msgid "Point %5.3fV. Deviation A0 %5.2f%%"
+msgstr ""
+
+#: ../eyes/calibrate.py:50
+msgid "ERROR: Check BPV to A0 connection"
+msgstr ""
+
+#: ../eyes/calibrate.py:63
+msgid "ERROR: Check BPV to A1 connection"
+msgstr ""
+
+#: ../eyes/calibrate.py:71
+#, python-format
+msgid "ERROR : Point %5.3f Volts NOT done"
+msgstr ""
+
+#: ../eyes/calibrate.py:77
+#, python-format
+msgid "Readback for %5.3f V point = %5.3f V NOT GOOD"
+msgstr ""
+
+#: ../eyes/calibrate.py:102
+msgid "ADC0 m & c "
+msgstr ""
+
+#: ../eyes/calibrate.py:103
+msgid "ADC1 m & c "
+msgstr ""
+
+#: ../eyes/calibrate.py:104
+msgid "DAC0 m & c "
+msgstr ""
+
+#: ../eyes/calibrate.py:109
+#, python-format
+msgid "DONE: (%7.6f,%5.3f)(%7.6f,%5.3f)(%5.1f,%5.1f)"
+msgstr ""
+
+#: ../eyes/calibrate.py:145
+#, python-format
+msgid "Set %3.0f Volts"
+msgstr ""
+
+#: ../eyes/calibrate.py:153
+msgid "Measured ="
+msgstr ""
+
+#: ../eyes/calibrate.py:163
+msgid ""
+"First you MUST Connect BPV to A0 & A1.\n"
+"Then click on each \"Set Volts Button\","
+msgstr ""
+
+#: ../eyes/calibrate.py:164
+msgid ""
+"Measure BPV with a 4.5 DMM and\n"
+" enter it in the \"Measured=\" Field.\n"
+msgstr ""
+
+#: ../eyes/calibrate.py:165
+msgid "IMPROPER USE MAY SPOIL THE CALIBRATION"
+msgstr ""
+
+#: ../eyes/calibrate.py:171 ../eyes/explore.py:597
+#: ../eyes-junior/croplus.py:749 ../eyes-junior/pt100.py:243
+#: ../eyes/pt100.py:229
+msgid "Calibrate"
+msgstr ""
+
+#: ../eyes/calibrate.py:174
+msgid "Verify CH0"
+msgstr ""
+
+#: ../eyes/calibrate.py:176
+msgid "Verify CH1"
+msgstr ""
+
+#: ../eyes/calibrate.py:182
+msgid "msg"
+msgstr ""
+
+#: ../eyes/calibrate.py:185
+msgid "AD/DA CALIBRATION. NOT FOR EVERYONE!!"
+msgstr ""
+
+#: ../eyes/cro.py:38
+msgid "You can select SEN, A2 or (A1, A0 or both)"
+msgstr ""
+
+#: ../eyes/cro.py:63
+msgid "NP delay = "
+msgstr ""
+
+#: ../eyes/cro.py:76 ../eyes/cro.py:85 ../eyes/cro.py:95
+#, python-format
+msgid "Vpeak = %5.2f V | Freq = %5.2f Hz"
+msgstr ""
+
+#: ../eyes/cro.py:106
+#, python-format
+msgid "CH0 Vp = %5.2f V | Freq = %5.2f Hz "
+msgstr ""
+
+#: ../eyes/cro.py:110
+#, python-format
+msgid "CH1 Vp = %5.2f V | Freq = %5.2f Hz"
+msgstr ""
+
+#: ../eyes/cro.py:126 ../eyes/explore.py:515 ../eyes-junior/RCcircuit.py:117
+#: ../eyes-junior/RLCdischarge.py:97 ../eyes-junior/RLcircuit.py:119
+#: ../eyes/RCcircuit.py:114 ../eyes/RLCdischarge.py:98
+#: ../eyes/RLcircuit.py:118
+msgid "mS/div"
+msgstr ""
+
+#: ../eyes/cro.py:131 ../eyes-junior/ac-circuit.py:184
+#: ../eyes-junior/croplus.py:572
+msgid "Volt/div"
+msgstr ""
+
+#: ../eyes/cro.py:153 ../eyes-junior/logger.py:134 ../eyes/logger.py:134
+msgid "SEN"
+msgstr ""
+
+#: ../eyes/cro.py:168
+msgid "EYES CRO Program"
+msgstr ""
+
+#: ../eyes/diode_iv.py:42 ../eyes/diode_iv.py:77 ../eyes/diode_iv.py:119
+#: ../eyes-junior/diode_iv.py:37 ../eyes-junior/diode_iv.py:72
+#: ../eyes-junior/diode_iv.py:113 ../eyes-junior/pt100.py:228
+#: ../eyes-junior/RCcircuit.py:108 ../eyes-junior/RLCdischarge.py:92
+#: ../eyes-junior/RLcircuit.py:110 ../eyes-junior/transistor.py:97
+#: ../eyes-junior/transistor.py:126 ../eyes/LED_iv.py:39 ../eyes/LED_iv.py:80
+#: ../eyes/LED_iv.py:136 ../eyes/phototransistor.py:73
+#: ../eyes/phototransistor.py:99 ../eyes/RCcircuit.py:105
+#: ../eyes/resistor_iv.py:86 ../eyes/resistor_iv.py:121
+#: ../eyes/RLCdischarge.py:93 ../eyes/RLcircuit.py:109
+#: ../eyes/transistor.py:97 ../eyes/transistor.py:124
+msgid "mA"
+msgstr ""
+
+#: ../eyes/diode_iv.py:73 ../eyes-junior/diode_iv.py:68 ../eyes/LED_iv.py:70
+msgid "Starting to plot I-V"
+msgstr ""
+
+#: ../eyes/diode_iv.py:77 ../eyes/interference-sound.py:97
+#: ../eyes-junior/diode_iv.py:72 ../eyes-junior/interference-sound.py:97
+#: ../eyes-junior/RCcircuit.py:85 ../eyes-junior/RLCdischarge.py:69
+#: ../eyes-junior/RLcircuit.py:87 ../eyes-junior/sound-burst.py:82
+#: ../eyes-junior/transistor.py:97 ../eyes-junior/velocity-sound.py:79
+#: ../eyes/LED_iv.py:80 ../eyes/phototransistor.py:73 ../eyes/RCcircuit.py:81
+#: ../eyes/resistor_iv.py:85 ../eyes/RLCdischarge.py:69
+#: ../eyes/RLcircuit.py:85 ../eyes/sound.py:87 ../eyes/transistor.py:97
+#: ../eyes/velocity-sound.py:85
+msgid "Volts"
+msgstr ""
+
+#: ../eyes/diode_iv.py:77 ../eyes-junior/diode_iv.py:72
+#: ../eyes-junior/transistor.py:97 ../eyes/phototransistor.py:73
+#: ../eyes/transistor.py:97
+msgid "Diode IV Curve"
+msgstr ""
+
+#: ../eyes/diode_iv.py:87 ../eyes/freq-response.py:99 ../eyes/induction.py:96
+#: ../eyes/interference-sound.py:93 ../eyes-junior/diode_iv.py:82
+#: ../eyes-junior/freq-response.py:99 ../eyes-junior/induction.py:98
+#: ../eyes-junior/interference-sound.py:93 ../eyes-junior/RCcircuit.py:81
+#: ../eyes-junior/RLCdischarge.py:65 ../eyes-junior/RLcircuit.py:83
+#: ../eyes-junior/sound-burst.py:96 ../eyes-junior/transistor.py:107
+#: ../eyes-junior/velocity-sound.py:75 ../eyes/LED_iv.py:90
+#: ../eyes/phototransistor.py:83 ../eyes/RCcircuit.py:77
+#: ../eyes/resistor_iv.py:102 ../eyes/RLCdischarge.py:65
+#: ../eyes/RLcircuit.py:81 ../eyes/sound.py:83 ../eyes/transistor.py:107
+#: ../eyes/velocity-sound.py:81
+msgid "Data saved to file "
+msgstr ""
+
+#: ../eyes/diode_iv.py:102 ../eyes-junior/diode_iv.py:97
+#, python-format
+msgid "Fitted with Diode Equation : Io = %5.2e mA , Ideality factor = %5.2f"
+msgstr ""
+
+#: ../eyes/diode_iv.py:125
+msgid "ZENER"
+msgstr ""
+
+#: ../eyes/diode_iv.py:129 ../eyes/freq-response.py:126
+#: ../eyes-junior/diode_iv.py:120 ../eyes-junior/freq-response.py:125
+#: ../eyes-junior/logger.py:162 ../eyes-junior/pendulum.py:166
+#: ../eyes-junior/pt100.py:231 ../eyes-junior/rodpend.py:132
+#: ../eyes-junior/transistor.py:141 ../eyes/LED_iv.py:144
+#: ../eyes/logger.py:162 ../eyes/pendulum.py:128
+#: ../eyes/phototransistor.py:106 ../eyes/pt100.py:214
+#: ../eyes/resistor_iv.py:128 ../eyes/rodpend.py:160
+#: ../eyes/temp-controller.py:198 ../eyes/transistor.py:139
+msgid "SAVE to"
+msgstr ""
+
+#: ../eyes/diode_iv.py:140 ../eyes/freq-response.py:138
+#: ../eyes-junior/diode_iv.py:131 ../eyes-junior/freq-response.py:137
+#: ../eyes-junior/transistor.py:152 ../eyes/LED_iv.py:155
+#: ../eyes/phototransistor.py:117 ../eyes/resistor_iv.py:140
+#: ../eyes/transistor.py:150
+msgid "Grace"
+msgstr ""
+
+#: ../eyes/diode_iv.py:147 ../eyes/gravity_tof.py:95
+#: ../eyes-junior/diode_iv.py:138 ../eyes-junior/logger.py:174
+#: ../eyes-junior/pendulum.py:177 ../eyes-junior/pt100.py:251
+#: ../eyes-junior/rodpend.py:148 ../eyes-junior/transistor.py:159
+#: ../eyes/LED_iv.py:162 ../eyes/logger.py:174 ../eyes/pendulum.py:139
+#: ../eyes/phototransistor.py:124 ../eyes/pt100.py:234
+#: ../eyes/resistor_iv.py:145 ../eyes/rodpend.py:188
+#: ../eyes/temp-controller.py:210 ../eyes/transistor.py:157
+msgid "Message"
+msgstr ""
+
+#: ../eyes/diode_iv.py:150 ../eyes-junior/diode_iv.py:141
+msgid "Diode IV Characteristic"
+msgstr ""
+
+#: ../eyes/diode_iv.py:151 ../eyes-junior/diode_iv.py:142
+msgid "EYES: Diode IV characteristics"
+msgstr ""
+
+#: ../eyes/explore.py:32
+msgid ""
+"For help, click on the Terminal Boxes(1 to 32).\n"
+"LIZ : Lissajous figure.\n"
+msgstr ""
+
+#: ../eyes/explore.py:33
+msgid ""
+"FT : Fourier Transform power spectrum.\n"
+"XM : Xmgrace 2D plotting program\n"
+msgstr ""
+
+#: ../eyes/explore.py:34
+msgid "XmGrace is NOT available under MSWindows"
+msgstr ""
+
+#: ../eyes/explore.py:35
+msgid ""
+"1.Software can read the voltage input level, LOW ( < .8V) or HIGH (>2V).\n"
+msgstr ""
+
+#: ../eyes/explore.py:36
+msgid ""
+"If a square wave input is given, click on the Buttons for measuring "
+"frequency / duty cycle"
+msgstr ""
+
+#: ../eyes/explore.py:37
+msgid "2. Can sense input level"
+msgstr ""
+
+#: ../eyes/explore.py:38
+msgid ""
+"3. Digital Output.  Can be set to 0 or 5 volts.\n"
+"Use the Checkbutton to change the Level"
+msgstr ""
+
+#: ../eyes/explore.py:39
+msgid ""
+"4. Digital Output.  Can be set to 0 or 5 volts.\n"
+"Use the Checkbutton to change the Level"
+msgstr ""
+
+#: ../eyes/explore.py:40
+msgid "5. Ground (zero volts)"
+msgstr ""
+
+#: ../eyes/explore.py:41
+msgid ""
+"6. SQR1: Generates Square Wave. Voltage swings between 0 and 5V. Frequency "
+"is programmable from "
+msgstr ""
+
+#: ../eyes/explore.py:42
+msgid "Hz to1 MHz. All intermediate values of frequency are not possible."
+msgstr ""
+
+#: ../eyes/explore.py:43
+msgid ""
+"7. SQR2: Generates Square Wave. The frequency range is controlled by "
+"software and fine adjustment "
+msgstr ""
+
+#: ../eyes/explore.py:44
+msgid ""
+"is done by an external 22 kOhm variable resistor. Frequency range is from "
+"0.7 Hz to 90 kHz."
+msgstr ""
+
+#: ../eyes/explore.py:45
+msgid "8. 22 kOhm resistor used for frequency adjustment of SQR2."
+msgstr ""
+
+#: ../eyes/explore.py:46
+msgid "9. 22 kOhm resistor used for frequency adjustment of SQR2."
+msgstr ""
+
+#: ../eyes/explore.py:47
+#, python-format
+msgid ""
+"10. Programmable Pulse. Frequency is 488.3 Hz. Duty cycle from 0 to 100%% in "
+"255 steps."
+msgstr ""
+
+#: ../eyes/explore.py:48
+msgid "11. Ground"
+msgstr ""
+
+#: ../eyes/explore.py:49
+msgid "12. Output of Inverting Amplifier with a gain of 47. (Input at 14)"
+msgstr ""
+
+#: ../eyes/explore.py:50
+msgid "13. Output of Inverting Amplifier with a gain of 47. (Input at 15)"
+msgstr ""
+
+#: ../eyes/explore.py:51
+msgid "14. Input of Inverting Amplifier with a gain of 47. (Output at 12)"
+msgstr ""
+
+#: ../eyes/explore.py:52
+msgid ""
+"15. Input of Inverting Amplifier with a gain of 47. (Output at 13). Also "
+"acts as a Frequency counter, "
+msgstr ""
+
+#: ../eyes/explore.py:53
+msgid ""
+"for a bipolar a signal (amplitude from 100 mV to 5V). If the signal is "
+"unipolar feed it "
+msgstr ""
+
+#: ../eyes/explore.py:54
+msgid "via a series capacitor"
+msgstr ""
+
+#: ../eyes/explore.py:55
+msgid "16. Ground"
+msgstr ""
+
+#: ../eyes/explore.py:56
+msgid ""
+"17. Input of Inverting Amplifier. Default Gain=100. The gain can be reduced "
+"by a series resistor at the input. "
+msgstr ""
+
+#: ../eyes/explore.py:57
+msgid ""
+"The gain will be given by G = 10000/(100+R), where R is the value of the "
+"external series resistor."
+msgstr ""
+
+#: ../eyes/explore.py:58
+msgid "18. Output of the Inverting Amplifier (Input 17)"
+msgstr ""
+
+#: ../eyes/explore.py:59
+msgid "19. Ground"
+msgstr ""
+
+#: ../eyes/explore.py:60
+msgid ""
+"20. Gain control resistor for Non-Inverting amplifier, from 20 to Ground. "
+"Gain = 1 + 10000/Rg."
+msgstr ""
+
+#: ../eyes/explore.py:61
+msgid "21. Input of Non-Inverting Amplifier (Output 22)"
+msgstr ""
+
+#: ../eyes/explore.py:62
+msgid "22. Output of Non-Inverting Amplifier(Input 21)"
+msgstr ""
+
+#: ../eyes/explore.py:63
+msgid ""
+"23. Sensor Input. Connect Photo transistor collector here and emitter to "
+"Ground."
+msgstr ""
+
+#: ../eyes/explore.py:64
+msgid "24. Voltage measurement terminal. Input must be in the 0 to 5V range."
+msgstr ""
+
+#: ../eyes/explore.py:65
+msgid "25. Voltage measurement terminal. Input must be in the -5V to 5V range."
+msgstr ""
+
+#: ../eyes/explore.py:66
+msgid "26. Voltage measurement terminal. Input must be in the -5V to 5V range."
+msgstr ""
+
+#: ../eyes/explore.py:67
+msgid "27. Ground"
+msgstr ""
+
+#: ../eyes/explore.py:68
+msgid ""
+"28. Programmable constant current source. 0.05 to 2 milli ampere range. The "
+"load resistor "
+msgstr ""
+
+#: ../eyes/explore.py:69
+msgid "should be chosen to make the product of I and R less than 2 volts."
+msgstr ""
+
+#: ../eyes/explore.py:70
+msgid ""
+"29. Output of 30 through a 1kOhm resistor. Used for doing diode I-V "
+"characteristic."
+msgstr ""
+
+#: ../eyes/explore.py:71
+msgid "30. Programmable voltage between -5V to +5V."
+msgstr ""
+
+#: ../eyes/explore.py:72
+msgid "31. Programmable voltage between 0 to +5V."
+msgstr ""
+
+#: ../eyes/explore.py:73
+msgid ""
+"32. Sine wave output. Frequency around 90 Hz. Voltage swings between -4V to "
+"+4V."
+msgstr ""
+
+#: ../eyes/explore.py:121 ../eyes-junior/croplus.py:707
+msgid "EXPERIMENTS"
+msgstr ""
+
+#: ../eyes/explore.py:165 ../eyes/explore.py:321
+msgid "LO"
+msgstr ""
+
+#: ../eyes/explore.py:176 ../eyes/explore.py:181 ../eyes/explore.py:184
+msgid "F"
+msgstr ""
+
+#: ../eyes/explore.py:192 ../eyes/explore.py:201 ../eyes/explore.py:210
+#: ../eyes/explore.py:283 ../eyes/explore.py:315 ../eyes/explore.py:421
+#: ../eyes/explore.py:429
+msgid "0 Hz"
+msgstr ""
+
+#: ../eyes/explore.py:236
+msgid "Traces saved to explore.dat"
+msgstr ""
+
+#: ../eyes/explore.py:240 ../eyes-junior/ac-circuit.py:143
+#: ../eyes-junior/croplus.py:494
+msgid "Could not find Xmgrace or Pygrace. Install them"
+msgstr ""
+
+#: ../eyes/explore.py:246
+msgid "Could not find scipy package. Install it"
+msgstr ""
+
+#: ../eyes/explore.py:255
+msgid "Fourier transform Saved to exploreFFT.dat."
+msgstr ""
+
+#: ../eyes/explore.py:324
+msgid "HI"
+msgstr ""
+
+#: ../eyes/explore.py:352
+msgid "python-scipy not installed. Required for data fitting"
+msgstr ""
+
+#: ../eyes/explore.py:360
+#, python-format
+msgid "CH%d %5.2f V , F= %5.2f Hz"
+msgstr ""
+
+#: ../eyes/explore.py:362
+#, python-format
+msgid "CH%d nosig "
+msgstr ""
+
+#: ../eyes/explore.py:371
+#, python-format
+msgid "CH0 : %5.2f V , %5.2f Hz "
+msgstr ""
+
+#: ../eyes/explore.py:373
+msgid "CH0: no signal "
+msgstr ""
+
+#: ../eyes/explore.py:380
+#, python-format
+msgid "| CH1 %5.2f V , %5.2f Hz"
+msgstr ""
+
+#: ../eyes/explore.py:382
+#, python-format
+msgid " | dphi= %5.1f"
+msgstr ""
+
+#: ../eyes/explore.py:384
+msgid "| CH1:no signal "
+msgstr ""
+
+#: ../eyes/explore.py:436
+msgid "Transaction Error."
+msgstr ""
+
+#: ../eyes/explore.py:539
+msgid "LIZ"
+msgstr ""
+
+#: ../eyes/explore.py:546
+msgid "Save"
+msgstr ""
+
+#: ../eyes/explore.py:548
+msgid "FT"
+msgstr ""
+
+#: ../eyes/explore.py:551
+msgid "XM"
+msgstr ""
+
+#: ../eyes/explore.py:575
+msgid "Resistor IV"
+msgstr ""
+
+#: ../eyes/explore.py:576 ../eyes-junior/croplus.py:732
+msgid "RC Circuit"
+msgstr ""
+
+#: ../eyes/explore.py:577 ../eyes-junior/croplus.py:733
+msgid "RL Circuit"
+msgstr ""
+
+#: ../eyes/explore.py:578 ../eyes-junior/croplus.py:734
+#: ../eyes/RLCdischarge.py:127
+msgid "RLC Discharge"
+msgstr ""
+
+#: ../eyes/explore.py:579 ../eyes-junior/croplus.py:735
+msgid "EM Induction"
+msgstr ""
+
+#: ../eyes/explore.py:580 ../eyes-junior/croplus.py:736
+msgid "Diode IV"
+msgstr ""
+
+#: ../eyes/explore.py:581
+msgid "LED IV"
+msgstr ""
+
+#: ../eyes/explore.py:582 ../eyes-junior/croplus.py:737
+msgid "Transistor CE"
+msgstr ""
+
+#: ../eyes/explore.py:583 ../eyes-junior/croplus.py:739
+msgid "Frequency Response"
+msgstr ""
+
+#: ../eyes/explore.py:584 ../eyes-junior/croplus.py:740
+#: ../eyes-junior/velocity-sound.py:129 ../eyes/usound_tof.py:41
+#: ../eyes/velocity-sound.py:140
+msgid "Velocity of Sound"
+msgstr ""
+
+#: ../eyes/explore.py:585 ../eyes-junior/croplus.py:741
+msgid "Interference of Sound"
+msgstr ""
+
+#: ../eyes/explore.py:586
+msgid "Photo-Transistor CE"
+msgstr ""
+
+#: ../eyes/explore.py:587 ../eyes-junior/croplus.py:744
+msgid "Rod Pendulum"
+msgstr ""
+
+#: ../eyes/explore.py:588
+msgid "Gravity TOF"
+msgstr ""
+
+#: ../eyes/explore.py:589 ../eyes-junior/croplus.py:745
+msgid "Pendulum Wavefrorm"
+msgstr ""
+
+#: ../eyes/explore.py:590
+msgid "40 kHz Piezo TOF"
+msgstr ""
+
+#: ../eyes/explore.py:591 ../eyes-junior/croplus.py:746
+msgid "PT100 Sensor"
+msgstr ""
+
+#: ../eyes/explore.py:592
+msgid "Temp Comptroller"
+msgstr ""
+
+#: ../eyes/explore.py:593 ../eyes-junior/croplus.py:748
+msgid "Data Logger"
+msgstr ""
+
+#: ../eyes/explore.py:594
+msgid "CRO"
+msgstr ""
+
+#: ../eyes/explore.py:595 ../eyes-junior/croplus.py:738
+msgid "AM and FM"
+msgstr ""
+
+#: ../eyes/explore.py:596
+msgid "Music"
+msgstr ""
+
+#: ../eyes/explore.py:607
+msgid "Finished "
+msgstr ""
+
+#: ../eyes/explore.py:614 ../eyes-junior/croplus.py:758
+msgid "Finished \""
+msgstr ""
+
+#: ../eyes/explore.py:627
+msgid "EYES Hardware NOT found."
+msgstr ""
+
+#: ../eyes/explore.py:628
+msgid ""
+"EYES Hardware Not Found.\n"
+"Re-Connect USB cable and restart the program."
+msgstr ""
+
+#: ../eyes/explore.py:632
+msgid "EYES Hardware found on "
+msgstr ""
+
+#: ../eyes/freq-response.py:62
+#, python-format
+msgid "Fo = %5.0f Hz"
+msgstr ""
+
+#: ../eyes/freq-response.py:82 ../eyes-junior/freq-response.py:82
+msgid "Frequency"
+msgstr ""
+
+#: ../eyes/freq-response.py:83 ../eyes-junior/freq-response.py:83
+msgid "Amplitude"
+msgstr ""
+
+#: ../eyes/freq-response.py:84 ../eyes-junior/freq-response.py:84
+msgid "Frequency response curve"
+msgstr ""
+
+#: ../eyes/freq-response.py:119 ../eyes-junior/croplus.py:105
+#: ../eyes-junior/freq-response.py:118
+msgid "Freq"
+msgstr ""
+
+#: ../eyes/freq-response.py:119 ../eyes-junior/croplus.py:105
+#: ../eyes-junior/freq-response.py:118
+msgid "Amp"
+msgstr ""
+
+#: ../eyes/freq-response.py:132 ../eyes-junior/freq-response.py:131
+msgid "Fmax = "
+msgstr ""
+
+#: ../eyes/freq-response.py:143 ../eyes-junior/freq-response.py:142
+msgid ""
+"Connect Piezo from SQR1 to GND. Microphone to 16,15 & 31. Wire from 13 to 26"
+msgstr ""
+
+#: ../eyes/freq-response.py:146 ../eyes-junior/freq-response.py:145
+msgid "Frequency Response Curve"
+msgstr ""
+
+#: ../eyes/freq-response.py:147 ../eyes-junior/freq-response.py:146
+msgid "Audio Frequency response Curve"
+msgstr ""
+
+#: ../eyes/gravity_tof2.py:39
+#, python-format
+msgid "Value of \"g\" by fitting the data points = %5.2f"
+msgstr ""
+
+#: ../eyes/gravity_tof2.py:69
+msgid "Invalid H"
+msgstr ""
+
+#: ../eyes/gravity_tof2.py:80
+#, python-format
+msgid "t=%6.4f g=%5.1f"
+msgstr ""
+
+#: ../eyes/gravity_tof2.py:82
+msgid "Timeout Err"
+msgstr ""
+
+#: ../eyes/gravity_tof2.py:94
+msgid "Attach Ball at H="
+msgstr ""
+
+#: ../eyes/gravity_tof2.py:100 ../eyes/gravity_tof.py:61
+msgid "cm"
+msgstr ""
+
+#: ../eyes/gravity_tof2.py:102 ../eyes/gravity_tof.py:65
+msgid "Measure TOF"
+msgstr ""
+
+#: ../eyes/gravity_tof2.py:109
+msgid "Acceleration due to gravity by Time of Flight"
+msgstr ""
+
+#: ../eyes/gravity_tof2.py:116 ../eyes/induction.py:118
+#: ../eyes/interference-sound.py:155 ../eyes-junior/induction.py:119
+#: ../eyes-junior/interference-sound.py:152 ../eyes-junior/RCcircuit.py:142
+#: ../eyes-junior/RLCdischarge.py:107 ../eyes-junior/RLcircuit.py:131
+#: ../eyes-junior/sound-burst.py:131 ../eyes-junior/velocity-sound.py:115
+#: ../eyes/RCcircuit.py:137 ../eyes/RLCdischarge.py:108
+#: ../eyes/RLcircuit.py:130 ../eyes/sound.py:125 ../eyes/velocity-sound.py:126
+msgid "Save to"
+msgstr ""
+
+#: ../eyes/gravity_tof2.py:124 ../eyes/gravity_tof.py:100
+msgid "Gravity by TOF"
+msgstr ""
+
+#: ../eyes/gravity_tof2.py:125 ../eyes/gravity_tof.py:101
+msgid "Gravity by Time of Flight"
+msgstr ""
+
+#: ../eyes/gravity_tof.py:26 ../eyes/gravity_tof.py:35
+#: ../eyes/usound_tof.py:18
+#, python-format
+msgid "%8.6f sec"
+msgstr ""
+
+#: ../eyes/gravity_tof.py:28
+msgid "Timeout Error.."
+msgstr ""
+
+#: ../eyes/gravity_tof.py:37 ../eyes/looptest.py:15 ../eyes/usound_tof.py:20
+msgid "Error.."
+msgstr ""
+
+#: ../eyes/gravity_tof.py:46
+msgid " Error"
+msgstr ""
+
+#: ../eyes/gravity_tof.py:55
+msgid "Height="
+msgstr ""
+
+#: ../eyes/gravity_tof.py:63
+msgid "Attach Ball"
+msgstr ""
+
+#: ../eyes/induction.py:55 ../eyes-junior/induction.py:55
+#, python-format
+msgid "Voltage Scan on Coil Done. Noise Voltage = %5.3f V"
+msgstr ""
+
+#: ../eyes/induction.py:115 ../eyes-junior/induction.py:116
+#: ../eyes-junior/sound-burst.py:122
+msgid "Start Scanning"
+msgstr ""
+
+#: ../eyes/induction.py:125 ../eyes-junior/induction.py:126
+#: ../eyes-junior/sound-burst.py:126
+msgid "VIEW"
+msgstr ""
+
+#: ../eyes/induction.py:135 ../eyes-junior/induction.py:136
+msgid "Electromagnetic Induction"
+msgstr ""
+
+#: ../eyes/induction.py:136
+msgid "EYES: Electromagnetic Induction"
+msgstr ""
+
+#: ../eyes/interference-sound.py:38 ../eyes-junior/interference-sound.py:41
+#, python-format
+msgid "Freq = %5.0f Hz"
+msgstr ""
+
+#: ../eyes/interference-sound.py:40 ../eyes-junior/interference-sound.py:43
+#: ../eyes-junior/velocity-sound.py:46 ../eyes/velocity-sound.py:47
+msgid "No Signal"
+msgstr ""
+
+#: ../eyes/interference-sound.py:85 ../eyes-junior/interference-sound.py:85
+#: ../eyes-junior/velocity-sound.py:67 ../eyes/sound.py:75
+#: ../eyes/velocity-sound.py:73
+msgid "Fourier transform Saved to FFT.dat."
+msgstr ""
+
+#: ../eyes/interference-sound.py:97 ../eyes-junior/interference-sound.py:97
+#: ../eyes-junior/RCcircuit.py:85 ../eyes-junior/RLCdischarge.py:69
+#: ../eyes-junior/RLcircuit.py:87 ../eyes-junior/sound-burst.py:82
+#: ../eyes-junior/velocity-sound.py:79 ../eyes/RCcircuit.py:81
+#: ../eyes/RLCdischarge.py:69 ../eyes/RLcircuit.py:85 ../eyes/sound.py:87
+#: ../eyes/velocity-sound.py:85
+msgid "milliSeconds"
+msgstr ""
+
+#: ../eyes/interference-sound.py:113 ../eyes-junior/interference-sound.py:111
+#: ../eyes-junior/RCcircuit.py:110 ../eyes-junior/RLcircuit.py:112
+#: ../eyes/RCcircuit.py:107 ../eyes/RLcircuit.py:111 ../eyes/sound.py:101
+#: ../eyes/velocity-sound.py:101
+msgid "EYES Hardware Not Found. Check Connections and restart the program"
+msgstr ""
+
+#: ../eyes/interference-sound.py:121
+msgid "NS ="
+msgstr ""
+
+#: ../eyes/interference-sound.py:128
+msgid "PULSE="
+msgstr ""
+
+#: ../eyes/interference-sound.py:137 ../eyes-junior/interference-sound.py:125
+msgid "SQR1="
+msgstr ""
+
+#: ../eyes/interference-sound.py:149 ../eyes-junior/interference-sound.py:146
+#: ../eyes-junior/pendulum.py:164 ../eyes-junior/pt100.py:229
+#: ../eyes-junior/RCcircuit.py:138 ../eyes-junior/RLCdischarge.py:114
+#: ../eyes-junior/RLcircuit.py:139 ../eyes-junior/rodpend.py:138
+#: ../eyes-junior/velocity-sound.py:109 ../eyes/pendulum.py:126
+#: ../eyes/pt100.py:212 ../eyes/RCcircuit.py:133 ../eyes/RLCdischarge.py:115
+#: ../eyes/RLcircuit.py:138 ../eyes/rodpend.py:169 ../eyes/sound.py:132
+#: ../eyes/temp-controller.py:196 ../eyes/velocity-sound.py:120
+msgid "Xmgrace"
+msgstr ""
+
+#: ../eyes/interference-sound.py:152 ../eyes-junior/interference-sound.py:149
+#: ../eyes-junior/velocity-sound.py:112 ../eyes/sound.py:134
+#: ../eyes/velocity-sound.py:123
+msgid "FFT"
+msgstr ""
+
+#: ../eyes/interference-sound.py:169 ../eyes-junior/interference-sound.py:166
+msgid "Sound Interference"
+msgstr ""
+
+#: ../eyes/interference-sound.py:170 ../eyes-junior/interference-sound.py:167
+msgid "EYES: Interference of Sound"
+msgstr ""
+
+#: ../eyes/janagana.py:66
+msgid "Exiting"
+msgstr ""
+
+#: ../eyes/janagana.py:79
+msgid "Playing Music"
+msgstr ""
+
+#: ../eyes-junior/ac-circuit.py:58
+#, python-format
+msgid "X-scale changed to %d mS/div.Capturing %d samples with %d usec spacing"
+msgstr ""
+
+#: ../eyes-junior/ac-circuit.py:107
+msgid "Error in Fit (A0: Black &Yellow, A1-Red & Green). Try Changing X-scale"
+msgstr ""
+
+#: ../eyes-junior/ac-circuit.py:110
+#, python-format
+msgid "Frequency = %5.1f Hz"
+msgstr ""
+
+#: ../eyes-junior/ac-circuit.py:111
+#, python-format
+msgid "A1:Total voltage = %5.2f V"
+msgstr ""
+
+#: ../eyes-junior/ac-circuit.py:112
+#, python-format
+msgid "A2:Voltage across R = %5.2f V"
+msgstr ""
+
+#: ../eyes-junior/ac-circuit.py:113
+#, python-format
+msgid "A1-A2:Voltage across LC = %5.2f V"
+msgstr ""
+
+#: ../eyes-junior/ac-circuit.py:114
+#, python-format
+msgid "Phase Shift = %5.1f deg"
+msgstr ""
+
+#: ../eyes-junior/ac-circuit.py:122
+msgid "Curve Fitfing failed. Try changing X scale"
+msgstr ""
+
+#: ../eyes-junior/ac-circuit.py:124
+msgid "Capture Error. Check input voltage levels."
+msgstr ""
+
+#: ../eyes-junior/ac-circuit.py:138 ../eyes-junior/croplus.py:486
+#, python-format
+msgid "Traces saved to %s"
+msgstr ""
+
+#: ../eyes-junior/ac-circuit.py:145 ../eyes-junior/croplus.py:496
+msgid "Traces send to Xmgrace"
+msgstr ""
+
+#: ../eyes-junior/ac-circuit.py:160
+#, python-format
+msgid ""
+"XC = %5.1f   XL = %5.1f\n"
+"Dphi = %5.1f degree"
+msgstr ""
+
+#: ../eyes-junior/ac-circuit.py:163
+msgid "Wrong Input"
+msgstr ""
+
+#: ../eyes-junior/ac-circuit.py:178 ../eyes-junior/croplus.py:567
+msgid "mSec/div"
+msgstr ""
+
+#: ../eyes-junior/ac-circuit.py:190 ../eyes-junior/croplus.py:688
+msgid "Save Traces to"
+msgstr ""
+
+#: ../eyes-junior/ac-circuit.py:196 ../eyes-junior/sound-burst.py:128
+msgid "XmGrace"
+msgstr ""
+
+#: ../eyes-junior/ac-circuit.py:203
+msgid ""
+"Connect SINE to A1, R from A2 to GND. Inductor and/or Capacitor from A1 to "
+"A2."
+msgstr ""
+
+#: ../eyes-junior/ac-circuit.py:212
+msgid "Phasor Plot"
+msgstr ""
+
+#: ../eyes-junior/ac-circuit.py:227
+msgid "Calculator"
+msgstr ""
+
+#: ../eyes-junior/ac-circuit.py:230 ../eyes-junior/velocity-sound.py:103
+#: ../eyes/velocity-sound.py:114
+msgid "Freq="
+msgstr ""
+
+#: ../eyes-junior/ac-circuit.py:234
+msgid "Hz R="
+msgstr ""
+
+#: ../eyes-junior/ac-circuit.py:238 ../eyes-junior/calibrate.py:155
+#: ../eyes/pt100.py:196
+msgid "Ohm"
+msgstr ""
+
+#: ../eyes-junior/ac-circuit.py:242
+msgid "C="
+msgstr ""
+
+#: ../eyes-junior/ac-circuit.py:246
+msgid "uF. L="
+msgstr ""
+
+#: ../eyes-junior/ac-circuit.py:250
+msgid "mH"
+msgstr ""
+
+#: ../eyes-junior/ac-circuit.py:252
+msgid "Calculate XL, XC and Angle"
+msgstr ""
+
+#: ../eyes-junior/ac-circuit.py:258
+msgid "Study of AC Circuit"
+msgstr ""
+
+#: ../eyes-junior/ac-circuit.py:259 ../eyes-junior/croplus.py:731
+msgid "Study of AC Circuits"
+msgstr ""
+
+#: ../eyes-junior/amfm.py:110
+msgid "PVS ="
+msgstr ""
+
+#: ../eyes-junior/calibrate.py:24
+msgid "PVS is NOT connected to A1"
+msgstr ""
+
+#: ../eyes-junior/calibrate.py:28
+msgid "PVS is NOT connected to A2"
+msgstr ""
+
+#: ../eyes-junior/calibrate.py:53
+#, python-format
+msgid "Too much error in A1: m = %f  c=%f"
+msgstr ""
+
+#: ../eyes-junior/calibrate.py:70
+#, python-format
+msgid "Too much error in A2: m = %f  c=%f"
+msgstr ""
+
+#: ../eyes-junior/calibrate.py:74 ../eyes-junior/calibrate.py:94
+msgid "EEPROM write failed. Old Firmware ?"
+msgstr ""
+
+#: ../eyes-junior/calibrate.py:76
+#, python-format
+msgid ""
+"m1 = %f   c1 = %6.3f\n"
+"m2 = %f   c2 = %6.3f"
+msgstr ""
+
+#: ../eyes-junior/calibrate.py:77
+msgid "A1&A2 Calibration Saved to EEPROM\n"
+msgstr ""
+
+#: ../eyes-junior/calibrate.py:87
+msgid "Enter the R connected to SEN"
+msgstr ""
+
+#: ../eyes-junior/calibrate.py:91
+#, python-format
+msgid "Wrong Resistor ??. Calculated Rp =%5.1f Ohm"
+msgstr ""
+
+#: ../eyes-junior/calibrate.py:97
+#, python-format
+msgid "Calibrated SEN. Rp =%5.0f"
+msgstr ""
+
+#: ../eyes-junior/calibrate.py:107
+#, python-format
+msgid "Empty Socket is %5.1f pF"
+msgstr ""
+
+#: ../eyes-junior/calibrate.py:109
+msgid "IN1 not empty"
+msgstr ""
+
+#: ../eyes-junior/calibrate.py:114
+msgid "Measure Socket capacitance first"
+msgstr ""
+
+#: ../eyes-junior/calibrate.py:119
+msgid "Enter the C connected to IN1"
+msgstr ""
+
+#: ../eyes-junior/calibrate.py:125
+msgid "Mesuring capacitor failed"
+msgstr ""
+
+#: ../eyes-junior/calibrate.py:130
+#, python-format
+msgid "Too much error: Socket C= %f CF=%f"
+msgstr ""
+
+#: ../eyes-junior/calibrate.py:133
+msgid "Write to EEPROM failed"
+msgstr ""
+
+#: ../eyes-junior/calibrate.py:135
+#, python-format
+msgid "Saved: Socket C = %5.1f pF. CF = %5f %%"
+msgstr ""
+
+#: ../eyes-junior/calibrate.py:139
+msgid "Calibration of Inputs A1 & A2"
+msgstr ""
+
+#: ../eyes-junior/calibrate.py:141
+msgid "Connect PVS to both A1 and A2"
+msgstr ""
+
+#: ../eyes-junior/calibrate.py:142 ../eyes-junior/calibrate.py:187
+msgid "Calibrate A1 & A2"
+msgstr ""
+
+#: ../eyes-junior/calibrate.py:146
+msgid "Calibration of Resistor on SEN"
+msgstr ""
+
+#: ../eyes-junior/calibrate.py:150
+msgid "Enter the Resistance connected from SEN to GND="
+msgstr ""
+
+#: ../eyes-junior/calibrate.py:156
+msgid "and Click here to Calibrate SEN"
+msgstr ""
+
+#: ../eyes-junior/calibrate.py:161
+msgid "Calibration of IN1 for Capacitance"
+msgstr ""
+
+#: ../eyes-junior/calibrate.py:163
+msgid "First, Click Here without Capacitor on IN1"
+msgstr ""
+
+#: ../eyes-junior/calibrate.py:167
+msgid "Enter the Capacitance connected to IN1"
+msgstr ""
+
+#: ../eyes-junior/calibrate.py:172
+msgid "pF"
+msgstr ""
+
+#: ../eyes-junior/calibrate.py:173
+msgid "and Click Here to Calibrate IN1"
+msgstr ""
+
+#: ../eyes-junior/calibrate.py:177
+msgid "calibration program"
+msgstr ""
+
+#: ../eyes-junior/calibrate.py:182
+msgid "Exit"
+msgstr ""
+
+#: ../eyes-junior/calibrate.py:186
+msgid "EYES Junior Hardware not found"
+msgstr ""
+
+#: ../eyes-junior/calibrate.py:188
+msgid "EYES Junior Calibration"
+msgstr ""
+
+#: ../eyes-junior/change-pvs.py:15
+#, python-format
+msgid "PVS = %5.3f volts"
+msgstr ""
+
+#: ../eyes-junior/change-pvs.py:17
+msgid ""
+"To change PVS drag the slider. For fine adjustment, click on its left or "
+"right"
+msgstr ""
+
+#: ../eyes-junior/change-pvs.py:23
+msgid "EYES Junior: Adjust PVS"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:53
+msgid "Analog Trigger"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:53
+msgid "Wait for HIGH"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:53
+msgid "Wait for LOW"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:54
+msgid "Wait for Rising Edge"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:54
+msgid "Wait for Falling Edge"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:55
+msgid "Set HIGH"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:55
+msgid "Set LOW"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:55
+msgid "High True Pulse"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:56
+msgid "Low True Pulse"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:58 ../eyes-junior/croplus.py:59
+msgid "Analog Input -5 to +5 volts. Drag this to CH1 .. CH4 to Display it"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:60 ../eyes-junior/croplus.py:61
+#: ../eyes-junior/croplus.py:62 ../eyes-junior/croplus.py:63
+#: ../eyes-junior/croplus.py:64
+msgid "Analog Input  0 to +5 volts. Drag this to CH1 .. CH4 to Display it"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:65
+msgid ""
+"Digital Output 0 to +5 volts. SHI, SLO,HTP or LTP can be assigned to this"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:66
+msgid ""
+"Constant Current Source Output. SHI, SLO,HTP or LTP can be assigned to this"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:105
+msgid "Fourier Transform,power spectrum"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:106
+#, python-format
+msgid "%s Fourier transform done, Data saved to \"fft.dat\""
+msgstr ""
+
+#: ../eyes-junior/croplus.py:153
+#, python-format
+msgid "%4s : Freq = %5.3f. Duty Cycle = %5.1f %%"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:154 ../eyes-junior/croplus.py:172
+#, python-format
+msgid ""
+"%4s\n"
+"%5.3f Hz\n"
+"%5.1f %%"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:157
+#, python-format
+msgid "No squarewave detected on %4s"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:169
+#, python-format
+msgid ""
+"%s: %5.3f V, %5.2f Hz | %s: %5.2f V, %5.3f Hz | Phase difference = %5.1f "
+"degree"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:175
+msgid "Fitting of data failed. Try with Xmgrace"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:177
+msgid "Selected channel and the next one should have data"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:184
+msgid "Invalid Action"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:190
+#, python-format
+msgid "Assigned Data Input %4s to Channel %s"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:196
+#, python-format
+msgid "%4s effective on Output %s"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:200
+#, python-format
+msgid "%4s effective on Input %s"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:215 ../eyes-junior/croplus.py:220
+#: ../eyes-junior/croplus.py:227
+#, python-format
+msgid "Channel %s is Empty"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:225
+#, python-format
+msgid "Disabled fitting %s"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:231
+#, python-format
+msgid "Voltage at %s = %5.3f V"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:232
+#, python-format
+msgid "%3s: %5.3f V"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:235
+#, python-format
+msgid " (Logic Level = %d)"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:239
+msgid "Invalid selection"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:251
+#, python-format
+msgid "%4s is an Output"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:254
+#, python-format
+msgid ""
+"Selected Data Input %4s. For Trace, Drag this to CH1 .. CH4. To print value "
+"release the button."
+msgstr ""
+
+#: ../eyes-junior/croplus.py:260
+#, python-format
+msgid "Selected %4s. Drag cursor to the OD1 or CSS Output"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:264
+#, python-format
+msgid "Selected %4s. Drag cursor to desired Data Input"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:268
+#, python-format
+msgid "Selected %4s. Drag cursor to NML FIT or DEL"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:336
+msgid "Communication Error. Check input voltage levels."
+msgstr ""
+
+#: ../eyes-junior/croplus.py:347
+#, python-format
+msgid "%5.2f V, %5.1f Hz"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:365
+msgid "ONE "
+msgstr ""
+
+#: ../eyes-junior/croplus.py:366
+msgid "Press SCAN Button to do a single Capture"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:368 ../eyes-junior/croplus.py:697
+msgid "LOOP"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:374
+#, python-format
+msgid "Captured %d points in %d usecs"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:376
+msgid "Use this only in Single scan mode"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:383
+msgid "Enter a value between 0 to +5 volts"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:385
+#, python-format
+msgid "PVS set to %5.3f volts"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:386
+#, python-format
+msgid "PVS: %5.3f V"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:388
+msgid "Enter voltage between -5 and +5 volts"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:394 ../eyes-junior/croplus.py:445
+msgid "SQR1 set to LOW"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:400 ../eyes-junior/croplus.py:416
+#: ../eyes-junior/croplus.py:434
+msgid "Enter a value between .7 to 200000 Hz"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:402
+#, python-format
+msgid "SQR1 set to %5.1f Hertz"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:404 ../eyes-junior/croplus.py:420
+msgid "Enter valid frequency, in Hertz"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:410
+msgid "SQR2 set to LOW"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:418
+#, python-format
+msgid "SQR2 set to %5.1f Hertz"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:427
+msgid "SQR1 and SQR2 set to LOW"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:436
+#, python-format
+msgid "SQR1 and SQR2 set to %5.1f Hertz, Shift is %5.2f %% of Period"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:438
+msgid "Enter valid frequency in Hertz and phase shift in percentage"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:448
+#, python-format
+msgid "SQR1 set to %5.1f"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:459
+msgid "Starting Capacitance Measurement.."
+msgstr ""
+
+#: ../eyes-junior/croplus.py:462
+msgid "Error: Capacitance too high or short to ground"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:464 ../eyes-junior/croplus.py:468
+#, python-format
+msgid "IN1: %6.1f pF"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:466
+msgid "IN1 Not Calibrated."
+msgstr ""
+
+#: ../eyes-junior/croplus.py:476
+#, python-format
+msgid "Resistance from SEN to GND = %6.0f Ohm"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:477
+#, python-format
+msgid "%5.0f Ohm"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:521
+msgid "expEYES Junior NOT found. Bad connection or another program using it"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:524 ../eyes-junior/croplus.py:725
+#, python-format
+msgid "Four Channel CRO+ found expEYES-Junior on %s"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:562
+msgid "Move UP"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:562
+msgid "CENTER"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:562
+msgid "Move DOWN"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:578
+msgid "Trig level"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:614
+msgid "Setting Squarewaves"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:620
+msgid "Hz. dphi="
+msgstr ""
+
+#: ../eyes-junior/croplus.py:633
+msgid "BOTH"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:641
+msgid "Set PVS ="
+msgstr ""
+
+#: ../eyes-junior/croplus.py:652
+msgid "Set State"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:663
+msgid "Measure C on IN1"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:664
+msgid "Measure R on SEN"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:700
+msgid "SCAN"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:702
+msgid "XMG"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:717
+msgid "Search Hardware"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:721
+msgid ""
+"Could not open expEYES Junior. Bad connection or another program using it"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:729
+msgid "Select Experiment"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:730
+msgid "Control PVS"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:742
+msgid "Capture Burst of Sound"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:743 ../eyes-junior/driven-pendulum.py:20
+msgid "Driven Pendulum"
+msgstr ""
+
+#: ../eyes-junior/croplus.py:747
+msgid "Stroboscope"
+msgstr ""
+
+#: ../eyes-junior/driven-pendulum.py:16 ../eyes-junior/resonance.py:16
+msgid "Use Slider to change SQR1 from 1 to 50 Hz"
+msgstr ""
+
+#: ../eyes-junior/driven-pendulum.py:21 ../eyes-junior/stroboscope.py:21
+msgid "EYES Junior: Driven Pendulum"
+msgstr ""
+
+#: ../eyes-junior/induction.py:78
+#, python-format
+msgid "Peak voltages %5.2f and %5.3f separated by %5.3f msec"
+msgstr ""
+
+#: ../eyes-junior/induction.py:137
+msgid "EYESJUN: Electromagnetic Induction"
+msgstr ""
+
+#: ../eyes-junior/interference-sound.py:134
+msgid "SQR2="
+msgstr ""
+
+#: ../eyes-junior/logger.py:44 ../eyes-junior/pendulum.py:81
+#: ../eyes-junior/pt100.py:88 ../eyes/logger.py:44 ../eyes/pendulum.py:45
+#: ../eyes/pt100.py:87 ../eyes/temp-controller.py:62
+msgid "Time"
+msgstr ""
+
+#: ../eyes-junior/logger.py:44 ../eyes-junior/pendulum.py:81
+#: ../eyes-junior/pt100.py:88 ../eyes/logger.py:44 ../eyes/pendulum.py:45
+#: ../eyes/pt100.py:87 ../eyes/temp-controller.py:62
+msgid "Volt"
+msgstr ""
+
+#: ../eyes-junior/logger.py:49 ../eyes/logger.py:49
+msgid "Starting the Measurement"
+msgstr ""
+
+#: ../eyes-junior/logger.py:52 ../eyes/logger.py:52
+msgid "Failed to Start Measurement"
+msgstr ""
+
+#: ../eyes-junior/logger.py:103 ../eyes/logger.py:103
+msgid "Data Saved"
+msgstr ""
+
+#: ../eyes-junior/logger.py:132
+msgid "IN1"
+msgstr ""
+
+#: ../eyes-junior/logger.py:133
+msgid "IN2"
+msgstr ""
+
+#: ../eyes-junior/logger.py:137 ../eyes-junior/pt100.py:174
+#: ../eyes/logger.py:137 ../eyes/pt100.py:158 ../eyes/temp-controller.py:161
+msgid "Read Every"
+msgstr ""
+
+#: ../eyes-junior/logger.py:143 ../eyes-junior/pt100.py:180
+#: ../eyes/logger.py:143 ../eyes/pt100.py:164 ../eyes/temp-controller.py:167
+msgid "mS,"
+msgstr ""
+
+#: ../eyes-junior/logger.py:145 ../eyes-junior/pt100.py:182
+#: ../eyes/logger.py:145 ../eyes/pt100.py:166 ../eyes/temp-controller.py:169
+msgid "for total"
+msgstr ""
+
+#: ../eyes-junior/logger.py:151 ../eyes/logger.py:151
+msgid "Seconds"
+msgstr ""
+
+#: ../eyes-junior/logger.py:177
+msgid "EYES-Junior: Four Channel Data Logger"
+msgstr ""
+
+#: ../eyes-junior/pendulum.py:39 ../eyes/pendulum.py:30
+#, python-format
+msgid "Angular velocity = %5.2f rad/sec. Damping Factor = %5.3f"
+msgstr ""
+
+#: ../eyes-junior/pendulum.py:41 ../eyes/pendulum.py:32
+msgid "Failed to fit data"
+msgstr ""
+
+#: ../eyes-junior/pendulum.py:83 ../eyes-junior/pt100.py:92
+#: ../eyes-junior/rodpend.py:61 ../eyes/pendulum.py:47 ../eyes/pt100.py:91
+#: ../eyes/rodpend.py:71
+msgid "Starting the Measurements"
+msgstr ""
+
+#: ../eyes-junior/pendulum.py:86 ../eyes-junior/pt100.py:95
+#: ../eyes/pendulum.py:50 ../eyes/pt100.py:94 ../eyes/temp-controller.py:71
+msgid "Failed to Start"
+msgstr ""
+
+#: ../eyes-junior/pendulum.py:91 ../eyes-junior/pt100.py:101
+#: ../eyes-junior/rodpend.py:68 ../eyes/pendulum.py:55 ../eyes/pt100.py:100
+#: ../eyes/rodpend.py:78 ../eyes/temp-controller.py:80
+msgid "User Stopped the measurements"
+msgstr ""
+
+#: ../eyes-junior/pendulum.py:111 ../eyes-junior/pt100.py:126
+#: ../eyes-junior/rodpend.py:84 ../eyes/pendulum.py:74 ../eyes/pt100.py:125
+#: ../eyes/rodpend.py:99 ../eyes/temp-controller.py:108
+msgid "Completed the Measurements"
+msgstr ""
+
+#: ../eyes-junior/pendulum.py:122 ../eyes-junior/pt100.py:147
+#: ../eyes-junior/rodpend.py:99 ../eyes/pendulum.py:84 ../eyes/pt100.py:135
+#: ../eyes/rodpend.py:114 ../eyes/temp-controller.py:120
+#, python-format
+msgid "Data saved to %s"
+msgstr ""
+
+#: ../eyes-junior/pendulum.py:129 ../eyes-junior/pt100.py:154
+#: ../eyes-junior/rodpend.py:106 ../eyes/pendulum.py:91 ../eyes/pt100.py:142
+#: ../eyes/rodpend.py:121 ../eyes/temp-controller.py:127
+msgid "Cleared Data and Trace"
+msgstr ""
+
+#: ../eyes-junior/pendulum.py:145 ../eyes/pendulum.py:107
+msgid "Digitize for"
+msgstr ""
+
+#: ../eyes-junior/pendulum.py:151 ../eyes-junior/pt100.py:188
+#: ../eyes/pendulum.py:113 ../eyes/pt100.py:172 ../eyes/temp-controller.py:175
+msgid "Seconds."
+msgstr ""
+
+#: ../eyes-junior/pendulum.py:181 ../eyes/pendulum.py:143
+msgid "Pendulum Oscillations"
+msgstr ""
+
+#: ../eyes-junior/pendulum.py:182 ../eyes/pendulum.py:144
+msgid "Oscillations of Pendulum"
+msgstr ""
+
+#: ../eyes-junior/pt100.py:36 ../eyes/pt100.py:36
+#, python-format
+msgid "Voltage at Freezing Point is %5.3f V"
+msgstr ""
+
+#: ../eyes-junior/pt100.py:38 ../eyes-junior/pt100.py:49 ../eyes/pt100.py:38
+#: ../eyes/pt100.py:49
+msgid "Something wrong. Check the connection & Rg"
+msgstr ""
+
+#: ../eyes-junior/pt100.py:47 ../eyes/pt100.py:47
+#, python-format
+msgid "Voltage at Boiling Point is %5.3f V"
+msgstr ""
+
+#: ../eyes-junior/pt100.py:56 ../eyes/pt100.py:56
+#, python-format
+msgid "Calibration Done m = %5.3f, c = 5.3f"
+msgstr ""
+
+#: ../eyes-junior/pt100.py:58 ../eyes/pt100.py:58
+msgid "Boiling & Freezing points to be measured first"
+msgstr ""
+
+#: ../eyes-junior/pt100.py:138
+msgid "Wrong connections or value of Rg"
+msgstr ""
+
+#: ../eyes-junior/pt100.py:191
+msgid "Range"
+msgstr ""
+
+#: ../eyes-junior/pt100.py:197 ../eyes/pt100.py:181
+msgid "to,"
+msgstr ""
+
+#: ../eyes-junior/pt100.py:203
+msgid "C. "
+msgstr ""
+
+#: ../eyes-junior/pt100.py:215
+msgid "Gain="
+msgstr ""
+
+#: ../eyes-junior/pt100.py:222
+msgid "Current ="
+msgstr ""
+
+#: ../eyes-junior/pt100.py:239 ../eyes/pt100.py:225
+msgid "Freezing Point"
+msgstr ""
+
+#: ../eyes-junior/pt100.py:241 ../eyes/pt100.py:227
+msgid "Boiling Point"
+msgstr ""
+
+#: ../eyes-junior/pt100.py:254
+msgid "Temperatue by PT100"
+msgstr ""
+
+#: ../eyes-junior/pt100.py:255 ../eyes/pt100.py:239
+msgid "Temperature measuements using PT100"
+msgstr ""
+
+#: ../eyes-junior/RCcircuit.py:45 ../eyes-junior/RLcircuit.py:43
+#: ../eyes/RCcircuit.py:41 ../eyes/RLcircuit.py:41
+msgid "Done"
+msgstr ""
+
+#: ../eyes-junior/RCcircuit.py:62 ../eyes/RCcircuit.py:58
+#, python-format
+msgid "RC = %5.2f mSec"
+msgstr ""
+
+#: ../eyes-junior/RCcircuit.py:64 ../eyes/RCcircuit.py:60
+msgid "Failed to fit the curve with V=Vo*exp(-t/RC)"
+msgstr ""
+
+#: ../eyes-junior/RCcircuit.py:123 ../eyes-junior/RLcircuit.py:125
+#: ../eyes/RCcircuit.py:120 ../eyes/RLcircuit.py:124
+msgid "0 to 5V STEP"
+msgstr ""
+
+#: ../eyes-junior/RCcircuit.py:125 ../eyes-junior/RLcircuit.py:127
+#: ../eyes/RCcircuit.py:122 ../eyes/RLcircuit.py:126
+msgid "5 to 0V STEP"
+msgstr ""
+
+#: ../eyes-junior/RCcircuit.py:127
+msgid "CC Charge"
+msgstr ""
+
+#: ../eyes-junior/RCcircuit.py:129 ../eyes/RCcircuit.py:124
+msgid "Calculate RC"
+msgstr ""
+
+#: ../eyes-junior/RCcircuit.py:136 ../eyes/RCcircuit.py:131
+msgid "ViewAll"
+msgstr ""
+
+#: ../eyes-junior/RCcircuit.py:153 ../eyes/RCcircuit.py:148
+msgid "RC Circuit, Transient"
+msgstr ""
+
+#: ../eyes-junior/RCcircuit.py:154 ../eyes/RCcircuit.py:149
+msgid "Transient response of RC Circuit"
+msgstr ""
+
+#: ../eyes-junior/resonance.py:19
+msgid "EYES Junior: Set SQR1"
+msgstr ""
+
+#: ../eyes-junior/RLCdischarge.py:38 ../eyes/RLCdischarge.py:38
+msgid "Discharge Done"
+msgstr ""
+
+#: ../eyes-junior/RLCdischarge.py:42 ../eyes/RLCdischarge.py:42
+msgid "Fit Failed"
+msgstr ""
+
+#: ../eyes-junior/RLCdischarge.py:49 ../eyes/RLCdischarge.py:49
+#, python-format
+msgid "Resonant Frequency = %5.2f kHz Damping = %5.3f"
+msgstr ""
+
+#: ../eyes-junior/RLCdischarge.py:104
+msgid "5->0V STEP"
+msgstr ""
+
+#: ../eyes-junior/RLCdischarge.py:125 ../eyes/RLCdischarge.py:126
+msgid "RLC Circuit, Transient"
+msgstr ""
+
+#: ../eyes-junior/RLCdischarge.py:126
+msgid "EYES Junior: RLC Discharge"
+msgstr ""
+
+#: ../eyes-junior/RLcircuit.py:64 ../eyes/RLcircuit.py:62
+#, python-format
+msgid "L/R = %5.3f mSec : Rind = %5.0f Ohm : L = %5.1f mH"
+msgstr ""
+
+#: ../eyes-junior/RLcircuit.py:66 ../eyes/RLcircuit.py:64
+msgid "Failed to Fit. Try fitting V=Vo*exp(-tR/L) with Xmgrace"
+msgstr ""
+
+#: ../eyes-junior/RLcircuit.py:141 ../eyes/RLcircuit.py:140
+msgid "Rext="
+msgstr ""
+
+#: ../eyes-junior/RLcircuit.py:146 ../eyes/RLcircuit.py:145
+msgid "Calculate R/L"
+msgstr ""
+
+#: ../eyes-junior/RLcircuit.py:156 ../eyes/RLcircuit.py:155
+msgid "RL Circuit, Transient"
+msgstr ""
+
+#: ../eyes-junior/RLcircuit.py:157 ../eyes/RLcircuit.py:156
+msgid "Transient response of RL Circuit"
+msgstr ""
+
+#: ../eyes-junior/rodpend.py:75
+#, python-format
+msgid "%5.1f mS\n"
+msgstr ""
+
+#: ../eyes-junior/rodpend.py:89 ../eyes/rodpend.py:104
+msgid "Timeout Error. Check Connections"
+msgstr ""
+
+#: ../eyes-junior/rodpend.py:120 ../eyes/rodpend.py:70
+msgid "Trials"
+msgstr ""
+
+#: ../eyes-junior/rodpend.py:151
+msgid "Period of a Pendulum"
+msgstr ""
+
+#: ../eyes-junior/rodpend.py:152
+msgid "EYES Junior: Pendulum"
+msgstr ""
+
+#: ../eyes-junior/sound-burst.py:56
+#, python-format
+msgid "Volatge Scan Done. Noise Level = %5.3f V"
+msgstr ""
+
+#: ../eyes-junior/sound-burst.py:59
+msgid "wait"
+msgstr ""
+
+#: ../eyes-junior/sound-burst.py:73
+msgid "Captured Sound Burst"
+msgstr ""
+
+#: ../eyes-junior/sound-burst.py:118
+msgid "Wait for HIGH on IN1"
+msgstr ""
+
+#: ../eyes-junior/sound-burst.py:144
+msgid "Capture a burst of sound"
+msgstr ""
+
+#: ../eyes-junior/sound-burst.py:145
+msgid "EYESJUN: Capturing burst of sound"
+msgstr ""
+
+#: ../eyes-junior/stroboscope.py:16
+msgid "Use Slider to change SQR1 from 1 to 100 Hz"
+msgstr ""
+
+#: ../eyes-junior/transistor.py:36 ../eyes-junior/transistor.py:53
+#: ../eyes/phototransistor.py:35 ../eyes/transistor.py:36
+#: ../eyes/transistor.py:53
+msgid "Busy Drawing"
+msgstr ""
+
+#: ../eyes-junior/transistor.py:42 ../eyes/transistor.py:42
+#, python-format
+msgid "Base Current = %5.1f uA"
+msgstr ""
+
+#: ../eyes-junior/transistor.py:87 ../eyes/transistor.py:87
+#, python-format
+msgid "Ib=%4.0f uA"
+msgstr ""
+
+#: ../eyes-junior/transistor.py:131 ../eyes/transistor.py:129
+msgid "Vb (via 200K)="
+msgstr ""
+
+#: ../eyes-junior/transistor.py:162 ../eyes/transistor.py:160
+msgid "Transistor CE Char (NPN)"
+msgstr ""
+
+#: ../eyes-junior/transistor.py:163
+msgid "EYES Junior: Transistor CE characteristics"
+msgstr ""
+
+#: ../eyes-junior/velocity-sound.py:36
+msgid "Invalid Frequency"
+msgstr ""
+
+#: ../eyes-junior/velocity-sound.py:49
+msgid "Measurement failed"
+msgstr ""
+
+#: ../eyes-junior/velocity-sound.py:54
+#, python-format
+msgid "Freq = %5.0f Hz Phase = %5.0f deg"
+msgstr ""
+
+#: ../eyes-junior/velocity-sound.py:93
+msgid ""
+"EYES Junior Hardware Not Found. Check Connections and restart the program"
+msgstr ""
+
+#: ../eyes-junior/velocity-sound.py:100
+msgid "Measure Phase"
+msgstr ""
+
+#: ../eyes-junior/velocity-sound.py:130
+msgid "EYES Junior: Velocity of Sound"
+msgstr ""
+
+#: ../eyes/LED_iv.py:80
+msgid "Linear part of IV Curve (I > 1mA)"
+msgstr ""
+
+#: ../eyes/LED_iv.py:165
+msgid "LED IV char. Connections"
+msgstr ""
+
+#: ../eyes/LED_iv.py:167
+msgid "EYES: LED IV characteristics"
+msgstr ""
+
+#: ../eyes/logger.py:177
+msgid "EYES: Four Channel Data Logger"
+msgstr ""
+
+#: ../eyes/phototransistor.py:127
+msgid "Photo Transistor CE Char."
+msgstr ""
+
+#: ../eyes/phototransistor.py:128
+msgid "EYES: Photo-transistor CE characteristics"
+msgstr ""
+
+#: ../eyes/pt100.py:175
+msgid "Temp From"
+msgstr ""
+
+#: ../eyes/pt100.py:187
+msgid "Deg C. "
+msgstr ""
+
+#: ../eyes/pt100.py:190 ../eyes/temp-controller.py:143
+msgid "Rg="
+msgstr ""
+
+#: ../eyes/pt100.py:238
+msgid "Temperatue bt PT100"
+msgstr ""
+
+#: ../eyes/resistor_iv.py:33
+msgid "CS (28) is open or the resistor connected is > 20 kOhm"
+msgstr ""
+
+#: ../eyes/resistor_iv.py:64
+#, python-format
+msgid "Linear Fitting of VI curve gave R = %5.0f Ohm"
+msgstr ""
+
+#: ../eyes/resistor_iv.py:65
+#, python-format
+msgid "R = %5.0f Ohm"
+msgstr ""
+
+#: ../eyes/resistor_iv.py:87
+msgid "Resistor VI curve"
+msgstr ""
+
+#: ../eyes/resistor_iv.py:134
+msgid "R = "
+msgstr ""
+
+#: ../eyes/resistor_iv.py:148
+msgid "Resistor IV char. Connections"
+msgstr ""
+
+#: ../eyes/resistor_iv.py:149
+msgid "EYES: Resistor IV characteristics"
+msgstr ""
+
+#: ../eyes/RLCdischarge.py:105
+msgid "Discharge"
+msgstr ""
+
+#: ../eyes/rodpend.py:70
+msgid "T & g"
+msgstr ""
+
+#: ../eyes/rodpend.py:136
+msgid "Length="
+msgstr ""
+
+#: ../eyes/rodpend.py:142
+msgid "cm. "
+msgstr ""
+
+#: ../eyes/rodpend.py:145
+msgid "Measure"
+msgstr ""
+
+#: ../eyes/rodpend.py:151
+msgid "times."
+msgstr ""
+
+#: ../eyes/rodpend.py:191
+msgid "Period of Rod Pendulum"
+msgstr ""
+
+#: ../eyes/rodpend.py:192
+msgid "EYES: Value of Accn. due to gravity using Pendulum"
+msgstr ""
+
+#: ../eyes/sound.py:26
+msgid "Already Running"
+msgstr ""
+
+#: ../eyes/sound.py:39
+#, python-format
+msgid "CH0 : %5.1f V , %5.1f Hz "
+msgstr ""
+
+#: ../eyes/sound.py:110
+msgid "Buzzer1"
+msgstr ""
+
+#: ../eyes/sound.py:114
+msgid "Buzzer2"
+msgstr ""
+
+#: ../eyes/sound.py:121
+msgid "FreeRUN"
+msgstr ""
+
+#: ../eyes/sound.py:142
+msgid "EYES: Sound Experiments"
+msgstr ""
+
+#: ../eyes/temp-controller.py:52
+msgid "temperature setpoint out of range"
+msgstr ""
+
+#: ../eyes/temp-controller.py:60
+msgid "UPV setpoint out of range"
+msgstr ""
+
+#: ../eyes/temp-controller.py:66
+msgid "Starting the Controller"
+msgstr ""
+
+#: ../eyes/temp-controller.py:149
+msgid "Ohm. "
+msgstr ""
+
+#: ../eyes/temp-controller.py:152
+msgid "UPV="
+msgstr ""
+
+#: ../eyes/temp-controller.py:158
+msgid "V. "
+msgstr ""
+
+#: ../eyes/temp-controller.py:181
+msgid "Set at"
+msgstr ""
+
+#: ../eyes/temp-controller.py:187
+msgid "deg C"
+msgstr ""
+
+#: ../eyes/temp-controller.py:213
+msgid "Temperature Controller (LM35)"
+msgstr ""
+
+#: ../eyes/temp-controller.py:214
+msgid "Temperature controller using LM35"
+msgstr ""
+
+#: ../eyes/transistor.py:161
+msgid "EYES: Transistor CE characteristics"
+msgstr ""
+
+#: ../eyes/usound_tof.py:29
+msgid "Connect Transmitter from OD1 to Ground"
+msgstr ""
+
+#: ../eyes/usound_tof.py:30
+msgid "Connect Receiver from T15 to Ground"
+msgstr ""
+
+#: ../eyes/usound_tof.py:31
+msgid "Keep them facing each other, at a known distance"
+msgstr ""
+
+#: ../eyes/usound_tof.py:33
+msgid "Measure Time of Travel"
+msgstr ""
+
+#: ../eyes/usound_tof.py:40
+msgid "Velocity of Sound, 40kHz"
+msgstr ""
+
+#: ../eyes/velocity-sound.py:45
+#, python-format
+msgid "Phase = %5.0f deg"
+msgstr ""
+
+#: ../eyes/velocity-sound.py:110
+msgid "ON/OFF"
+msgstr ""
+
+#: ../eyes/velocity-sound.py:141
+msgid "EYES: Velocity of Sound"
+msgstr ""
+
+#: ../microhope/create-microhope-env:19
+msgid "Create microHope environment"
+msgstr ""
+
+#: ../microhope/create-microhope-env:20
+msgid ""
+"Do you want to create your own microHope environment?\n"
+"\n"
+"If you reply \"Yes\", a subdirectory named microHope will be created in your "
+"home directory, and a set of files will be copied into it.\n"
+"\n"
+"If any previous installation existed, its contents will be overwriten."
+msgstr ""
diff --git a/po/fr.po b/po/fr.po
new file mode 100644
index 0000000..b512f8f
--- /dev/null
+++ b/po/fr.po
@@ -0,0 +1,3209 @@
+msgid ""
+msgstr ""
+"Project-Id-Version: expeyes 3.0.0\n"
+"Report-Msgid-Bugs-To: \n"
+"POT-Creation-Date: 2014-01-19 16:35+0100\n"
+"PO-Revision-Date: 2014-01-03 23:27+0100\n"
+"Last-Translator: Georges Khaznadar <georgesk at ofset.org>\n"
+"MIME-Version: 1.0\n"
+"Content-Type: text/plain; charset=UTF-8\n"
+"Content-Transfer-Encoding: 8bit\n"
+"#Language-Team: LANGUAGE <LL at li.org>\n"
+
+#: ../expeyes/eyeplot.py:51
+msgid "mSec"
+msgstr "ms"
+
+#: ../expeyes/eyeplot.py:149 ../expeyes/eyeplot.py:153
+#, python-format
+msgid "%3.2f %s/div"
+msgstr "%3.2f %s/div"
+
+#: ../expeyes/eyeplot.py:315
+msgid "EYES plot"
+msgstr "Graphique EYES"
+
+#: ../expeyes/eyeplot.py:317 ../eyes/amfm.py:47 ../eyes/amfm.py:68
+#: ../eyes/amfm.py:69 ../eyes/cro.py:44 ../eyes/cro.py:62 ../eyes/cro.py:122
+#: ../eyes/explore.py:336 ../eyes/explore.py:457 ../eyes/explore.py:474
+#: ../eyes/explore.py:492 ../eyes/explore.py:511 ../eyes/induction.py:111
+#: ../eyes/interference-sound.py:50 ../eyes/interference-sound.py:110
+#: ../eyes-junior/ac-circuit.py:57 ../eyes-junior/ac-circuit.py:132
+#: ../eyes-junior/ac-circuit.py:174 ../eyes-junior/amfm.py:48
+#: ../eyes-junior/amfm.py:68 ../eyes-junior/amfm.py:69
+#: ../eyes-junior/croplus.py:141 ../eyes-junior/croplus.py:356
+#: ../eyes-junior/croplus.py:591 ../eyes-junior/induction.py:112
+#: ../eyes-junior/interference-sound.py:55
+#: ../eyes-junior/interference-sound.py:108 ../eyes-junior/RCcircuit.py:102
+#: ../eyes-junior/RLCdischarge.py:86 ../eyes-junior/RLcircuit.py:104
+#: ../eyes-junior/sound-burst.py:113 ../eyes-junior/velocity-sound.py:90
+#: ../eyes/RCcircuit.py:98 ../eyes/RLCdischarge.py:86 ../eyes/RLcircuit.py:102
+#: ../eyes/sound.py:98 ../eyes/velocity-sound.py:98
+msgid "mS"
+msgstr "ms"
+
+#: ../expeyes/eyeplot.py:319 ../eyes/amfm.py:47 ../eyes/amfm.py:68
+#: ../eyes/amfm.py:69 ../eyes/amfm.py:116 ../eyes/cro.py:44 ../eyes/cro.py:62
+#: ../eyes/cro.py:122 ../eyes/diode_iv.py:42 ../eyes/diode_iv.py:119
+#: ../eyes/explore.py:336 ../eyes/explore.py:457 ../eyes/explore.py:474
+#: ../eyes/explore.py:492 ../eyes/explore.py:511 ../eyes/induction.py:111
+#: ../eyes/interference-sound.py:50 ../eyes/interference-sound.py:110
+#: ../eyes-junior/ac-circuit.py:57 ../eyes-junior/ac-circuit.py:132
+#: ../eyes-junior/ac-circuit.py:174 ../eyes-junior/diode_iv.py:113
+#: ../eyes-junior/induction.py:112 ../eyes-junior/interference-sound.py:55
+#: ../eyes-junior/interference-sound.py:108 ../eyes-junior/RCcircuit.py:102
+#: ../eyes-junior/RCcircuit.py:108 ../eyes-junior/RLCdischarge.py:86
+#: ../eyes-junior/RLCdischarge.py:92 ../eyes-junior/RLcircuit.py:104
+#: ../eyes-junior/RLcircuit.py:110 ../eyes-junior/sound-burst.py:113
+#: ../eyes-junior/transistor.py:126 ../eyes-junior/velocity-sound.py:90
+#: ../eyes/LED_iv.py:39 ../eyes/LED_iv.py:136 ../eyes/phototransistor.py:99
+#: ../eyes/RCcircuit.py:98 ../eyes/RCcircuit.py:105 ../eyes/resistor_iv.py:121
+#: ../eyes/RLCdischarge.py:86 ../eyes/RLCdischarge.py:93
+#: ../eyes/RLcircuit.py:102 ../eyes/RLcircuit.py:109 ../eyes/sound.py:98
+#: ../eyes/transistor.py:124 ../eyes/transistor.py:135
+#: ../eyes/velocity-sound.py:98
+msgid "V"
+msgstr "V"
+
+#: ../expeyes/eyeplot.py:341 ../expeyes/eyeplot.py:347
+msgid "Schematic"
+msgstr "Schéma"
+
+#: ../expeyes/eyesj.py:121
+msgid "Could not find EYES Junior hardware"
+msgstr "Le matériel EYES Junior n'a pas été trouvé"
+
+#: ../expeyes/eyesj.py:122 ../expeyes/eyes.py:118 ../expeyes/mca.py:39
+msgid "Check the connections."
+msgstr "Vérifiez les connexions."
+
+#: ../expeyes/eyesj.py:167 ../expeyes/eyes.py:162 ../expeyes/mca.py:70
+#, python-format
+msgid "Port %s is existing "
+msgstr "Le port %s existe "
+
+#: ../expeyes/eyesj.py:169 ../expeyes/eyes.py:164 ../expeyes/mca.py:72
+msgid "but could not open"
+msgstr "mais n'a pas pu être ouvert"
+
+#: ../expeyes/eyesj.py:171 ../expeyes/eyes.py:166 ../expeyes/mca.py:74
+msgid "and opened. "
+msgstr "et est ouvert."
+
+#: ../expeyes/eyesj.py:189
+msgid "No EYES Junior hardware detected"
+msgstr "Pas de matériel EYES Junior trouvé"
+
+#: ../expeyes/eyesj.py:206
+msgid "GETVERSION ERROR"
+msgstr "ERREUR GETVERSION"
+
+#: ../expeyes/eyesj.py:218
+msgid "WREEPROM ERROR "
+msgstr "ERREUR WREEPROM"
+
+#: ../expeyes/eyesj.py:219
+msgid "WREEPROM ERROR"
+msgstr "ERREUR WREEPROM"
+
+#: ../expeyes/eyesj.py:228
+msgid "RDEEPROM ERROR "
+msgstr "ERREUR RDEEPROM"
+
+#: ../expeyes/eyesj.py:298
+msgid "Invalid Calibration factors for A1,A2"
+msgstr "Facteurs de calibration non valides pour A1, A2"
+
+#: ../expeyes/eyesj.py:300
+msgid "Could not load A1 & A2 Calibration"
+msgstr "Impossible de charger la calibration pour A1 & A2"
+
+#: ../expeyes/eyesj.py:310
+msgid "Invalid Calibration factors for IN1"
+msgstr "Facteurs de calibration non valides pour IN1"
+
+#: ../expeyes/eyesj.py:312
+msgid "Could not load IN1 Capacitor Calibration"
+msgstr "Impossible de charger la calibration de la capacité de IN1"
+
+#: ../expeyes/eyesj.py:320
+msgid "Invalid Pullup resistor value"
+msgstr "Valeur de résistance de pullup non valide"
+
+#: ../expeyes/eyesj.py:322
+msgid "Could not load SEN Pullup calibration"
+msgstr "Impossible de charger la calibration de pullup SEN"
+
+#: ../expeyes/eyesj.py:330
+msgid "IRSEND1 ERROR "
+msgstr "ERREUR IRSEND1 "
+
+#: ../expeyes/eyesj.py:331
+msgid "IRSEND1 ERROR"
+msgstr "ERREUR IRSEND1"
+
+#: ../expeyes/eyesj.py:343
+msgid "IRSEND4 ERROR "
+msgstr "ERREUR IRSEND4 "
+
+#: ../expeyes/eyesj.py:344
+msgid "IRSEND4 ERROR"
+msgstr "ERREUR IRSEND4"
+
+#: ../expeyes/eyesj.py:384
+msgid "Current to be set only on IN1(3) or IN2(4)"
+msgstr "Courant à régler seulement dans IN1(3) ou IN2(4)"
+
+#: ../expeyes/eyesj.py:385 ../expeyes/eyesj.py:456 ../expeyes/eyesj.py:457
+msgid "Current to be set only on IN1 or IN2"
+msgstr "\"Courant à régler seulement dans IN1 ou IN2"
+
+#: ../expeyes/eyesj.py:393
+msgid "MEASURECV ERROR "
+msgstr "ERREUR MEASURECV "
+
+#: ../expeyes/eyesj.py:394
+msgid "MEASURECV ERROR"
+msgstr "ERREUR MEASURECV"
+
+#: ../expeyes/eyesj.py:412
+#, python-format
+msgid "Error measuring capacitance %5.3f"
+msgstr "Erreur de mesure de capacité %5.3f"
+
+#: ../expeyes/eyesj.py:413
+msgid "Error measuring capacitance"
+msgstr "Erreur de mesure de capacité"
+
+#: ../expeyes/eyesj.py:436 ../expeyes/eyesj.py:437
+msgid "Resistance NOT in 100 Ohm to 100 kOhm range"
+msgstr "Résistance HORS de l'intervalle 100 Ohm .. 100 kOhm"
+
+#: ../expeyes/eyesj.py:464 ../expeyes/eyesj.py:465
+msgid "SETCURRENT ERROR"
+msgstr "ERREUR SETCURRENT"
+
+#: ../expeyes/eyesj.py:480
+msgid "READTEMP error "
+msgstr "Erreur de READTEMP "
+
+#: ../expeyes/eyesj.py:481
+msgid "READTEMP error"
+msgstr "Erreur de READTEMP"
+
+#: ../expeyes/eyesj.py:496 ../expeyes/eyesj.py:497
+msgid "Pin should be digital input capable: 0,3,4,5,6 or 7"
+msgstr "La borne doit être capable d'entrée numérique : 0,3,4,5,6 ou 7"
+
+#: ../expeyes/eyesj.py:500 ../expeyes/eyesj.py:501
+msgid "skip exceeded 249 edges"
+msgstr "plus de 249 bords sautés"
+
+#: ../expeyes/eyesj.py:505 ../expeyes/eyesj.py:506
+msgid "Both pins should be digital input capable: 0,3,4,5,6 or 7"
+msgstr ""
+"Les deux bornes doivent être capable de lecture numérique : 0,3,4,5,6 ou 7"
+
+#: ../expeyes/eyesj.py:510 ../expeyes/eyesj.py:511
+msgid "Starting pin should be digital output capable: 8,9,10 or 11"
+msgstr "La première borne doit être capable de sortie numérique : 8,9,10 ou 11"
+
+#: ../expeyes/eyesj.py:514 ../expeyes/eyesj.py:515
+msgid "Destination pin should be digital input capable: 0,3,4,5,6 or 7"
+msgstr ""
+"La borne de destination doit être capable de lecture numérique : 0,3,4,5,6 "
+"ou 7"
+
+#: ../expeyes/eyesj.py:522
+msgid "Time measurement command error"
+msgstr "Erreur d'appel de mesure de temps"
+
+#: ../expeyes/eyesj.py:523
+#, python-format
+msgid "Time measurement command %d error "
+msgstr "Commande %d de mesure de temps erronée "
+
+#: ../expeyes/eyesj.py:635 ../expeyes/eyesj.py:636
+msgid "SETSTATE error "
+msgstr "Erreur de SETSTATE "
+
+#: ../expeyes/eyesj.py:648 ../expeyes/eyesj.py:649
+msgid "GETSTATE error "
+msgstr "Erreur de GETSTATE "
+
+#: ../expeyes/eyesj.py:661 ../expeyes/eyesj.py:662
+msgid "GETPORTB error "
+msgstr "Erreur de GETPORTB "
+
+#: ../expeyes/eyesj.py:688 ../expeyes/eyesj.py:689
+msgid "SETPWM error "
+msgstr "Erreur de SETPWM "
+
+#: ../expeyes/eyesj.py:726
+msgid "Invalid channel number"
+msgstr "Numéro de canal invalide"
+
+#: ../expeyes/eyesj.py:727
+msgid "Invalid Channel"
+msgstr "Canal invalide"
+
+#: ../expeyes/eyesj.py:748 ../expeyes/eyesj.py:807 ../expeyes/eyesj.py:808
+msgid "Invalid Freqency"
+msgstr "Fréquence invalide"
+
+#: ../expeyes/eyesj.py:758
+msgid "SETSQR error "
+msgstr "Erreur de SETSQR "
+
+#: ../expeyes/eyesj.py:790 ../expeyes/eyesj.py:791
+msgid "Invalid phase difference"
+msgstr "Différence de phase invalide"
+
+#: ../expeyes/eyesj.py:820 ../expeyes/eyesj.py:821
+msgid "SETSQRS error "
+msgstr "Erreur de SETSQRS "
+
+#: ../expeyes/eyesj.py:838 ../expeyes/eyesj.py:839
+msgid "SETDAC error "
+msgstr "Erreur de SETDAC "
+
+#: ../expeyes/eyesj.py:847 ../expeyes/eyesj.py:900 ../expeyes/eyesj.py:920
+#: ../expeyes/eyesj.py:934 ../expeyes/eyesj.py:946 ../expeyes/eyesj.py:947
+#: ../expeyes/eyesj.py:962 ../expeyes/eyesj.py:972 ../expeyes/eyesj.py:973
+#: ../expeyes/eyes.py:511 ../expeyes/eyes.py:529 ../expeyes/eyes.py:548
+#: ../expeyes/mca.py:148
+msgid "Argument error"
+msgstr "Erreur d'argument"
+
+#: ../expeyes/eyesj.py:853 ../expeyes/eyesj.py:854 ../expeyes/eyes.py:517
+#: ../expeyes/mca.py:154
+msgid "READADC error "
+msgstr "Erreur de READADC "
+
+#: ../expeyes/eyesj.py:866 ../expeyes/eyesj.py:867
+msgid "invalid voltage"
+msgstr "Tension invalide"
+
+#: ../expeyes/eyesj.py:889 ../expeyes/eyesj.py:890
+msgid "SETADCREF error "
+msgstr "Erreur de SETADCREF "
+
+#: ../expeyes/eyesj.py:899
+msgid "READADC: Argument error"
+msgstr "READADC : erreur d'argument"
+
+#: ../expeyes/eyesj.py:906 ../expeyes/eyesj.py:907
+msgid "READADC error"
+msgstr "Erreur de READADC"
+
+#: ../expeyes/eyesj.py:919
+msgid "get_voltage: Argument error"
+msgstr "get_voltage : erreur d'argument"
+
+#: ../expeyes/eyesj.py:933
+msgid "get_voltageNS: Argument error"
+msgstr "get_voltageNS : Erreur d'argument"
+
+#: ../expeyes/eyesj.py:961
+msgid "get_voltage_time: Argument error"
+msgstr "get_voltage_time : erreur d'argument"
+
+#: ../expeyes/eyesj.py:985 ../expeyes/eyesj.py:1020
+msgid "Minimum Timegap is 4 us"
+msgstr "L'intervalle de temps minimal est 4 µs"
+
+#: ../expeyes/eyesj.py:993
+msgid "CAPTURE error"
+msgstr "Erreur de CAPTURE"
+
+#: ../expeyes/eyesj.py:994 ../expeyes/eyesj.py:1028 ../expeyes/eyesj.py:1029
+#: ../expeyes/eyes.py:621
+msgid "CAPTURE error "
+msgstr "Erreur CAPTURE "
+
+#: ../expeyes/eyesj.py:1000 ../expeyes/eyesj.py:1035
+#, python-format
+msgid "CAPTURE: size mismatch %d %d"
+msgstr "CAPTURE : erreur de taille %d %d"
+
+#: ../expeyes/eyesj.py:1001 ../expeyes/eyesj.py:1036 ../expeyes/eyes.py:629
+msgid "CAPTURE: size mismatch "
+msgstr "CAPTURE : erreur de taille"
+
+#: ../expeyes/eyesj.py:1055 ../expeyes/eyesj.py:1093 ../expeyes/eyesj.py:1130
+#: ../expeyes/eyesj.py:1174
+msgid "Minimum Timegap is (4*number of channels)usec"
+msgstr "L'intervalle de temps minimal est (4*nombre de canaux) µs"
+
+#: ../expeyes/eyesj.py:1064 ../expeyes/eyesj.py:1065
+msgid "CAPTURE2 error "
+msgstr "Erreur de CAPTURE2 "
+
+#: ../expeyes/eyesj.py:1071 ../expeyes/eyesj.py:1072
+msgid "CAPTURE2: size mismatch"
+msgstr "CAPTURE2 : erreur de taille"
+
+#: ../expeyes/eyesj.py:1102 ../expeyes/eyesj.py:1103
+msgid "CAPTURE2_HR error "
+msgstr "Erreur de CAPTURE2_HR "
+
+#: ../expeyes/eyesj.py:1109 ../expeyes/eyesj.py:1110
+msgid "CAPTURE2_HR: size mismatch"
+msgstr "CAPTURE2_HR : erreur de taille"
+
+#: ../expeyes/eyesj.py:1140 ../expeyes/eyesj.py:1141
+msgid "CAPTURE3 error "
+msgstr "Erreur de CAPTURE3 "
+
+#: ../expeyes/eyesj.py:1147 ../expeyes/eyesj.py:1148
+msgid "CAPTURE3: size mismatch "
+msgstr "CAPTURE3 : erreur de taille "
+
+#: ../expeyes/eyesj.py:1185 ../expeyes/eyesj.py:1186
+msgid "CAPTURE4 error ="
+msgstr "Erreur de CAPTURE4 ="
+
+#: ../expeyes/eyesj.py:1192 ../expeyes/eyesj.py:1193
+msgid "CAPTURE4: size mismatch "
+msgstr "CAPTURE4 : erreur de taille "
+
+#: ../expeyes/eyesj.py:1233 ../expeyes/eyesj.py:1234
+msgid "SETTRIGVAL error "
+msgstr "Erreur de SETTRIGVAL "
+
+#: ../expeyes/eyesj.py:1249 ../expeyes/eyesj.py:1250
+msgid "ERROR: SETACTION"
+msgstr "ERREUR : SETACTION"
+
+#: ../expeyes/eyesj.py:1263
+msgid "SETACTION ERR"
+msgstr "ERREUR SETACTION"
+
+#: ../expeyes/eyesj.py:1264
+#, python-format
+msgid "SETACTION ERR: action = %d ch = %d"
+msgstr "ERR SETACTION : action = %d ch = %d"
+
+#: ../expeyes/eyesj.py:1329
+msgid "Invalid pulse width"
+msgstr "Largeur d'impulsion invalide"
+
+#: ../expeyes/eyesj.py:1335 ../expeyes/eyesj.py:1336
+msgid "ERROR: SETPULWIDTH"
+msgstr "ERREUR : SETPULWIDTH"
+
+#: ../expeyes/eyes.py:117
+msgid "Could not find Phoenix-EYES hardware"
+msgstr "Le matériel Phoenix-EYES n'a pas été trouvé"
+
+#: ../expeyes/eyes.py:179
+msgid "Found EYES version "
+msgstr "Trouvé expEYES version "
+
+#: ../expeyes/eyes.py:182
+msgid "No EYES hardware detected"
+msgstr "Pas de matériel expEYES trouvé"
+
+#: ../expeyes/eyes.py:394
+msgid "eeprom write byte error = "
+msgstr "Erreur d'écriture d'eeprom octet = "
+
+#: ../expeyes/eyes.py:407
+msgid "eeprom read block error = "
+msgstr "Erreur d'écriture d'eeprom bloc = "
+
+#: ../expeyes/eyes.py:427
+#, python-format
+msgid "SC: ch = %d m=%10.6f  c=%10.6f"
+msgstr "SC: ch = %d m=%10.6f  c=%10.6f"
+
+#: ../expeyes/eyes.py:435
+msgid "BAD Calibration data. EEPROM does not have any data "
+msgstr "Mauvaises données de calibration. L'EEPROM n'a aucune donnée "
+
+#: ../expeyes/eyes.py:442
+#, python-format
+msgid "LC: ch = %d m=%10.6f  c=%10.6f"
+msgstr "LC: ch = %d m=%10.6f  c=%10.6f"
+
+#: ../expeyes/eyes.py:458
+msgid "ERR:Current must be from 0.02 to 2.0 mA"
+msgstr "ERR : le courant doit être entre 0,02 et 2,0 mA"
+
+#: ../expeyes/eyes.py:479 ../expeyes/eyes.py:535 ../expeyes/eyes.py:555
+msgid "WRITEDAC error "
+msgstr "Erreur de WRITEDAC "
+
+#: ../expeyes/eyes.py:568
+msgid "Sampling time MUST NOT exceed 250 microseconds"
+msgstr "Le temps d'échantillonnage NE DOIT PAS dépasser 250 µs"
+
+#: ../expeyes/eyes.py:574
+msgid "SETSAMTIME ERROR "
+msgstr "ERREUR SETSAMTIME "
+
+#: ../expeyes/eyes.py:582
+msgid "ADC datasize MUST be 1 or 2 bytes"
+msgstr "La taille des données ADC DOIT être de 1 ou 2 octets"
+
+#: ../expeyes/eyes.py:588
+msgid "SETADCSIZE ERROR "
+msgstr "ERREUR SETADCSIZE "
+
+#: ../expeyes/eyes.py:609
+msgid "QCAPTURE Error "
+msgstr "Erreur QCAPTURE "
+
+#: ../expeyes/eyes.py:674 ../expeyes/eyes.py:686
+msgid "CAPTURE01 error "
+msgstr "Erreur CAPTURE01 "
+
+#: ../expeyes/eyes.py:696
+msgid "CAPTURE01: size mismatch "
+msgstr "CAPTURE01 : erreur de taille "
+
+#: ../expeyes/eyes.py:736
+msgid "CAPTURE_M32 error "
+msgstr "Erreur CAPTURE_M32 "
+
+#: ../expeyes/eyes.py:743
+msgid "CAPTURE_M32: size mismatch "
+msgstr "CAPTURE_M32 : erreur de taille "
+
+#: ../expeyes/eyes.py:786 ../expeyes/eyes.py:813
+msgid "wait_rising "
+msgstr "attente_montée"
+
+#: ../expeyes/eyes.py:895
+msgid "Echo error = "
+msgstr "Écho erreur = "
+
+#: ../expeyes/eyes.py:924
+msgid "Time Measurement call Error. CMD = "
+msgstr "Appel de mesure du temps erroné. CMD = "
+
+#: ../expeyes/eyes.py:1040
+msgid "DIGIN error"
+msgstr "Erreur DIGIN"
+
+#: ../expeyes/mca.py:38
+msgid "Could not find Phoenix-MCA hardware"
+msgstr "Le matériel Phoenix-MCA n'a pas été trouvé"
+
+#: ../expeyes/mca.py:78
+msgid "inWaiting"
+msgstr "enAttente"
+
+#: ../expeyes/mca.py:82
+msgid "res = "
+msgstr "res = "
+
+#: ../expeyes/mca.py:90
+msgid "Found MCA version "
+msgstr "Trouvé MCA version "
+
+#: ../expeyes/mca.py:93
+msgid "No MCA hardware detected"
+msgstr "Pas de matériel MCA détecté"
+
+#: ../expeyes/mca.py:133
+msgid "HIST read data error"
+msgstr "Erreur de lecture de donnée HIST"
+
+#: ../eyes/alpha.py:25 ../eyes/alpha.py:59
+msgid "Channel"
+msgstr "Canal"
+
+#: ../eyes/alpha.py:33 ../eyes/alpha.py:51 ../eyes/alpha.py:59
+#: ../eyes/alpha.py:68 ../eyes/alpha.py:96 ../eyes/alpha.py:130
+msgid "dN"
+msgstr "dN"
+
+#: ../eyes/alpha.py:38
+msgid "No data yet"
+msgstr "Pas encore de données"
+
+#: ../eyes/alpha.py:41
+msgid "Mark a Peak before calibration"
+msgstr "Marquer une crête avant la calibration"
+
+#: ../eyes/alpha.py:50
+msgid "Energy (MeV)"
+msgstr "Énergie (MeV)"
+
+#: ../eyes/alpha.py:51
+msgid "Energy(MeV)"
+msgstr "Énergie (MeV)"
+
+#: ../eyes/alpha.py:54
+msgid "Calibration done"
+msgstr "Calibration terminée"
+
+#: ../eyes/alpha.py:60
+msgid "Existing Calibration Removed. Do it again"
+msgstr "Calibration existante effacée. Il faut la refaire"
+
+#: ../eyes/alpha.py:68 ../eyes/alpha.py:141
+msgid "E"
+msgstr "E"
+
+#: ../eyes/alpha.py:75
+msgid "No data to fit"
+msgstr "Pas de données à modéliser"
+
+#: ../eyes/alpha.py:79
+#, python-format
+msgid "Amplitude= %5.1f  %s= %5.2f  sigma = %5.2f"
+msgstr "Amplitude = %5.1f  %s = %5.2f  sigma = %5.2f"
+
+#: ../eyes/alpha.py:110
+msgid "Acquisition Started"
+msgstr "Acquisition démarrée"
+
+#: ../eyes/alpha.py:116
+msgid "Acquisition stopped by user"
+msgstr "Acquisition arrêtée par l'utilisateur"
+
+#: ../eyes/alpha.py:121
+msgid "Spectrum Cleared by user"
+msgstr "Spectre effacé par l'utilisateur"
+
+#: ../eyes/alpha.py:126
+msgid "Histogram saved"
+msgstr "Histogramme enregistré"
+
+#: ../eyes/alpha.py:131
+msgid "Called xmgrace"
+msgstr "Appel de xmGrace"
+
+#: ../eyes/alpha.py:141
+msgid "dN/dE"
+msgstr "dN/dE"
+
+#: ../eyes/alpha.py:145
+msgid "y-scale"
+msgstr "éch.-y"
+
+#: ../eyes/alpha.py:154
+msgid "Auto/Man"
+msgstr "Auto/Man"
+
+#: ../eyes/alpha.py:156
+msgid "UPDATE"
+msgstr "M-à-J."
+
+#: ../eyes/alpha.py:158 ../eyes/diode_iv.py:127 ../eyes/freq-response.py:124
+#: ../eyes/interference-sound.py:74 ../eyes/interference-sound.py:145
+#: ../eyes-junior/diode_iv.py:118 ../eyes-junior/freq-response.py:123
+#: ../eyes-junior/interference-sound.py:141 ../eyes-junior/logger.py:153
+#: ../eyes-junior/pendulum.py:156 ../eyes-junior/pt100.py:205
+#: ../eyes-junior/rodpend.py:126 ../eyes-junior/transistor.py:139
+#: ../eyes/LED_iv.py:142 ../eyes/logger.py:153 ../eyes/pendulum.py:118
+#: ../eyes/phototransistor.py:104 ../eyes/pt100.py:206
+#: ../eyes/resistor_iv.py:126 ../eyes/rodpend.py:154
+#: ../eyes/temp-controller.py:190 ../eyes/transistor.py:137
+msgid "START"
+msgstr "LANCER"
+
+#: ../eyes/alpha.py:160 ../eyes/interference-sound.py:71
+#: ../eyes-junior/interference-sound.py:143 ../eyes-junior/logger.py:155
+#: ../eyes-junior/pendulum.py:158 ../eyes-junior/pt100.py:207
+#: ../eyes-junior/rodpend.py:128 ../eyes/logger.py:155 ../eyes/pendulum.py:120
+#: ../eyes/pt100.py:208 ../eyes/rodpend.py:156 ../eyes/temp-controller.py:192
+msgid "STOP"
+msgstr "STOP"
+
+#: ../eyes/alpha.py:162 ../eyes/diode_iv.py:138 ../eyes/freq-response.py:136
+#: ../eyes/induction.py:127 ../eyes-junior/diode_iv.py:129
+#: ../eyes-junior/freq-response.py:135 ../eyes-junior/induction.py:128
+#: ../eyes-junior/logger.py:157 ../eyes-junior/pendulum.py:162
+#: ../eyes-junior/pt100.py:209 ../eyes-junior/RCcircuit.py:140
+#: ../eyes-junior/RLCdischarge.py:116 ../eyes-junior/RLcircuit.py:129
+#: ../eyes-junior/rodpend.py:130 ../eyes-junior/sound-burst.py:124
+#: ../eyes-junior/transistor.py:150 ../eyes/LED_iv.py:153
+#: ../eyes/logger.py:157 ../eyes/pendulum.py:124
+#: ../eyes/phototransistor.py:115 ../eyes/pt100.py:210
+#: ../eyes/RCcircuit.py:135 ../eyes/resistor_iv.py:138
+#: ../eyes/RLCdischarge.py:117 ../eyes/RLcircuit.py:128 ../eyes/rodpend.py:158
+#: ../eyes/temp-controller.py:194 ../eyes/transistor.py:148
+msgid "CLEAR"
+msgstr "EFFACER"
+
+#: ../eyes/alpha.py:164 ../eyes/cro.py:158 ../eyes/diode_iv.py:142
+#: ../eyes/explore.py:544 ../eyes/gravity_tof2.py:114
+#: ../eyes-junior/diode_iv.py:133 ../eyes-junior/pendulum.py:160
+#: ../eyes-junior/RLCdischarge.py:118 ../eyes/LED_iv.py:157
+#: ../eyes/pendulum.py:122 ../eyes/RLCdischarge.py:119
+msgid "FIT"
+msgstr "FIT"
+
+#: ../eyes/alpha.py:166
+msgid "xmGrace"
+msgstr "xmGrace"
+
+#: ../eyes/alpha.py:171
+msgid "Calibrate using Peak at"
+msgstr "Calibrer à l'aide de la tension crête à"
+
+#: ../eyes/alpha.py:177
+msgid "MeV"
+msgstr "MeV"
+
+#: ../eyes/alpha.py:180
+msgid "SAVE Histogram to"
+msgstr "Enregistrer l'histogramme dans"
+
+#: ../eyes/alpha.py:190 ../eyes/amfm.py:89 ../eyes/calibrate.py:178
+#: ../eyes/cro.py:160 ../eyes/diode_iv.py:136 ../eyes/explore.py:553
+#: ../eyes/freq-response.py:134 ../eyes/gravity_tof2.py:121
+#: ../eyes/gravity_tof.py:97 ../eyes/induction.py:123
+#: ../eyes/interference-sound.py:160 ../eyes/janagana.py:82
+#: ../eyes-junior/ac-circuit.py:198 ../eyes-junior/amfm.py:89
+#: ../eyes-junior/change-pvs.py:22 ../eyes-junior/croplus.py:710
+#: ../eyes-junior/diode_iv.py:127 ../eyes-junior/driven-pendulum.py:19
+#: ../eyes-junior/freq-response.py:133 ../eyes-junior/induction.py:124
+#: ../eyes-junior/interference-sound.py:157 ../eyes-junior/logger.py:169
+#: ../eyes-junior/pendulum.py:172 ../eyes-junior/pt100.py:246
+#: ../eyes-junior/RCcircuit.py:131 ../eyes-junior/RLCdischarge.py:112
+#: ../eyes-junior/RLcircuit.py:149 ../eyes-junior/rodpend.py:140
+#: ../eyes-junior/sound-burst.py:136 ../eyes-junior/stroboscope.py:19
+#: ../eyes-junior/transistor.py:148 ../eyes-junior/velocity-sound.py:120
+#: ../eyes/LED_iv.py:151 ../eyes/logger.py:169 ../eyes/pendulum.py:134
+#: ../eyes/phototransistor.py:113 ../eyes/pt100.py:220
+#: ../eyes/RCcircuit.py:126 ../eyes/resistor_iv.py:136
+#: ../eyes/RLCdischarge.py:113 ../eyes/RLcircuit.py:148 ../eyes/rodpend.py:183
+#: ../eyes/sound.py:130 ../eyes/temp-controller.py:204
+#: ../eyes/transistor.py:146 ../eyes/usound_tof.py:37
+#: ../eyes/velocity-sound.py:131
+msgid "QUIT"
+msgstr "Quitter"
+
+#: ../eyes/alpha.py:195 ../eyes/amfm.py:121 ../eyes/cro.py:165
+#: ../eyes/explore.py:558 ../eyes/induction.py:132
+#: ../eyes/interference-sound.py:166 ../eyes-junior/amfm.py:121
+#: ../eyes-junior/induction.py:133 ../eyes-junior/interference-sound.py:163
+#: ../eyes-junior/RLCdischarge.py:123 ../eyes-junior/sound-burst.py:141
+#: ../eyes-junior/velocity-sound.py:126 ../eyes/RLCdischarge.py:124
+#: ../eyes/sound.py:140 ../eyes/velocity-sound.py:137
+msgid "Messages"
+msgstr "Messages"
+
+#: ../eyes/alpha.py:199 ../eyes/alpha.py:200
+msgid "ERROR: Spectrometer hardware NOT found"
+msgstr "ERREUR : spectromètre PAS trouvé."
+
+#: ../eyes/alpha.py:202
+msgid "PHOENIX Alpha Spectrometer"
+msgstr "Spectromètre Alpha PHOENIX"
+
+#: ../eyes/amfm.py:29 ../eyes/explore.py:254 ../eyes/interference-sound.py:84
+#: ../eyes-junior/amfm.py:29 ../eyes-junior/interference-sound.py:84
+#: ../eyes-junior/velocity-sound.py:66 ../eyes/sound.py:74
+#: ../eyes/velocity-sound.py:72
+msgid "freq"
+msgstr "fréq"
+
+#: ../eyes/amfm.py:29 ../eyes/explore.py:254 ../eyes/interference-sound.py:84
+#: ../eyes-junior/amfm.py:29 ../eyes-junior/interference-sound.py:84
+#: ../eyes-junior/velocity-sound.py:66 ../eyes/sound.py:74
+#: ../eyes/velocity-sound.py:72
+msgid "power"
+msgstr "puiss"
+
+#: ../eyes/amfm.py:83 ../eyes-junior/amfm.py:83 ../eyes/sound.py:118
+msgid "Capture"
+msgstr "Capture"
+
+#: ../eyes/amfm.py:86 ../eyes-junior/amfm.py:86
+msgid "Power Spectrum"
+msgstr "Spectre de Puissance"
+
+#: ../eyes/amfm.py:94 ../eyes-junior/amfm.py:94
+msgid "Number of Samples ="
+msgstr "Nb. échantillons ="
+
+#: ../eyes/amfm.py:101 ../eyes-junior/amfm.py:101
+msgid "Delay between samples="
+msgstr "Délai entre échantillons="
+
+#: ../eyes/amfm.py:107 ../eyes-junior/amfm.py:107
+msgid "uS."
+msgstr "µs"
+
+#: ../eyes/amfm.py:110
+msgid "UPV ="
+msgstr "UPV ="
+
+#: ../eyes/amfm.py:124 ../eyes-junior/amfm.py:123 ../eyes-junior/amfm.py:124
+msgid "Amplitude Modulation"
+msgstr "Modulation d'amplitude"
+
+#: ../eyes/calibrate.py:48
+#, python-format
+msgid "Point %5.3fV. Deviation A0 %5.2f%%"
+msgstr "Point %5.3fV. Déviation A0 %5.2f%%"
+
+#: ../eyes/calibrate.py:50
+msgid "ERROR: Check BPV to A0 connection"
+msgstr "ERREUR : Vérifiez la connexion entre BPV et A0"
+
+#: ../eyes/calibrate.py:63
+msgid "ERROR: Check BPV to A1 connection"
+msgstr "ERREUR : Vérifiez la connexion entre BPV et A1"
+
+#: ../eyes/calibrate.py:71
+#, python-format
+msgid "ERROR : Point %5.3f Volts NOT done"
+msgstr "Erreur : Point %5.3f Tension PAS faite"
+
+#: ../eyes/calibrate.py:77
+#, python-format
+msgid "Readback for %5.3f V point = %5.3f V NOT GOOD"
+msgstr "Lecture pour %5.3f V point = %5.3f V PAS BON"
+
+#: ../eyes/calibrate.py:102
+msgid "ADC0 m & c "
+msgstr "ADC0 m & c "
+
+#: ../eyes/calibrate.py:103
+msgid "ADC1 m & c "
+msgstr "ADC1 m & c "
+
+#: ../eyes/calibrate.py:104
+msgid "DAC0 m & c "
+msgstr "DAC0 m & c "
+
+#: ../eyes/calibrate.py:109
+#, python-format
+msgid "DONE: (%7.6f,%5.3f)(%7.6f,%5.3f)(%5.1f,%5.1f)"
+msgstr "FAIT : (%7.6f,%5.3f)(%7.6f,%5.3f)(%5.1f,%5.1f)"
+
+#: ../eyes/calibrate.py:145
+#, python-format
+msgid "Set %3.0f Volts"
+msgstr "Réglage %3.0f volt"
+
+#: ../eyes/calibrate.py:153
+msgid "Measured ="
+msgstr "Mesuré ="
+
+#: ../eyes/calibrate.py:163
+msgid ""
+"First you MUST Connect BPV to A0 & A1.\n"
+"Then click on each \"Set Volts Button\","
+msgstr ""
+"Il FAUT d'abord connecter BPV à A0 et A1.\n"
+"Ensuite cliquer sur chaque bouton « Réglage V »"
+
+#: ../eyes/calibrate.py:164
+msgid ""
+"Measure BPV with a 4.5 DMM and\n"
+" enter it in the \"Measured=\" Field.\n"
+msgstr ""
+"Mesurer BPV avec un mutimètre 4,5 et\n"
+"l'entrer dans le champ « Mesuré= ».\n"
+
+#: ../eyes/calibrate.py:165
+msgid "IMPROPER USE MAY SPOIL THE CALIBRATION"
+msgstr "UN USAGE IMPROPRE PEUT DÉFAIRE LA CALIBRATION"
+
+#: ../eyes/calibrate.py:171 ../eyes/explore.py:597
+#: ../eyes-junior/croplus.py:749 ../eyes-junior/pt100.py:243
+#: ../eyes/pt100.py:229
+msgid "Calibrate"
+msgstr "Calibrer"
+
+#: ../eyes/calibrate.py:174
+msgid "Verify CH0"
+msgstr "Vérifier CH0"
+
+#: ../eyes/calibrate.py:176
+msgid "Verify CH1"
+msgstr "Vérifier CH1"
+
+#: ../eyes/calibrate.py:182
+msgid "msg"
+msgstr "msg"
+
+#: ../eyes/calibrate.py:185
+msgid "AD/DA CALIBRATION. NOT FOR EVERYONE!!"
+msgstr "CALIBRATION AN/NA. PAS POUR TOUT LE MONDE !!"
+
+#: ../eyes/cro.py:38
+msgid "You can select SEN, A2 or (A1, A0 or both)"
+msgstr "On peut sélectionner SEN, A2 ou (A1, A0 ou tous les deux)"
+
+#: ../eyes/cro.py:63
+msgid "NP delay = "
+msgstr "NP délai = "
+
+#: ../eyes/cro.py:76 ../eyes/cro.py:85 ../eyes/cro.py:95
+#, python-format
+msgid "Vpeak = %5.2f V | Freq = %5.2f Hz"
+msgstr "Vpic = %5.2f V | Fréq = %5.2f Hz"
+
+#: ../eyes/cro.py:106
+#, python-format
+msgid "CH0 Vp = %5.2f V | Freq = %5.2f Hz "
+msgstr "CH0 Vp = %5.2f V | Fréq = %5.2f Hz "
+
+#: ../eyes/cro.py:110
+#, python-format
+msgid "CH1 Vp = %5.2f V | Freq = %5.2f Hz"
+msgstr "CH1 Vp = %5.2f V | Fréq = %5.2f Hz"
+
+#: ../eyes/cro.py:126 ../eyes/explore.py:515 ../eyes-junior/RCcircuit.py:117
+#: ../eyes-junior/RLCdischarge.py:97 ../eyes-junior/RLcircuit.py:119
+#: ../eyes/RCcircuit.py:114 ../eyes/RLCdischarge.py:98
+#: ../eyes/RLcircuit.py:118
+msgid "mS/div"
+msgstr "ms/div"
+
+#: ../eyes/cro.py:131 ../eyes-junior/ac-circuit.py:184
+#: ../eyes-junior/croplus.py:572
+msgid "Volt/div"
+msgstr "V/div"
+
+#: ../eyes/cro.py:153 ../eyes-junior/logger.py:134 ../eyes/logger.py:134
+msgid "SEN"
+msgstr "SEN"
+
+#: ../eyes/cro.py:168
+msgid "EYES CRO Program"
+msgstr "Oscillographe EYES"
+
+#: ../eyes/diode_iv.py:42 ../eyes/diode_iv.py:77 ../eyes/diode_iv.py:119
+#: ../eyes-junior/diode_iv.py:37 ../eyes-junior/diode_iv.py:72
+#: ../eyes-junior/diode_iv.py:113 ../eyes-junior/pt100.py:228
+#: ../eyes-junior/RCcircuit.py:108 ../eyes-junior/RLCdischarge.py:92
+#: ../eyes-junior/RLcircuit.py:110 ../eyes-junior/transistor.py:97
+#: ../eyes-junior/transistor.py:126 ../eyes/LED_iv.py:39 ../eyes/LED_iv.py:80
+#: ../eyes/LED_iv.py:136 ../eyes/phototransistor.py:73
+#: ../eyes/phototransistor.py:99 ../eyes/RCcircuit.py:105
+#: ../eyes/resistor_iv.py:86 ../eyes/resistor_iv.py:121
+#: ../eyes/RLCdischarge.py:93 ../eyes/RLcircuit.py:109
+#: ../eyes/transistor.py:97 ../eyes/transistor.py:124
+msgid "mA"
+msgstr "mA"
+
+#: ../eyes/diode_iv.py:73 ../eyes-junior/diode_iv.py:68 ../eyes/LED_iv.py:70
+msgid "Starting to plot I-V"
+msgstr "Début tracé I-U"
+
+#: ../eyes/diode_iv.py:77 ../eyes/interference-sound.py:97
+#: ../eyes-junior/diode_iv.py:72 ../eyes-junior/interference-sound.py:97
+#: ../eyes-junior/RCcircuit.py:85 ../eyes-junior/RLCdischarge.py:69
+#: ../eyes-junior/RLcircuit.py:87 ../eyes-junior/sound-burst.py:82
+#: ../eyes-junior/transistor.py:97 ../eyes-junior/velocity-sound.py:79
+#: ../eyes/LED_iv.py:80 ../eyes/phototransistor.py:73 ../eyes/RCcircuit.py:81
+#: ../eyes/resistor_iv.py:85 ../eyes/RLCdischarge.py:69
+#: ../eyes/RLcircuit.py:85 ../eyes/sound.py:87 ../eyes/transistor.py:97
+#: ../eyes/velocity-sound.py:85
+msgid "Volts"
+msgstr "V"
+
+#: ../eyes/diode_iv.py:77 ../eyes-junior/diode_iv.py:72
+#: ../eyes-junior/transistor.py:97 ../eyes/phototransistor.py:73
+#: ../eyes/transistor.py:97
+msgid "Diode IV Curve"
+msgstr "Courbe I-U d'une diode"
+
+#: ../eyes/diode_iv.py:87 ../eyes/freq-response.py:99 ../eyes/induction.py:96
+#: ../eyes/interference-sound.py:93 ../eyes-junior/diode_iv.py:82
+#: ../eyes-junior/freq-response.py:99 ../eyes-junior/induction.py:98
+#: ../eyes-junior/interference-sound.py:93 ../eyes-junior/RCcircuit.py:81
+#: ../eyes-junior/RLCdischarge.py:65 ../eyes-junior/RLcircuit.py:83
+#: ../eyes-junior/sound-burst.py:96 ../eyes-junior/transistor.py:107
+#: ../eyes-junior/velocity-sound.py:75 ../eyes/LED_iv.py:90
+#: ../eyes/phototransistor.py:83 ../eyes/RCcircuit.py:77
+#: ../eyes/resistor_iv.py:102 ../eyes/RLCdischarge.py:65
+#: ../eyes/RLcircuit.py:81 ../eyes/sound.py:83 ../eyes/transistor.py:107
+#: ../eyes/velocity-sound.py:81
+msgid "Data saved to file "
+msgstr "Données enregistrées dans le fichier"
+
+#: ../eyes/diode_iv.py:102 ../eyes-junior/diode_iv.py:97
+#, python-format
+msgid "Fitted with Diode Equation : Io = %5.2e mA , Ideality factor = %5.2f"
+msgstr ""
+"Modèle d'équation de la diode : Io = %5.2e mA , Facteur d'idéalité = %5.2f"
+
+#: ../eyes/diode_iv.py:125
+msgid "ZENER"
+msgstr "ZÉNER"
+
+#: ../eyes/diode_iv.py:129 ../eyes/freq-response.py:126
+#: ../eyes-junior/diode_iv.py:120 ../eyes-junior/freq-response.py:125
+#: ../eyes-junior/logger.py:162 ../eyes-junior/pendulum.py:166
+#: ../eyes-junior/pt100.py:231 ../eyes-junior/rodpend.py:132
+#: ../eyes-junior/transistor.py:141 ../eyes/LED_iv.py:144
+#: ../eyes/logger.py:162 ../eyes/pendulum.py:128
+#: ../eyes/phototransistor.py:106 ../eyes/pt100.py:214
+#: ../eyes/resistor_iv.py:128 ../eyes/rodpend.py:160
+#: ../eyes/temp-controller.py:198 ../eyes/transistor.py:139
+msgid "SAVE to"
+msgstr "ENREGISTRER dans"
+
+#: ../eyes/diode_iv.py:140 ../eyes/freq-response.py:138
+#: ../eyes-junior/diode_iv.py:131 ../eyes-junior/freq-response.py:137
+#: ../eyes-junior/transistor.py:152 ../eyes/LED_iv.py:155
+#: ../eyes/phototransistor.py:117 ../eyes/resistor_iv.py:140
+#: ../eyes/transistor.py:150
+msgid "Grace"
+msgstr "Grace"
+
+#: ../eyes/diode_iv.py:147 ../eyes/gravity_tof.py:95
+#: ../eyes-junior/diode_iv.py:138 ../eyes-junior/logger.py:174
+#: ../eyes-junior/pendulum.py:177 ../eyes-junior/pt100.py:251
+#: ../eyes-junior/rodpend.py:148 ../eyes-junior/transistor.py:159
+#: ../eyes/LED_iv.py:162 ../eyes/logger.py:174 ../eyes/pendulum.py:139
+#: ../eyes/phototransistor.py:124 ../eyes/pt100.py:234
+#: ../eyes/resistor_iv.py:145 ../eyes/rodpend.py:188
+#: ../eyes/temp-controller.py:210 ../eyes/transistor.py:157
+msgid "Message"
+msgstr "Message"
+
+#: ../eyes/diode_iv.py:150 ../eyes-junior/diode_iv.py:141
+msgid "Diode IV Characteristic"
+msgstr "Caractéristique I-U de diode"
+
+#: ../eyes/diode_iv.py:151 ../eyes-junior/diode_iv.py:142
+msgid "EYES: Diode IV characteristics"
+msgstr "EYES : caractéritiques I-U de diode"
+
+#: ../eyes/explore.py:32
+msgid ""
+"For help, click on the Terminal Boxes(1 to 32).\n"
+"LIZ : Lissajous figure.\n"
+msgstr ""
+"Pour l'aide, cliquer sur les boîtes des bornes (1 à 32).\n"
+"LIS : figure de Lissajous.\n"
+
+#: ../eyes/explore.py:33
+msgid ""
+"FT : Fourier Transform power spectrum.\n"
+"XM : Xmgrace 2D plotting program\n"
+msgstr ""
+"FT : transformée de Fourier (spectre de puissance).\n"
+"XM : grapheur 2D XmGrace\n"
+
+#: ../eyes/explore.py:34
+msgid "XmGrace is NOT available under MSWindows"
+msgstr "XmGrace n'est PAS disponible sous MSWindows"
+
+#: ../eyes/explore.py:35
+msgid ""
+"1.Software can read the voltage input level, LOW ( < .8V) or HIGH (>2V).\n"
+msgstr ""
+"1. Le logiciel peut lire le niveau de tension à l'entrée, BAS (< 0,8 V) ou "
+"HAUT (>2 V).\n"
+
+#: ../eyes/explore.py:36
+msgid ""
+"If a square wave input is given, click on the Buttons for measuring "
+"frequency / duty cycle"
+msgstr ""
+"Si on entre un signal carré, cliquer sur les boutons pour mesurer la "
+"fréquence/ le rapport cyclique"
+
+#: ../eyes/explore.py:37
+msgid "2. Can sense input level"
+msgstr "2. Peut capter le niveau d'entrée"
+
+#: ../eyes/explore.py:38
+msgid ""
+"3. Digital Output.  Can be set to 0 or 5 volts.\n"
+"Use the Checkbutton to change the Level"
+msgstr ""
+"3. Sortie numérique. Peut être réglée à 0 ou 5V.\n"
+"Utiliser le bouton à cocher pour modifier le niveau"
+
+#: ../eyes/explore.py:39
+msgid ""
+"4. Digital Output.  Can be set to 0 or 5 volts.\n"
+"Use the Checkbutton to change the Level"
+msgstr ""
+"4. Sortie numérique. Peut être réglée à 0 ou 5V.\n"
+"Utiliser le bouton à cocher pour modifier le niveau"
+
+#: ../eyes/explore.py:40
+msgid "5. Ground (zero volts)"
+msgstr "5. Masse (zéro volt)"
+
+#: ../eyes/explore.py:41
+msgid ""
+"6. SQR1: Generates Square Wave. Voltage swings between 0 and 5V. Frequency "
+"is programmable from "
+msgstr ""
+"6. SQR1 : générateur de signal carré. La tension oscille entre 0 et 5 V. La "
+"fréquence est programmable depuis "
+
+#: ../eyes/explore.py:42
+msgid "Hz to1 MHz. All intermediate values of frequency are not possible."
+msgstr ""
+"Hz jusqu'à 1 MHz. Toutes les valeurs intermédiaires ne sont pas possibles."
+
+#: ../eyes/explore.py:43
+msgid ""
+"7. SQR2: Generates Square Wave. The frequency range is controlled by "
+"software and fine adjustment "
+msgstr ""
+"7. SQR2 : générateur de signal carré. La fréquence est contrôlé par le "
+"logiciel et un ajustement fin "
+
+#: ../eyes/explore.py:44
+msgid ""
+"is done by an external 22 kOhm variable resistor. Frequency range is from "
+"0.7 Hz to 90 kHz."
+msgstr ""
+"est réalisé par une résistance externe variable de 22 kOhm. L'intervalle de "
+"fréquence va de 0,7 Hz à 90 kHz."
+
+#: ../eyes/explore.py:45
+msgid "8. 22 kOhm resistor used for frequency adjustment of SQR2."
+msgstr ""
+"8. Résistance de 22 kOhm utilisée pour l'ajustement en fréquence de SQR2."
+
+#: ../eyes/explore.py:46
+msgid "9. 22 kOhm resistor used for frequency adjustment of SQR2."
+msgstr ""
+"9. Résistance de 22 kOhm utilisée pour l'ajustement en fréquence de SQR2."
+
+#: ../eyes/explore.py:47
+#, python-format
+msgid ""
+"10. Programmable Pulse. Frequency is 488.3 Hz. Duty cycle from 0 to 100%% in "
+"255 steps."
+msgstr ""
+"10. Impulsions programmables. Le fréquence est 488,3 Hz. Le rapport cyclique "
+"va de 0 à 100%% en 255 pas."
+
+#: ../eyes/explore.py:48
+msgid "11. Ground"
+msgstr "11. Masse"
+
+#: ../eyes/explore.py:49
+msgid "12. Output of Inverting Amplifier with a gain of 47. (Input at 14)"
+msgstr ""
+"12. Sortie d'amplificateur inverseur avec un gain de 47. (entrée en 14)"
+
+#: ../eyes/explore.py:50
+msgid "13. Output of Inverting Amplifier with a gain of 47. (Input at 15)"
+msgstr ""
+"13. Sortie d'amplificateur inverseur avec un gain de 47. (entrée en 15)"
+
+#: ../eyes/explore.py:51
+msgid "14. Input of Inverting Amplifier with a gain of 47. (Output at 12)"
+msgstr ""
+"14. Entrée  d'amplificateur inverseur avec un gain de 47. (sortie en 12)"
+
+#: ../eyes/explore.py:52
+msgid ""
+"15. Input of Inverting Amplifier with a gain of 47. (Output at 13). Also "
+"acts as a Frequency counter, "
+msgstr ""
+"15. Entrée  d'amplificateur inverseur avec un gain de 47. (sortie en 13). "
+"Agit aussi comme compteur de fréquence, "
+
+#: ../eyes/explore.py:53
+msgid ""
+"for a bipolar a signal (amplitude from 100 mV to 5V). If the signal is "
+"unipolar feed it "
+msgstr ""
+"pour un signal bipolaire (amplitude entre 100 mV et 5 V). Si la signal est "
+"unipolaire, l'amener "
+
+#: ../eyes/explore.py:54
+msgid "via a series capacitor"
+msgstr "à travers un condensateur en série"
+
+#: ../eyes/explore.py:55
+msgid "16. Ground"
+msgstr "16. Masse"
+
+#: ../eyes/explore.py:56
+msgid ""
+"17. Input of Inverting Amplifier. Default Gain=100. The gain can be reduced "
+"by a series resistor at the input. "
+msgstr ""
+"17. Entrée d'amplificateur inverseur. Gain par défaut = 100. Le gain peut "
+"être réduit par une résistance en série avec l'entrée."
+
+#: ../eyes/explore.py:57
+msgid ""
+"The gain will be given by G = 10000/(100+R), where R is the value of the "
+"external series resistor."
+msgstr ""
+"Le gain sera donné par G = 10000/(100+R), où R est la valeur de la "
+"résistance externe en série."
+
+#: ../eyes/explore.py:58
+msgid "18. Output of the Inverting Amplifier (Input 17)"
+msgstr "18. Sortie d'amplificateur inverseur (entrée en 17)"
+
+#: ../eyes/explore.py:59
+msgid "19. Ground"
+msgstr "19. Masse"
+
+#: ../eyes/explore.py:60
+msgid ""
+"20. Gain control resistor for Non-Inverting amplifier, from 20 to Ground. "
+"Gain = 1 + 10000/Rg."
+msgstr ""
+"20. Résistance de contrôle de gain pour amplificateur non-inverseur, entre "
+"30 et la masse. Gain = 1 + 10000/Rg."
+
+#: ../eyes/explore.py:61
+msgid "21. Input of Non-Inverting Amplifier (Output 22)"
+msgstr "21. Entrée d'amplificateur non-inverseur (sortie en 22)"
+
+#: ../eyes/explore.py:62
+msgid "22. Output of Non-Inverting Amplifier(Input 21)"
+msgstr "22. Sortie d'amplificateur non-inverseur (entrée en 21)"
+
+#: ../eyes/explore.py:63
+msgid ""
+"23. Sensor Input. Connect Photo transistor collector here and emitter to "
+"Ground."
+msgstr ""
+"23. Entrée capteur (senseur). Connecter le collecteur du photo-transistor "
+"ici et l'émetteur à la Masse."
+
+#: ../eyes/explore.py:64
+msgid "24. Voltage measurement terminal. Input must be in the 0 to 5V range."
+msgstr ""
+"24. Borne de mesure de la tension. L'entrée doit être dans l'intervalle de 0 "
+"à 5 V."
+
+#: ../eyes/explore.py:65
+msgid "25. Voltage measurement terminal. Input must be in the -5V to 5V range."
+msgstr ""
+"25. Borne de mesure de la tension. L'entrée doit être dans l'intervalle de "
+"-5 V à 5 V."
+
+#: ../eyes/explore.py:66
+msgid "26. Voltage measurement terminal. Input must be in the -5V to 5V range."
+msgstr ""
+"26. Borne de mesure de la tension. L'entrée doit être dans l'intervalle de "
+"-5 V à 5 V."
+
+#: ../eyes/explore.py:67
+msgid "27. Ground"
+msgstr "27. Masse"
+
+#: ../eyes/explore.py:68
+msgid ""
+"28. Programmable constant current source. 0.05 to 2 milli ampere range. The "
+"load resistor "
+msgstr ""
+"28. Source de courant constant programmable. Intervalle de 0,05 à 2 mA. La "
+"résistance de charge "
+
+#: ../eyes/explore.py:69
+msgid "should be chosen to make the product of I and R less than 2 volts."
+msgstr ""
+"doit être choisie de telle façon que le produit R.I soit moins que 2 V."
+
+#: ../eyes/explore.py:70
+msgid ""
+"29. Output of 30 through a 1kOhm resistor. Used for doing diode I-V "
+"characteristic."
+msgstr ""
+"29. Sortie de 30 à travers une résistance de 1 kOhm. Utilisée pour faires "
+"les caractéristiques I-U de diodes."
+
+#: ../eyes/explore.py:71
+msgid "30. Programmable voltage between -5V to +5V."
+msgstr "30. Tension programmable entre -5 V et +5 V."
+
+#: ../eyes/explore.py:72
+msgid "31. Programmable voltage between 0 to +5V."
+msgstr "31. Tension programmable entre 0 et +5 V."
+
+#: ../eyes/explore.py:73
+msgid ""
+"32. Sine wave output. Frequency around 90 Hz. Voltage swings between -4V to "
+"+4V."
+msgstr ""
+"32. Sortie de signal sinusoïdal. Fréquence proche de 90 Hz. La tension "
+"oscille entre -4 V et +4 V."
+
+#: ../eyes/explore.py:121 ../eyes-junior/croplus.py:707
+msgid "EXPERIMENTS"
+msgstr "EXPÉRIENCES"
+
+#: ../eyes/explore.py:165 ../eyes/explore.py:321
+msgid "LO"
+msgstr "BAS"
+
+#: ../eyes/explore.py:176 ../eyes/explore.py:181 ../eyes/explore.py:184
+msgid "F"
+msgstr "F"
+
+#: ../eyes/explore.py:192 ../eyes/explore.py:201 ../eyes/explore.py:210
+#: ../eyes/explore.py:283 ../eyes/explore.py:315 ../eyes/explore.py:421
+#: ../eyes/explore.py:429
+msgid "0 Hz"
+msgstr "0 Hz"
+
+#: ../eyes/explore.py:236
+msgid "Traces saved to explore.dat"
+msgstr "Données enregistrées dans \"explore.dat\""
+
+#: ../eyes/explore.py:240 ../eyes-junior/ac-circuit.py:143
+#: ../eyes-junior/croplus.py:494
+msgid "Could not find Xmgrace or Pygrace. Install them"
+msgstr "XmGrace ou Pygrace introuvables. Installez-les"
+
+#: ../eyes/explore.py:246
+msgid "Could not find scipy package. Install it"
+msgstr "Le paquet scipy est introuvable. Installez-le"
+
+#: ../eyes/explore.py:255
+msgid "Fourier transform Saved to exploreFFT.dat."
+msgstr "Transformée de Fourier enregistrée dans \"exploreFFT.dat\"."
+
+#: ../eyes/explore.py:324
+msgid "HI"
+msgstr "HAUT"
+
+#: ../eyes/explore.py:352
+msgid "python-scipy not installed. Required for data fitting"
+msgstr ""
+"python-scipy n'est pas installé. Il est nécessaire pour modéliser les données"
+
+#: ../eyes/explore.py:360
+#, python-format
+msgid "CH%d %5.2f V , F= %5.2f Hz"
+msgstr "CH%d %5.2f V , F= %5.2f Hz"
+
+#: ../eyes/explore.py:362
+#, python-format
+msgid "CH%d nosig "
+msgstr "CH%d nosig "
+
+#: ../eyes/explore.py:371
+#, python-format
+msgid "CH0 : %5.2f V , %5.2f Hz "
+msgstr "CH0 : %5.2f V , %5.2f Hz "
+
+#: ../eyes/explore.py:373
+msgid "CH0: no signal "
+msgstr "CH0 : pas de signal"
+
+#: ../eyes/explore.py:380
+#, python-format
+msgid "| CH1 %5.2f V , %5.2f Hz"
+msgstr "| CH1 %5.2f V , %5.2f Hz"
+
+#: ../eyes/explore.py:382
+#, python-format
+msgid " | dphi= %5.1f"
+msgstr " | dphi= %5.1f"
+
+#: ../eyes/explore.py:384
+msgid "| CH1:no signal "
+msgstr "| CH1 : pas de signal "
+
+#: ../eyes/explore.py:436
+msgid "Transaction Error."
+msgstr "Erreur de transaction."
+
+#: ../eyes/explore.py:539
+msgid "LIZ"
+msgstr "LIS"
+
+#: ../eyes/explore.py:546
+msgid "Save"
+msgstr "Enregistrer"
+
+#: ../eyes/explore.py:548
+msgid "FT"
+msgstr "FT"
+
+#: ../eyes/explore.py:551
+msgid "XM"
+msgstr "XM"
+
+#: ../eyes/explore.py:575
+msgid "Resistor IV"
+msgstr "Courbe U-I de résistances"
+
+#: ../eyes/explore.py:576 ../eyes-junior/croplus.py:732
+msgid "RC Circuit"
+msgstr "Circuit RC"
+
+#: ../eyes/explore.py:577 ../eyes-junior/croplus.py:733
+msgid "RL Circuit"
+msgstr "Circuit RL"
+
+#: ../eyes/explore.py:578 ../eyes-junior/croplus.py:734
+#: ../eyes/RLCdischarge.py:127
+msgid "RLC Discharge"
+msgstr "Décharge RLC"
+
+#: ../eyes/explore.py:579 ../eyes-junior/croplus.py:735
+msgid "EM Induction"
+msgstr "Induction électro-magnétique"
+
+#: ../eyes/explore.py:580 ../eyes-junior/croplus.py:736
+msgid "Diode IV"
+msgstr "Courbe I-U d'une diode"
+
+#: ../eyes/explore.py:581
+msgid "LED IV"
+msgstr "Courbe I-U d'une DEL"
+
+#: ../eyes/explore.py:582 ../eyes-junior/croplus.py:737
+msgid "Transistor CE"
+msgstr "Transistor en émetteur commun"
+
+#: ../eyes/explore.py:583 ../eyes-junior/croplus.py:739
+msgid "Frequency Response"
+msgstr "Réponse en fréquence"
+
+#: ../eyes/explore.py:584 ../eyes-junior/croplus.py:740
+#: ../eyes-junior/velocity-sound.py:129 ../eyes/usound_tof.py:41
+#: ../eyes/velocity-sound.py:140
+msgid "Velocity of Sound"
+msgstr "Vitesse du son"
+
+#: ../eyes/explore.py:585 ../eyes-junior/croplus.py:741
+msgid "Interference of Sound"
+msgstr "Interférences sonores"
+
+#: ../eyes/explore.py:586
+msgid "Photo-Transistor CE"
+msgstr "Photo-transistor en émetteur commun"
+
+#: ../eyes/explore.py:587 ../eyes-junior/croplus.py:744
+msgid "Rod Pendulum"
+msgstr "Pendule pesant"
+
+#: ../eyes/explore.py:588
+msgid "Gravity TOF"
+msgstr "Gravité par temps de vol (TDV)"
+
+#: ../eyes/explore.py:589 ../eyes-junior/croplus.py:745
+msgid "Pendulum Wavefrorm"
+msgstr "Signal du pendule"
+
+#: ../eyes/explore.py:590
+msgid "40 kHz Piezo TOF"
+msgstr "TDV piézo 40 kHz"
+
+#: ../eyes/explore.py:591 ../eyes-junior/croplus.py:746
+msgid "PT100 Sensor"
+msgstr "Capteur PT100"
+
+#: ../eyes/explore.py:592
+msgid "Temp Comptroller"
+msgstr "Contôleur de température"
+
+#: ../eyes/explore.py:593 ../eyes-junior/croplus.py:748
+msgid "Data Logger"
+msgstr "Enregistreur de données"
+
+#: ../eyes/explore.py:594
+msgid "CRO"
+msgstr "Oscilloscope"
+
+#: ../eyes/explore.py:595 ../eyes-junior/croplus.py:738
+msgid "AM and FM"
+msgstr "AM et FM"
+
+#: ../eyes/explore.py:596
+msgid "Music"
+msgstr "Musique"
+
+#: ../eyes/explore.py:607
+msgid "Finished "
+msgstr "Terminé "
+
+#: ../eyes/explore.py:614 ../eyes-junior/croplus.py:758
+msgid "Finished \""
+msgstr "Terminé \""
+
+#: ../eyes/explore.py:627
+msgid "EYES Hardware NOT found."
+msgstr "Boîtier EYES PAS trouvé."
+
+#: ../eyes/explore.py:628
+msgid ""
+"EYES Hardware Not Found.\n"
+"Re-Connect USB cable and restart the program."
+msgstr ""
+"Boîtier EYES PAS trouvé.\n"
+"Re-connecter le câble USB et relancer le programme."
+
+#: ../eyes/explore.py:632
+msgid "EYES Hardware found on "
+msgstr "Boîtier EYES trouvé sur "
+
+#: ../eyes/freq-response.py:62
+#, python-format
+msgid "Fo = %5.0f Hz"
+msgstr "Fo = %5.0f Hz"
+
+#: ../eyes/freq-response.py:82 ../eyes-junior/freq-response.py:82
+msgid "Frequency"
+msgstr "Fréquence"
+
+#: ../eyes/freq-response.py:83 ../eyes-junior/freq-response.py:83
+msgid "Amplitude"
+msgstr "Amplitude"
+
+#: ../eyes/freq-response.py:84 ../eyes-junior/freq-response.py:84
+msgid "Frequency response curve"
+msgstr "Courbe de réponse fréquencielle"
+
+#: ../eyes/freq-response.py:119 ../eyes-junior/croplus.py:105
+#: ../eyes-junior/freq-response.py:118
+msgid "Freq"
+msgstr "Fréq"
+
+#: ../eyes/freq-response.py:119 ../eyes-junior/croplus.py:105
+#: ../eyes-junior/freq-response.py:118
+msgid "Amp"
+msgstr "A"
+
+#: ../eyes/freq-response.py:132 ../eyes-junior/freq-response.py:131
+msgid "Fmax = "
+msgstr "Fmax = "
+
+#: ../eyes/freq-response.py:143 ../eyes-junior/freq-response.py:142
+msgid ""
+"Connect Piezo from SQR1 to GND. Microphone to 16,15 & 31. Wire from 13 to 26"
+msgstr ""
+"Connecter le piézo entre SQR1 et GND (Masse) ; Le micro à 16, 15 et 31. Un "
+"fil entre 13 et 26"
+
+#: ../eyes/freq-response.py:146 ../eyes-junior/freq-response.py:145
+msgid "Frequency Response Curve"
+msgstr "Courbe de réponse fréquencielle"
+
+#: ../eyes/freq-response.py:147 ../eyes-junior/freq-response.py:146
+msgid "Audio Frequency response Curve"
+msgstr "Courbe de réponse fréquencielle audio"
+
+#: ../eyes/gravity_tof2.py:39
+#, python-format
+msgid "Value of \"g\" by fitting the data points = %5.2f"
+msgstr "Valeur de \"g\" en modélisant les points de données = %5.2f"
+
+#: ../eyes/gravity_tof2.py:69
+msgid "Invalid H"
+msgstr "H invalide"
+
+#: ../eyes/gravity_tof2.py:80
+#, python-format
+msgid "t=%6.4f g=%5.1f"
+msgstr "t=%6.4f g=%5.1f"
+
+#: ../eyes/gravity_tof2.py:82
+msgid "Timeout Err"
+msgstr "Err. délai dépassé"
+
+#: ../eyes/gravity_tof2.py:94
+msgid "Attach Ball at H="
+msgstr "Fixer la bille à H ="
+
+#: ../eyes/gravity_tof2.py:100 ../eyes/gravity_tof.py:61
+msgid "cm"
+msgstr "cm"
+
+#: ../eyes/gravity_tof2.py:102 ../eyes/gravity_tof.py:65
+msgid "Measure TOF"
+msgstr "Mesurer TDV"
+
+#: ../eyes/gravity_tof2.py:109
+msgid "Acceleration due to gravity by Time of Flight"
+msgstr "Accélération due à la gravité par temps de vol"
+
+#: ../eyes/gravity_tof2.py:116 ../eyes/induction.py:118
+#: ../eyes/interference-sound.py:155 ../eyes-junior/induction.py:119
+#: ../eyes-junior/interference-sound.py:152 ../eyes-junior/RCcircuit.py:142
+#: ../eyes-junior/RLCdischarge.py:107 ../eyes-junior/RLcircuit.py:131
+#: ../eyes-junior/sound-burst.py:131 ../eyes-junior/velocity-sound.py:115
+#: ../eyes/RCcircuit.py:137 ../eyes/RLCdischarge.py:108
+#: ../eyes/RLcircuit.py:130 ../eyes/sound.py:125 ../eyes/velocity-sound.py:126
+msgid "Save to"
+msgstr "Enregistrer sous"
+
+#: ../eyes/gravity_tof2.py:124 ../eyes/gravity_tof.py:100
+msgid "Gravity by TOF"
+msgstr "Gravité par temps de vol (TDV)"
+
+#: ../eyes/gravity_tof2.py:125 ../eyes/gravity_tof.py:101
+msgid "Gravity by Time of Flight"
+msgstr "Gravité par temps de vol (TDV)"
+
+#: ../eyes/gravity_tof.py:26 ../eyes/gravity_tof.py:35
+#: ../eyes/usound_tof.py:18
+#, python-format
+msgid "%8.6f sec"
+msgstr "%8.6f s"
+
+#: ../eyes/gravity_tof.py:28
+msgid "Timeout Error.."
+msgstr "Erreur délai dépassé..."
+
+#: ../eyes/gravity_tof.py:37 ../eyes/looptest.py:15 ../eyes/usound_tof.py:20
+msgid "Error.."
+msgstr "Erreur..."
+
+#: ../eyes/gravity_tof.py:46
+msgid " Error"
+msgstr "Erreur"
+
+#: ../eyes/gravity_tof.py:55
+msgid "Height="
+msgstr "Hauteur="
+
+#: ../eyes/gravity_tof.py:63
+msgid "Attach Ball"
+msgstr "Fixer la bille"
+
+#: ../eyes/induction.py:55 ../eyes-junior/induction.py:55
+#, python-format
+msgid "Voltage Scan on Coil Done. Noise Voltage = %5.3f V"
+msgstr ""
+"Variation de tension sur la bobine terminée. Tension de bruit = %5.3f V"
+
+#: ../eyes/induction.py:115 ../eyes-junior/induction.py:116
+#: ../eyes-junior/sound-burst.py:122
+msgid "Start Scanning"
+msgstr "Démarrer la l'enregistrement"
+
+#: ../eyes/induction.py:125 ../eyes-junior/induction.py:126
+#: ../eyes-junior/sound-burst.py:126
+msgid "VIEW"
+msgstr "VOIR"
+
+#: ../eyes/induction.py:135 ../eyes-junior/induction.py:136
+msgid "Electromagnetic Induction"
+msgstr "Induction électromagnétique"
+
+#: ../eyes/induction.py:136
+msgid "EYES: Electromagnetic Induction"
+msgstr "EYES : induction électromagnétique"
+
+#: ../eyes/interference-sound.py:38 ../eyes-junior/interference-sound.py:41
+#, python-format
+msgid "Freq = %5.0f Hz"
+msgstr "Fréq = %5.0f Hz"
+
+#: ../eyes/interference-sound.py:40 ../eyes-junior/interference-sound.py:43
+#: ../eyes-junior/velocity-sound.py:46 ../eyes/velocity-sound.py:47
+msgid "No Signal"
+msgstr "Pas de signal"
+
+#: ../eyes/interference-sound.py:85 ../eyes-junior/interference-sound.py:85
+#: ../eyes-junior/velocity-sound.py:67 ../eyes/sound.py:75
+#: ../eyes/velocity-sound.py:73
+msgid "Fourier transform Saved to FFT.dat."
+msgstr "Transformée de Fourier enregistrée dans \"FFT.dat\"."
+
+#: ../eyes/interference-sound.py:97 ../eyes-junior/interference-sound.py:97
+#: ../eyes-junior/RCcircuit.py:85 ../eyes-junior/RLCdischarge.py:69
+#: ../eyes-junior/RLcircuit.py:87 ../eyes-junior/sound-burst.py:82
+#: ../eyes-junior/velocity-sound.py:79 ../eyes/RCcircuit.py:81
+#: ../eyes/RLCdischarge.py:69 ../eyes/RLcircuit.py:85 ../eyes/sound.py:87
+#: ../eyes/velocity-sound.py:85
+msgid "milliSeconds"
+msgstr "ms"
+
+#: ../eyes/interference-sound.py:113 ../eyes-junior/interference-sound.py:111
+#: ../eyes-junior/RCcircuit.py:110 ../eyes-junior/RLcircuit.py:112
+#: ../eyes/RCcircuit.py:107 ../eyes/RLcircuit.py:111 ../eyes/sound.py:101
+#: ../eyes/velocity-sound.py:101
+msgid "EYES Hardware Not Found. Check Connections and restart the program"
+msgstr ""
+"Boîtier EYES pas trouvé. Vérifier les connexions et relancer le programme"
+
+#: ../eyes/interference-sound.py:121
+msgid "NS ="
+msgstr "NS ="
+
+#: ../eyes/interference-sound.py:128
+msgid "PULSE="
+msgstr "PULSE="
+
+#: ../eyes/interference-sound.py:137 ../eyes-junior/interference-sound.py:125
+msgid "SQR1="
+msgstr "SQR1="
+
+#: ../eyes/interference-sound.py:149 ../eyes-junior/interference-sound.py:146
+#: ../eyes-junior/pendulum.py:164 ../eyes-junior/pt100.py:229
+#: ../eyes-junior/RCcircuit.py:138 ../eyes-junior/RLCdischarge.py:114
+#: ../eyes-junior/RLcircuit.py:139 ../eyes-junior/rodpend.py:138
+#: ../eyes-junior/velocity-sound.py:109 ../eyes/pendulum.py:126
+#: ../eyes/pt100.py:212 ../eyes/RCcircuit.py:133 ../eyes/RLCdischarge.py:115
+#: ../eyes/RLcircuit.py:138 ../eyes/rodpend.py:169 ../eyes/sound.py:132
+#: ../eyes/temp-controller.py:196 ../eyes/velocity-sound.py:120
+msgid "Xmgrace"
+msgstr "Xmgrace"
+
+#: ../eyes/interference-sound.py:152 ../eyes-junior/interference-sound.py:149
+#: ../eyes-junior/velocity-sound.py:112 ../eyes/sound.py:134
+#: ../eyes/velocity-sound.py:123
+msgid "FFT"
+msgstr "FFT"
+
+#: ../eyes/interference-sound.py:169 ../eyes-junior/interference-sound.py:166
+msgid "Sound Interference"
+msgstr "Interférences sonores"
+
+#: ../eyes/interference-sound.py:170 ../eyes-junior/interference-sound.py:167
+msgid "EYES: Interference of Sound"
+msgstr "EYES : interférences sonores"
+
+#: ../eyes/janagana.py:66
+msgid "Exiting"
+msgstr "En train de sortir"
+
+#: ../eyes/janagana.py:79
+msgid "Playing Music"
+msgstr "En train de jouer de la musique"
+
+#: ../eyes-junior/ac-circuit.py:58
+#, python-format
+msgid "X-scale changed to %d mS/div.Capturing %d samples with %d usec spacing"
+msgstr ""
+"Échelle-X changée en %d ms/div. Capture de %d échantillons, intervalle de %d "
+"µs"
+
+#: ../eyes-junior/ac-circuit.py:107
+msgid "Error in Fit (A0: Black &Yellow, A1-Red & Green). Try Changing X-scale"
+msgstr ""
+"Modélisation fausse (A0 : Noir/Jaune, A1 : Rouge/Vert). Essayez de changer "
+"l'échelle-X"
+
+#: ../eyes-junior/ac-circuit.py:110
+#, python-format
+msgid "Frequency = %5.1f Hz"
+msgstr "Fréq = %5.1f Hz"
+
+#: ../eyes-junior/ac-circuit.py:111
+#, python-format
+msgid "A1:Total voltage = %5.2f V"
+msgstr "A1 : Tension totale = %5.2f V"
+
+#: ../eyes-junior/ac-circuit.py:112
+#, python-format
+msgid "A2:Voltage across R = %5.2f V"
+msgstr "A2 : tension aux bornes de R = %5.2f V"
+
+#: ../eyes-junior/ac-circuit.py:113
+#, python-format
+msgid "A1-A2:Voltage across LC = %5.2f V"
+msgstr "A1-A2 : Tension aux bornes de LC = %5.2f V"
+
+#: ../eyes-junior/ac-circuit.py:114
+#, python-format
+msgid "Phase Shift = %5.1f deg"
+msgstr "Déphasage = %5.1f °"
+
+#: ../eyes-junior/ac-circuit.py:122
+msgid "Curve Fitfing failed. Try changing X scale"
+msgstr "Échec de modélisation de la courbe. Essayez de changer l'échelle X"
+
+#: ../eyes-junior/ac-circuit.py:124
+msgid "Capture Error. Check input voltage levels."
+msgstr "Erreur de capture. Vérifiez les niveaux de tension d'entrée."
+
+#: ../eyes-junior/ac-circuit.py:138 ../eyes-junior/croplus.py:486
+#, python-format
+msgid "Traces saved to %s"
+msgstr "Courbes enregistrées dans %s"
+
+#: ../eyes-junior/ac-circuit.py:145 ../eyes-junior/croplus.py:496
+msgid "Traces send to Xmgrace"
+msgstr "Courbes envoyées à Xmgrace"
+
+#: ../eyes-junior/ac-circuit.py:160
+#, python-format
+msgid ""
+"XC = %5.1f   XL = %5.1f\n"
+"Dphi = %5.1f degree"
+msgstr ""
+"ZC = %5.1f   ZL = %5.1f\n"
+"dPhi = %5.1f °"
+
+#: ../eyes-junior/ac-circuit.py:163
+msgid "Wrong Input"
+msgstr "Entrée incorrecte"
+
+#: ../eyes-junior/ac-circuit.py:178 ../eyes-junior/croplus.py:567
+msgid "mSec/div"
+msgstr "ms/div"
+
+#: ../eyes-junior/ac-circuit.py:190 ../eyes-junior/croplus.py:688
+msgid "Save Traces to"
+msgstr "Enr. courbes sous"
+
+#: ../eyes-junior/ac-circuit.py:196 ../eyes-junior/sound-burst.py:128
+msgid "XmGrace"
+msgstr "XmGrace"
+
+#: ../eyes-junior/ac-circuit.py:203
+msgid ""
+"Connect SINE to A1, R from A2 to GND. Inductor and/or Capacitor from A1 to "
+"A2."
+msgstr ""
+"Connectez SINE à A1, R entre A2 et GND. Bobinage et/ou condensateur entre A1 "
+"et A2."
+
+#: ../eyes-junior/ac-circuit.py:212
+msgid "Phasor Plot"
+msgstr "Courbe de phase"
+
+#: ../eyes-junior/ac-circuit.py:227
+msgid "Calculator"
+msgstr "Calculateur"
+
+#: ../eyes-junior/ac-circuit.py:230 ../eyes-junior/velocity-sound.py:103
+#: ../eyes/velocity-sound.py:114
+msgid "Freq="
+msgstr "Fréq="
+
+#: ../eyes-junior/ac-circuit.py:234
+msgid "Hz R="
+msgstr "Hz R="
+
+#: ../eyes-junior/ac-circuit.py:238 ../eyes-junior/calibrate.py:155
+#: ../eyes/pt100.py:196
+msgid "Ohm"
+msgstr "Ohm"
+
+#: ../eyes-junior/ac-circuit.py:242
+msgid "C="
+msgstr "C="
+
+#: ../eyes-junior/ac-circuit.py:246
+msgid "uF. L="
+msgstr "µF. L="
+
+#: ../eyes-junior/ac-circuit.py:250
+msgid "mH"
+msgstr "mH"
+
+#: ../eyes-junior/ac-circuit.py:252
+msgid "Calculate XL, XC and Angle"
+msgstr "Calculer ZL, ZC et l'angle"
+
+#: ../eyes-junior/ac-circuit.py:258
+msgid "Study of AC Circuit"
+msgstr "Étude de circuit en alternatif"
+
+#: ../eyes-junior/ac-circuit.py:259 ../eyes-junior/croplus.py:731
+msgid "Study of AC Circuits"
+msgstr "Étude de circuits en alternatif"
+
+#: ../eyes-junior/amfm.py:110
+msgid "PVS ="
+msgstr "PVS ="
+
+#: ../eyes-junior/calibrate.py:24
+msgid "PVS is NOT connected to A1"
+msgstr "PVS n'est PAS connecté à A1"
+
+#: ../eyes-junior/calibrate.py:28
+msgid "PVS is NOT connected to A2"
+msgstr "PVS n'est PAS connecté à A2"
+
+#: ../eyes-junior/calibrate.py:53
+#, python-format
+msgid "Too much error in A1: m = %f  c=%f"
+msgstr "Trop d'erreur en A1: m = %f  c=%f"
+
+#: ../eyes-junior/calibrate.py:70
+#, python-format
+msgid "Too much error in A2: m = %f  c=%f"
+msgstr "Trop d'erreur en A2: m = %f  c=%f"
+
+#: ../eyes-junior/calibrate.py:74 ../eyes-junior/calibrate.py:94
+msgid "EEPROM write failed. Old Firmware ?"
+msgstr "Échec d'écriture EEPROM. Ancien firmware ?"
+
+#: ../eyes-junior/calibrate.py:76
+#, python-format
+msgid ""
+"m1 = %f   c1 = %6.3f\n"
+"m2 = %f   c2 = %6.3f"
+msgstr ""
+"m1 = %f   c1 = %6.3f\n"
+"m2 = %f   c2 = %6.3f"
+
+#: ../eyes-junior/calibrate.py:77
+msgid "A1&A2 Calibration Saved to EEPROM\n"
+msgstr "Calibration de A1 & A2 enregistrées dans l'EEPROM\n"
+
+#: ../eyes-junior/calibrate.py:87
+msgid "Enter the R connected to SEN"
+msgstr "Entrez la valeur de R connectée à SEN"
+
+#: ../eyes-junior/calibrate.py:91
+#, python-format
+msgid "Wrong Resistor ??. Calculated Rp =%5.1f Ohm"
+msgstr "Mauvaise résistance ?? Calculé : Rp =%5.1f Ohm"
+
+#: ../eyes-junior/calibrate.py:97
+#, python-format
+msgid "Calibrated SEN. Rp =%5.0f"
+msgstr "Calibré SEN. Rp =%5.0f"
+
+#: ../eyes-junior/calibrate.py:107
+#, python-format
+msgid "Empty Socket is %5.1f pF"
+msgstr "La borne à vide fait %5.1f pF"
+
+#: ../eyes-junior/calibrate.py:109
+msgid "IN1 not empty"
+msgstr "IN1 non vide"
+
+#: ../eyes-junior/calibrate.py:114
+msgid "Measure Socket capacitance first"
+msgstr "Mesurez la capacité de la borne d'abord"
+
+#: ../eyes-junior/calibrate.py:119
+msgid "Enter the C connected to IN1"
+msgstr "Entrez la capacité C connectée à IN1"
+
+#: ../eyes-junior/calibrate.py:125
+msgid "Mesuring capacitor failed"
+msgstr "Erreur de mesure de capacité"
+
+#: ../eyes-junior/calibrate.py:130
+#, python-format
+msgid "Too much error: Socket C= %f CF=%f"
+msgstr "Trop d'erreur : à la borne C= %f CF=%f"
+
+#: ../eyes-junior/calibrate.py:133
+msgid "Write to EEPROM failed"
+msgstr "Échec de l'écriture dans l'EEPROM"
+
+#: ../eyes-junior/calibrate.py:135
+#, python-format
+msgid "Saved: Socket C = %5.1f pF. CF = %5f %%"
+msgstr "Enregistré : à la borne C = %5.1f pF. CF = %5f %%"
+
+#: ../eyes-junior/calibrate.py:139
+msgid "Calibration of Inputs A1 & A2"
+msgstr "Calibrer les entrées A1 & A2"
+
+#: ../eyes-junior/calibrate.py:141
+msgid "Connect PVS to both A1 and A2"
+msgstr "Connecter PVS à A1 ET à A2"
+
+#: ../eyes-junior/calibrate.py:142 ../eyes-junior/calibrate.py:187
+msgid "Calibrate A1 & A2"
+msgstr "Calibrer A1 & A2"
+
+#: ../eyes-junior/calibrate.py:146
+msgid "Calibration of Resistor on SEN"
+msgstr "Calibration de la résistance de SEN"
+
+#: ../eyes-junior/calibrate.py:150
+msgid "Enter the Resistance connected from SEN to GND="
+msgstr "Entrer la résistance connectée entre SEN et GND ="
+
+#: ../eyes-junior/calibrate.py:156
+msgid "and Click here to Calibrate SEN"
+msgstr "et Cliquer pour calibrer SEN"
+
+#: ../eyes-junior/calibrate.py:161
+msgid "Calibration of IN1 for Capacitance"
+msgstr "Calibration de IN1 pour la capacité"
+
+#: ../eyes-junior/calibrate.py:163
+msgid "First, Click Here without Capacitor on IN1"
+msgstr "D'abord, cliquer sans condensateur en IN1"
+
+#: ../eyes-junior/calibrate.py:167
+msgid "Enter the Capacitance connected to IN1"
+msgstr "Entrez la capacité connectée à IN1"
+
+#: ../eyes-junior/calibrate.py:172
+msgid "pF"
+msgstr "pF"
+
+#: ../eyes-junior/calibrate.py:173
+msgid "and Click Here to Calibrate IN1"
+msgstr "et Cliquer ici pour calibrer IN1"
+
+#: ../eyes-junior/calibrate.py:177
+msgid "calibration program"
+msgstr "programme de calibration"
+
+#: ../eyes-junior/calibrate.py:182
+msgid "Exit"
+msgstr "Sortie"
+
+#: ../eyes-junior/calibrate.py:186
+msgid "EYES Junior Hardware not found"
+msgstr "Boîtier EYES Junior PAS trouvé."
+
+#: ../eyes-junior/calibrate.py:188
+msgid "EYES Junior Calibration"
+msgstr "Calibration de EYES Junior"
+
+#: ../eyes-junior/change-pvs.py:15
+#, python-format
+msgid "PVS = %5.3f volts"
+msgstr "PVS = %5.3f V"
+
+#: ../eyes-junior/change-pvs.py:17
+msgid ""
+"To change PVS drag the slider. For fine adjustment, click on its left or "
+"right"
+msgstr ""
+"Pour modifier PVS tirer sur l'ascenseur. Pour un ajustement fin, cliquer à "
+"sa gauche ou à sa droite"
+
+#: ../eyes-junior/change-pvs.py:23
+msgid "EYES Junior: Adjust PVS"
+msgstr "EYES Junior : réglage de PVS"
+
+#: ../eyes-junior/croplus.py:53
+msgid "Analog Trigger"
+msgstr "Seuil analogique (trigger)"
+
+#: ../eyes-junior/croplus.py:53
+msgid "Wait for HIGH"
+msgstr "Attente de HAUT"
+
+#: ../eyes-junior/croplus.py:53
+msgid "Wait for LOW"
+msgstr "Attente de BAS"
+
+#: ../eyes-junior/croplus.py:54
+msgid "Wait for Rising Edge"
+msgstr "Attente de front montant"
+
+#: ../eyes-junior/croplus.py:54
+msgid "Wait for Falling Edge"
+msgstr "Attente de front descendant"
+
+#: ../eyes-junior/croplus.py:55
+msgid "Set HIGH"
+msgstr "Régler à HAUT"
+
+#: ../eyes-junior/croplus.py:55
+msgid "Set LOW"
+msgstr "Régler à BAS"
+
+#: ../eyes-junior/croplus.py:55
+msgid "High True Pulse"
+msgstr "Impulsion VRAIE haute"
+
+#: ../eyes-junior/croplus.py:56
+msgid "Low True Pulse"
+msgstr "Impulsion VRAIE basse"
+
+#: ../eyes-junior/croplus.py:58 ../eyes-junior/croplus.py:59
+msgid "Analog Input -5 to +5 volts. Drag this to CH1 .. CH4 to Display it"
+msgstr ""
+"Entrée analogique -5 .. +5 V. Tirer-glisser vers CH1 .. CH4 pour l'afficher"
+
+#: ../eyes-junior/croplus.py:60 ../eyes-junior/croplus.py:61
+#: ../eyes-junior/croplus.py:62 ../eyes-junior/croplus.py:63
+#: ../eyes-junior/croplus.py:64
+msgid "Analog Input  0 to +5 volts. Drag this to CH1 .. CH4 to Display it"
+msgstr ""
+"Entrée analogique 0 .. +5 V. Tirer-glisser vers CH1 .. CH4 pour l'afficher"
+
+#: ../eyes-junior/croplus.py:65
+msgid ""
+"Digital Output 0 to +5 volts. SHI, SLO,HTP or LTP can be assigned to this"
+msgstr "Sortie numérique 0 .. +5 V. On peut l'affecter à SHI, SLO, HTP ou LTP"
+
+#: ../eyes-junior/croplus.py:66
+msgid ""
+"Constant Current Source Output. SHI, SLO,HTP or LTP can be assigned to this"
+msgstr ""
+"Sortie source de courant constant. On peut l'affecter à SHI, SLO, HTP ou LTP"
+
+#: ../eyes-junior/croplus.py:105
+msgid "Fourier Transform,power spectrum"
+msgstr "Transformée de Fourier, spectre de puissance"
+
+#: ../eyes-junior/croplus.py:106
+#, python-format
+msgid "%s Fourier transform done, Data saved to \"fft.dat\""
+msgstr ""
+"Transformée de Fourier de %s terminée, données enregistrées dans \"FFT.dat\"."
+
+#: ../eyes-junior/croplus.py:153
+#, python-format
+msgid "%4s : Freq = %5.3f. Duty Cycle = %5.1f %%"
+msgstr "%4s : Fréq = %5.3f. Rapport cyclique = %5.1f %%"
+
+#: ../eyes-junior/croplus.py:154 ../eyes-junior/croplus.py:172
+#, python-format
+msgid ""
+"%4s\n"
+"%5.3f Hz\n"
+"%5.1f %%"
+msgstr ""
+"%4s\n"
+"%5.3f Hz\n"
+"%5.1f %%"
+
+#: ../eyes-junior/croplus.py:157
+#, python-format
+msgid "No squarewave detected on %4s"
+msgstr "Pas de signal carré détecté sur %4s"
+
+#: ../eyes-junior/croplus.py:169
+#, python-format
+msgid ""
+"%s: %5.3f V, %5.2f Hz | %s: %5.2f V, %5.3f Hz | Phase difference = %5.1f "
+"degree"
+msgstr ""
+"%s: %5.3f V, %5.2f Hz | %s: %5.2f V, %5.3f Hz | différence de phase = %5.1f °"
+
+#: ../eyes-junior/croplus.py:175
+msgid "Fitting of data failed. Try with Xmgrace"
+msgstr "Modélisation des données ratée. Essayez avec Xmgrace"
+
+#: ../eyes-junior/croplus.py:177
+msgid "Selected channel and the next one should have data"
+msgstr "Selectionnez un canal et le suivant aura des données"
+
+#: ../eyes-junior/croplus.py:184
+msgid "Invalid Action"
+msgstr "Action invalide"
+
+#: ../eyes-junior/croplus.py:190
+#, python-format
+msgid "Assigned Data Input %4s to Channel %s"
+msgstr "Affecté l'entrée %4s au Canal %s"
+
+#: ../eyes-junior/croplus.py:196
+#, python-format
+msgid "%4s effective on Output %s"
+msgstr "%4s affectée à la sortie %s"
+
+#: ../eyes-junior/croplus.py:200
+#, python-format
+msgid "%4s effective on Input %s"
+msgstr "%4s affectée à l'entrée %s"
+
+#: ../eyes-junior/croplus.py:215 ../eyes-junior/croplus.py:220
+#: ../eyes-junior/croplus.py:227
+#, python-format
+msgid "Channel %s is Empty"
+msgstr "Le canal %s est vide"
+
+#: ../eyes-junior/croplus.py:225
+#, python-format
+msgid "Disabled fitting %s"
+msgstr "Modélisation de %s désactivée"
+
+#: ../eyes-junior/croplus.py:231
+#, python-format
+msgid "Voltage at %s = %5.3f V"
+msgstr "Tension à %s = %5.3f V"
+
+#: ../eyes-junior/croplus.py:232
+#, python-format
+msgid "%3s: %5.3f V"
+msgstr "%3s: %5.3f V"
+
+#: ../eyes-junior/croplus.py:235
+#, python-format
+msgid " (Logic Level = %d)"
+msgstr "(Niveau logique = %d)"
+
+#: ../eyes-junior/croplus.py:239
+msgid "Invalid selection"
+msgstr "Sélection invalide"
+
+#: ../eyes-junior/croplus.py:251
+#, python-format
+msgid "%4s is an Output"
+msgstr "%4s est une sortie"
+
+#: ../eyes-junior/croplus.py:254
+#, python-format
+msgid ""
+"Selected Data Input %4s. For Trace, Drag this to CH1 .. CH4. To print value "
+"release the button."
+msgstr ""
+"Entrée %4s sélectionnée. Pour la tracer, tirer-glisser sur CH1 .. CH4. Pour "
+"une mesure, relâcher le bouton."
+
+#: ../eyes-junior/croplus.py:260
+#, python-format
+msgid "Selected %4s. Drag cursor to the OD1 or CSS Output"
+msgstr "Sélectionné %4s. Tirer-glisser sur la sortie OD1 ou CSS"
+
+#: ../eyes-junior/croplus.py:264
+#, python-format
+msgid "Selected %4s. Drag cursor to desired Data Input"
+msgstr "Sélectionné %4s. Tirer-glisser ver l'entrée désirée"
+
+#: ../eyes-junior/croplus.py:268
+#, python-format
+msgid "Selected %4s. Drag cursor to NML FIT or DEL"
+msgstr "Sélectionné %4s. Tirer-glisser sur NML, FIT, ou DEL"
+
+#: ../eyes-junior/croplus.py:336
+msgid "Communication Error. Check input voltage levels."
+msgstr "Erreur de communication. Vérifiez les niveaux de tension d'entrée."
+
+#: ../eyes-junior/croplus.py:347
+#, python-format
+msgid "%5.2f V, %5.1f Hz"
+msgstr "%5.2f V, %5.1f Hz"
+
+#: ../eyes-junior/croplus.py:365
+msgid "ONE "
+msgstr "UN COUP"
+
+#: ../eyes-junior/croplus.py:366
+msgid "Press SCAN Button to do a single Capture"
+msgstr "Cliquez le bouton SCAN pour une capture monocoup"
+
+#: ../eyes-junior/croplus.py:368 ../eyes-junior/croplus.py:697
+msgid "LOOP"
+msgstr "BOUCLE"
+
+#: ../eyes-junior/croplus.py:374
+#, python-format
+msgid "Captured %d points in %d usecs"
+msgstr "Capturé %d points en %d µs"
+
+#: ../eyes-junior/croplus.py:376
+msgid "Use this only in Single scan mode"
+msgstr "À utiliser seulement en mode SCAN monocoup"
+
+#: ../eyes-junior/croplus.py:383
+msgid "Enter a value between 0 to +5 volts"
+msgstr "Entrer une valeur entre 0 et +5 V"
+
+#: ../eyes-junior/croplus.py:385
+#, python-format
+msgid "PVS set to %5.3f volts"
+msgstr "PVS réglé à %5.3f V"
+
+#: ../eyes-junior/croplus.py:386
+#, python-format
+msgid "PVS: %5.3f V"
+msgstr "PVS : %5.3f V"
+
+#: ../eyes-junior/croplus.py:388
+msgid "Enter voltage between -5 and +5 volts"
+msgstr "Entrer une tension entre -5 et +5 V"
+
+#: ../eyes-junior/croplus.py:394 ../eyes-junior/croplus.py:445
+msgid "SQR1 set to LOW"
+msgstr "SQR1 réglé à BAS"
+
+#: ../eyes-junior/croplus.py:400 ../eyes-junior/croplus.py:416
+#: ../eyes-junior/croplus.py:434
+msgid "Enter a value between .7 to 200000 Hz"
+msgstr "Entrer une valeur entre 0,7 et 20000 Hz"
+
+#: ../eyes-junior/croplus.py:402
+#, python-format
+msgid "SQR1 set to %5.1f Hertz"
+msgstr "SQR1 réglé à %5.1f Hz"
+
+#: ../eyes-junior/croplus.py:404 ../eyes-junior/croplus.py:420
+msgid "Enter valid frequency, in Hertz"
+msgstr "Entrer une fréquence valide, en Hz"
+
+#: ../eyes-junior/croplus.py:410
+msgid "SQR2 set to LOW"
+msgstr "SQR2 réglé à BAS"
+
+#: ../eyes-junior/croplus.py:418
+#, python-format
+msgid "SQR2 set to %5.1f Hertz"
+msgstr "SQR2 réglé à %5.1f Hz"
+
+#: ../eyes-junior/croplus.py:427
+msgid "SQR1 and SQR2 set to LOW"
+msgstr "SQR1 et SQR2 réglés à BAS"
+
+#: ../eyes-junior/croplus.py:436
+#, python-format
+msgid "SQR1 and SQR2 set to %5.1f Hertz, Shift is %5.2f %% of Period"
+msgstr "SQR1 et SQR2 réglés à %5.1f Hz, le décalage est %5.2f %% de la période"
+
+#: ../eyes-junior/croplus.py:438
+msgid "Enter valid frequency in Hertz and phase shift in percentage"
+msgstr ""
+"Entrer une fréquence valide en Hz et un décalage de phase en pourcentage"
+
+#: ../eyes-junior/croplus.py:448
+#, python-format
+msgid "SQR1 set to %5.1f"
+msgstr "SQR1 réglé à %5.1f"
+
+#: ../eyes-junior/croplus.py:459
+msgid "Starting Capacitance Measurement.."
+msgstr "Commence à mesurer la capacité.."
+
+#: ../eyes-junior/croplus.py:462
+msgid "Error: Capacitance too high or short to ground"
+msgstr "Erreur : capacité trop grande ou court-circuit à la masse"
+
+#: ../eyes-junior/croplus.py:464 ../eyes-junior/croplus.py:468
+#, python-format
+msgid "IN1: %6.1f pF"
+msgstr "IN1 : %6.1f pF"
+
+#: ../eyes-junior/croplus.py:466
+msgid "IN1 Not Calibrated."
+msgstr "IN1 non calibré."
+
+#: ../eyes-junior/croplus.py:476
+#, python-format
+msgid "Resistance from SEN to GND = %6.0f Ohm"
+msgstr "Résistance de SEN à GND = %6.0f Ohm"
+
+#: ../eyes-junior/croplus.py:477
+#, python-format
+msgid "%5.0f Ohm"
+msgstr "%5.0f Ohm"
+
+#: ../eyes-junior/croplus.py:521
+msgid "expEYES Junior NOT found. Bad connection or another program using it"
+msgstr ""
+"expEYES Junior PAS trouvé. Mauvaise connexion, ou blocage par un autre "
+"programme"
+
+#: ../eyes-junior/croplus.py:524 ../eyes-junior/croplus.py:725
+#, python-format
+msgid "Four Channel CRO+ found expEYES-Junior on %s"
+msgstr "Oscillo 4 canaux expEYES-Junior trouvé sur %s"
+
+#: ../eyes-junior/croplus.py:562
+msgid "Move UP"
+msgstr "Monter"
+
+#: ../eyes-junior/croplus.py:562
+msgid "CENTER"
+msgstr "Centrer"
+
+#: ../eyes-junior/croplus.py:562
+msgid "Move DOWN"
+msgstr "Descendre"
+
+#: ../eyes-junior/croplus.py:578
+msgid "Trig level"
+msgstr "Seuil (trigger)"
+
+#: ../eyes-junior/croplus.py:614
+msgid "Setting Squarewaves"
+msgstr "Réglage des signaux carrés"
+
+#: ../eyes-junior/croplus.py:620
+msgid "Hz. dphi="
+msgstr "Hz. dϕ ="
+
+#: ../eyes-junior/croplus.py:633
+msgid "BOTH"
+msgstr "1&2"
+
+#: ../eyes-junior/croplus.py:641
+msgid "Set PVS ="
+msgstr "Régler PVS ="
+
+#: ../eyes-junior/croplus.py:652
+msgid "Set State"
+msgstr "Régler l'état"
+
+#: ../eyes-junior/croplus.py:663
+msgid "Measure C on IN1"
+msgstr "Mesure de C sur IN1"
+
+#: ../eyes-junior/croplus.py:664
+msgid "Measure R on SEN"
+msgstr "Mesure de R sur SEN"
+
+#: ../eyes-junior/croplus.py:700
+msgid "SCAN"
+msgstr "SCAN"
+
+#: ../eyes-junior/croplus.py:702
+msgid "XMG"
+msgstr "XMG"
+
+#: ../eyes-junior/croplus.py:717
+msgid "Search Hardware"
+msgstr "Chercher le matériel"
+
+#: ../eyes-junior/croplus.py:721
+msgid ""
+"Could not open expEYES Junior. Bad connection or another program using it"
+msgstr ""
+"expEYES Junior n'a pas pu être ouvert. Mauvaise connexion ou blocage par un "
+"autre programme"
+
+#: ../eyes-junior/croplus.py:729
+msgid "Select Experiment"
+msgstr "Choisir l'expérience"
+
+#: ../eyes-junior/croplus.py:730
+msgid "Control PVS"
+msgstr "Contôle de PVS"
+
+#: ../eyes-junior/croplus.py:742
+msgid "Capture Burst of Sound"
+msgstr "Capture d'impulsion sonore"
+
+#: ../eyes-junior/croplus.py:743 ../eyes-junior/driven-pendulum.py:20
+msgid "Driven Pendulum"
+msgstr "Pendule excité"
+
+#: ../eyes-junior/croplus.py:747
+msgid "Stroboscope"
+msgstr "Stroboscope"
+
+#: ../eyes-junior/driven-pendulum.py:16 ../eyes-junior/resonance.py:16
+msgid "Use Slider to change SQR1 from 1 to 50 Hz"
+msgstr "Utiliser le curseur pour régler SQR1 entre 1 et 50 Hz"
+
+#: ../eyes-junior/driven-pendulum.py:21 ../eyes-junior/stroboscope.py:21
+msgid "EYES Junior: Driven Pendulum"
+msgstr "EYES Junior : pendule excité"
+
+#: ../eyes-junior/induction.py:78
+#, python-format
+msgid "Peak voltages %5.2f and %5.3f separated by %5.3f msec"
+msgstr "Tensions de crête %5.2f et %5.2f séparées de %5.3f ms"
+
+#: ../eyes-junior/induction.py:137
+msgid "EYESJUN: Electromagnetic Induction"
+msgstr "EYES Junior : induction électromagnétique"
+
+#: ../eyes-junior/interference-sound.py:134
+msgid "SQR2="
+msgstr "SQR2="
+
+#: ../eyes-junior/logger.py:44 ../eyes-junior/pendulum.py:81
+#: ../eyes-junior/pt100.py:88 ../eyes/logger.py:44 ../eyes/pendulum.py:45
+#: ../eyes/pt100.py:87 ../eyes/temp-controller.py:62
+msgid "Time"
+msgstr "Temps"
+
+#: ../eyes-junior/logger.py:44 ../eyes-junior/pendulum.py:81
+#: ../eyes-junior/pt100.py:88 ../eyes/logger.py:44 ../eyes/pendulum.py:45
+#: ../eyes/pt100.py:87 ../eyes/temp-controller.py:62
+msgid "Volt"
+msgstr "volt"
+
+#: ../eyes-junior/logger.py:49 ../eyes/logger.py:49
+msgid "Starting the Measurement"
+msgstr "Commence à mesurer"
+
+#: ../eyes-junior/logger.py:52 ../eyes/logger.py:52
+msgid "Failed to Start Measurement"
+msgstr "Impossible de commencer la mesure"
+
+#: ../eyes-junior/logger.py:103 ../eyes/logger.py:103
+msgid "Data Saved"
+msgstr "Données enregistrées"
+
+#: ../eyes-junior/logger.py:132
+msgid "IN1"
+msgstr "IN1"
+
+#: ../eyes-junior/logger.py:133
+msgid "IN2"
+msgstr "IN2"
+
+#: ../eyes-junior/logger.py:137 ../eyes-junior/pt100.py:174
+#: ../eyes/logger.py:137 ../eyes/pt100.py:158 ../eyes/temp-controller.py:161
+msgid "Read Every"
+msgstr "Lires toutes les"
+
+#: ../eyes-junior/logger.py:143 ../eyes-junior/pt100.py:180
+#: ../eyes/logger.py:143 ../eyes/pt100.py:164 ../eyes/temp-controller.py:167
+msgid "mS,"
+msgstr "ms,"
+
+#: ../eyes-junior/logger.py:145 ../eyes-junior/pt100.py:182
+#: ../eyes/logger.py:145 ../eyes/pt100.py:166 ../eyes/temp-controller.py:169
+msgid "for total"
+msgstr "pour un total de"
+
+#: ../eyes-junior/logger.py:151 ../eyes/logger.py:151
+msgid "Seconds"
+msgstr "s"
+
+#: ../eyes-junior/logger.py:177
+msgid "EYES-Junior: Four Channel Data Logger"
+msgstr "EYES Junior : enregistreur de données à 4 canaux"
+
+#: ../eyes-junior/pendulum.py:39 ../eyes/pendulum.py:30
+#, python-format
+msgid "Angular velocity = %5.2f rad/sec. Damping Factor = %5.3f"
+msgstr "Vitesse angulaire = %5.2f rad/s. Facteur d'amotissement = %5.3f"
+
+#: ../eyes-junior/pendulum.py:41 ../eyes/pendulum.py:32
+msgid "Failed to fit data"
+msgstr "Échec de la modélisation des données"
+
+#: ../eyes-junior/pendulum.py:83 ../eyes-junior/pt100.py:92
+#: ../eyes-junior/rodpend.py:61 ../eyes/pendulum.py:47 ../eyes/pt100.py:91
+#: ../eyes/rodpend.py:71
+msgid "Starting the Measurements"
+msgstr "Commence les mesures"
+
+#: ../eyes-junior/pendulum.py:86 ../eyes-junior/pt100.py:95
+#: ../eyes/pendulum.py:50 ../eyes/pt100.py:94 ../eyes/temp-controller.py:71
+msgid "Failed to Start"
+msgstr "Échec au démarrage"
+
+#: ../eyes-junior/pendulum.py:91 ../eyes-junior/pt100.py:101
+#: ../eyes-junior/rodpend.py:68 ../eyes/pendulum.py:55 ../eyes/pt100.py:100
+#: ../eyes/rodpend.py:78 ../eyes/temp-controller.py:80
+msgid "User Stopped the measurements"
+msgstr "L'utilisateur a arrêté les mesures"
+
+#: ../eyes-junior/pendulum.py:111 ../eyes-junior/pt100.py:126
+#: ../eyes-junior/rodpend.py:84 ../eyes/pendulum.py:74 ../eyes/pt100.py:125
+#: ../eyes/rodpend.py:99 ../eyes/temp-controller.py:108
+msgid "Completed the Measurements"
+msgstr "Mesures finies"
+
+#: ../eyes-junior/pendulum.py:122 ../eyes-junior/pt100.py:147
+#: ../eyes-junior/rodpend.py:99 ../eyes/pendulum.py:84 ../eyes/pt100.py:135
+#: ../eyes/rodpend.py:114 ../eyes/temp-controller.py:120
+#, python-format
+msgid "Data saved to %s"
+msgstr "Données enregistrées dans \"%s\""
+
+#: ../eyes-junior/pendulum.py:129 ../eyes-junior/pt100.py:154
+#: ../eyes-junior/rodpend.py:106 ../eyes/pendulum.py:91 ../eyes/pt100.py:142
+#: ../eyes/rodpend.py:121 ../eyes/temp-controller.py:127
+msgid "Cleared Data and Trace"
+msgstr "Effacé les données et la trace"
+
+#: ../eyes-junior/pendulum.py:145 ../eyes/pendulum.py:107
+msgid "Digitize for"
+msgstr "Numériser pendant"
+
+#: ../eyes-junior/pendulum.py:151 ../eyes-junior/pt100.py:188
+#: ../eyes/pendulum.py:113 ../eyes/pt100.py:172 ../eyes/temp-controller.py:175
+msgid "Seconds."
+msgstr "s."
+
+#: ../eyes-junior/pendulum.py:181 ../eyes/pendulum.py:143
+msgid "Pendulum Oscillations"
+msgstr "Oscillations d'un pendule"
+
+#: ../eyes-junior/pendulum.py:182 ../eyes/pendulum.py:144
+msgid "Oscillations of Pendulum"
+msgstr "Oscillations du pendule"
+
+#: ../eyes-junior/pt100.py:36 ../eyes/pt100.py:36
+#, python-format
+msgid "Voltage at Freezing Point is %5.3f V"
+msgstr "La tension à la température de fusion est %5.3f V"
+
+#: ../eyes-junior/pt100.py:38 ../eyes-junior/pt100.py:49 ../eyes/pt100.py:38
+#: ../eyes/pt100.py:49
+msgid "Something wrong. Check the connection & Rg"
+msgstr "Quelque chose ne va pas. Vérifiez les connexions et Rg"
+
+#: ../eyes-junior/pt100.py:47 ../eyes/pt100.py:47
+#, python-format
+msgid "Voltage at Boiling Point is %5.3f V"
+msgstr "La tension à la température d'ébullition est %5.3f V"
+
+#: ../eyes-junior/pt100.py:56 ../eyes/pt100.py:56
+#, python-format
+msgid "Calibration Done m = %5.3f, c = 5.3f"
+msgstr "Calibration terminée, m = %5.3f, c = 5.3f"
+
+#: ../eyes-junior/pt100.py:58 ../eyes/pt100.py:58
+msgid "Boiling & Freezing points to be measured first"
+msgstr "Il faut d'abort mesurer les points d'ébullition et de fusion"
+
+#: ../eyes-junior/pt100.py:138
+msgid "Wrong connections or value of Rg"
+msgstr "Connexions ou valeur de Rg incorrectes"
+
+#: ../eyes-junior/pt100.py:191
+msgid "Range"
+msgstr "Intervalle"
+
+#: ../eyes-junior/pt100.py:197 ../eyes/pt100.py:181
+msgid "to,"
+msgstr "à,"
+
+#: ../eyes-junior/pt100.py:203
+msgid "C. "
+msgstr "C."
+
+#: ../eyes-junior/pt100.py:215
+msgid "Gain="
+msgstr "Gain="
+
+#: ../eyes-junior/pt100.py:222
+msgid "Current ="
+msgstr "Courant ="
+
+#: ../eyes-junior/pt100.py:239 ../eyes/pt100.py:225
+msgid "Freezing Point"
+msgstr "point de fusion"
+
+#: ../eyes-junior/pt100.py:241 ../eyes/pt100.py:227
+msgid "Boiling Point"
+msgstr "Point débullition"
+
+#: ../eyes-junior/pt100.py:254
+msgid "Temperatue by PT100"
+msgstr "Température avec PT100"
+
+#: ../eyes-junior/pt100.py:255 ../eyes/pt100.py:239
+msgid "Temperature measuements using PT100"
+msgstr "Mesures de température à l'aide de PT100"
+
+#: ../eyes-junior/RCcircuit.py:45 ../eyes-junior/RLcircuit.py:43
+#: ../eyes/RCcircuit.py:41 ../eyes/RLcircuit.py:41
+msgid "Done"
+msgstr "Terminé"
+
+#: ../eyes-junior/RCcircuit.py:62 ../eyes/RCcircuit.py:58
+#, python-format
+msgid "RC = %5.2f mSec"
+msgstr "RC = %5.2f ms"
+
+#: ../eyes-junior/RCcircuit.py:64 ../eyes/RCcircuit.py:60
+msgid "Failed to fit the curve with V=Vo*exp(-t/RC)"
+msgstr "Échec à la modélisation de la courbe avec V=Vo*exp(-t/RC)"
+
+#: ../eyes-junior/RCcircuit.py:123 ../eyes-junior/RLcircuit.py:125
+#: ../eyes/RCcircuit.py:120 ../eyes/RLcircuit.py:124
+msgid "0 to 5V STEP"
+msgstr "Échelon de 0 à 5V"
+
+#: ../eyes-junior/RCcircuit.py:125 ../eyes-junior/RLcircuit.py:127
+#: ../eyes/RCcircuit.py:122 ../eyes/RLcircuit.py:126
+msgid "5 to 0V STEP"
+msgstr "Échelon de 5 à 0V"
+
+#: ../eyes-junior/RCcircuit.py:127
+msgid "CC Charge"
+msgstr "Charge CC"
+
+#: ../eyes-junior/RCcircuit.py:129 ../eyes/RCcircuit.py:124
+msgid "Calculate RC"
+msgstr "Calculer RC"
+
+#: ../eyes-junior/RCcircuit.py:136 ../eyes/RCcircuit.py:131
+msgid "ViewAll"
+msgstr "Tout voir"
+
+#: ../eyes-junior/RCcircuit.py:153 ../eyes/RCcircuit.py:148
+msgid "RC Circuit, Transient"
+msgstr "Circuit RC, transitoire"
+
+#: ../eyes-junior/RCcircuit.py:154 ../eyes/RCcircuit.py:149
+msgid "Transient response of RC Circuit"
+msgstr "Réponse transitoire d'un circuit RC"
+
+#: ../eyes-junior/resonance.py:19
+msgid "EYES Junior: Set SQR1"
+msgstr "EYES Junior : réglage de SQR1"
+
+#: ../eyes-junior/RLCdischarge.py:38 ../eyes/RLCdischarge.py:38
+msgid "Discharge Done"
+msgstr "Décharge terminée"
+
+#: ../eyes-junior/RLCdischarge.py:42 ../eyes/RLCdischarge.py:42
+msgid "Fit Failed"
+msgstr "Échec de la modélisation"
+
+#: ../eyes-junior/RLCdischarge.py:49 ../eyes/RLCdischarge.py:49
+#, python-format
+msgid "Resonant Frequency = %5.2f kHz Damping = %5.3f"
+msgstr "Fréquence de résonance = %5.2f kHz Amortissement = %5.3f"
+
+#: ../eyes-junior/RLCdischarge.py:104
+msgid "5->0V STEP"
+msgstr "Échelon de 5 à 0V"
+
+#: ../eyes-junior/RLCdischarge.py:125 ../eyes/RLCdischarge.py:126
+msgid "RLC Circuit, Transient"
+msgstr "Circuit RLC, transitoire"
+
+#: ../eyes-junior/RLCdischarge.py:126
+msgid "EYES Junior: RLC Discharge"
+msgstr "EYES Junior : Décharge RLC"
+
+#: ../eyes-junior/RLcircuit.py:64 ../eyes/RLcircuit.py:62
+#, python-format
+msgid "L/R = %5.3f mSec : Rind = %5.0f Ohm : L = %5.1f mH"
+msgstr "L/R = %5.3f ms : Rint = %5.0f Ohm : L = %5.1f mH"
+
+#: ../eyes-junior/RLcircuit.py:66 ../eyes/RLcircuit.py:64
+msgid "Failed to Fit. Try fitting V=Vo*exp(-tR/L) with Xmgrace"
+msgstr ""
+"Échec de la modélisation. Essayer de modéliser U=Uo*exp(-tR/L) avec Xmgrace"
+
+#: ../eyes-junior/RLcircuit.py:141 ../eyes/RLcircuit.py:140
+msgid "Rext="
+msgstr "Rext="
+
+#: ../eyes-junior/RLcircuit.py:146 ../eyes/RLcircuit.py:145
+msgid "Calculate R/L"
+msgstr "Calculer R/L"
+
+#: ../eyes-junior/RLcircuit.py:156 ../eyes/RLcircuit.py:155
+msgid "RL Circuit, Transient"
+msgstr "Circuit RL, transitoire"
+
+#: ../eyes-junior/RLcircuit.py:157 ../eyes/RLcircuit.py:156
+msgid "Transient response of RL Circuit"
+msgstr "Réponse transitoire de circuits RL"
+
+#: ../eyes-junior/rodpend.py:75
+#, python-format
+msgid "%5.1f mS\n"
+msgstr "%5.1f ms\n"
+
+#: ../eyes-junior/rodpend.py:89 ../eyes/rodpend.py:104
+msgid "Timeout Error. Check Connections"
+msgstr "Erreur de délai dépassé. Vérifier les connexions"
+
+#: ../eyes-junior/rodpend.py:120 ../eyes/rodpend.py:70
+msgid "Trials"
+msgstr "Essais"
+
+#: ../eyes-junior/rodpend.py:151
+msgid "Period of a Pendulum"
+msgstr "Période d'un pendule"
+
+#: ../eyes-junior/rodpend.py:152
+msgid "EYES Junior: Pendulum"
+msgstr "EYES Junior : Pendule"
+
+#: ../eyes-junior/sound-burst.py:56
+#, python-format
+msgid "Volatge Scan Done. Noise Level = %5.3f V"
+msgstr "Balayage de tension terminé. Niveau de bruit = %5.3f V"
+
+#: ../eyes-junior/sound-burst.py:59
+msgid "wait"
+msgstr "attendre"
+
+#: ../eyes-junior/sound-burst.py:73
+msgid "Captured Sound Burst"
+msgstr "Capturé une impulsion sonore"
+
+#: ../eyes-junior/sound-burst.py:118
+msgid "Wait for HIGH on IN1"
+msgstr "Attente de HAUT sur IN1"
+
+#: ../eyes-junior/sound-burst.py:144
+msgid "Capture a burst of sound"
+msgstr "Capturer une impulsion sonore"
+
+#: ../eyes-junior/sound-burst.py:145
+msgid "EYESJUN: Capturing burst of sound"
+msgstr "EYES Junior : Capturer une impulsion sonore"
+
+#: ../eyes-junior/stroboscope.py:16
+msgid "Use Slider to change SQR1 from 1 to 100 Hz"
+msgstr "Utiliser le curseur pour régler SQR1 entre 1 et 100 Hz"
+
+#: ../eyes-junior/transistor.py:36 ../eyes-junior/transistor.py:53
+#: ../eyes/phototransistor.py:35 ../eyes/transistor.py:36
+#: ../eyes/transistor.py:53
+msgid "Busy Drawing"
+msgstr "En train de dessiner"
+
+#: ../eyes-junior/transistor.py:42 ../eyes/transistor.py:42
+#, python-format
+msgid "Base Current = %5.1f uA"
+msgstr "Courant de base = %5.1f µA"
+
+#: ../eyes-junior/transistor.py:87 ../eyes/transistor.py:87
+#, python-format
+msgid "Ib=%4.0f uA"
+msgstr "Ib=%4.0f µA"
+
+#: ../eyes-junior/transistor.py:131 ../eyes/transistor.py:129
+msgid "Vb (via 200K)="
+msgstr "Vb (via 200kOhm)="
+
+#: ../eyes-junior/transistor.py:162 ../eyes/transistor.py:160
+msgid "Transistor CE Char (NPN)"
+msgstr "Transistor en émetteur commun"
+
+#: ../eyes-junior/transistor.py:163
+msgid "EYES Junior: Transistor CE characteristics"
+msgstr "EYES Junior : caractéristiques de transistors en émetteur commun"
+
+#: ../eyes-junior/velocity-sound.py:36
+msgid "Invalid Frequency"
+msgstr "Fréquence invalide"
+
+#: ../eyes-junior/velocity-sound.py:49
+msgid "Measurement failed"
+msgstr "Mesure ratée"
+
+#: ../eyes-junior/velocity-sound.py:54
+#, python-format
+msgid "Freq = %5.0f Hz Phase = %5.0f deg"
+msgstr "Fréq = %5.0f Hz Phase = %5.0f °"
+
+#: ../eyes-junior/velocity-sound.py:93
+msgid ""
+"EYES Junior Hardware Not Found. Check Connections and restart the program"
+msgstr ""
+"Boîtier EYES Junior pas trouvé. Vérifier les connexions et relancer le "
+"programme"
+
+#: ../eyes-junior/velocity-sound.py:100
+msgid "Measure Phase"
+msgstr "Mesurer la phase"
+
+#: ../eyes-junior/velocity-sound.py:130
+msgid "EYES Junior: Velocity of Sound"
+msgstr "EYES Junior : vitesse du son"
+
+#: ../eyes/LED_iv.py:80
+msgid "Linear part of IV Curve (I > 1mA)"
+msgstr "Partie linéaire de la courbe I-U (I > 1mA)"
+
+#: ../eyes/LED_iv.py:165
+msgid "LED IV char. Connections"
+msgstr "Caractérustique I-U de DEL. Connexions"
+
+#: ../eyes/LED_iv.py:167
+msgid "EYES: LED IV characteristics"
+msgstr "EYES : caractéristiques I-U de DELs"
+
+#: ../eyes/logger.py:177
+msgid "EYES: Four Channel Data Logger"
+msgstr "EYES : enregistreur de données à 4 canaux"
+
+#: ../eyes/phototransistor.py:127
+msgid "Photo Transistor CE Char."
+msgstr "Photo-transistor en émetteur commun"
+
+#: ../eyes/phototransistor.py:128
+msgid "EYES: Photo-transistor CE characteristics"
+msgstr "EYES : caractéristiques du transistor en émetteur commun"
+
+#: ../eyes/pt100.py:175
+msgid "Temp From"
+msgstr "Temp de"
+
+#: ../eyes/pt100.py:187
+msgid "Deg C. "
+msgstr "° C."
+
+#: ../eyes/pt100.py:190 ../eyes/temp-controller.py:143
+msgid "Rg="
+msgstr "Rg="
+
+#: ../eyes/pt100.py:238
+msgid "Temperatue bt PT100"
+msgstr "Mesures de température à l'aide de PT100"
+
+#: ../eyes/resistor_iv.py:33
+msgid "CS (28) is open or the resistor connected is > 20 kOhm"
+msgstr "CS (borne 28) est vide ou la résistance connectée est > 20 kOhm"
+
+#: ../eyes/resistor_iv.py:64
+#, python-format
+msgid "Linear Fitting of VI curve gave R = %5.0f Ohm"
+msgstr "L'ajustement linéaire de la courbe U-I a donné R = %5.0f Ohm"
+
+#: ../eyes/resistor_iv.py:65
+#, python-format
+msgid "R = %5.0f Ohm"
+msgstr "R = %5.0f Ohm"
+
+#: ../eyes/resistor_iv.py:87
+msgid "Resistor VI curve"
+msgstr "Courbe U-I de résistances"
+
+#: ../eyes/resistor_iv.py:134
+msgid "R = "
+msgstr "R = "
+
+#: ../eyes/resistor_iv.py:148
+msgid "Resistor IV char. Connections"
+msgstr "Connexions : caractéristiques I-U de résistances"
+
+#: ../eyes/resistor_iv.py:149
+msgid "EYES: Resistor IV characteristics"
+msgstr "EYES : caractéristiques I-U de résistances"
+
+#: ../eyes/RLCdischarge.py:105
+msgid "Discharge"
+msgstr "Décharge"
+
+#: ../eyes/rodpend.py:70
+msgid "T & g"
+msgstr "t & g"
+
+#: ../eyes/rodpend.py:136
+msgid "Length="
+msgstr "Longueur="
+
+#: ../eyes/rodpend.py:142
+msgid "cm. "
+msgstr "cm. "
+
+#: ../eyes/rodpend.py:145
+msgid "Measure"
+msgstr "Mesurer"
+
+#: ../eyes/rodpend.py:151
+msgid "times."
+msgstr "fois."
+
+#: ../eyes/rodpend.py:191
+msgid "Period of Rod Pendulum"
+msgstr "Période d'un pendule pesant"
+
+#: ../eyes/rodpend.py:192
+msgid "EYES: Value of Accn. due to gravity using Pendulum"
+msgstr "EYES : valeur d'accélération due à la gravité avec le pendule"
+
+#: ../eyes/sound.py:26
+msgid "Already Running"
+msgstr "Déjà en fonctionnement"
+
+#: ../eyes/sound.py:39
+#, python-format
+msgid "CH0 : %5.1f V , %5.1f Hz "
+msgstr "CH0 : %5.1f V , %5.1f Hz "
+
+#: ../eyes/sound.py:110
+msgid "Buzzer1"
+msgstr "Buzzer1"
+
+#: ../eyes/sound.py:114
+msgid "Buzzer2"
+msgstr "Buzzer2"
+
+#: ../eyes/sound.py:121
+msgid "FreeRUN"
+msgstr "FreeRUN"
+
+#: ../eyes/sound.py:142
+msgid "EYES: Sound Experiments"
+msgstr "EYES : expériences sur le son"
+
+#: ../eyes/temp-controller.py:52
+msgid "temperature setpoint out of range"
+msgstr "réglage de température hors intervalle"
+
+#: ../eyes/temp-controller.py:60
+msgid "UPV setpoint out of range"
+msgstr "réglage UPV hors intervalle"
+
+#: ../eyes/temp-controller.py:66
+msgid "Starting the Controller"
+msgstr "Démarrage du contrôleur"
+
+#: ../eyes/temp-controller.py:149
+msgid "Ohm. "
+msgstr "Ohm. "
+
+#: ../eyes/temp-controller.py:152
+msgid "UPV="
+msgstr "UPV ="
+
+#: ../eyes/temp-controller.py:158
+msgid "V. "
+msgstr "V. "
+
+#: ../eyes/temp-controller.py:181
+msgid "Set at"
+msgstr "Régler à"
+
+#: ../eyes/temp-controller.py:187
+msgid "deg C"
+msgstr "° C"
+
+#: ../eyes/temp-controller.py:213
+msgid "Temperature Controller (LM35)"
+msgstr "Contôleur de température (LM35)"
+
+#: ../eyes/temp-controller.py:214
+msgid "Temperature controller using LM35"
+msgstr "Mesures de température à l'aide d'un LM35"
+
+#: ../eyes/transistor.py:161
+msgid "EYES: Transistor CE characteristics"
+msgstr "EYES : caractéristiques de transistors en émetteur commun"
+
+#: ../eyes/usound_tof.py:29
+msgid "Connect Transmitter from OD1 to Ground"
+msgstr "Connecter l'émetteur entre OD1 et la masse"
+
+#: ../eyes/usound_tof.py:30
+msgid "Connect Receiver from T15 to Ground"
+msgstr "Connecter le récepteur entre la borne 15 et la masse"
+
+#: ../eyes/usound_tof.py:31
+msgid "Keep them facing each other, at a known distance"
+msgstr "Les placer en face l'un de l'autre, à une distance connue"
+
+#: ../eyes/usound_tof.py:33
+msgid "Measure Time of Travel"
+msgstr "Mesurer le temps de trajet"
+
+#: ../eyes/usound_tof.py:40
+msgid "Velocity of Sound, 40kHz"
+msgstr "Vitesse du son, 40 kHz"
+
+#: ../eyes/velocity-sound.py:45
+#, python-format
+msgid "Phase = %5.0f deg"
+msgstr "Phase = %5.0f °"
+
+#: ../eyes/velocity-sound.py:110
+msgid "ON/OFF"
+msgstr "ON/OFF"
+
+#: ../eyes/velocity-sound.py:141
+msgid "EYES: Velocity of Sound"
+msgstr "EYES : vitesse du son"
+
+#: ../microhope/create-microhope-env:19
+msgid "Create microHope environment"
+msgstr "Créer un environnement microHope"
+
+#: ../microhope/create-microhope-env:20
+msgid ""
+"Do you want to create your own microHope environment?\n"
+"\n"
+"If you reply \"Yes\", a subdirectory named microHope will be created in your "
+"home directory, and a set of files will be copied into it.\n"
+"\n"
+"If any previous installation existed, its contents will be overwriten."
+msgstr ""
+"Voulez-vous créer votre environnement MicroHope ?\n"
+"\n"
+"Si vous répondez « oui », un sous-répertoire nommé microHope sera créé dans "
+"votre répertoire personnel, et un ensemble de fichiers y sera copié.\n"
+"\n"
+"Si une installation précédente existait, son contenu sera écrasé."
+
+#~ msgid "C files"
+#~ msgstr "Fichiers C"
+
+#~ msgid "text files"
+#~ msgstr "Fichiers texte"
+
+#~ msgid "All files"
+#~ msgstr "Tous fichiers"
+
+#~ msgid ""
+#~ "microHOPE IDE\n"
+#~ "\n"
+#~ "(c) 2013-2014 Ajith Kumar\n"
+#~ "\n"
+#~ "This program is free software, it is available under the license GPL-3; "
+#~ "on Debian systems, please see the file /usr/share/common-licenses/GPL-3\n"
+#~ "\n"
+#~ "Translations:\n"
+#~ "(FR) (c) 2014 Georges Khaznadar"
+#~ msgstr ""
+#~ "Environnement de développement microHOPE\n"
+#~ "\n"
+#~ "(c) 2013-2014 Ajith Kumar\n"
+#~ "\n"
+#~ "Ce programme est un logiciel libre, il est sous licence GPL-3 ; sur un "
+#~ "système Debian, voir le fichier /usr/share/common-licenses/GPL-3\n"
+#~ "\n"
+#~ "Traductions :\n"
+#~ "(FR) (c) 2014 Georges Khaznadar"
+
+#~ msgid "Not Selected"
+#~ msgstr "Non sélectionné(e)"
+
+#~ msgid "MicroHOPE: File->%s : Device-> %s"
+#~ msgstr "MicroHOPE: Fichier->%s : Périph-> %s"
+
+#~ msgid "File->%s : Device-> %s"
+#~ msgstr "Fichier->%s : Périph-> %s"
+
+#~ msgid "File Saved"
+#~ msgstr "Fichier enregistré"
+
+#~ msgid "No file selected"
+#~ msgstr "Pas de fichier sélectionné"
+
+#~ msgid "Compilation Error"
+#~ msgstr "Erreur de compilation."
+
+#~ msgid "Compilation Done"
+#~ msgstr "Calibration terminée"
+
+#~ msgid "Hardware device not selected"
+#~ msgstr "Pas de périphérique détecté"
+
+#~ msgid "Starting Upload...."
+#~ msgstr "Début de chargement ..."
+
+#~ msgid ""
+#~ "Upload Error: Try pressing nicroHOPE Reset button just before Uploading"
+#~ msgstr ""
+#~ "Erreur de chargement : essayez d'appuyer sur le bouton RESET de microHOPE "
+#~ "avant un nouveau chargement"
+
+#~ msgid "Upload Completed"
+#~ msgstr "Chargement terminé"
+
+#~ msgid "Starting Upload via USBASP...."
+#~ msgstr "Démarrage du chargement à l'aide de USBASP ..."
+
+#~ msgid "Upload Error: Make use USBASP programmer is connected"
+#~ msgstr ""
+#~ "Erreur de chargement : vérifiez si le programmeur USBASP est connecté"
+
+#~ msgid "microHOPE hardware not found?"
+#~ msgstr "Boîtier microHOPE pas trouvé ?"
+
+#~ msgid "About ..."
+#~ msgstr "À propos ..."
+
+#~ msgid "Hints"
+#~ msgstr "Trucs et astuces"
+
+#~ msgid ""
+#~ "You may need to build the directory ~/microhope with the command 'create-"
+#~ "microhope-env'. See 'man create-microhope-env'."
+#~ msgstr ""
+#~ "Il se peut que vous ayez à créer le répertoire ~/microhope à  l'aide de "
+#~ "la commande « create-microhope-env ». Voyez « man create-microhope-env »."
+
+#~ msgid "New File"
+#~ msgstr "Nouveau fichier"
+
+#~ msgid "Open"
+#~ msgstr "Ouvrir"
+
+#~ msgid "Save As"
+#~ msgstr "Enregistrer sous"
+
+#~ msgid "Upload using USBASP"
+#~ msgstr "Charger à l'aide d'USBASP"
+
+#~ msgid "File"
+#~ msgstr "Fichier"
+
+#~ msgid "Compile"
+#~ msgstr "Compiler"
+
+#~ msgid "Upload"
+#~ msgstr "Charger"
+
+#~ msgid "Documentation"
+#~ msgstr "Documentation"
+
+#~ msgid "Help"
+#~ msgstr "Aide"
+
+#~ msgid ""
+#~ "microHOPE IDE\n"
+#~ "\n"
+#~ "(c) 2013-2014 Ajith Kumar\n"
+#~ "\n"
+#~ "This program is freesoftware, it is available under the license GPL-3; on "
+#~ "Debian systems, please see the file /usr/share/common-licenses/GPL-3\n"
+#~ "\n"
+#~ "Translations:\n"
+#~ "(FR) (c) 2014 Georges Khaznadar"
+#~ msgstr ""
+#~ "Environnement de développement microHOPE\n"
+#~ "\n"
+#~ "(c) 2013-2014 Ajith Kumar\n"
+#~ "\n"
+#~ "Ce programme est un logiciel libre, il est sous licence GPL-3 ; sur un "
+#~ "système Debian, voir le fichier /usr/share/common-licenses/GPL-3\n"
+#~ "\n"
+#~ "Traductions :\n"
+#~ "(FR) (c) 2014 Georges Khaznadar"
+
+#~ msgid "Compile)"
+#~ msgstr "Compiler"
+
+#~ msgid "SEN pullup = %5f Ohm"
+#~ msgstr "SEN : pull-up = %5f Ohm"
+
+#~ msgid "SEN calibration failed"
+#~ msgstr "Échec calibration SEN"
+
+#~ msgid "SEN to GND="
+#~ msgstr "SEN à GND ="
+
+#~ msgid "Calc Pullup"
+#~ msgstr "Calc Pull-up"
+
+#~ msgid "EYES Junior Calibration: PVS should be conected to A1 & A2"
+#~ msgstr "Calibration de EYES Junior : PVS doit être connecté à A1 & A2"
+
+#~ msgid "Capacitance = %6.1f pF(%6.1fpF - %6.1fpF of the Socket)"
+#~ msgstr "Capacité = %6.1f pF(%6.1fpF - %6.1fpF de la connection)"
+
+#~ msgid ""
+#~ "10. Programmable Pulse. Frequency is 488.3 Hz. Duty cycle from 0 to 100% "
+#~ "in 255 steps."
+#~ msgstr ""
+#~ "10. Impulsions programmables. Le fréquence est 488,3 Hz. Le rapport "
+#~ "cyclique va de 0 à 100% en 255 pas."
+
+#~ msgid "Calibration data NOT found. You may run Calibrate program"
+#~ msgstr ""
+#~ "Données de calibration NON trouvées. Lancez le programme \"calibrate\""
+
+#~ msgid "Resistance too high or open %5.3f"
+#~ msgstr "Résistance trop élevée ou circuit ouvert %5.3f"
+
+#~ msgid "Resistance too high or open"
+#~ msgstr "Résistance trop élevée ou circuit ouvert"
+
+#~ msgid "Resistance too low or short %5.3f"
+#~ msgstr "Résistance trop faible ou court-circuit %5.3f"
+
+#~ msgid "Resistance too low or short"
+#~ msgstr "Résistance trop faible ou court-circuit"
+
+#~ msgid "Use Left Side Panel to Select Data Sources and Modifiers."
+#~ msgstr ""
+#~ "Utiliser le panneau gauche pour sélectionner les entrées et les "
+#~ "modifications."
+
+#~ msgid "Type command<Enter>"
+#~ msgstr "Commande + <Entrée>"
+
+#~ msgid "ch= "
+#~ msgstr "ch= "
+
+#~ msgid "ERROR"
+#~ msgstr "ERREUR"
+
+#~ msgid "Enter all Mesured Voltages Proper"
+#~ msgstr "Entrer toutes les tensions mesurées proprement"
+
+#~ msgid "Enter an integer : "
+#~ msgstr "Entrez un entier :"
+
+#~ msgid "Pendulum Waveform"
+#~ msgstr "Signal du pendule"
+
+#~ msgid "Error"
+#~ msgstr "Erreur"
+
+#~ msgid "Freq1="
+#~ msgstr "Fréq1="
+
+#~ msgid "Freq2="
+#~ msgstr "Fréq2="
diff --git a/po/update-manual.py b/po/update-manual.py
new file mode 100644
index 0000000..38e0014
--- /dev/null
+++ b/po/update-manual.py
@@ -0,0 +1,107 @@
+# -*- coding: utf-8 -*-
+
+from subprocess import Popen, PIPE, call
+import re,os.path,sys
+
+class poDic:
+    """
+    a class which behaves like a dictionary,
+    whose instances are intialized by PO files
+    """
+    def __init__(self, pofile):
+        """
+        The constructor
+        @param pofile a PO file (gettext format)
+        """
+        self.dict={}
+        self.mode=None
+        mi=re.compile('^msgid "(.*)"$')
+        ms=re.compile('^msgstr "(.*)"$')
+        m=re.compile('^"(.*)"$')
+        lines=open(pofile,"r").readlines()
+        msgid=""
+        msgstr=""
+        for l in lines:
+            l=l.strip()
+            i=mi.match(l)
+            s=ms.match(l)
+            o=m.match(l)
+            if i:
+                if self.mode=="msgstr":
+                    self.dict[msgid]=msgstr
+                self.mode="msgid"
+                msgid=i.group(1)
+            elif s:
+                self.mode="msgstr"
+                msgstr=s.group(1)
+            elif o:
+                if self.mode=="msgid":
+                    msgid+=o.group(1)
+                elif self.mode=="msgstr":
+                    msgstr+=o.group(1)
+                else:
+                    print "error, '%s' not usable" %o.group(1)
+        # record the last one
+        if self.mode=="msgstr":
+            self.dict[msgid]=msgstr
+
+    def __str__(self):
+        """
+        Make a vivible representation of the instance
+        """
+        return "poDic:\n%s" %self.dict
+
+    def __getitem__(self,key):
+        """
+        reads in the directory
+        @param key a string
+        @return the content of the dictionary when search with key
+        """
+        if self.dict.has_key(key):
+            return self.dict[key]
+        else:
+            return None
+
+def localizeManual(pofile, lyxfile, prefix="new-"):
+    """
+    localizes a manual file in LyX format
+    @param pofile a PO file
+    @param lyxfile a LyX file
+    @param prefix a prefix to make the name of the new file
+    """
+    menuitem=re.compile("^menuitem\{(.*)\}$")
+    buttonlabel=re.compile("^buttonlabel\{(.*)\}$")
+    patterns=(menuitem,buttonlabel)
+    manFileName=os.path.abspath(lyxfile)
+    newFileName=os.path.join(os.path.dirname(manFileName),prefix+os.path.basename(manFileName))
+    podic= poDic(pofile)
+    infile=open(lyxfile,"r")
+    outfile=open(newFileName,"w")
+    while True:
+        l=infile.readline()
+        if not l:
+            break
+        for pat in patterns:
+            it=pat.match(l)
+            if it:
+                key=it.group(1)
+                if podic[key]:
+                    l=l.replace(key, podic[key])
+                else:
+                    print "ERROR: menuitem not found in dictionary '%s'" %key
+        outfile.write(l)
+    outfile.close()
+    infile.close()
+    print "localized %s => %s (using %s)" %(lyxfile,newFileName, pofile)
+
+
+if __name__=="__main__":
+    usage="""\
+Usage: python update-manual.py <Po file> <LyX file>"""
+    try:
+        pofile =sys.argv[1]
+        lyxfile=sys.argv[2]
+        localizeManual(pofile, lyxfile, "new-")
+    except:
+        print usage
+
diff --git a/postinst b/postinst
new file mode 100644
index 0000000..856c264
--- /dev/null
+++ b/postinst
@@ -0,0 +1,16 @@
+#!/bin/sh
+echo "Setting User Permissions for USB port for EXPEYES: AVR, FT232 and MCP2200"
+
+echo "SUBSYSTEM==\"usb\",ATTRS{idVendor}==\"03eb\", ATTRS{idProduct}==\"21ff\", MODE=\"666\"" > /etc/udev/rules.d/99-phoenix.rules
+echo "SUBSYSTEM==\"tty\",ATTRS{idVendor}==\"0403\", ATTRS{idProduct}==\"6001\", MODE=\"666\"" >> /etc/udev/rules.d/99-phoenix.rules
+echo "SUBSYSTEM==\"tty\",ATTRS{idVendor}==\"04d8\", ATTRS{idProduct}==\"00df\", MODE=\"666\"" >> /etc/udev/rules.d/99-phoenix.rules
+
+
+echo "ATTRS{idVendor}==\"03eb\", ATTRS{idProduct}==\"21ff\", ENV{ID_MM_DEVICE_IGNORE}=\"1\""  >> /etc/udev/rules.d/99-phoenix.rules
+echo "ATTRS{idVendor}==\"0403\", ATTRS{idProduct}==\"6001\", ENV{ID_MM_DEVICE_IGNORE}=\"1\""  >> /etc/udev/rules.d/99-phoenix.rules
+echo "ATTRS{idVendor}==\"04d8\", ATTRS{idProduct}==\"00df\", ENV{ID_MM_DEVICE_IGNORE}=\"1\""  >> /etc/udev/rules.d/99-phoenix.rules
+
+cat /etc/udev/rules.d/99-phoenix.rules
+service udev restart
+/etc/init.d/udev restart
+echo "Reconnect EXEYES on USB Port for permissions to take effect"
diff --git a/qeyes-1.0/.gitignore b/qeyes-1.0/.gitignore
new file mode 100644
index 0000000..13ad970
--- /dev/null
+++ b/qeyes-1.0/.gitignore
@@ -0,0 +1,3 @@
+Ui_main.py
+__pycache__
+expeyes/__pycache__
diff --git a/qeyes-1.0/Makefile b/qeyes-1.0/Makefile
new file mode 100644
index 0000000..6ced59a
--- /dev/null
+++ b/qeyes-1.0/Makefile
@@ -0,0 +1,17 @@
+DESTDIR = 
+SOURCES = $(shell ls *.py)
+ITF_SOURCES = $(shell ls *.ui)
+ITF = $(patsubst %.ui, Ui_%.py, $(ITF_SOURCES))
+
+all: interfaces
+
+interfaces: $(ITF)
+
+Ui_%.py: %.ui
+	pyuic4 $< > $@
+
+clean:
+	rm -rf build __pycache__
+	rm -f *~ Ui_* *.pyc
+
+distclean: clean
diff --git a/qeyes-1.0/axiswidget.py b/qeyes-1.0/axiswidget.py
new file mode 100644
index 0000000..5d20875
--- /dev/null
+++ b/qeyes-1.0/axiswidget.py
@@ -0,0 +1,130 @@
+'''
+Plotting libray, using Qt4 for expEYES
+Author  : Georges Khaznadar <georgesk at debian.org>
+Based on Ajith Kumar's work
+License : GNU GPL version 3
+'''
+
+from PyQt4.QtCore import *
+from PyQt4.QtGui import *
+import time
+
+class axisWidget(QGraphicsView):
+    def __init__(self, parent=None, min = -5.0, max = 5.0, label = ''):
+        """
+        constructor
+        @param parent the parent widget
+        @param min minimum value
+        @param max maximum value
+        @param labels text to display as a label
+        """
+        QGraphicsView.__init__(self, parent)
+        self.parent = parent
+        self.min=min
+        self.max=max
+        self.label = label
+        self.axis=[]
+        self.labelFont=QFont("Courier New")
+        self.labelFont.setPixelSize(11)
+        self.textPen = QPen(Qt.blue)
+        self.labelPen = QPen(Qt.black)
+        self.numdiv = 5
+        self.t=None # a dummy text to enforce redrawing
+
+    def direction(self):
+        """
+        @return either 'horizontal' or 'vertical'
+        """
+        if not self.scene():
+            return None
+        if self.scene().sceneRect().width() > self.scene().sceneRect().height():
+            return 'horizontal'
+        else:
+            return 'vertical'
+    
+    def setGeometry(self, rect):
+        """
+        redefinition of the default setGeometry
+        @param rect a QRect
+        """
+        QGraphicsView.setGeometry(self, rect)
+        scene = QGraphicsScene(QRectF(rect), self.parent)
+        w, h = rect.width(), rect.height()
+        margin = 4
+        w-=margin
+        h-=margin
+        scene.setSceneRect(QRectF(0,0,w,h))
+        self.setScene(scene)
+        self.drawAxis()
+
+    def setRange(self, min, max, label=''):
+        """
+        set a new range and label
+        @param min minimum value
+        @param max maximum value
+        @param label a short text
+        """
+        self.min=min
+        self.max=max
+        self.label=label
+        self.drawAxis()
+
+    def drawAxis(self):
+        """
+        draws the axis
+        """
+        self.axis=[]
+        if self.direction() == 'horizontal':
+            pos=QPoint(0.9*self.width(), 1)
+            self.axis.append((self.textPen, pos, self.labelFont, "(%s)"%self.label, "center", "bottom" ))
+            dx = float(self.width())/self.numdiv
+            align=["right"]+["center"]*(self.numdiv-1)+["left"]
+            for x in range(0,self.numdiv+1):
+                a = x *(self.max - self.min)/self.numdiv + self.min
+                s = '%4.1f'%(a)
+                pos=QPoint(x*dx, 1)
+                self.axis.append((self.textPen, pos, self.labelFont, s, align[x], "bottom"))
+        else: #position != 'horizontal'
+            pos=QPoint(2,0.1*self.height())
+            self.axis.append((self.textPen, pos, self.labelFont, "(%s)" %self.label, "right", "top"))
+            dy = float(self.height())/self.numdiv
+            align=["bottom"]+["center"]*(self.numdiv-1)+["top"]
+            for y in range(0,self.numdiv+1):
+                a = y*(self.max - self.min)/5
+                if self.max > 99:
+                    s = '%4.0f'%(self.max-a)
+                else:
+                    s = '%4.1f'%(self.max-a)
+                pos=QPoint(self.width(), int(y*dy))
+                self.axis.append((self.textPen, pos, self.labelFont, s, "left", align[y]))
+        # enforce a repaint
+        self.scene().invalidate(self.sceneRect())
+
+    def paintEvent(self, event=None):
+        """
+        redefinition of the SLOT which deals with paint events (raised
+        by repaint or update)
+        @param event the paint event
+        """
+        QGraphicsView.paintEvent(self, event)
+        painter=QPainter(self.viewport())
+        painter.save()
+        for pen, pos, font, text, xlayout, ylayout in self.axis:
+            painter.setPen(pen)
+            painter.setFont(font)
+            fm=painter.fontMetrics()
+            w=fm.width(text)+5
+            h=fm.height()
+            x=pos.x()
+            y=pos.y()
+            if xlayout == "left":
+                x-=w
+            elif xlayout == "center":
+                x-=w/2
+            if ylayout == "bottom":
+                y+=h
+            elif ylayout == "top":
+                y-=h/2
+            pos=QPoint(x,y)
+            painter.drawText(pos, text)
+        painter.restore()
diff --git a/qeyes-1.0/expeyes-img.png b/qeyes-1.0/expeyes-img.png
new file mode 100644
index 0000000..44c8c7b
Binary files /dev/null and b/qeyes-1.0/expeyes-img.png differ
diff --git a/qeyes-1.0/expeyes/__init__.py b/qeyes-1.0/expeyes/__init__.py
new file mode 100644
index 0000000..e69de29
diff --git a/qeyes-1.0/expeyes/__init__.pyc b/qeyes-1.0/expeyes/__init__.pyc
new file mode 100644
index 0000000..fae582f
Binary files /dev/null and b/qeyes-1.0/expeyes/__init__.pyc differ
diff --git a/qeyes-1.0/expeyes/eyemath.py b/qeyes-1.0/expeyes/eyemath.py
new file mode 100644
index 0000000..a2f8217
--- /dev/null
+++ b/qeyes-1.0/expeyes/eyemath.py
@@ -0,0 +1,195 @@
+'''
+expEYES data analysis library using numpy and scipy
+Author  : Ajith Kumar B.P, bpajith at gmail.com
+License : GNU GPL version 3
+'''
+
+import expeyes.eyes, sys, time, math
+from numpy import *
+import numpy.fft
+from scipy import optimize 
+from scipy.optimize import leastsq
+
+
+def find_peak(va):
+	vmax = 0.0
+	size = len(va)
+	index = 0
+	for i in range(1,size):		# skip first 2 channels, DC
+		if va[i] > vmax:
+			vmax = va[i]
+			index = i
+	return index
+
+#-------------------------- Fourier Transform ------------------------------------
+def fft(ya, si):
+	'''
+	Returns positive half of the Fourier transform of the signal ya. 
+	Sampling interval 'si', in milliseconds
+	'''
+	np = len(ya)
+	v = array(ya)
+	tr = abs(numpy.fft.fft(v))/np
+	frq = numpy.fft.fftfreq(np, si * 1.0e-3)
+	x = frq.reshape(2,np/2)
+	y = tr.reshape(2,np/2)
+	return x[0], y[0]    
+
+def find_frequency(x,y):		# Returns the fundamental frequency using FFT
+	tx,ty = fft(y, x[1]-x[0])
+	index = find_peak(ty)
+	if index == 0:
+		return None
+	else:
+		return tx[index]
+	'''
+	m = mean(ty)
+	mx = max(ty)
+	for i in range(1,len(ty)):
+		if ty[i] != 0:
+			print ('FF', tx[i], ty[i])
+		if ty[i] > 5*m:
+			return tx[i]
+	return None					# Could not find FFT Peak
+	'''
+#-------------------------- Sine Fit ------------------------------------------------
+def sine_erf(p,y,x):					
+	return y - p[0] * sin(2*pi*p[1]*x+p[2])+p[3]
+
+def sine_eval(x,p):			# y = a * sin(2*pi*f*x + phi)+ offset
+	return p[0] * sin(2*pi*p[1]*x+p[2])-p[3]
+
+def fit_sine(xlist,ylist, freq = 0):	# Time in mS, V in volts, freq in Hz
+	size = len(xlist)
+	xa = array(xlist, dtype=float)
+	ya = array(ylist, dtype=float)
+	amp = (max(ya)-min(ya))/2
+	if freq == 0:						# Guess frequency not given
+		freq = find_frequency(xa,ya)
+	if freq == None:
+		return None
+	#print ('guess a & freq = %s %s'%(amp, freq))
+	par = [abs(amp), freq*0.001, 0.0, 0.0] # Amp, freq, phase , offset
+	plsq = leastsq(sine_erf, par,args=(ya,xa))
+	if plsq[1] > 4:
+		return None
+	yfit = sine_eval(xa, plsq[0])
+	if plsq[0][0] < 0:
+		#print (plsq[0])
+		plsq[0][0] *= -1
+		plsq[0][2] += pi
+		#print (plsq[0])
+	return yfit,plsq[0]
+
+#--------------------------Damped Sine Fit ------------------------------------------------
+def dsine_erf(p,y,x):
+	return y - p[0] * sin(2*pi*p[1]*x+p[2]) * exp(-p[4]*x) + p[3]
+
+def dsine_eval(x,p):
+	return     p[0] * sin(2*pi*p[1]*x+p[2]) * exp(-p[4]*x) - p[3]
+
+def fit_dsine(xlist, ylist, freq = 0):
+	size = len(xlist)
+	xa = array(xlist, dtype=float)
+	ya = array(ylist, dtype=float)
+	amp = (max(ya)-min(ya))/2
+	if freq == 0:
+		freq = find_frequency(xa,ya)
+	print (freq)
+	par = [amp, freq, 0.0, 0.0, 0.1] # Amp, freq, phase , offset, decay constant
+	plsq = leastsq(dsine_erf, par,args=(ya,xa))
+	if plsq[1] > 4:
+		return None
+	yfit = dsine_eval(xa, plsq[0])
+	return yfit,plsq[0]
+
+#-------------------------- Exponential Fit ----------------------------------------
+def exp_erf(p,y,x):
+	return y - p[0] * exp(p[1]*x) + p[2]
+
+def exp_eval(x,p):
+	return p[0] * exp(p[1]*x)  -p[2]
+
+def fit_exp(xlist, ylist):
+	size = len(xlist)
+	xa = array(xlist, dtype=float)
+	ya = array(ylist, dtype=float)
+	maxy = max(ya)
+	halfmaxy = maxy / 2.0
+	halftime = 1.0
+	for k in range(size):
+		if abs(ya[k] - halfmaxy) < halfmaxy/100:
+			halftime = xa[k]
+			break 
+	par = [maxy, -halftime,0] 					# Amp, decay, offset
+	plsq = leastsq(exp_erf, par,args=(ya,xa))
+	if plsq[1] > 4:
+		return None
+	yfit = exp_eval(xa, plsq[0])
+	return yfit,plsq[0]
+
+#-------------------------- Gauss Fit ----------------------------------------
+def gauss_erf(p,y,x):#height, mean, sigma
+	return y - p[0] * exp(-(x-p[1])**2 /(2.0 * p[2]**2))
+
+def gauss_eval(x,p):
+	return p[0] * exp(-(x-p[1])**2 /(2.0 * p[2]**2))
+
+def fit_gauss(xlist, ylist):
+	size = len(xlist)
+	xa = array(xlist, dtype=float)
+	ya = array(ylist, dtype=float) 
+	maxy = max(ya)
+	halfmaxy = maxy / 2.0
+	for k in range(size):
+		if abs(ya[k] - maxy) < maxy/100:
+			mean = xa[k]
+			break
+	for k in range(size):
+		if abs(ya[k] - halfmaxy) < halfmaxy/10:
+			halfmaxima = xa[k]
+			break                      
+	sigma = mean - halfmaxima
+	par = [maxy, halfmaxima, sigma] # Amplitude, mean, sigma
+	plsq = leastsq(gauss_erf, par,args=(ya,xa))
+	if plsq[1] > 4:
+		return None
+	yfit = gauss_eval(xa, plsq[0])
+	return yfit,plsq[0]
+
+#-------------------------- liniar Fit ------------------------------------------------
+def line_erf(p,y,x):					
+	return y - p[0] * x - p[1]
+
+def line_eval(x,p):			# y = a * x + b
+	return p[0] * x + p[1]
+
+def fit_line(xlist,ylist):	# Time in mS, V in volts
+	size = len(xlist)
+	xa = array(xlist, dtype=float)
+	ya = array(ylist, dtype=float)
+	par = [1,1] # m, c
+	plsq = leastsq(line_erf, par,args=(ya,xa))
+	if plsq[1] > 4:
+		return None
+	yfit = line_eval(xa, plsq[0])
+	return yfit,plsq[0]
+
+#-------------------------- Quadratic Fit ----------------------------------------
+def qdr_erf(p,y,x):
+	return y - (p[0] * x**2 +p[1]*x + p[2]) # ax^2 + bx + c
+
+def qdr_eval(x,p):
+	return p[0] * x**2 +p[1]*x + p[2]
+
+def fit_qdr(xlist, ylist):
+	size = len(xlist)
+	xa = array(xlist, dtype=float)
+	ya = array(ylist, dtype=float)
+	par = [1, 1, 1] 					# a,b,c
+	plsq = leastsq(qdr_erf, par,args=(ya,xa))
+	if plsq[1] > 4:
+		return None
+	yfit = qdr_eval(xa, plsq[0])
+	return yfit,plsq[0]
+
diff --git a/qeyes-1.0/expeyes/eyes.py b/qeyes-1.0/expeyes/eyes.py
new file mode 100644
index 0000000..38dd453
--- /dev/null
+++ b/qeyes-1.0/expeyes/eyes.py
@@ -0,0 +1,1230 @@
+'''
+EYES for Young Engineers and Scientists (EYES 1.0)
+Python library to communicate to the AtMega32 uC running 'eyes.c'
+Authors : Ajith Kumar B.P, bpajith at gmail.com
+          Georges Khaznadar, georgesk at debian.org
+License : GNU GPL version 3
+Started on 1-Nov-2010
+Last Edit : 13-Oct-2011   : Added MCP2200 support (for version 2)
+Last Edit : 4-Nov-2011    : DAC maximum set to 5.000 volts
+Last Edit : 13-Feb-2013   : rewritten for python3, added normalized comments
+
+
+The hardware consisists of :
+1) 2 Digital Inputs
+2) 2 Digital Outputs
+3) 2 DAC channels
+4) 8 ADC channels (only 6 used)
+       0,1 : -5V to 5V inputs
+         2 : 0 to 5V input
+
+5) 1 Square wave generator using ATmega32
+6) 1 Square wave generator using IC555 (frequency range selectable through Atmega32)
+7) 1 Pulse Width Modulator Output using ATmega32
+8) A 100 Hz sine wave generator, bipolar
+9) 1 Current source controlled by DAC channel 1
+10)1 Non-Inverting Amplifier using OP27, gain can be set by an external resistor
+11)1 Inverting amplifier, gain can be selected using a series resistance at the input
+12)2 Inverting amplifiers with gain = 47 , mainly used for microphones. 
+'''
+
+import serial, struct, math, time, subprocess, sys, os, glob, fnmatch
+
+import gettext
+gettext.bindtextdomain("expeyes")
+gettext.textdomain('expeyes')
+_ = gettext.gettext
+
+
+#Commands with One byte argument (41 to 80) 
+GETVERSION  = 1
+DIGIN       = 2   # Digital Input (4 bits)
+USOUND      = 3   # Pulse OD1 to get rising edge on ID2(internal)
+
+#Commands with One byte argument (41 to 80) 
+SETSAMTIME   =  41    # MCP3208 sampling duration
+SETADCSIZE   =  42
+READADC      =  43    #Read the specified ADC channel
+R2FTIME      =  44    # Rise to Fall of signal on input pins
+R2RTIME      =  45    # Rise to Fall of signal on input pins
+F2RTIME      =  46    # Fall to Rise of signal on input pins
+F2FTIME      =  47    # Fall to Rise of signal on input pins
+SET2RTIME    =  48    # Setting of bit to rising edge
+SET2FTIME    =  49    # to falling time
+CLR2RTIME    =  50    # Setting of bit to rising edge
+CLR2FTIME    =  51    # to falling time
+PULSE2RTIME  =  52    # Pulse to rising edge
+PULSE2FTIME  =  53    # Pulse to rising edge
+SETPULSEWID  =  54    # width for PULSE2 functions (0 to 250)
+SETPULSEPOL  =  55    # PULSE polarity (0 for HIGH true)
+DIGOUT       =  56    # Digital output (4 bits)
+ADC2CMP      =  57    # Route ADC input to ACOMP-
+SETPWM       =  58    # Set 488 Hz PWM wave on TC0
+SETPWMDAC    =  59    # Set 31.25 kHz PWM wave on TC0
+GETPORT      =  60    # PINX data from port X
+IRSEND       =  61    # Send 8 bit data on SQR1, using infrared LED
+
+# Commands with Two bytes argument (81 to 120)
+SETPWM0      =  81    # PWM on on OSC0
+SETCOUNTER0  =  82    # Square wave on OSC0
+SETCOUNTER2  =  83    # Square wave on OSC2
+SETACTION    =  84    # Capture Actions of SET/CLR type
+MULTIR2R     =  85    # Rising edge to a rising edge after N cycles
+ADCTRIGS     =  86    # Trigger levels for read_block functions
+SETWAVEFORM  =  87    # ISR Wavegen. OCR0 and which DAC from the caller
+PULSE_D0D1   =  88    # Interrupt driven square wave on D0 and D1
+SETDDR       =  90    # DDRX = dirmask (arg1 = X, arg2 = mask)
+SETPORT      =  91    # PORTX = DATA (arg1 = X, arg2 = DATA)
+
+# Commands with Three bytes argument (121 to 160)    
+SETDAC       = 121    # Serial DAC: send ch, dlo & dhi 
+QCAPTURE01   = 122    # 2 bytes N, 1 byte dt. captures channel 0 and 1
+WREEPROM     = 123    # Write EEPROM , 2 byte addr & 1 byte data
+RDEEPROM     = 124    # Read EEPROM , 2 byte addr , 1 byte nb
+
+#Commands with Four bytes argument (161 to 200)
+CAPTURE01    = 161     # 2 bytes N, 2 bytes dt. Capture channel 0 and 1
+QCAPTURE     = 162     # Ch, 2 byte N, 1 byte dt. 
+
+#Commands with Five bytes argument (201 to 240)
+CAPTURE      = 201     # Ch, 2 byte N, 2 byte dt. Capture from MCP3208 ADC
+CAPTURE_M32  = 202     # Ch, 2 byte N, 2 byte dt. Capture from ATmega32 ADC
+
+# Actions before capturing waveforms
+ASET        = 1
+ACLR        = 2
+APULSEHI    = 3
+APULSELO    = 4
+AWAITHI     = 5
+AWAITLO     = 6
+AWAITRISE   = 7
+AWAITFALL   = 8
+
+BUFSIZE     = 1800       # status + adcinfo + 1800 data
+
+#Serial devices to search for EYES hardware.  
+linux_list = ['/dev/ttyUSB0', '/dev/ttyUSB1', '/dev/ttyUSB2',
+          '/dev/ttyACM0','/dev/ttyACM1','/dev/ttyACM2',
+          '/dev/cu.usbserial']
+
+
+def open(dev = None):
+    '''
+    opens the session with EYES
+    @return if EYES hardware is found, an instance of 'Eyes', else None.
+    '''
+    obj = Eyes()
+    if obj.fd != None:
+        obj.disable_actions()
+        return obj
+    print (_('Could not find Phoenix-EYES hardware'))
+    print (_('Check the connections.'))
+
+DACMAX   = 5.000  # MCP4922 DAC goes only up to 4.933 volts, in version 1
+BAUDRATE = 38400  # Serial communication
+
+class Eyes:
+    fd = None     # init should fill this
+    adcsize = 1
+    m = [10.0/4095]*2 + [5.0/4095]*6 + [4095./DACMAX/2, 4095.0/DACMAX] # 8th and 9th are for DAC
+    c = [-5.0]*2 + [0.0]*6 + [4095.0/2, 0]
+    msg = '.'
+
+    def __init__(self, dev = None):
+        """
+        Searches for EYES hardware on RS232 ports and the
+        USB-to-Serial adapters. Presence of the device is done by
+        reading the version string.  The timeout at Python end is set
+        to 3.2 milliseconds, twice the minimum 555 output time period.
+        TODO : Supporting more than one EYES on a PC to be done. The
+        question is how to find out whether a port is already open or
+        not, without doing any transactions to it.
+        """
+        self.adcsize = 2;
+        
+        if os.name == 'nt':    
+            device_list = []
+            for k in range(1,255):
+                s = 'COM%d'%k
+                device_list.append(s)
+            for k in range(1,11):
+                device_list.append(k)
+        elif (os.uname()[0] == 'Darwin'):
+            device_list = []
+            device_list = glob.glob('/dev/cu.usbserial*')
+        else:
+            device_list = []    # gather unused ones from the linux_list
+            for dev in linux_list:
+                res = subprocess.getoutput('lsof -t '+ str(dev))    
+                if res == '': 
+                    device_list.append(dev)
+        
+        for dev in device_list:
+            try:
+                handle = serial.Serial(dev, BAUDRATE, stopbits=1, timeout = 0.3, parity=serial.PARITY_EVEN)
+            except:
+                continue
+            print (_('Port %s is existing')%dev, end=' ')
+            if handle.isOpen() != True:
+                print (_('but could not open'), end=' ')
+                continue
+            print (_('and opened.'), end=' ')
+            handle.flush()
+            time.sleep(.5)
+            while handle.inWaiting() > 0 :
+                handle.flushInput()
+            handle.write(struct.pack('B',GETVERSION))
+            res = handle.read(1)
+            ver = handle.read(5)    # 5 character version number
+            if ver[:2] == b'ey':
+                self.device = dev
+                self.fd = handle
+                self.version = ver.decode('utf8')
+                handle.timeout = 4.0    # r2rtime on .7 Hz require this
+                print (_('Found EYES version %s') %self.version)
+                return 
+            else:
+                print (_('No EYES hardware detected'))
+                self.fd = None
+#----------------------------------------------------------------------
+
+    def writeslow(self, format, *args):
+        """
+        converts a variable sequence into a byte string and feeds it slowly
+        into self.fd
+        @param format a format string for struct.pack
+        @param len 
+        @param args a sequence of arguments
+        """
+        for i in range(len(args)):
+            bs=struct.pack(format[i],args[i])
+            self.fd.write(bs)
+            time.sleep(0.02)
+
+    def b2int(self, bs):
+        """
+        converts a two-bytes string
+        to an integer
+        @param bs a byte string
+        @result an integer value
+        """
+        return bs[0] + (bs[1] << 8)
+    
+#-------------- Pulse Width Modulated Waveform on TC0 and TC2 -------------
+    def set_pwmdac(self, vout):        # Value in 0 to 5V
+        '''
+        Sets the PULSE output (T10) to 31.25 kHz and sets the duty
+        cycle to make the average voltage = vout. Need External RC
+        filter to use this as a DC output.  0 to 5V range is covered
+        in 255 steps and the function returns the value set.
+        @param vout voltage to output
+        @return the voltage set
+        '''
+        if 0 <= vout <= 5.0:
+            val = int(vout*255.0/5.0)
+            self.writeslow('BB',SETPWMDAC,val)
+            self.fd.read(1)
+            return val * 5.0 / 255
+
+    def set_pulse(self, ds):        # Dutycycle in percentage
+        '''
+        Sets the frequency on PULSE to 488.3 Hz. Dutycycle is set to 'ds'. 
+        Returns the actual value set.
+        @param ds the value of duty cycle
+        @return the value set
+        '''
+        if 0 <= ds <= 100:
+            val = int(ds*255.0/100)
+            self.writeslow('BB',SETPWM,val)
+            self.fd.read(1)
+            return val * 100.0 / 255
+
+#------------ Square Wave Generation & Measuring the Frequency --------------
+    def irsend(self, dat):
+        """
+        Infrared transmission
+        @param dat the data to send
+        """
+        self.writeslow('BB',IRSEND,dat)
+        self.fd.read(1)
+
+    def set_sqr0(self, freq):
+        '''
+        Sets a square wave on the PULSE output. Frequency from 15Hz to
+        40000000 Hz (4 MHz), but it is not possible to set all
+        intermediate values.  The function sets the nearest possible
+        value and returns it.
+        @param freq the desired frequency
+        @return the frequency set
+        '''
+        if freq < 1:        # Disable squarewave on PULSE
+            self.writeslow('BH',SETCOUNTER0,0)
+            self.fd.read(1)
+            return 0
+
+        div = [4000000.0, 500000.0, 125000.0, 62500.0, 31250.0,15625.0,3906.25]
+        for i in range(7):
+            clock_sel = i+1
+            freq0 = div[i]
+            if ( freq0/ freq) <= 256:
+                break
+        setpoint = freq0/freq
+        if setpoint > 255:
+            setpoint = 255
+        OCR0 = int(setpoint)-1
+        self.writeslow('BBB',SETCOUNTER0,clock_sel,OCR0)
+        res = self.fd.read(1)
+        if res != b'D':
+            return None
+        if setpoint == 0:
+            return freq0
+        else:
+            return freq0/(OCR0+1)
+
+    def set_sqr1(self, freq):
+        '''
+        Sets the output frequency of the SQR1. Ranges from 15Hz to
+        40000000 Hz (4 MHz), but it is not possible to set all
+        intermediate values.  The function sets the nearest possible
+        value and returns it.
+        @param freq the desired frequency in hertz
+        @return the frequency set
+        '''
+        if freq < 1:        # Disable PWG
+            self.writeslow('BH',SETCOUNTER2,0)
+            self.fd.read(1)
+            return 0
+
+        div = [4000000.0, 500000.0, 125000.0, 62500.0, 31250.0,15625.0,3906.25]
+        for i in range(7):
+            clock_sel = i+1
+            freq0 = div[i]
+            if ( freq0/ freq) <= 256:
+                break
+        setpoint = freq0/freq
+        if setpoint > 255:
+            setpoint = 255
+        OCR2 = int(setpoint)-1
+        self.writeslow('BBB',SETCOUNTER2,clock_sel,OCR2)
+        res = self.fd.read(1)
+        if res != b'D':
+            return None
+        if setpoint == 0:
+            return freq0
+        else:
+            return freq0/(OCR2+1)
+
+    def get_sqr1(self):
+        '''
+        This function measures the frequency of SQR1. There is no need of this
+        since set_sqr1 returns the frequency actually set.
+        @return measured frequency
+        '''
+        self.adc2cmp(6)
+        t = self.multi_r2rtime(4)
+        if t < 10000:
+            t = self.multi_r2rtime(4,9)
+            return 1.0e7/t
+        return 1.0e6 / t
+
+    def set_sqr2(self, fmax):
+        '''
+        This function sets the frequency range of SQR2.  The ranges
+        are : 0.7 to 25, 25 to 1000, 1000 to 10000 and 10000 to 90000.
+        You need to adjust the 22 KOhm variable resistor to get the
+        desired frequency within the selected range. Software allows
+        you to measure the frequency while adjusting the
+        resistor. Frequency can be set from .7 Hz to 90 KHz in
+        different ranges.
+        @param fmax maximum value of the desired frequency
+        '''
+        if fmax < 0:                  #PA0 to LOW, makes 555 output LOW
+            self.set_ddr(0,1)
+            self.set_port(0,1)
+        elif fmax == 0:               #PA0 to LOW, makes 555 output HIGH
+            self.set_ddr(0,1)
+            self.set_port(0,0)
+        elif fmax<= 25:
+            self.set_ddr(0, 2+4+8+16) # connect (47 + 1 + 0.1 + 0.01) uF 
+            self.set_port(0,0)
+        elif fmax<= 1000:
+            self.set_ddr(0, 2+4+8)    # connect (1 + 0.1 + 0.01) uF 
+            self.set_port(0,0)
+        elif fmax<= 10000:
+            self.set_ddr(0, 2+4)      # connect (0.1 + 0.01) uF 
+            self.set_port(0,0)
+        elif fmax <= 90000:           # connect 0.01 uF
+            self.set_ddr(0, 2)
+            self.set_port(0,0)
+        elif fmax > 300000:           # Oscillate with stray capacitance only
+            self.set_ddr(0, 0)
+            self.set_port(0,0)
+
+    def get_sqr2(self):
+        '''
+        This function measures the frequency of SQR2 (555 oscillator).
+        Call this while adjusting the frequency using the variable resistor.
+        @return measured frequency
+        '''
+        self.adc2cmp(6)
+        t = self.multi_r2rtime(4)
+        if t < 0:
+            return t
+        if 0 < t < 10000:
+            t = self.multi_r2rtime(4,9)
+            return 1.0e7/t
+        return 1.0e6 / t
+
+    def sensor_frequency(self):
+        '''
+        This function measures the frequency on the signal on SENS (T23) input.
+        @return measured frequency
+        '''
+        self.adc2cmp(5)
+        t = self.multi_r2rtime(4)
+        if t < 0:
+            return t
+        if 0 < t < 10000:
+            t = self.multi_r2rtime(4,9)
+            return 1.0e7/t
+        return 1.0e6 / t
+
+    def ampin_frequency(self):
+        '''
+        This function measures the frequency of an external BIPOLAR
+        signal connected to Terminal 15.  If your signal is unipolar ,
+        connect it through a 1uF series The amplitude must be more
+        than 100 mV
+        @return measured frequency
+        '''
+        return self.digin_frequency(2) # Amplifier output is connected to PC2
+
+    def digin_frequency(self, pin):
+        '''
+        This function measures the frequency of an external 
+        0 to 5V PULSE on digital inputs.
+        @param pin pin number to measure on
+        @return the frequency in Hz
+        '''
+        t = self.multi_r2rtime(pin)
+        if t < 0:
+            return t
+        if 0 < t < 10000:
+            t = self.multi_r2rtime(pin,9)
+            return 1.0e7/t
+        return 1.0e6 / t
+
+#------------------------------ ADC & DAC Calibrations ---------------------
+    def eeprom_write_char(self,addr, dat):
+        '''
+        Writes one byte to the specified address of the EEPROM memory
+        of ATmega32.  Used for storing the calibration constants of
+        ADC and DAC.
+        WARNING: Using this function may destroy the Calibration Data.
+        @param addr a two byte address
+        @param dat a one byte data
+        '''
+        self.writeslow('BHB',WREEPROM,addr,dat)
+        res = self.fd.read(1)
+        if res != b'D':
+            print (_('eeprom write byte error = %s') %res)
+
+    def eeprom_read_block(self, addr, nb):
+        '''
+        Reads 'nb' bytes starting from the specified address of the
+        EEPROM memory of ATmega32.
+        Used for restoring the calibration constants of ADC and DAC.
+        @param addr a two-byte address
+        @param nb a one-byte length
+        @return an nb-byte long string
+        '''
+        self.writeslow('BHB',RDEEPROM,addr,nb)
+        res = self.fd.read(1)
+        if res != b'D':
+            print (_('eeprom read block error = %s') %res)
+        dat = self.fd.read(nb)
+        return dat
+
+    def save_calib(self, ch, m, c):  # Saves m & c (8 bytes) to addr ch*8
+        '''
+        It is possible to reduce the offset and gain errors of the
+        ADC, DAC and the op-amps used in the circuit by doing a
+        calibration. The -5V to 5V output is connected to both the -5V
+        to +5V inputs before running the calibrate.py program. The
+        output is measured with a >= 4.5 digit voltmeter and the
+        calibration constants are stored to the EEPROM.
+        WARNING: Using this function may destroy the Calibration Data.
+        @param ch channel number
+        @param m multiplier
+        @param c additive constant
+        '''
+        addr = ch*8
+        s = struct.pack('f'*2, m, c)    # pack to floats
+        for i in range(2*4):    
+            self.eeprom_write_char(addr+i, s[i])
+            print(ord(s[i]), end=' ')
+        print()
+        self.m[ch] = m
+        self.c[ch] = c
+        print (_('SC: ch = %d m=%10.6f  c=%10.6f')%(ch, self.m[ch], self.c[ch]))
+
+    def load_calib(self, ch):    # Load m & c from EEPROM
+        '''
+        Loads the calibration constants from the EEPROM and assigns
+        them to the slope & intercept.
+        @param ch channel number
+        '''
+        res = self.eeprom_read_block(ch*8,8)
+        if res[0] == 255 and res[1] == 255:
+            print (_('BAD Calibration data. EEPROM does not have any data '))
+            return
+        raw = struct.unpack('f'*2, res)
+        self.m[ch] = raw[0]
+        self.c[ch] = raw[1]
+        for c in res: print(c, end=' ')
+        print()
+        print(_('LC: ch = %d m=%10.6f  c=%10.6f')%(ch, self.m[ch], self.c[ch]))
+
+    def loadall_calib(self):
+        self.load_calib(0)
+        self.load_calib(1)
+        self.load_calib(8)
+
+#---------------------------- ADC & DAC transactions ---------------------
+
+    def set_current(self, i):
+        '''
+        Sets the current of the Programmable Current Source.  Possible
+        to set it from .020 mA to 2 mA, provided the IR drop across
+        the load resistor < 2V
+        @param i the desired current value in mA
+        @return the voltage at the Current Source Output.
+        '''
+        if (i < 0.020) or (i > 2.0):
+            print (_('ERR:Current must be from 0.02 to 2.0 mA'))
+            return None
+        i += 0.005  # 5 uA correction is applied. NEED TO SOLVE THIS PROBLEM !!!
+        Rc = 1000.0 # Collector Resistance from 5V reference
+        v = 5.0 - Rc * i * 1.0e-3 # mA to A
+        self.set_voltage(1,v)
+        return self.get_voltage(6)
+
+    def write_dac(self, ch, data):
+        '''
+        Writes binary data to DAC. Low level routine, generally not used.
+        @param ch channel number
+        @param data two bytes data
+        @return the value of data (maybe truncated to 4095)
+        '''
+        if (data > 4095):         # DAC linearity problem
+            data = 4095
+        self.writeslow('BBH',SETDAC,ch,data)
+        res = self.fd.read(1)
+        if res != b'D':
+            print (_('WRITEDAC error %s') %res)
+            return
+        return data
+
+    def set_voltage(self, ch, val):        # returns the integer send to DAC
+        '''
+        Sets the voltage outputs.
+        Channel 0 is -5V to +5V and channel 1 is 0V to 5V.
+        The DAC output goes only up to 4.990 volts.
+        @param ch a channel number: 0=BPV, 1=UPV
+        @param val a voltage value to program
+        @return the value returned by self.write_dac
+        '''
+        if val > DACMAX: val = DACMAX        # Patch for the MCP4922 Problem 
+        if val < -DACMAX: val = -DACMAX
+        iv = int(round(self.m[8+ch]*val + self.c[8+ch]))
+        return self.write_dac(ch,iv)
+
+    def set_bpv(self, val):
+        '''
+        Sets the Bipolar Voltage Output (T30) from -4.99 to + 4.99 volts
+        @param val a voltage value to program
+        @return the value returned by self.write_dac
+        '''
+        return self.set_voltage(0,val)
+
+    def set_upv(self, val):
+        '''
+        Sets the Unipolar Voltage Output (T31) from 0 to + 4.99 volts
+        @param val a voltage value to program
+        @return the value returned by self.write_dac
+        '''
+        if val < 0: return
+        return self.set_voltage(1,val)
+
+    def read_adc(self, ch):
+        '''
+        Reads the specified ADC channel, returns a number from 0 to
+        4095. Low level routine.
+        @param ch channel number
+        '''
+        if (ch > 7):
+            print (_('Argument error'))
+            return
+        self.writeslow('BB',READADC,ch)
+        res = self.fd.read(1)
+        if res != b'D':
+            print (_('READADC error %s') %res)
+            return
+        res = self.fd.read(2)
+        iv = b2int(res)
+        return iv
+
+    def get_voltage(self, ch):
+        '''
+        Reads the specified channel of the ADC. Returns -5V to 5V for
+        channels 0 and 1 0V to 5V for other channels.
+        @param ch chanel number
+        @return the voltage measured
+        '''
+        if (ch > 7):
+            print (_('Argument error'))
+            return
+        self.writeslow('BB',READADC,ch)
+        res = self.fd.read(1)
+        if res != b'D':
+            print (_('WRITEDAC error %s') %res)
+            return
+        res = self.fd.read(2)
+        iv = self.b2int(res)
+        v = self.m[ch] * iv + self.c[ch]
+        return v
+
+    def get_voltage_time(self, ch):
+        '''
+        Reads the specified channel of the ADC. Returns -5V to 5V for
+        channels 0 and 1 0V to 5V for other channels. Adds the PC time
+        info
+        @param ch channel number
+        '''
+        if (ch > 7):
+            print (_('Argument error'))
+            return
+        self.writeslow('BB',READADC,ch)
+        tm = time.time()                # Job is sent. Now mark the time
+        res = self.fd.read(1)
+        if res != b'D':
+            print (_('WRITEDAC error %s') %res)
+            return
+        res = self.fd.read(2)
+        iv = b2int(res)
+        v = self.m[ch] * iv + self.c[ch]
+        return tm, v
+
+    def set_samtime(self, sam):
+        '''
+        Sets the sampling time of MCP3208 ADC, minimum required is 2
+        uSec. Give more for high input impedance signals.
+        @param sam the sampling time
+        '''        
+        if sam > 250:
+            print (_('Sampling time MUST NOT exceed 250 microseconds'))
+            return
+        self.writeslow(SETSAMTIME,sam)
+        res = self.fd.read(1)
+        if res != b'D':
+            print (_('SETSAMTIME ERROR %s') %res)
+
+    def set_adcsize(self, size):
+        '''
+        The ADC output is 12 bits (2 bytes space). Capture functions
+        gives the option to discard 4 LSBs and return the data in 1
+        byte, saving space and time.
+        @param size 1 or 2, the size of returned measurements in byte
+        '''
+        if size > 2:
+            print (_('ADC datasize MUST be 1 or 2 bytes'))
+            return
+        self.writeslow('BB',SETADCSIZE,size)
+        res = self.fd.read(1)
+        if res != b'D':
+            print (_('SETADCSIZE ERROR %s') %res)
+        else:
+            self.adcsize = size
+
+
+    def capture(self, ch, np, delay):
+        '''
+        Measuring voltage.
+        @param ch channel number
+        @param np number of samples
+        @param delay timegap between consecutive digitizations
+        @return a tuple of two lists of size 'np'; time and voltage.
+        '''
+        if delay < 10:
+            return
+        if delay < 20:
+            self.writeslow('BBHB',QCAPTURE,ch,np,delay)
+            st = time.time()
+            res = self.fd.read(1)
+            if res != b'D':
+                print (_('QCAPTURE Error %s %s') %(res, time.time()-st))
+                return 0,0
+            asize = 1                    # adc datasize = 1 for QCAPTURE
+        else:
+            self.writeslow('BBHH',CAPTURE,ch,np,delay)
+            res = self.fd.read(1)
+            if res != b'D':
+                print (_('CAPTURE error %s') %res)
+                return
+            res = self.fd.read(1)        # adc_size info from other end
+            asize = res[0]
+        nc = asize * np     
+        data = self.fd.read(nc)
+        dl = len(data)
+        if dl != nc:
+            print (_('CAPTURE: size mismatch %s %s') %(nc, dl))
+            return
+        
+        ta = []
+        va = []
+        if ch <= 1:                                 # Channel 0 or 1 (-5V to +5V)
+            if asize == 2:                          # 2 byte dataword
+                raw = struct.unpack('H'* np, data)  # 2 byte words in the structure
+                for i in range(np):
+                    ta.append(0.001 * i * delay)    # microseconds to milliseconds
+                    va.append(self.m[ch] * (raw[i]>>4) + self.c[ch])
+            else:
+                raw = struct.unpack('B'* np, data)  # 1 byte words in the structure
+                for i in range(np):
+                    ta.append(0.001 * i * delay)    # microseconds to milliseconds
+                    va.append(raw[i]*10.0/255 - 5.0)
+        else:
+            if asize == 2:                            # 2 byte dataword
+                raw = struct.unpack('H'* np, data)  # 16 bit data in uint16 array
+                for i in range(np):
+                    ta.append(0.001 * i * delay)    # microseconds to milliseconds
+                    va.append((raw[i]>>4) * 5.0 / 4095)
+            else:
+                raw = struct.unpack('B'* np, data)  # 8 bit data in byte array
+                for i in range(np):
+                    ta.append(0.001 * i * delay)    # microseconds to milliseconds
+                    va.append(raw[i] * 5.0 / 255)
+        return ta,va
+
+
+    def capture01(self,np, delay):
+        '''
+        Samples the first two channels 'np' times. 
+        Time gap between samples is 'delay' usecs.
+        If delay < 20, 9 usecs offset between CH0 & CH1, else 17 usecs.
+        @param np number of desired samples
+        @param delay the duration between successive samples
+        @return if everything goes fine, a tuple time vector, voltage vector, 
+        time vector, voltage vector
+        '''
+        if delay < 10:
+            return
+        if delay < 20:                # Fast Capture, datasize = 1 byte
+            self.writeslow('BHB',QCAPTURE01,np,delay)
+            res = self.fd.read(1)
+            if res != b'D':
+                print (_('CAPTURE01 error %s') %res)
+                return        
+            asize = 1
+            tg01 =  0.009            # 0.009 milliseconds between CH0 and CH1
+        else:                        # A slow capture
+            self.writeslow('BHH',CAPTURE01,np,delay)
+            res = self.fd.read(1)
+            if res != b'D':
+                print (_('CAPTURE01 error %s') %res)
+                return
+            res = self.fd.read(1)    # adc_size info from other end
+            asize = res[0]
+            tg01 = 0.017            # 0.017 milliseconds between Ch0 & Ch1 digitizations
+
+        nb = asize *np * 2        # data from two channels 
+        data = self.fd.read(nb)
+        dl = len(data)
+        if dl != nb:
+            print (_('CAPTURE01: size mismatch %s %s') %(nb, dl))
+            return
+
+        taa = []    # time & voltage arrays for CH0
+        vaa = []    
+        tba = []    # time & voltage arrays for CH1
+        vba = []    
+        if asize == 1:                            # 1 byte dataword
+            raw = struct.unpack('B'* 2*np, data)  # 8 bit data in byte array
+            for i in range(np):
+                taa.append(0.001 * 2 * i * delay)
+                vaa.append(raw[2*i] * 10.0 / 255.0 - 5.0)
+                tba.append(0.001 * 2 * i * delay + tg01)
+                vba.append(raw[2*i +1] * 10.0 / 255.0 - 5.0)
+        else:                                    
+            raw = struct.unpack('H'* 2*np, data)  # 16 bit data in uint16 array
+            for i in range(np):
+                taa.append(0.001 * 2 * i * delay)
+                vaa.append((raw[2*i]>>4) * 10.0 / 4095.0 - 5.0)
+                tba.append(0.001 * 2 * i * delay + tg01)
+                vba.append((raw[2*i +1]>>4) * 10.0 / 4095.0 - 5.0)
+        return taa,vaa,tba,vba
+
+
+    def capture_m32(self, ch, np, delay):   # Not working properly
+        '''
+        Capture 'np' samples from the ATmega32 ADC.
+        @param ch channel number
+        @param np number of samples
+        @param delay timegap between consecutive digitizations.
+        @return a list of [time, voltage] coordinates.
+        '''
+        if delay < 10:
+            return
+        self.writeslow('BBHH',CAPTURE_M32,ch,np,delay)
+        res = self.fd.read(1)
+        if res != b'D':
+            print (_('CAPTURE_M32 error %s') %(res))
+            return
+        asize = 1            # datasize = 1 for CAPTURE_M32
+        nc = asize * np     
+        data = self.fd.read(nc)
+        dl = len(data)
+        if dl != nc:
+            print (_('CAPTURE_M32: size mismatch %s %s') %(nc, dl))
+            return
+        
+        ta = []
+        va = []
+        raw = struct.unpack('B'* np, data)  # 8 bit data in byte array
+        for i in range(np):
+            ta.append(0.001 * i * delay)    # microseconds to milliseconds
+            va.append(raw[i] * 5.0 / 255)
+        return ta,va
+
+#------------------- Modifiers for Capture ------------------------------
+    def disable_actions(self):
+        '''
+        Disable all modifiers to the capture call. The capture will try to
+        do a self triggering on the ADC input.
+        '''
+        self.writeslow('BH', SETACTION, 0)
+        self.fd.read(1)
+
+    def enable_wait_high(self, pin):
+        '''
+        Wait for a HIGH on the speciied 'pin' just before every Capture.
+        @param pin a pin number
+        '''
+        if pin == 4:
+            mask = 0
+        else:
+            mask = 1 << pin          
+        self.writeslow('BBB',SETACTION,AWAITHI,mask)
+        self.fd.read(1)
+
+    def enable_wait_rising(self, pin):
+        '''
+        Wait for a rising EDGE on the speciied 'pin' just before every Capture.
+        @param pin a pin number
+        '''
+        if pin == 4:
+            mask = 0
+        else:
+            mask = 1 << pin          
+        print (_('wait_rising %s') %AWAITRISE)
+        self.writeslow('BBB',SETACTION,AWAITRISE,mask)
+        self.fd.read(1)
+
+    def enable_wait_low(self, pin):
+        '''
+        Wait for a LOW on the speciied 'pin' just before every Capture.
+        @param pin a pin number
+        '''
+        if pin == 4:
+            mask = 0
+        else:
+            mask = 1 << pin          
+        self.writeslow('BBB',SETACTION,AWAITLO,mask)
+        self.fd.read(1)
+
+    def enable_wait_falling(self, pin):
+        '''
+        Wait for a falling EDGE on the speciied 'pin' just before every Capture.
+        @param pin a pin number
+        '''
+        if pin == 4:
+            mask = 0
+        else:
+            mask = 1 << pin          
+        print (_('wait_rising %s') %AWAITRISE)
+        self.writeslow('BBB',SETACTION,AWAITFALL,mask)
+        self.fd.read(1)
+
+    def enable_set_high(self, pin):
+        '''
+        Sets the speciied 'pin' HIGH, just before every Capture.
+        @param pin a pin number
+        '''
+        mask = 1 << pin
+        self.writeslow('BBB',SETACTION,ASET,mask)
+        self.fd.read(1)
+
+    def enable_set_low(self, pin):
+        '''
+        Sets the speciied 'pin' LOW, just before every Capture.
+        @param pin a pin number
+        '''
+        mask = 1 << pin
+        self.writeslow('BBB',SETACTION,ACLR,mask)
+        self.fd.read(1)
+
+    def enable_pulse_high(self, pin):
+        '''
+        Generate a HIGH TRUE Pulse on the speciied 'pin', just before
+        every Capture.  width is specified by the set_pulsewidth()
+        function.
+        @param pin a pin number
+        '''
+        mask = 1 << pin
+        self.writeslow('BBB',SETACTION,APULSEHI,mask)
+        self.fd.read(1)
+
+    def enable_pulse_low(self, pin):
+        '''
+        Generate a LOW TRUE Pulse on the specified 'pin', just before
+        every Capture.
+        @param pin a pin number
+        '''
+        mask = 1 << pin
+        self.writeslow('BBB',SETACTION,APULSELO,mask)
+        self.fd.read(1)
+
+        
+
+#------------------------Time Interval Measurement routines-------------
+    def set_pulsepol(self, pol):
+        '''
+        Sets the 'pulse_polarity' parameter for pulse2rtime()
+        @param pol = 0 means HIGH TRUE pulse
+        '''
+        self.writeslow('BB',SETPULSEPOL,pol)
+        res = self.fd.read(1)
+        if res == b'D':
+            self.pulse_pol = pol
+
+    def set_pulsewidth(self, width):
+        '''
+        Sets the 'pulse_width' parameter for pulse2rtime() command. 
+        Also used by usound_time() and the elable_pulse_high/low() functions
+        @param width the desired width of the pulse
+        '''
+        self.writeslow('BB',SETPULSEWID,width)
+        res = self.fd.read(1)
+        if res == b'D':
+            self.pulse_width = width
+
+    def usound_time(self):
+        '''
+        Used for measuring the velocity of sound. Connect the
+        Transmitter Piezo to OD1 (T4).  The Receiver is connected to
+        the amplifier input T15. This function measures the time from
+        a Pulse on ID1 to a signal on T15, in microseconds.
+        Use set_pulsewidth() to set the width to 13 microseconds.
+        @return a duration in microseconds
+        '''
+        self.writeslow('B',USOUND)
+        res = self.fd.read(1)
+        if res != b'D':
+            print (_('Echo error = %s') %res)
+            return -1.0
+        res = self.fd.read(3)
+        low = b2int(res)
+        return low + 50000 * res[2]
+
+    def __helper(self, cmd, pin1, pin2):    # pins 0 to 3
+        '''
+        Used by time measurement functions below.
+        Make an 8 bit mask from pin1 and pin2.
+        First argument (pin1) is encoded in the HIGH half.
+        for example pin1 = 2 , pin2 = 0, mask = 0010:0001
+        @param cmd a command code (one byte)
+        @param pin1 first pin number
+        @param pin2 second pin number
+        @return a duration in microseconds
+        '''
+        if pin1 > 4 or pin2 > 4:
+            return -1.0
+        if pin1 == 4:            # Analog Comparator
+            hi = 0
+        else:
+            hi = 1 << (pin1+4)  # digin pins
+           
+        if pin2 == 4:            # wait on Analog comparator
+            low = 0
+        else:
+            low  = 1 << pin2
+        mask = hi | low;
+        self.writeslow('BB',cmd,mask)
+        res = self.fd.read(1)
+        if res != b'D':
+            print (_('Time Measurement call Error. CMD = %s %s') %(cmd, res))
+            return -1.0
+        res = self.fd.read(3)
+        low = b2int(res)
+        return float(low + 50000 * res[2])
+    
+    def r2ftime(self, pin1, pin2):
+        '''
+        Measures time from a rising edge of pin1 to a falling edge on pin2.
+        Pins could be same or distinct.
+        @param pin1 first pin number
+        @param pin2 second pin number
+        @return a duration in microseconds
+        '''
+        return self.__helper(R2FTIME, pin1, pin2)
+
+    def f2rtime(self, pin1, pin2):
+        '''
+        Measures time from a falling edge of pin1 to a rising edge on pin2.
+        Pins could be same or distinct.
+        @param pin1 first pin number
+        @param pin2 second pin number
+        @return a duration in microseconds
+        '''
+        return self.__helper(F2RTIME, pin1, pin2)
+
+    def r2rtime(self, pin1, pin2):
+        '''
+        Measures time from a rising edge of pin1 to a rising edge on pin2.
+        Pins could be same or distinct.
+        @param pin1 first pin number
+        @param pin2 second pin number
+        @return a duration in microseconds
+        '''
+        return self.__helper(R2RTIME, pin1, pin2)
+
+    def f2ftime(self, pin1, pin2):
+        '''
+        Measures time from a falling edge of pin1 to a falling edge on pin2.
+        Pins could be same or distinct.
+        @param pin1 first pin number
+        @param pin2 second pin number
+        @return a duration in microseconds
+        '''
+        return self.__helper(F2FTIME, pin1, pin2)
+
+    def set2ftime(self, op, ip):
+        '''
+        Measures time from Setting output pin 'op' to a LOW on input pin 'ip'
+        @param op first pin number
+        @param ip second pin number
+        @return a duration in microseconds
+        '''
+        return self.__helper(SET2FTIME, op, ip)
+
+    def set2rtime(self, op, ip):
+        '''
+        Measures time from Setting output pin 'op' to a HIGH on input pin 'ip'
+        @param op first pin number
+        @param ip second pin number
+        @return a duration in microseconds
+        '''
+        return self.__helper(SET2RTIME, op, ip)
+
+    def clr2rtime(self, op, ip):
+        '''
+        Measures time from Clearing output pin 'op' to a HIGH on input pin 'ip'
+        @param op first pin number
+        @param ip second pin number
+        @return a duration in microseconds
+        '''
+        return self.__helper(CLR2RTIME, op, ip)
+
+    def clr2ftime(self, op, ip):
+        '''
+        Measures time from Clearing output pin 'op' to a LOW on input pin 'ip'
+        @param op first pin number
+        @param ip second pin number
+        @return a duration in microseconds
+        '''
+        return self.__helper(CLR2FTIME, op, ip)
+
+    def pulse2rtime(self, op, ip):
+        '''
+        Measures time from a Pulse on pin 'op' to a HIGH on input pin 'ip'
+        @param op first pin number
+        @param ip second pin number
+        @return a duration in microseconds
+        '''
+        return self.__helper(PULSE2RTIME, op, ip)
+
+    def pulse2ftime(self, op, ip):
+        '''
+        Measures time from a Pulse on pin 'op' to a LOW on input pin 'ip'
+        @param op first pin number
+        @param ip second pin number
+        @return a duration in microseconds
+        '''
+        return self.__helper(PULSE2FTIME, op, ip)
+
+    def multi_r2rtime(self, pin , skipcycles=0):
+        '''
+        Time between two rising edges on the same input pin.
+        separated by 'skipcycles' number of cycles.
+        If skipcycles is zero the period of the waveform is returned.
+        @param pin pin number
+        @param skipcycles numer of cycles to skip
+        '''
+        if pin > 4:            # ADC inputs
+            mask = pin << 4
+        elif pin == 4:
+            mask = 0
+        else:
+            mask = 1 << pin
+        self.writeslow('BBB',MULTIR2R,mask,skipcycles)
+        if self.fd.read(1) != b'D':
+            return -1.0
+        res = self.fd.read(3)
+        low = b2int(res)
+        return float(low + 65536 * res[2])
+
+
+    def adc2cmp(self, ch):            # Route ADC input to comparator (AIN-)
+        '''
+        Route the specified ADC channel to the Analog Comparator Input (AIN-)
+        @param ch channel number
+        '''
+        self.writeslow('BB',ADC2CMP,ch)
+        self.fd.read(1)
+
+#----------------------- Simple Digital I/O functions ----------------------
+    def write_outputs(self, val):
+        '''
+        Writes  a 2 bit number to the Digital Outputs
+        @param val the value to write
+        '''
+        self.writeslow('BB', DIGOUT, val)
+        self.fd.read(1)
+
+    def read_inputs(self):
+        '''
+        Gets a 4 bit number representing the Digital Input voltage Levels
+        '''
+        self.writeslow('B',DIGIN)
+        res = self.fd.read(1)
+        if res != b'D':
+            print (_('DIGIN error'))
+            return
+        res = self.fd.read(1)
+        return res[0] & 15        # 4 LSBs
+
+#-----DIRECT PORT ACCESS FUNCTIONS (Use only if you know what you are doing)---
+    def set_ddr(self, port, direc):
+        """
+        set direction data register
+        @param port port number: 0 to 3 for A,B,C and D
+        @param direc direction of data exchange
+        """
+        self.writeslow('BBB',SETDDR,port,direc)
+        self.fd.read(1)
+        return
+
+    def set_port(self, port, val):
+        """
+        set a one-byte value at a port
+        @param port port number: 0 to 3 for A,B,C and D
+        @param vale one-byte value        
+        """
+        self.writeslow('BBB',SETPORT,port,val)
+        self.fd.read(1)
+        return
+
+    def get_port(self, port):
+        """
+        read a status byte from a port
+        @param port port number: 0 to 3 for A,B,C and D
+        @return the status byte
+        """
+        self.writeslow('BB',SETPORT,port)
+        self.fd.read(1)
+        data = self.fd.read(1)          # get the status byte only
+        return data[0]
+
+#--------------------------- may go to eyeutils.py ------------------------
+    def minimum(self,va):
+        """
+        @param va vector of values
+        @return the minimum of the vector
+        """
+        vmin = 1.0e10        # need to change
+        for v in va:
+            if v < vmin:
+                vmin = v
+        return vmin
+
+    def maximum(self,va):
+        """
+        @param va vector of values
+        @return the maximum of the vector
+        """
+        vmax = 1.0e-10        # need to change
+        for v in va:
+            if v > vmax:
+                vmax = v
+        return vmax
+
+    def rms(self,va):
+        """
+        @param va vector of values
+        @return the rms of the vector
+        """
+        vsum = 0.0
+        for v in va:
+            vsum += v**2
+        v = vsum / len(va)
+        return math.sqrt(v)
+
+    def mean(self,va):
+        """
+        @param va vector of values
+        @return the mean of the vector
+        """
+        vsum = 0.0
+        for v in va:
+            vsum += v
+        v = vsum / len(va)
+        return v
+
+    def save(self, data, filename = 'plot.dat'):
+        '''
+        Input data is of the form, [ [x1,y1], [x2,y2],....] where x
+        and y are vectors
+        @param data the data to write
+        @param filename the name of the output file
+        '''
+        if data == None: return
+        import builtins  # Need to do this since 'eyes.py' redefines 'open'
+        f = builtins.open(filename,'w')
+        for xy in data:
+            for k in range(len(xy[0])):
+                f.write('%5.3f  %5.3f\n'%(xy[0][k], xy[1][k]))
+            f.write('\n')
+        f.close()
+
+    def grace(self, data, xlab = '', ylab = '', title = ''):
+        '''
+        plots data with xmgrace
+        Input data is of the form, [ [x1,y1], [x2,y2],....] where x
+        and y are vectors
+        @param data the data to plot
+        @param xlab label for abscissa
+        @param ylab label for ordinate
+        @param title title for the plot
+        '''
+        try:
+            import pygrace
+            pg = pygrace.grace()
+            for xy in data:
+                pg.plot(xy[0],xy[1])
+                pg.hold(1)                # Do not erase the old data
+            pg.xlabel(xlab)
+            pg.ylabel(ylab)
+            pg.title(title)
+            return True
+        except:
+            return False
diff --git a/qeyes-1.0/expeyes/fit-data.py b/qeyes-1.0/expeyes/fit-data.py
new file mode 100644
index 0000000..eb1c6aa
--- /dev/null
+++ b/qeyes-1.0/expeyes/fit-data.py
@@ -0,0 +1,17 @@
+fi = open('bi-decay.dat','r')
+x = []
+y = []
+
+while(1):
+	s = fi.readline()
+	if s == '': break
+	ss = s.split()
+	time = float(ss[0])
+	cnt = float(ss[1])
+	x.append(time)
+	y.append(cnt)
+
+print x,y
+
+y1, par = em.fitexp(x,y)
+print par
diff --git a/qeyes-1.0/expeyes/mca.py b/qeyes-1.0/expeyes/mca.py
new file mode 100644
index 0000000..1f935c0
--- /dev/null
+++ b/qeyes-1.0/expeyes/mca.py
@@ -0,0 +1,192 @@
+'''
+EYES MCA
+Python library to communicate to the AtMega32 uC running 'eyes.c'
+Author  : Ajith Kumar B.P, bpajith at gmail.com
+License : GNU GPL version 3
+Last Edit : 20-Nov-2011
+'''
+
+import serial, struct, math, time, commands, sys, os
+
+#Commands with One byte argument (41 to 80) 
+GETVERSION  =   1
+READCH0     =   2
+STARTHIST	=  10	# Start histogramming
+READHIST	=  11	# Send the histogram to PC, 2 x 256 bytes data
+CLEARHIST	=  12	# Send the histogram to PC, 2 x 256 bytes data
+STOPHIST	=  13	# Stop histogramming
+
+NUMCHANS    = 512   # 512 channels, of 2 bytes
+WORDSIZE	= 2
+
+#Serial devices to search for EYES hardware.  
+linux_list = ['/dev/ttyUSB0', '/dev/ttyUSB1', '/dev/ttyUSB2', '/dev/ttyUSB3', '/dev/tts/USB0','/dev/tts/USB1']
+BAUDRATE = 38400
+
+def open(dev = None):
+	'''
+	If EYES hardware in found, returns an instance of 'Eyes', else returns None.
+	'''
+	obj = Eyes()
+	if obj.fd != None:
+		return obj
+	print 'Could not find Phoenix-EYES hardware'
+	print 'Check the connections.'
+
+class Eyes:
+	#buf = array.array('B',BUFSIZE * [0])    # unsigned character array, Global
+	fd = None								# init should fill this
+	adcsize = 1
+
+	def __init__(self, dev = None):
+		"""
+		Searches for MCA hardware on the USB-to-Serial adapters.Presence of the
+		device is done by reading the version string.
+		"""
+	
+		if os.name == 'nt':	
+			device_list = []
+			for k in range(1,100):
+				s = 'COM%1d'%k
+				device_list.append(s)
+			for k in range(1,11):
+				device_list.append(k)
+		else:
+			device_list = linux_list
+		
+
+		for dev in device_list:
+			print dev
+			try:
+				handle = serial.Serial(dev, BAUDRATE, stopbits=1, timeout = 0.3, \
+					parity=serial.PARITY_EVEN)
+			except:
+				continue
+			print 'Port %s is existing '%dev,
+			if handle.isOpen() != True:
+				print 'but could not open'				
+				continue
+			print 'and opened. ',
+			handle.flush()
+			while handle.inWaiting() > 0 :
+				print 'inWaiting'
+				handle.flushInput()
+			handle.write(chr(GETVERSION))
+			res = handle.read(1)
+			print res
+			ver = handle.read(5)		# 5 character version number
+			print ver
+			if ver[:2] == 'mc':
+				self.device = dev
+				self.fd = handle
+				self.version = ver
+				handle.timeout = 3.0	# 
+				print 'Found MCA version ',ver
+				return 
+			else:
+				print 'No MCA hardware detected'
+				self.fd = None
+
+#------------------------------------------Histogram-----------------------------------
+	def start_hist(self):
+		'''
+		Enables the Interrupt that handles the
+		Pulse processing plug-in.
+		'''
+		self.fd.write(chr(STARTHIST))
+		self.fd.read(1)
+
+	def stop_hist(self):
+		'''
+		Disables the Analog Comparator Interrupt
+		'''
+		self.fd.write(chr(STOPHIST))
+		self.fd.read(1)
+
+	def clear_hist(self):
+		'''
+		Clear the Histogram memory at ATmega32
+		'''
+		self.fd.write(chr(CLEARHIST))
+		self.fd.read(1)
+
+	def read_hist(self):
+		'''
+		Reads the Histogram memory to PC. 
+		1 byte status + 1 byte header + 256 x 2 bytes of data
+		'''
+		self.fd.write(chr(READHIST))
+		res = self.fd.read(1)
+		if res != 'D':
+			return None
+		self.fd.read(1)           # The pad byte
+		data = self.fd.read(NUMCHANS*WORDSIZE)
+		dl = len(data)
+		#for k in data: print ord(k),
+		if dl != NUMCHANS*WORDSIZE:
+			print 'HIST read data error'
+			return None
+		raw = struct.unpack('H'* (NUMCHANS), data)  	# 16 bit data in uint16 array
+		ch = []
+		nn = []
+		for i in range(NUMCHANS):
+			ch.append(i)
+			nn.append(raw[i])
+		return ch,nn
+
+	def read_adc(self, ch):
+		'''
+		Reads the specified ADC channel, returns a number from 0 to 4095. Low level routine.
+		'''
+		if (ch > 7):
+			print 'Argument error'
+			return
+		self.fd.write(chr(READADC))
+		self.fd.write(chr(ch))
+		res = self.fd.read(1)
+		if res != 'D':
+			print 'READADC error ', res
+			return
+		res = self.fd.read(2)
+		iv = ord(res[0]) | (ord(res[1]) << 8)
+		return iv
+
+#----------------------------------analysis------------------------------------
+	def maximum(self,va):
+		vmax = 1.0e-10		# need to change
+		for v in va:
+			if v > vmax:
+				vmax = v
+		return vmax
+
+	def save(self, data, filename = 'plot.dat'):
+		'''
+		Input data is of the form, [ [x1,y1], [x2,y2],....] where x and y are vectors
+		'''
+		if data == None: return
+		import __builtin__					# Need to do this since 'eyes.py' redefines 'open'
+		f = __builtin__.open(filename,'w')
+		for xy in data:
+			for k in range(len(xy[0])):
+				f.write('%5.3f  %5.3f\n'%(xy[0][k], xy[1][k]))
+			f.write('\n')
+		f.close()
+
+	def grace(self, data, xlab = '', ylab = '', title = ''):
+		'''
+		Input data is of the form, [ [x1,y1], [x2,y2],....] where x and y are vectors
+		'''
+		try:
+			import pygrace
+			pg = pygrace.grace()
+			for xy in data:
+				pg.plot(xy[0],xy[1])
+				pg.hold(1)				# Do not erase the old data
+			pg.xlabel(xlab)
+			pg.ylabel(ylab)
+			pg.title(title)
+			return True
+		except:
+			return False
+
+
diff --git a/qeyes-1.0/expeyes1.png b/qeyes-1.0/expeyes1.png
new file mode 100644
index 0000000..e9eb590
Binary files /dev/null and b/qeyes-1.0/expeyes1.png differ
diff --git a/qeyes-1.0/expeyes1.xcf b/qeyes-1.0/expeyes1.xcf
new file mode 100644
index 0000000..773ea0b
Binary files /dev/null and b/qeyes-1.0/expeyes1.xcf differ
diff --git a/qeyes-1.0/expeyes2.png b/qeyes-1.0/expeyes2.png
new file mode 100644
index 0000000..fda4345
Binary files /dev/null and b/qeyes-1.0/expeyes2.png differ
diff --git a/qeyes-1.0/expeyes2.xcf b/qeyes-1.0/expeyes2.xcf
new file mode 100644
index 0000000..b032f54
Binary files /dev/null and b/qeyes-1.0/expeyes2.xcf differ
diff --git a/qeyes-1.0/explore.py b/qeyes-1.0/explore.py
new file mode 100755
index 0000000..3897a80
--- /dev/null
+++ b/qeyes-1.0/explore.py
@@ -0,0 +1,638 @@
+#!/usr/bin/python3
+# -*- coding: utf-8 -*-
+'''
+expEYES Explorer program
+© 2010-2012 Ajith Kumar B.P, bpajith at gmail.com
+© 2012 Georges Khaznadar, georgesk at ofset.org, for qt4 support
+License : GNU GPL version 3
+'''
+
+from PyQt4.QtCore import *
+from PyQt4.QtGui import *
+
+import os, sys, subprocess, math
+import expeyes.eyes as eyes, expeyes.eyeplot as eyeplot
+from tkinter import *
+
+
+try:        
+    import expeyes.eyemath as eyemath        # Will fail if scipy is not installed
+    EYEMATH = True
+except:
+    EYEMATH = False
+
+
+WIDTH  = 555.0 * 0.8
+HEIGHT = 677.0 * 0.8
+BORDER =  0 #HEIGHT/8
+TIMER  = 50
+picture = 'pics/eyes.png'
+pgreen = '#d1e244'
+
+
+help = [
+'For help, click on the Terminal Boxes(1 to 32).\nLIZ : Lissajous figure.\n'+\
+'FT : Fourier Transform power spectrum.\nXM : Xmgrace 2D plotting program\n'+\
+'XmGrace is NOT available under MSWindows',
+'1.Software can read the voltage input level, LOW ( < .8V) or HIGH (>2V).\n' +\
+'If a square wave input is given, click on the Buttons for measuring frequency / duty cycle',
+'2. Can sense input level',
+'3. Digital Output.  Can be set to 0 or 5 volts.\nUse the Checkbutton to change the Level',
+'4. Digital Output.  Can be set to 0 or 5 volts.\nUse the Checkbutton to change the Level',
+'5. Ground (zero volts)',
+'6. SQR1: Generates Square Wave. Voltage swings between 0 and 5V. Frequency is programmable from '+\
+'Hz to1 MHz. All intermediate values of frequency are not possible.',
+'7. SQR2: Generates Square Wave. The frequency range is controlled by software and fine adjustment '+\
+'is done by an external 22 kOhm variable resistor. Frequency range is from 0.7 Hz to 90 kHz.',
+'8. 22 kOhm resistor used for frequency adjustment of SQR2.',
+'9. 22 kOhm resistor used for frequency adjustment of SQR2.',
+'10. Programmable Pulse. Frequency is 488.3 Hz. Duty cycle from 0 to 100% in 255 steps.',
+'11. Ground',
+'12. Output of Inverting Amplifier with a gain of 47. (Input at 14)',
+'13. Output of Inverting Amplifier with a gain of 47. (Input at 15)',
+'14. Input of Inverting Amplifier with a gain of 47. (Output at 12)',
+'15. Input of Inverting Amplifier with a gain of 47. (Output at 13). Also acts as a Frequency counter, '+\
+'for a bipolar a signal (amplitude from 100 mV to 5V). If the signal is unipolar feed it '+\
+'via a series capacitor',
+'16. Ground',
+'17. Input of Inverting Amplifier. Default Gain=100. The gain can be reduced by a series resistor at the input. '+\
+'The gain will be given by G = 10000/(100+R), where R is the value of the external series resistor.',
+'18. Output of the Inverting Amplifier (Input 17)',
+'19. Ground',
+'20. Gain control resistor for Non-Inverting amplifier, from 20 to Ground. Gain = 1 + 10000/Rg.',
+'21. Input of Non-Inverting Amplifier (Output 22)',
+'22. Output of Non-Inverting Amplifier(Input 21)',
+'23. Sensor Input. Connect Photo transistor collector here and emitter to Ground.',
+'24. Voltage measurement terminal. Input must be in the 0 to 5V range.',
+'25. Voltage measurement terminal. Input must be in the -5V to 5V range.',
+'26. Voltage measurement terminal. Input must be in the -5V to 5V range.',
+'27. Ground',
+'28. Programmable constant current source. 0.05 to 2 milli ampere range. The load resistor '+\
+'should be chosen to make the product of I and R less than 2 volts.',
+'29. Output of 30 through a 1kOhm resistor. Used for doing diode I-V characteristic.',
+'30. Programmable voltage between -5V to +5V.',
+'31. Programmable voltage between 0 to +5V.',
+'32. Sine wave output. Frequency around 90 Hz. Voltage swings between -4V to +4V.'
+]
+
+class eyePanel:
+    NSIG = 1 + 32                        # zeroth element is unused
+    tw = [None] * NSIG                    # List to store the widget variables created on the Panel
+    LE = [ 6,  7, 10]                    # Entry widget on left side
+    LL = [ 1, 2, 8, 15]                    # Lebel widgets on left side
+    RE = [28, 30, 31]                    # Entry widget on left side
+    RL = [22,23,24,25,26,27]            # Lebel widgets on left side
+    doutval = [None] * 2                # IntVar() of CheckButton widgets
+    doutCB  = [None] * 2                # Checkbutton widgets
+    NOSQR2 = True                        # SQR2 is not set
+    NOSF = True                            # No frequency on SENSOR input
+    NOAF = True                            # No frequency on Amplifier input, T15
+    NODF = True                            # No frequency on Digital input 0
+    OUTMASK = 0                            # Digital outputs to LOW
+    trace = None
+    poped = False
+
+    def pop_expt_menu(self,event):
+        self.poped = True
+        menu.post(event.x_root, event.y_root)
+
+    limits = {3:(0,1), 4:(0,1), 6:(0,100000.), 7:(-1,100000.), 10:(0.,100.0), \
+                    28:(0.020, 3.0), 29:(-5.,5.), 30:(-5.,5.), 31:(0.,5.) }
+    def get_fieldvalue(self,i):
+        try:
+            s = self.tw[i].get()
+            val = float(s)
+            if self.limits[i][0] <= val <= self.limits[i][1]:
+                return val
+        except:
+            pass
+
+    def __init__(self, parent, handle, width=WIDTH, height = HEIGHT):
+        self.eye = handle 
+        self.parent = parent
+        self.width = width
+        self.height = height
+        self.border = BORDER                # Top and bottom self.border
+        self.fw = float(width)/12.7            # field width
+        self.fh = float(height - 2 * self.border)/16    # field height
+        #im = Image.open(eyeplot.abs_path()+ picture)
+        #im = im.resize((int(width),int(height)))
+        #self.image = ImageTk.PhotoImage(im)
+        self.panel = Canvas(parent, width = width, height = height)
+        #self.panel.create_image(0,0,image = self.image, anchor = NW)
+
+        self.popup = Button(text = 'EXPERIMENTS', bg=pgreen)
+        self.popup.bind("<ButtonRelease-1>", self.pop_expt_menu)
+        self.panel.create_window(width/2, height-20, window = self.popup, anchor = CENTER)
+        
+        self.panel.bind("<ButtonRelease-1>", self.clicked)
+        self.panel.bind("<ButtonRelease-3>", self.pop_expt_menu)
+        self.panel.pack(side=TOP, anchor=SW)
+
+        for i in self.LE:                # Text Entry Fields on left
+            x,y = self.xyfromi(i)
+            self.tw[i] = Entry(width = 8, bg = 'white', fg='blue')
+            self.tw[i].bind("<Return>", self.process)
+            self.panel.create_window(3.5*self.fw, y, window = self.tw[i], anchor = W)
+
+        for i in self.LL:                # Label widgets on left
+            x,y = self.xyfromi(i)
+            self.tw[i] = Label(width = 8, bg = pgreen, fg='blue', bd=1)
+            self.tw[i].bind("<Return>", self.process)
+            if i == 8: y -= 12
+            self.panel.create_window(3.5*self.fw, y, window = self.tw[i], anchor = W)
+
+        for i in self.RE:                # Text Entry Fields on right
+            x,y = self.xyfromi(i)
+            self.tw[i] = Entry(width = 8, bg = 'white', fg='blue')
+            self.tw[i].bind("<Return>", self.process)
+            self.panel.create_window(width-3*self.fw, y, window = self.tw[i], anchor = E)
+
+        for i in self.RL:                # Text Entry Fields on right
+            x,y = self.xyfromi(i)
+            self.tw[i] = Label(width = 8, bg = pgreen, fg='blue', bd=1)
+            self.tw[i].bind("<Return>", self.process)
+            if i == 27 or i == 22: y -= 12
+            self.panel.create_window(width-3*self.fw, y, window = self.tw[i], anchor = E)
+
+        for i in range(2):
+            x,y = self.xyfromi(i+3)
+            self.doutval[i] = IntVar()
+            self.doutCB[i] = Checkbutton(bg = 'red', variable = self.doutval[i], \
+                    command = lambda i=i : self.checked(i))
+            self.panel.create_window(3.5*self.fw, y, window = self.doutCB[i], anchor = W)
+            self.doutCB[i].config(text='LO',bg='gray')
+
+            x,y = self.xyfromi(28)
+            self.panel.create_line([width-self.fw, y, width-3*self.fw, y+self.fh/2], fill= pgreen)
+            x,y = self.xyfromi(23)
+            self.panel.create_line([width-self.fw, y, width-3*self.fw, y+self.fh/2], fill= pgreen)
+            x,y = self.xyfromi(7)
+            self.panel.create_line([self.fw, y, 3.5*self.fw, y+self.fh/2], fill= pgreen)
+
+
+        x,y = self.xyfromi(1)
+        self.FRB = Button(bg = 'gray', text ='F', padx=0, pady=0,    command = self.freq_id0)
+        self.panel.create_window(3.0*self.fw, y, window = self.FRB, anchor = W)
+        self.DCB = Button(bg = 'gray', text ='%', padx=0, pady=0,    command = self.duty_cycle)
+        self.panel.create_window(5.5*self.fw, y, window = self.DCB, anchor = W)
+        x,y = self.xyfromi(15)
+        self.FRB = Button(bg = 'gray', text ='F', padx=0, pady=0,    command = self.freq_ampin)
+        self.panel.create_window(3.0*self.fw, y, window = self.FRB, anchor = W)
+        x,y = self.xyfromi(22)
+        self.FRB = Button(bg = 'gray', text ='F', padx=0, pady=0,    command = self.freq_adc5)
+        self.panel.create_window(width-6.2*self.fw, y, window = self.FRB, anchor = SW)
+        self.looping = True
+
+
+    def freq_adc5(self):
+        fr = self.eye.sensor_frequency()
+        if fr < 0:
+            self.labset(22, '0 Hz')
+            self.NOSF = True
+        else:
+            self.labset(22, '%5.2f Hz'%(fr))
+            self.NOSF = False
+
+    def freq_ampin(self):
+        fr = self.eye.ampin_frequency()
+        if fr < 0:
+            self.labset(15, '0 Hz')
+            self.NOAF = True
+        else:
+            self.labset(15, '%5.2f Hz'%(fr))
+            self.NOAF = False
+
+    def freq_id0(self):
+        fr = self.eye.digin_frequency(0)
+        if fr < 0:
+            self.labset(1, '0 Hz')
+        else:
+            self.labset(1, '%5.2f Hz'%fr)
+
+    def ifromxy(self,e):                # Calculates the Index from the xy coordinates
+        #print e.x, e.x_root, e.y, e.y_root
+        if self.border < e.y < self.height-self.border and (e.x < 2*self.fw or e.x > self.width-2*self.fw):
+            if e.x < self.fw:
+                return 1, int(float(e.y-self.border)/self.fh)+1
+            elif e.x < 2*self.fw:
+                return 2, int(float(e.y-self.border)/self.fh)+1
+            elif e.x > self.width - self.fw:
+                return 1, 31 - int(float(e.y-self.border)/self.fh)+1
+            elif e.x > self.width - 2*self.fw:
+                return 2, 31 - int(float(e.y-self.border)/self.fh)+1
+        return 0,0    # Implies Invalid Field
+
+
+    def xyfromi(self,i):        # Calculates the xy coordinates for placing widgets
+        if i <= 16:
+            return 1, self.border + (i-1)*self.fh + self.fh/2
+        elif i <= 32:
+            return self.width - self.fw, self.height - (i-16)*self.fh + self.fh/2 - self.border
+
+    def save(self):
+        self.eye.save(self.trace,'explore.dat')
+        showhelp('Traces saved to explore.dat')
+
+    def xmgrace(self):
+        if self.eye.grace(self.trace) == False:
+            showhelp('Could not find Xmgrace or Pygrace. Install them','red')
+
+
+    def do_fft(self):
+        global delay, NP, NC, EYEMATH
+        if EYEMATH == False:
+            showhelp('Could not find scipy package. Install it','red')
+            return
+        if self.trace == None: return
+        transform = []
+        for xy in self.trace:
+            fr,tr = eyemath.fft(xy[1], delay * NC * 0.001)
+            transform.append([fr,tr])
+        self.eye.save(transform, 'exploreFFT.dat')
+        self.eye.grace(transform, 'freq', 'power')
+        showhelp('Fourier transform Saved to exploreFFT.dat.')
+
+    def labset(self,i,s):
+        self.tw[i].config(text=s)
+
+    def twset(self,i,s):
+        self.tw[i].delete(0,END)
+        self.tw[i].insert(0,s)
+
+    def process(self,e):                            # Enter key in any of the Text Entry Fields
+        for i in range(self.NSIG):
+            if self.tw[i] == e.widget:                # Look for the widget where Enter is pressed            
+                fld = i
+                break
+        msg = ''
+        val = self.get_fieldvalue(fld)                    # Get the value entered by the user
+        if val == None:    
+            return
+        elif fld == 6:                    # Set SQR1
+            freq = self.eye.set_sqr1(val)
+            self.twset(fld,'%5.1f'%freq)
+        elif fld == 7:                    # Set SQR2
+            self.eye.set_sqr2(val)
+            freq = self.eye.get_sqr2()
+            if freq > 0:
+                self.labset(8,'%5.1f Hz'%freq)
+                self.NOSQR2 = False
+            else:
+                self.labset(8, '0 Hz')
+                self.NOSQR2 = True
+        elif fld == 10:                    # Set Pulse duty cycle
+            ds = self.eye.set_pulse(val)
+            self.twset(fld,'%5.1f'%ds)
+        elif fld == 28:                    # Set Current
+            self.eye.set_current(val)
+            self.twset(fld,'%5.3f'%val)
+        elif fld == 30:
+            self.eye.set_voltage(0,val)
+            self.twset(i,'%5.3f'%val)
+        elif fld == 31:
+            self.eye.set_voltage(1,val)
+            self.twset(fld, '%5.3f'%val)
+
+    def clicked(self,e):
+        if self.poped == True:        # Remove poped menu by cicking else where
+            menu.unpost()
+            self.poped = False
+        a,i = self.ifromxy(e)
+        if a == 1:
+            showhelp(help[i])
+        #print e.x, e.y, a, i
+
+
+    def duty_cycle(self):
+        hi = self.eye.r2ftime(0,0)
+        if hi > 0:
+            lo = self.eye.f2rtime(0,0)
+            ds = 100*hi/(hi+lo)
+            self.labset(1, '%5.2f %%'%(ds))
+        else:
+            self.labset(1,'0 Hz')
+
+    def checked(self, i):        # Clicked Checkbutton
+        val  = self.doutval[i].get()
+        if val == 0:
+            self.OUTMASK &= ~(1 << i)
+            self.doutCB[i].config(text='LO',bg='gray')
+        elif val == 1:
+            self.OUTMASK |= (1 << i)
+            self.doutCB[i].config(text='HI', bg='green')
+        self.eye.write_outputs(self.OUTMASK & 3)
+
+    def routine_work(self):
+        global NP, delay, chanmask, measure, lissa, EYEMATH
+        s = ''
+        self.trace = []
+                                # In the final stage, move this to a Try block.
+        if lissa == True:
+            t,v,tt,vv = self.eye.capture01(NP,delay)
+            g.delete_lines()
+            g.setWorld(-5,-5,5,5,'mS','V')
+            g.line(v,vv)
+            self.trace.append([v,vv])
+        elif chanmask == 1 or chanmask == 2:                # Waveform display code 
+            t, v = self.eye.capture(chanmask-1,NP,delay)
+            g.delete_lines()
+            g.line(t,v,chanmask-1)
+            self.trace.append([t,v])
+        elif chanmask == 3:
+            t,v,tt,vv = self.eye.capture01(NP,delay)
+            g.delete_lines()
+            g.line(t,v)
+            g.line(tt,vv,1)
+            self.trace.append([t,v])
+            self.trace.append([tt,vv])
+        if measure == 1 and EYEMATH == False:
+            showhelp('python-scipy not installed. Required for data fitting','red')
+        if measure == 1 and lissa == False and EYEMATH == True:        # Curve Fitting
+            if chanmask == 1 or chanmask == 2:            
+                fa = eyemath.fit_sine(t, v)
+                if fa != None:
+                    #g.line(t,fa[0], 8)
+                    rms = self.eye.rms(v)
+                    f0 = fa[1][1] * 1000
+                    s = 'CH%d: %5.2f V, F= %5.2f Hz'%(chanmask>>1, rms, f0)
+                else:
+                    s = 'CH%d: nosig'%(chanmask>>1)
+
+            elif chanmask == 3:    
+                fa = eyemath.fit_sine(t,v)
+                if fa != None:
+                    #g.line(t,fa[0],8)
+                    rms = self.eye.rms(v)
+                    f0 = fa[1][1]*1000
+                    ph0 = fa[1][2]
+                    s += 'CH0: %5.2f V, %5.2f Hz'%(rms, f0)
+                else:
+                    s += 'CH0: no signal '
+                fb = eyemath.fit_sine(tt,vv)
+                if fb != None:
+                    #g.line(tt,fb[0],8)
+                    rms = self.eye.rms(vv)
+                    f1 = fb[1][1]*1000
+                    ph1 = fb[1][2]
+                    s = s + ' | CH1: %5.2f V, %5.2f Hz'%(rms, f1)
+                    if fa != None and abs(f0-f1) < f0*0.1:
+                        s = s + ' | dphi= %5.1f'%( (ph1-ph0)*180.0/math.pi)
+                else:
+                    s += '| CH1:no signal '
+        msgwin.config(text=s)            # CRO part over    
+
+        v = self.eye.get_voltage(6)            # CS voltage
+        self.labset(27, '%5.3f V'%v)                    
+        v = self.eye.get_voltage(0)            # A0
+        self.labset(26, '%5.3f V'%v)
+        v = self.eye.get_voltage(1)            # A1
+        self.labset(25, '%5.3f V'%v)
+        v = self.eye.get_voltage(2)            # A2
+        self.labset(24, '%5.3f V'%v)
+        v = self.eye.get_voltage(4)            # SENSOR
+        self.labset(23, '%5.3f V'%v)
+
+        res = self.eye.read_inputs()        # Set the color based on Input Levels
+        if res & 1:                                # ID0
+            self.tw[1].config(bg = pgreen)                
+        else:
+            self.tw[1].config(bg = 'gray')        
+        if res & 2:                                # ID1
+            self.tw[2].config(bg = pgreen)        
+        else:
+            self.tw[2].config(bg = 'gray')    
+        if res & 4:                                # T15 input
+            self.tw[15].config(bg = pgreen)        
+        else:
+            self.tw[15].config(bg = 'gray')    
+        if res & 8:                                # Sensor Input
+            self.tw[22].config(bg = pgreen)        
+        else:
+            self.tw[22].config(bg = 'gray')    
+
+        if self.NOSQR2 == False:
+            freq = self.eye.get_sqr2()
+            if freq > 0:
+                self.labset(8,'%5.1f Hz'%freq)
+            else:
+                self.labset(8, '0 Hz')
+                self.NOSQR2 = True
+
+        if self.NOSF == False:
+            freq = self.eye.sensor_frequency()
+            if freq > 0:
+                self.labset(22,'%5.1f Hz'%freq)
+            else:
+                self.labset(22, '0 Hz')
+                self.NOSF = True
+
+    def update(self):
+        try:
+            self.routine_work()
+        except:
+            showhelp('Transaction Error.','red')
+        root.after(TIMER, self.update)
+#----------------------------------------Panel class ends ------------------------------------------
+
+VPERDIV = 1.0        # Volts per division, vertical scale
+delay = 10            # Time interval between samples
+NP = 100            # Number of samples
+NC = 1                # Number of channels
+chanmask = 1        # 01, 10 or 11 binary
+measure  = 0
+lissa = 0
+
+def showhelp(s,col='black'):
+    helpwin.delete(1.0, END)
+    helpwin.config(fg=col)
+    helpwin.insert(END, s)
+
+def set_vertical(w):
+    global delay, NP, NC, VPERDIV
+    divs = [1.1, 1.0, 0.5, 0.2, 0.1, 0.05, 0.02]
+    VPERDIV = divs[int(vpd.get())]
+    g.setWorld(0,-5*VPERDIV, NP * delay * 0.001, 5*VPERDIV,'mS','V')
+
+def set_timebase(w):
+    global delay, NP, NC, VPERDIV
+    divs = [0.050, 0.100, 0.200, 0.500, 1.0, 2.0, 5.0, 10.0, 20.0, 50.0]
+    msperdiv = divs[int(timebase.get())]
+    totalusec = int(msperdiv * 1000 * 10)
+    NP = 200                                # Assume 100 samples to start with
+    delay = int(totalusec/100)                # Calculate delay
+    if delay < 10:
+        sf = 10/delay
+        delay = 10
+        NP = NP/sf * NC
+    elif delay > 1000:
+        sf = delay/1000
+        delay = 1000
+        NP = NP * sf / NC
+    g.setWorld(0,-5*VPERDIV, NP * delay * 0.001, 5*VPERDIV,'mS','V')
+    #print NP, NC, delay
+
+def select_chan():
+    global chanmask, measure, NC
+    chanmask = CH0.get() | (CH1.get() << 1)
+    measure = FIT.get()
+    if chanmask == 3: 
+        NC =2
+    else:
+        NC = 1
+
+def lissa_mode():
+    global lissa,delay, NP, NC, VPERDIV
+    lissa = LIZ.get()
+    if lissa == 1:
+        lissa = True
+    else:
+        g.setWorld(0,-5*VPERDIV, NP * delay * 0.001, 5*VPERDIV,'mS','V')    # Restore old scale
+        lissa = False
+
+#------------------------popup menu ---------------------------
+expts = [ 
+['Resistor IV','resistor_iv'],
+['RC Circuit','RCcircuit'],
+['RL Circuit','RLcircuit'],
+['RLC Discharge','RLCdischarge'],
+['EM Induction','induction'],
+['Diode IV','diode_iv'],
+['LED IV','LED_iv'],
+['Transistor CE','transistor'],
+['Frequency Response','freq-response'],
+['Velocity of Sound' , 'velocity-sound'],
+['Interference of Sound' , 'interference-sound'],
+['Photo-Transistor CE','phototransistor'],
+['Rod Pendulum' , 'rodpend'],
+['Gravity TOF', 'gravity_tof'],
+['Pendulum Wavefrorm','pendulum'],
+['40 kHz Piezo TOF','usound_tof'],
+['PT100 Sensor', 'pt100'],
+['Temp Comptroller', 'temp-controller'],
+['Data Logger', 'logger'],
+['CRO','cro'],
+['AM and FM', 'amfm'],
+['Music','janagana'],
+['Calibrate','calibrate']
+ ]
+
+
+def run_expt(expt):
+    global w
+    if os.name == 'nt':        # For windows OS
+        w.eye.fd.close()    # Close hardware port
+        cmd = sys.executable + ' ' + eyeplot.abs_path() + expt+'.py'
+        os.system(cmd)
+        w.eye = eyes.open()    # Open hardware port again
+        showhelp('Finished ' + expt)
+    else:
+        #print abs_path() + expt+'.py'
+        stat,out = subprocess.getstatusoutput('python '+ eyeplot.abs_path() + expt+'.py')
+        if stat != 0:
+            showhelp(out)
+        else:
+            showhelp('Finished "'+expt+'.py"')
+    w.eye.disable_actions()
+
+#-----------------------------main program starts here-----------------------------
+if __name__=="__main__":
+    for k in range(20):        # Test the hardware availability by by running another program.
+        stat,out = subprocess.getstatusoutput('python '+ eyeplot.abs_path() + 'hwtest.py')
+        print(stat)
+        if stat == 0:
+            break
+
+    pe = eyes.open()            # Try several times to make a connection
+    root = Tk()
+
+    left = Frame(root)            # Divide root window into Left and Right
+    left.pack(side=LEFT, anchor = S)
+    right = Frame(root)
+    right.pack(side = LEFT, anchor = S, fill = Y)
+
+    w=eyePanel(left, pe, WIDTH, HEIGHT)        # Panel photograph to the Left Panel
+    g = eyeplot.graph(right, WIDTH*1.05, HEIGHT*2./3,color = 'white', labels=False)  # Plot window 
+    g.setWorld(0,-5*VPERDIV, NP * delay * 0.001, 5*VPERDIV,'mS','V')
+
+    cf = Frame(right)                        # Command Frame, inside the right frame, below plot window
+    cf.pack(side=TOP, anchor = NW)
+    l = Label(cf, text='mS/div')
+    l.pack(side=LEFT, anchor = SW )
+    timebase = Scale(cf,command = set_timebase, orient=HORIZONTAL, length=50, showvalue=False,\
+        from_ = 0, to=9, resolution=1)
+    timebase.pack(side=LEFT, anchor = SW)
+    timebase.set(2)
+
+    '''
+    l = Label(text = 'Volt/div')
+    vpd = Scale(cf,command = set_vertical, orient=HORIZONTAL, length=50, showvalue=False,\
+        from_ = 0, to=2, resolution=1)
+    vpd.pack(side=LEFT, anchor = SW)
+    vpd.set(1)
+    '''
+
+    CH0 = IntVar()
+    cb0 = Checkbutton(cf,text ='A0', command=select_chan, variable=CH0, fg = 'black')
+    cb0.pack(side=LEFT, anchor = SW)
+    CH0.set(1)
+    CH1 = IntVar()
+    cb1 = Checkbutton(cf,text ='A1', command=select_chan, variable=CH1, fg = 'red')
+    cb1.pack(side=LEFT, anchor = SW)
+    CH1.set(0)
+    LIZ = IntVar()
+    liz = Checkbutton(cf,text ='LIZ', command=lissa_mode, variable=LIZ, fg = 'black')
+    liz.pack(side=LEFT, anchor = SW)
+    LIZ.set(0)
+
+    FIT = IntVar()
+    b=Checkbutton(cf,text='FIT', command = select_chan, variable=FIT, fg= 'black')
+    b.pack(side=LEFT, anchor = SW)
+    b = Button(cf,text ='Save', command=w.save)
+    b.pack(side=LEFT, anchor = SW)
+    b = Button(cf,text ='FT', command=w.do_fft)
+    b.pack(side=LEFT, anchor = SW)
+
+    b = Button(cf,text ='XM', command=w.xmgrace)
+    b.pack(side=LEFT, anchor = SW)
+    b = Button(cf,text ='QUIT', command=sys.exit)
+    b.pack(side=LEFT, anchor = SW)
+
+    mf = Frame(right)                # Message Frame below command frame.
+    mf.pack(side=TOP, anchor = SW)
+    msgwin = Label(mf,text = 'Messages', fg = 'blue')
+    msgwin.pack(side=LEFT, anchor = SW)
+
+    f = Frame(right, bg= 'white')
+    f.pack(side = TOP)
+    #font = tkinter.font.Font(family = 'helvetica', size = 12)
+    scrollbar = Scrollbar(f)
+    scrollbar.pack(side=RIGHT, fill=Y)
+    f.pack(side = TOP, fill = BOTH, expand = 1)
+    helpwin = Text(f, width = 45, height = 5, fg = 'black', bg = 'white', spacing2 = 0,\
+            wrap=WORD, yscrollcommand=scrollbar.set)
+    helpwin.pack(side = TOP, fill = BOTH, expand = 1)
+    scrollbar.config(command=helpwin.yview)
+    showhelp(help[0])
+
+    menu = Menu(w.panel, tearoff=0)
+    for k in range(len(expts)):
+        text = expts[k][0]
+        cmd = expts[k][1]
+        #print text, cmd
+        menu.add_command(label=text, background= 'ivory', command = lambda expt=cmd :run_expt(expt))
+
+    # Check Hardware
+    if pe == None:    
+        root.title('EYES Hardware NOT found.')
+        showhelp('EYES Hardware Not Found.\nRe-Connect USB cable and restart the program.', 'red')
+        root.mainloop()
+        sys.exit()
+    else:
+        root.title('EYES Hardware found on ' + str(pe.device))
+        pe.write_outputs(0)
+        pe.disable_actions()
+        pe.loadall_calib()
+        root.after(TIMER,w.update)
+    root.mainloop()
+
diff --git a/qeyes-1.0/eyes.png b/qeyes-1.0/eyes.png
new file mode 100644
index 0000000..9cde893
Binary files /dev/null and b/qeyes-1.0/eyes.png differ
diff --git a/qeyes-1.0/main.ui b/qeyes-1.0/main.ui
new file mode 100644
index 0000000..d36624f
--- /dev/null
+++ b/qeyes-1.0/main.ui
@@ -0,0 +1,803 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<ui version="4.0">
+ <class>Dialog</class>
+ <widget class="QDialog" name="Dialog">
+  <property name="geometry">
+   <rect>
+    <x>0</x>
+    <y>0</y>
+    <width>909</width>
+    <height>568</height>
+   </rect>
+  </property>
+  <property name="windowTitle">
+   <string>Dialog</string>
+  </property>
+  <widget class="QToolButton" name="panelButton">
+   <property name="geometry">
+    <rect>
+     <x>9</x>
+     <y>9</y>
+     <width>450</width>
+     <height>550</height>
+    </rect>
+   </property>
+   <property name="sizePolicy">
+    <sizepolicy hsizetype="Minimum" vsizetype="Minimum">
+     <horstretch>0</horstretch>
+     <verstretch>0</verstretch>
+    </sizepolicy>
+   </property>
+   <property name="text">
+    <string>...</string>
+   </property>
+   <property name="icon">
+    <iconset>
+     <normaloff>expeyes2.png</normaloff>expeyes2.png</iconset>
+   </property>
+   <property name="iconSize">
+    <size>
+     <width>446</width>
+     <height>544</height>
+    </size>
+   </property>
+  </widget>
+  <widget class="QFrame" name="frame_2">
+   <property name="geometry">
+    <rect>
+     <x>465</x>
+     <y>9</y>
+     <width>563</width>
+     <height>565</height>
+    </rect>
+   </property>
+   <property name="frameShape">
+    <enum>QFrame::StyledPanel</enum>
+   </property>
+   <property name="frameShadow">
+    <enum>QFrame::Raised</enum>
+   </property>
+   <widget class="QFrame" name="frame">
+    <property name="geometry">
+     <rect>
+      <x>28</x>
+      <y>361</y>
+      <width>405</width>
+      <height>38</height>
+     </rect>
+    </property>
+    <property name="sizePolicy">
+     <sizepolicy hsizetype="Preferred" vsizetype="Fixed">
+      <horstretch>0</horstretch>
+      <verstretch>0</verstretch>
+     </sizepolicy>
+    </property>
+    <property name="frameShape">
+     <enum>QFrame::StyledPanel</enum>
+    </property>
+    <property name="frameShadow">
+     <enum>QFrame::Raised</enum>
+    </property>
+    <layout class="QHBoxLayout" name="horizontalLayout">
+     <item>
+      <widget class="QCheckBox" name="A0Check">
+       <property name="font">
+        <font>
+         <family>Courier 10 Pitch</family>
+         <pointsize>11</pointsize>
+        </font>
+       </property>
+       <property name="text">
+        <string>A0</string>
+       </property>
+      </widget>
+     </item>
+     <item>
+      <widget class="QCheckBox" name="A1Check">
+       <property name="font">
+        <font>
+         <family>Courier 10 Pitch</family>
+         <pointsize>11</pointsize>
+        </font>
+       </property>
+       <property name="text">
+        <string>A1</string>
+       </property>
+      </widget>
+     </item>
+     <item>
+      <widget class="QCheckBox" name="lisCheck">
+       <property name="font">
+        <font>
+         <family>Courier 10 Pitch</family>
+         <pointsize>11</pointsize>
+        </font>
+       </property>
+       <property name="text">
+        <string>Lis</string>
+       </property>
+      </widget>
+     </item>
+     <item>
+      <widget class="QCheckBox" name="fitCheck">
+       <property name="font">
+        <font>
+         <family>Courier 10 Pitch</family>
+         <pointsize>11</pointsize>
+        </font>
+       </property>
+       <property name="text">
+        <string>Fit</string>
+       </property>
+      </widget>
+     </item>
+     <item>
+      <widget class="QPushButton" name="saveButton">
+       <property name="font">
+        <font>
+         <family>Courier 10 Pitch</family>
+         <pointsize>11</pointsize>
+        </font>
+       </property>
+       <property name="text">
+        <string>Save</string>
+       </property>
+      </widget>
+     </item>
+     <item>
+      <widget class="QPushButton" name="ftButton">
+       <property name="font">
+        <font>
+         <family>Courier 10 Pitch</family>
+         <pointsize>11</pointsize>
+        </font>
+       </property>
+       <property name="text">
+        <string>FT</string>
+       </property>
+      </widget>
+     </item>
+     <item>
+      <widget class="QPushButton" name="xmButton">
+       <property name="font">
+        <font>
+         <family>Courier</family>
+         <pointsize>11</pointsize>
+        </font>
+       </property>
+       <property name="text">
+        <string>XM</string>
+       </property>
+      </widget>
+     </item>
+     <item>
+      <widget class="QPushButton" name="quitButton">
+       <property name="font">
+        <font>
+         <family>Courier</family>
+         <pointsize>11</pointsize>
+        </font>
+       </property>
+       <property name="text">
+        <string>Quit</string>
+       </property>
+      </widget>
+     </item>
+    </layout>
+   </widget>
+   <widget class="QTextBrowser" name="helpBrowser">
+    <property name="geometry">
+     <rect>
+      <x>28</x>
+      <y>406</y>
+      <width>405</width>
+      <height>139</height>
+     </rect>
+    </property>
+    <property name="sizePolicy">
+     <sizepolicy hsizetype="Expanding" vsizetype="Fixed">
+      <horstretch>0</horstretch>
+      <verstretch>0</verstretch>
+     </sizepolicy>
+    </property>
+    <property name="font">
+     <font>
+      <family>Courier 10 Pitch</family>
+      <pointsize>11</pointsize>
+     </font>
+    </property>
+   </widget>
+   <widget class="plotWidget" name="graphWidget" native="true">
+    <property name="geometry">
+     <rect>
+      <x>28</x>
+      <y>1</y>
+      <width>405</width>
+      <height>305</height>
+     </rect>
+    </property>
+    <property name="styleSheet">
+     <string notr="true">background:wheat;</string>
+    </property>
+   </widget>
+   <widget class="QSlider" name="horizontalSlider">
+    <property name="geometry">
+     <rect>
+      <x>28</x>
+      <y>333</y>
+      <width>405</width>
+      <height>23</height>
+     </rect>
+    </property>
+    <property name="maximum">
+     <number>9</number>
+    </property>
+    <property name="pageStep">
+     <number>1</number>
+    </property>
+    <property name="orientation">
+     <enum>Qt::Horizontal</enum>
+    </property>
+    <property name="tickPosition">
+     <enum>QSlider::TicksAbove</enum>
+    </property>
+   </widget>
+   <widget class="axisWidget" name="yAxisWidget" native="true">
+    <property name="geometry">
+     <rect>
+      <x>0</x>
+      <y>1</y>
+      <width>29</width>
+      <height>305</height>
+     </rect>
+    </property>
+   </widget>
+   <widget class="axisWidget" name="xAxisWidget" native="true">
+    <property name="geometry">
+     <rect>
+      <x>28</x>
+      <y>306</y>
+      <width>405</width>
+      <height>26</height>
+     </rect>
+    </property>
+   </widget>
+  </widget>
+  <widget class="QLineEdit" name="ID1_display">
+   <property name="enabled">
+    <bool>false</bool>
+   </property>
+   <property name="geometry">
+    <rect>
+     <x>130</x>
+     <y>44</y>
+     <width>75</width>
+     <height>22</height>
+    </rect>
+   </property>
+   <property name="font">
+    <font>
+     <family>Courier 10 Pitch</family>
+     <pointsize>11</pointsize>
+    </font>
+   </property>
+   <property name="acceptDrops">
+    <bool>false</bool>
+   </property>
+   <property name="autoFillBackground">
+    <bool>false</bool>
+   </property>
+   <property name="styleSheet">
+    <string notr="true">background:#ddffaa;</string>
+   </property>
+  </widget>
+  <widget class="QLineEdit" name="ID0_display">
+   <property name="enabled">
+    <bool>false</bool>
+   </property>
+   <property name="geometry">
+    <rect>
+     <x>130</x>
+     <y>17</y>
+     <width>100</width>
+     <height>22</height>
+    </rect>
+   </property>
+   <property name="font">
+    <font>
+     <family>Courier 10 Pitch</family>
+     <pointsize>11</pointsize>
+    </font>
+   </property>
+   <property name="acceptDrops">
+    <bool>false</bool>
+   </property>
+   <property name="autoFillBackground">
+    <bool>false</bool>
+   </property>
+   <property name="styleSheet">
+    <string notr="true">background:#ddffaa;</string>
+   </property>
+  </widget>
+  <widget class="QLineEdit" name="SQR2_display">
+   <property name="enabled">
+    <bool>false</bool>
+   </property>
+   <property name="geometry">
+    <rect>
+     <x>130</x>
+     <y>243</y>
+     <width>75</width>
+     <height>22</height>
+    </rect>
+   </property>
+   <property name="font">
+    <font>
+     <family>Courier 10 Pitch</family>
+     <pointsize>11</pointsize>
+    </font>
+   </property>
+   <property name="acceptDrops">
+    <bool>false</bool>
+   </property>
+   <property name="autoFillBackground">
+    <bool>false</bool>
+   </property>
+   <property name="styleSheet">
+    <string notr="true">background:#ddffaa;</string>
+   </property>
+  </widget>
+  <widget class="QLineEdit" name="CS_display">
+   <property name="enabled">
+    <bool>false</bool>
+   </property>
+   <property name="geometry">
+    <rect>
+     <x>280</x>
+     <y>177</y>
+     <width>75</width>
+     <height>22</height>
+    </rect>
+   </property>
+   <property name="font">
+    <font>
+     <family>Courier 10 Pitch</family>
+     <pointsize>11</pointsize>
+    </font>
+   </property>
+   <property name="acceptDrops">
+    <bool>false</bool>
+   </property>
+   <property name="autoFillBackground">
+    <bool>false</bool>
+   </property>
+   <property name="styleSheet">
+    <string notr="true">background:#ddffaa;</string>
+   </property>
+  </widget>
+  <widget class="QLineEdit" name="A0_display">
+   <property name="enabled">
+    <bool>false</bool>
+   </property>
+   <property name="geometry">
+    <rect>
+     <x>280</x>
+     <y>211</y>
+     <width>75</width>
+     <height>22</height>
+    </rect>
+   </property>
+   <property name="font">
+    <font>
+     <family>Courier 10 Pitch</family>
+     <pointsize>11</pointsize>
+    </font>
+   </property>
+   <property name="acceptDrops">
+    <bool>false</bool>
+   </property>
+   <property name="autoFillBackground">
+    <bool>false</bool>
+   </property>
+   <property name="styleSheet">
+    <string notr="true">background:#ddffaa;</string>
+   </property>
+  </widget>
+  <widget class="QLineEdit" name="A1_display">
+   <property name="enabled">
+    <bool>false</bool>
+   </property>
+   <property name="geometry">
+    <rect>
+     <x>280</x>
+     <y>243</y>
+     <width>75</width>
+     <height>22</height>
+    </rect>
+   </property>
+   <property name="font">
+    <font>
+     <family>Courier 10 Pitch</family>
+     <pointsize>11</pointsize>
+    </font>
+   </property>
+   <property name="acceptDrops">
+    <bool>false</bool>
+   </property>
+   <property name="autoFillBackground">
+    <bool>false</bool>
+   </property>
+   <property name="styleSheet">
+    <string notr="true">background:#ddffaa;</string>
+   </property>
+  </widget>
+  <widget class="QLineEdit" name="A2_display">
+   <property name="enabled">
+    <bool>false</bool>
+   </property>
+   <property name="geometry">
+    <rect>
+     <x>280</x>
+     <y>279</y>
+     <width>75</width>
+     <height>22</height>
+    </rect>
+   </property>
+   <property name="font">
+    <font>
+     <family>Courier 10 Pitch</family>
+     <pointsize>11</pointsize>
+    </font>
+   </property>
+   <property name="acceptDrops">
+    <bool>false</bool>
+   </property>
+   <property name="autoFillBackground">
+    <bool>false</bool>
+   </property>
+   <property name="styleSheet">
+    <string notr="true">background:#ddffaa;</string>
+   </property>
+  </widget>
+  <widget class="QLineEdit" name="SEN_display">
+   <property name="enabled">
+    <bool>false</bool>
+   </property>
+   <property name="geometry">
+    <rect>
+     <x>280</x>
+     <y>314</y>
+     <width>75</width>
+     <height>22</height>
+    </rect>
+   </property>
+   <property name="font">
+    <font>
+     <family>Courier 10 Pitch</family>
+     <pointsize>11</pointsize>
+    </font>
+   </property>
+   <property name="acceptDrops">
+    <bool>false</bool>
+   </property>
+   <property name="autoFillBackground">
+    <bool>false</bool>
+   </property>
+   <property name="styleSheet">
+    <string notr="true">background:#ddffaa;</string>
+   </property>
+  </widget>
+  <widget class="QLineEdit" name="SEN_display_2">
+   <property name="enabled">
+    <bool>false</bool>
+   </property>
+   <property name="geometry">
+    <rect>
+     <x>255</x>
+     <y>348</y>
+     <width>100</width>
+     <height>22</height>
+    </rect>
+   </property>
+   <property name="font">
+    <font>
+     <family>Courier 10 Pitch</family>
+     <pointsize>11</pointsize>
+    </font>
+   </property>
+   <property name="acceptDrops">
+    <bool>false</bool>
+   </property>
+   <property name="autoFillBackground">
+    <bool>false</bool>
+   </property>
+   <property name="styleSheet">
+    <string notr="true">background:#ddffaa;</string>
+   </property>
+  </widget>
+  <widget class="QPushButton" name="experiencesButton">
+   <property name="geometry">
+    <rect>
+     <x>178</x>
+     <y>520</y>
+     <width>111</width>
+     <height>28</height>
+    </rect>
+   </property>
+   <property name="font">
+    <font>
+     <family>Liberation Mono</family>
+     <pointsize>11</pointsize>
+     <weight>75</weight>
+     <bold>true</bold>
+    </font>
+   </property>
+   <property name="styleSheet">
+    <string notr="true">background:#ddffaa;</string>
+   </property>
+   <property name="text">
+    <string>EXPERIENCES</string>
+   </property>
+  </widget>
+  <widget class="QLineEdit" name="SQR1_edit">
+   <property name="geometry">
+    <rect>
+     <x>130</x>
+     <y>177</y>
+     <width>75</width>
+     <height>21</height>
+    </rect>
+   </property>
+   <property name="font">
+    <font>
+     <family>Courier 10 Pitch</family>
+     <pointsize>10</pointsize>
+    </font>
+   </property>
+  </widget>
+  <widget class="QLineEdit" name="SQR2_edit">
+   <property name="geometry">
+    <rect>
+     <x>130</x>
+     <y>211</y>
+     <width>75</width>
+     <height>21</height>
+    </rect>
+   </property>
+   <property name="font">
+    <font>
+     <family>Courier 10 Pitch</family>
+     <pointsize>10</pointsize>
+    </font>
+   </property>
+  </widget>
+  <widget class="QLineEdit" name="PULSE_edit">
+   <property name="geometry">
+    <rect>
+     <x>130</x>
+     <y>314</y>
+     <width>75</width>
+     <height>21</height>
+    </rect>
+   </property>
+   <property name="font">
+    <font>
+     <family>Courier 10 Pitch</family>
+     <pointsize>10</pointsize>
+    </font>
+   </property>
+  </widget>
+  <widget class="QLineEdit" name="UPV_edit">
+   <property name="geometry">
+    <rect>
+     <x>280</x>
+     <y>44</y>
+     <width>75</width>
+     <height>21</height>
+    </rect>
+   </property>
+   <property name="font">
+    <font>
+     <family>Courier 10 Pitch</family>
+     <pointsize>10</pointsize>
+    </font>
+   </property>
+  </widget>
+  <widget class="QLineEdit" name="BPV_edit">
+   <property name="geometry">
+    <rect>
+     <x>281</x>
+     <y>79</y>
+     <width>75</width>
+     <height>21</height>
+    </rect>
+   </property>
+   <property name="font">
+    <font>
+     <family>Courier 10 Pitch</family>
+     <pointsize>10</pointsize>
+    </font>
+   </property>
+  </widget>
+  <widget class="QLineEdit" name="CS_edit">
+   <property name="geometry">
+    <rect>
+     <x>280</x>
+     <y>146</y>
+     <width>75</width>
+     <height>21</height>
+    </rect>
+   </property>
+   <property name="font">
+    <font>
+     <family>Courier 10 Pitch</family>
+     <pointsize>10</pointsize>
+    </font>
+   </property>
+  </widget>
+  <widget class="QLineEdit" name="FREQ_display">
+   <property name="enabled">
+    <bool>false</bool>
+   </property>
+   <property name="geometry">
+    <rect>
+     <x>130</x>
+     <y>486</y>
+     <width>100</width>
+     <height>22</height>
+    </rect>
+   </property>
+   <property name="font">
+    <font>
+     <family>Courier 10 Pitch</family>
+     <pointsize>11</pointsize>
+    </font>
+   </property>
+   <property name="acceptDrops">
+    <bool>false</bool>
+   </property>
+   <property name="autoFillBackground">
+    <bool>false</bool>
+   </property>
+   <property name="styleSheet">
+    <string notr="true">background:#aaaaaa;</string>
+   </property>
+  </widget>
+  <widget class="QCheckBox" name="OD0_check">
+   <property name="geometry">
+    <rect>
+     <x>130</x>
+     <y>79</y>
+     <width>75</width>
+     <height>20</height>
+    </rect>
+   </property>
+   <property name="font">
+    <font>
+     <family>Courier 10 Pitch</family>
+     <pointsize>11</pointsize>
+    </font>
+   </property>
+   <property name="styleSheet">
+    <string notr="true">background:#aaaaaa;</string>
+   </property>
+   <property name="text">
+    <string>LO</string>
+   </property>
+  </widget>
+  <widget class="QCheckBox" name="OD1_check">
+   <property name="geometry">
+    <rect>
+     <x>130</x>
+     <y>115</y>
+     <width>75</width>
+     <height>20</height>
+    </rect>
+   </property>
+   <property name="font">
+    <font>
+     <family>Courier 10 Pitch</family>
+     <pointsize>11</pointsize>
+    </font>
+   </property>
+   <property name="styleSheet">
+    <string notr="true">background:#aaaaaa;</string>
+   </property>
+   <property name="text">
+    <string>LO</string>
+   </property>
+  </widget>
+  <widget class="QPushButton" name="ID0_button_F">
+   <property name="geometry">
+    <rect>
+     <x>115</x>
+     <y>19</y>
+     <width>15</width>
+     <height>20</height>
+    </rect>
+   </property>
+   <property name="font">
+    <font>
+     <family>Courier 10 Pitch</family>
+     <pointsize>11</pointsize>
+    </font>
+   </property>
+   <property name="text">
+    <string>F</string>
+   </property>
+  </widget>
+  <widget class="QPushButton" name="SEN_button_F">
+   <property name="geometry">
+    <rect>
+     <x>240</x>
+     <y>350</y>
+     <width>15</width>
+     <height>20</height>
+    </rect>
+   </property>
+   <property name="font">
+    <font>
+     <family>Courier 10 Pitch</family>
+     <pointsize>11</pointsize>
+    </font>
+   </property>
+   <property name="text">
+    <string>F</string>
+   </property>
+  </widget>
+  <widget class="QPushButton" name="AMPLI_button_F">
+   <property name="geometry">
+    <rect>
+     <x>115</x>
+     <y>488</y>
+     <width>15</width>
+     <height>20</height>
+    </rect>
+   </property>
+   <property name="font">
+    <font>
+     <family>Courier 10 Pitch</family>
+     <pointsize>11</pointsize>
+    </font>
+   </property>
+   <property name="text">
+    <string>F</string>
+   </property>
+  </widget>
+  <widget class="QPushButton" name="ID0_button_pcent">
+   <property name="geometry">
+    <rect>
+     <x>232</x>
+     <y>19</y>
+     <width>15</width>
+     <height>20</height>
+    </rect>
+   </property>
+   <property name="font">
+    <font>
+     <family>Courier 10 Pitch</family>
+     <pointsize>11</pointsize>
+    </font>
+   </property>
+   <property name="text">
+    <string>%</string>
+   </property>
+  </widget>
+ </widget>
+ <customwidgets>
+  <customwidget>
+   <class>plotWidget</class>
+   <extends>QWidget</extends>
+   <header>plotwidget.h</header>
+   <container>1</container>
+  </customwidget>
+  <customwidget>
+   <class>axisWidget</class>
+   <extends>QWidget</extends>
+   <header>axiswidget.h</header>
+   <container>1</container>
+  </customwidget>
+ </customwidgets>
+ <resources/>
+ <connections/>
+</ui>
diff --git a/qeyes-1.0/plotwidget.py b/qeyes-1.0/plotwidget.py
new file mode 100644
index 0000000..47921af
--- /dev/null
+++ b/qeyes-1.0/plotwidget.py
@@ -0,0 +1,269 @@
+'''
+Plotting libray, using Qt4 for expEYES
+Author  : Georges Khaznadar <georgesk at debian.org>
+Based on Ajith Kumar's work
+License : GNU GPL version 3
+'''
+
+from PyQt4.QtCore import *
+from PyQt4.QtGui import *
+
+AXWIDTH = 40   # width of the axis display canvas
+AYWIDTH = 50   # width of the axis display canvas
+NUMDIV  = 5
+NGRID1  = 10
+NGRID2  = 10
+BGCOL     = Qt.white
+PLOTBGCOL = Qt.white
+LINEWIDTH = 2 
+LINECOL   = [Qt.black, Qt.red, Qt.blue, Qt.green, Qt.cyan, Qt.magenta,
+             Qt.yellow, Qt.darkYellow,Qt.gray, Qt.darkGray]
+LABELCOL  = Qt.blue
+TEXTCOL   = Qt.black
+
+GRIDCOL   = Qt.gray
+NGRID1    = 10
+NGRID2    = 5
+
+class plotWidget(QGraphicsView):
+    """
+    a widget to plot measurements coming from Expeyes box.
+    it features x and y axis, and can manage scaling commands
+    """
+    border = 2
+    pad = 0
+    bordcol = Qt.gray     # Border color
+    gridcol = Qt.gray     # Grid color
+    bgcolor = '#dbdbdb'  # background color for all 
+    plotbg  = 'ivory'    # Plot window background color
+    textPen = QPen(Qt.blue)
+    labelPen = QPen(LABELCOL)
+    dotGridPen = QPen(gridcol)
+    solidGridPen = QPen(gridcol)
+    traces = []
+    gridLines=[]
+    xaxis = []
+    yaxis = []
+    legendtext = []
+    scaletext = []
+    markerval = []
+    markertext = None
+
+    def __init__(self, parent=None, width=400., height=300.,color = 'white', labels = True, bip=True, xAxisWidget=None, yAxisWidget=None):
+        """
+        constructor.
+        @param parent a widget (default=None)
+        @param width width of the graph (default=400.0)
+        @param height height of the graph (default=300.0)
+        @param color background color
+        @param labels True to display labels (default=True)
+        @param bip True if the O V is in the middle (default=True)
+        @param xAxisWidget a widget to draw abscissa labels and ticks
+        @param yAxisWidget a widget to draw ordinate labels and ticks        
+        """
+        QGraphicsView.__init__(self, parent)
+        self.parent = parent
+        self.labels = labels
+        self.SCX = width 
+        self.SCY = height
+        self.plotbg = color
+        self.bipolar = bip
+        self.scene = QGraphicsScene(self)
+        self.scene.setSceneRect(QRectF(0, 0, self.SCX, self.SCY))
+        self.setScene(self.scene)
+        self.labelFont=QFont("Courier New")
+        self.labelFont.setPixelSize(11)
+        self.dotGridPen.setStyle(Qt.DotLine)
+        self.solidGridPen.setStyle(Qt.SolidLine)
+        #TODO: pack self into the parent
+
+        self.setWorld(0 , 0, self.SCX, self.SCY)
+        self.grid()
+
+    def setWorld(self, x1, y1, x2, y2, xUnit='ms', yUnit='V'):
+        '''
+        Calculates the scale factors for world to screen
+        coordinate transformation.
+        @param x1 lowest value of x to plot
+        @param y1 lowest value of y to plot
+        @param x2 highest value of x to plot
+        @param y2 highest value of y to plot
+        @param xUnit the unit to use for abscissa
+        @param yUnit the unit to use for ordinate
+        '''
+        self.xmin = float(x1)
+        self.ymin = float(y1)
+        self.xmax = float(x2)
+        self.ymax = float(y2)
+        if hasattr(self.parent.parent().ui,"xAxisWidget"): # wait initialization
+            self.parent.parent().ui.xAxisWidget.setRange(self.xmin,self.xmax,xUnit)
+        if hasattr(self.parent.parent().ui,"yAxisWidget"): # wait initialization
+            self.parent.parent().ui.yAxisWidget.setRange(self.ymin,self.ymax,yUnit)
+        self.xscale = (self.xmax - self.xmin) / (self.SCX)
+        self.yscale = (self.ymax - self.ymin) / (self.SCY)   
+        #self.mark_labels(xUnit, yUnit)
+        if self.labels == True:
+            return
+        for txt in self.scaletext:
+            self.scene.removeItem(txt)
+        self.scaletext = []
+        s = '%3.2f %s/div'%( (self.xmax-self.xmin)/NGRID1, xUnit)
+        t =  self.scene.addSimpleText(s)
+        t.setPos(QPointF(2, self.SCY*9/20))
+        t.setPen(self.labelPen)
+        self.scaletext.append(t)
+        s = '%3.2f %s/div'%( (self.ymax-self.ymin)/NGRID1, yUnit)
+        t =  self.scene.addSimpleText(s)
+        t.setPos(QPointF(self.SCX/2,10))
+        t.setPen(self.labelPen)
+        self.scaletext.append(t)
+
+    def mark_labels(self, xUnit, yUnit):
+        '''
+        Draws the X and Y axis divisions and labels. Only used internally.
+        @param xUnit the unit to use for xaxis
+        @param yUnit the unit to use for yaxis
+        '''
+        if self.labels == False:
+            return
+
+        self.xaxis = []
+        self.yaxis = []
+        pos=QPoint(self.SCX/2, self.SCY-AXWIDTH+15)
+        self.xaxis.append((self.textPen, pos, self.labelFont, xUnit, "right", "bottom" ))
+        dx = float(self.SCX)/NUMDIV
+        for x in range(0,NUMDIV+1):
+            a = x *(self.xmax - self.xmin)/NUMDIV + self.xmin
+            s = '%4.1f'%(a)
+            adjust = 0
+            if x == 0: adjust = 6
+            if x == NUMDIV: adjust = -10
+            pos=QPoint(x*dx+adjust, self.SCY-AXWIDTH)
+            self.xaxis.append((self.textPen, pos, self.labelFont, s, "center", "bottom"))
+        pos=QPoint(2,(self.SCY-AXWIDTH)/2)
+        self.yaxis.append((self.textPen, pos, self.labelFont, yUnit, "right", "top"))
+        dy = float(self.SCY)/NUMDIV
+        for y in range(0,NUMDIV+1):
+            a = y*(self.ymax - self.ymin)/5    # + self.ymin
+            if self.ymax > 99:
+                s = '%4.0f'%(self.ymax-a)
+            else:
+                s = '%4.1f'%(self.ymax-a)
+            if y == 0:
+                shift=5
+            elif y == NUMDIV:
+                shift = -6
+            else:
+                shift = 0
+            pos=QPoint(AYWIDTH, int(y*dy)+shift)
+            self.yaxis.append((self.textPen, pos, self.labelFont, s, "center", "center"))
+
+    def polyline(self, x, y, pen=0, replaces=None):
+        """
+        draws a polyline in the grid with the coordinates of the world
+        @param x a vector of abscissas
+        @param y a vector of ordinates
+        @param pen a QPen, or an index to colors in LINECOL
+        @param replaces the polyline to replace if any
+        @return a reference to the tuple (pen, polygon) which was issued
+        """
+        if replaces:
+            self.traces.delete(replaces)
+        ip = self.w2s(x,y)
+        pol=QPolygonF(ip)
+        if not isinstance(pen,QPen):
+            pen=QPen(LINECOL[pen%len(LINECOL)])
+        self.traces.append((pen,pol))
+        self.update()
+
+    def delete_lines(self):
+        """
+        removes all the traces
+        """
+        self.traces=[]
+        return
+
+    def paintEvent(self, event=None):
+        """
+        redefinition of the SLOT which deals with paint events (raised
+        by repaint or update)
+        @param event the paint event
+        """
+        QGraphicsView.paintEvent(self, event)
+        painter=QPainter(self.viewport())
+        painter.save()
+        for pen, pos, font, text, xlayout, ylayout in self.xaxis + self.yaxis:
+            painter.setPen(pen)
+            painter.setFont(font)
+            fm=painter.fontMetrics()
+            w=fm.width(text)
+            h=fm.height()
+            x=pos.x()
+            y=pos.y()
+            if xlayout == "left":
+                x-=w
+            elif xlayout == "center":
+                x-=w/2
+            if ylayout == "bottom":
+                y+=h
+            elif ylayout == "center":
+                y+=h/2
+            pos=QPoint(x,y)
+            painter.drawText(pos, text)
+        for pen, pol in self.gridLines + self.traces:
+            painter.setPen(pen)
+            painter.drawPolyline(pol)
+        painter.restore()
+
+    def grid(self):
+        dx = (self.xmax - self.xmin) / NGRID1
+        dy = (self.ymax - self.ymin) / NGRID1
+        x = self.xmin + dx
+        #print(self.ymin)
+        if self.bipolar == True:
+            ip = self.w2s((self.xmax/2,self.xmax/2),(self.ymin,self.ymax))
+            self.gridLines.append((self.solidGridPen,QPolygonF(ip)))
+            ip = self.w2s((self.xmin,self.xmax),(self.ymax/2,self.ymax/2))  
+            self.gridLines.append((self.solidGridPen,QPolygonF(ip)))
+
+        
+        while x < self.xmax:
+            ip = self.w2s((x,x),(self.ymin,self.ymax))  
+            self.gridLines.append((self.dotGridPen,QPolygonF(ip)))
+            x = x +dx
+        y = self.ymin + dy
+        while y < self.ymax:
+            ip = self.w2s( (self.xmin,self.xmax), (y,y) )
+            self.gridLines.append((self.dotGridPen,QPolygonF(ip)))
+            y = y +dy
+
+    def w2s(self, x,y):
+        """
+        World to Screen xy conversion before plotting anything
+        @param x a vector of abscissas
+        @param y a vector of ordinates (same length)
+        @return a list of QPoints converted to screen coordinates
+        """
+        ip = []
+        for i in range(len(x)):
+            ix = self.border + int( (x[i] - self.xmin) / self.xscale)
+            iy = self.border + int( (y[i] - self.ymin) / self.yscale)
+            iy = self.SCY - iy
+            ip.append(QPointF(ix,iy))
+        return ip
+
+                          
+
+if __name__ == '__main__':
+    import numpy as np
+    app = QApplication(sys.argv)
+    view = graph()
+    view.show()
+    nbPoint=100
+    x=np.fromiter((400.0*x/nbPoint for x in range(nbPoint+1)), dtype=np.float)
+    def myfunc(a):
+        return 100*np.sin(a/20)+150
+    vecfunc=np.vectorize(myfunc)
+    y=vecfunc(x)
+    view.polyline(x,y)
+    sys.exit(app.exec_())
diff --git a/qeyes-1.0/qeyes.py b/qeyes-1.0/qeyes.py
new file mode 100755
index 0000000..6590619
--- /dev/null
+++ b/qeyes-1.0/qeyes.py
@@ -0,0 +1,612 @@
+#!/usr/bin/python3
+# -*- coding: utf-8 -*-
+'''
+expEYES Explorer program
+© 2010-2012 Ajith Kumar B.P, bpajith at gmail.com
+© 2012 Georges Khaznadar, georgesk at ofset.org, for qt4 support
+License : GNU GPL version 3
+'''
+
+from PyQt4.QtCore import *
+from PyQt4.QtGui import *
+
+import os, sys, subprocess, math
+import expeyes.eyes as eyes
+
+try:        
+    import expeyes.eyemath as eyemath        # Will fail if scipy is not installed
+    EYEMATH = True
+except:
+    EYEMATH = False
+
+import gettext
+gettext.bindtextdomain("expeyes")
+gettext.textdomain('expeyes')
+_ = gettext.gettext
+
+help = [
+_("""For help, click on the Terminal Boxes(1 to 32).<br/>
+LIZ : Lissajous figure.<br/>
+FT : Fourier Transform power spectrum.<br/>
+XM : Xmgrace 2D plotting program<br/>
+XmGrace is NOT available under MSWindows"""),
+_("""1.Software can read the voltage input level, LOW ( < .8V) or HIGH (>2V).<br/>
+If a square wave input is given, click on the Buttons for measuring frequency / duty cycle"""),
+_("""2. Can sense input level"""),
+_("""3. Digital Output.  Can be set to 0 or 5 volts.<br/>Use the Checkbutton to change the Level"""),
+_("""4. Digital Output.  Can be set to 0 or 5 volts.<br/>Use the Checkbutton to change the Level"""),
+_("""5. Ground (zero volts)"""),
+_("""6. SQR1: Generates Square Wave. Voltage swings between 0 and 5V. Frequency is programmable from Hz to1 MHz. All intermediate values of frequency are not possible."""),
+_("""7. SQR2: Generates Square Wave. The frequency range is controlled by software and fine adjustment is done by an external 22 kOhm variable resistor. Frequency range is from 0.7 Hz to 90 kHz."""),
+_("""8. 22 kOhm resistor used for frequency adjustment of SQR2."""),
+_("""9. 22 kOhm resistor used for frequency adjustment of SQR2."""),
+_("""10. Programmable Pulse. Frequency is 488.3 Hz. Duty cycle from 0 to 100% in 255 steps."""),
+_("""11. Ground"""),
+_("""12. Output of Inverting Amplifier with a gain of 47. (Input at 14)"""),
+_("""13. Output of Inverting Amplifier with a gain of 47. (Input at 15)"""),
+_("""14. Input of Inverting Amplifier with a gain of 47. (Output at 12)"""),
+_("""15. Input of Inverting Amplifier with a gain of 47. (Output at 13). Also acts as a Frequency counter, for a bipolar a signal (amplitude from 100 mV to 5V). If the signal is unipolar feed it via a series capacitor"""),
+_("""16. Ground"""),
+_("""17. Input of Inverting Amplifier. Default Gain=100. The gain can be reduced by a series resistor at the input. The gain will be given by G = 10000/(100+R), where R is the value of the external series resistor."""),
+_("""18. Output of the Inverting Amplifier (Input 17)"""),
+_("""19. Ground"""),
+_("""20. Gain control resistor for Non-Inverting amplifier, from 20 to Ground. Gain = 1 + 10000/Rg."""),
+_("""21. Input of Non-Inverting Amplifier (Output 22)"""),
+_("""22. Output of Non-Inverting Amplifier(Input 21)"""),
+_("""23. Sensor Input. Connect Photo transistor collector here and emitter to Ground."""),
+_("""24. Voltage measurement terminal. Input must be in the 0 to 5V range."""),
+_("""25. Voltage measurement terminal. Input must be in the -5V to 5V range."""),
+_("""26. Voltage measurement terminal. Input must be in the -5V to 5V range."""),
+_("""27. Ground"""),
+_("""28. Programmable constant current source. 0.05 to 2 milli ampere range. The load resistor should be chosen to make the product of I and R less than 2 volts."""),
+_("""29. Output of 30 through a 1kOhm resistor. Used for doing diode I-V characteristic."""),
+_("""30. Programmable voltage between -5V to +5V."""),
+_("""31. Programmable voltage between 0 to +5V."""),
+_("""32. Sine wave output. Frequency around 90 Hz. Voltage swings between -4V to +4V.""")
+]
+
+# stylesheets for backgrounds
+bgreen="background:#ddffaa;"
+bgray="background:#aaaaaa;"
+
+#-----------------------------main program starts here-----------------------------
+class mainWindow(QMainWindow):
+    def __init__(self, parent, opts, locale="fr_FR"):
+        """
+        Le constructeur
+        @param parent un QWidget
+        @param opts une liste d'options extraite à l'aide de getopts
+        @param locale la langue de l'application
+        """
+        QMainWindow.__init__(self)
+        QWidget.__init__(self, parent)
+        self.locale=locale
+        from Ui_main import Ui_Dialog
+        self.ui = Ui_Dialog()
+        self.ui.setupUi(self)
+        self.ui.graphWidget.setWorld(0,-5,1,5)
+        # begins with A0 checked
+        self.ui.A0Check.setCheckState(Qt.Checked)
+        # connects the panel's great button
+        self.connect(self.ui.panelButton, SIGNAL("clicked()"), self.panelHelp)
+        # clears the text browser
+        self.showhelp('')
+        # initialize self.tw: table of widgets which are on the panel
+        self.NSIG = 1 + 32           # number of signals; zeroth element is unused
+        self.tw = [None] * self.NSIG
+        # left entry widgets: 6, 7, 10
+        self.setTwDisplay(1,self.ui.ID0_display)
+        self.setTwDisplay(2,self.ui.ID0_display)
+        self.setTwEdit(6,self.ui.SQR1_edit)
+        self.setTwEdit(7,self.ui.SQR2_edit)
+        self.setTwDisplay(8,self.ui.SQR2_display)
+        self.setTwEdit(10,self.ui.PULSE_edit)
+        self.setTwDisplay(15,self.ui.FREQ_display)
+        self.setTwDisplay(22,self.ui.SEN_display_2)
+        self.setTwDisplay(23,self.ui.SEN_display)
+        self.setTwDisplay(24,self.ui.A2_display)
+        self.setTwDisplay(25,self.ui.A1_display)
+        self.setTwDisplay(26,self.ui.A0_display)
+        self.setTwDisplay(27,self.ui.CS_display)
+        self.setTwEdit(28,self.ui.CS_edit)
+        self.setTwEdit(30,self.ui.BPV_edit)
+        self.setTwEdit(31,self.ui.UPV_edit)
+
+        self.connect(self.ui.OD0_check, SIGNAL("stateChanged(int)"), self.OD0toggle)
+        self.connect(self.ui.OD1_check, SIGNAL("stateChanged(int)"), self.OD1toggle)
+        self.connect(self.ui.ID0_button_F, SIGNAL("clicked()"), self.freq_id0)
+        self.connect(self.ui.AMPLI_button_F, SIGNAL("clicked()"), self.freq_ampin)
+        self.connect(self.ui.SEN_button_F, SIGNAL("clicked()"), self.freq_sen)
+        self.connect(self.ui.ID0_button_pcent, SIGNAL("clicked()"), self.pcent_id0)
+        self.connect(self.ui.horizontalSlider, SIGNAL("valueChanged(int)"), self.set_timebase)
+        self.connect(self.ui.A0Check, SIGNAL("stateChanged(int)"), self.toggleA0)
+        self.connect(self.ui.A1Check, SIGNAL("stateChanged(int)"), self.toggleA1)
+        self.connect(self.ui.fitCheck, SIGNAL("stateChanged(int)"), self.toggleFit)
+        self.connect(self.ui.lisCheck, SIGNAL("stateChanged(int)"), self.toggleLis)
+        self.connect(self.ui.saveButton, SIGNAL("clicked()"), self.save)
+        self.connect(self.ui.xmButton, SIGNAL("clicked()"), self.xmgrace)
+        self.connect(self.ui.ftButton, SIGNAL("clicked()"), self.do_fft)
+        self.connect(self.ui.quitButton, SIGNAL("clicked()"), self.close)
+        # other intializations
+        self.VPERDIV = 1.0      # Volts per division, vertical scale
+        self.delay = 10         # Time interval between samples
+        self.np = 100           # Number of samples
+        self.nc = 1             # Number of channels
+        self.lissa = False      # drawing lissajous-type plots
+        self.chanmask=1         # byte to store the mask for active analogic channels.
+        self.np=100             # number of points to plot (and samples to get)
+        self.delay=10           # delay for measurements (µs between two samples)
+        self.measure = 0        # boolean to toggle data fitting
+        self.NOSQR2 = True      # SQR2 is not set
+        self.NOSF = True        # No frequency on SENSOR input
+        self.NOAF = True        # No frequency on Amplifier input, T15
+        self.NODF = True        # No frequency on Digital input 0
+        self.OUTMASK = 0        # Digital outputs to LOW
+        # connect to the eyes box
+        self.eye=eyes.open()   # Try several times to make a connection
+        # starts the timer for refresh loop
+        if self.eye == None:
+            self.setWindowTitle('EYES Hardware NOT found.')
+            self.showhelp('EYES Hardware Not Found.<br/>Re-Connect USB cable and restart the program.', 'red')
+        else:
+            self.setWindowTitle(('EYES Hardware found on ' + str(self.eye.device)))
+            self.eye.write_outputs(0)
+            self.eye.disable_actions()
+            self.eye.loadall_calib()
+            self.timer=QTimer(self)
+            self.connect(self.timer, SIGNAL("timeout()"), self.update)
+            self.timer.start(500)   # refresh twice per second if possible
+
+    def save(self, filename='measures.dat'):
+        """
+        save current data to a file
+        @param filename the name of the file
+        """
+        self.eye.save(self.trace,filename)
+        self.showhelp('Traces saved to %s' %filename)
+
+    def xmgrace(self):
+        """
+        opens xmgrage with current data in a plot
+        """
+        if self.eye.grace(self.trace) == False:
+            self.showhelp('Could not find Xmgrace or Pygrace. Install them','red')
+
+
+    def do_fft(self, filename='measureFFT.dat'):
+        """
+        opens xmgrage with current data in a FFT plot
+        @param filename the name of the file
+        """
+        if EYEMATH == False:
+            self.showhelp('Could not find scipy package. Install it','red')
+            return
+        if self.trace == None: return
+        transform = []
+        for xy in self.trace:
+            fr,tr = eyemath.fft(xy[1], self.delay * self.nc * 0.001)
+            transform.append([fr,tr])
+        self.eye.save(transform, filename)
+        self.eye.grace(transform, 'freq', 'power')
+        self.showhelp('Fourier transform Saved to %s' %filename)
+
+    
+    def toggleA0(self, state):
+        """
+        callback function for the A0 check box
+        @param state state of the checkbox
+        """
+        if state == Qt.Checked:
+            self.chanmask = self.chanmask | 1
+        else:
+            self.chanmask = self.chanmask & 254
+        self.adjustChannels()
+
+    def toggleA1(self, state):
+        """
+        callback function for the A1 check box
+        @param state state of the checkbox
+        """
+        if state == Qt.Checked:
+            self.chanmask = self.chanmask | 2
+        else:
+            self.chanmask = self.chanmask & 253
+        self.adjustChannels()
+
+    def adjustChannels(self):
+        """
+        adjust channel flags when some has been toggled
+        """
+        if self.chanmask == 3: 
+            self.nc = 2
+        else:
+            self.nc = 1
+        if self.chanmask == 0:
+            self.ui.graphWidget.delete_lines()
+            self.ui.graphWidget.update()
+
+    def toggleFit(self, state):
+        """
+        callback function for the Fit check box
+        @param state state of the checkbox
+        """
+        if state == Qt.Checked:
+            self.measure = 1
+        else:
+            self.measure = 0
+            self.showhelp('') # to erase previous fittings if any
+
+    def toggleLis(self, state):
+        """
+        callback function for the Lis check box
+        @param state state of the checkbox
+        """
+        if state == Qt.Checked:
+            self.lissa = 1
+        else:
+            self.lissa = 0
+
+
+    def freq_id0(self):
+        """
+        force the display of the frequency at ID0
+        """
+        fr = self.eye.digin_frequency(0)
+        if fr < 0:
+            self.labset(1, '0 Hz')
+        else:
+            self.labset(1, '%5.2f Hz'%fr)
+
+    def freq_ampin(self):
+        fr = self.eye.ampin_frequency()
+        if fr < 0:
+            self.labset(15, '0 Hz')
+            self.NOAF = True
+        else:
+            self.labset(15, '%5.2f Hz'%(fr))
+            self.NOAF = False
+
+    def freq_sen(self):
+        fr = self.eye.sensor_frequency()
+        if fr < 0:
+            self.labset(22, '0 Hz')
+            self.NOSF = True
+        else:
+            self.labset(22, '%5.2f Hz'%(fr))
+            self.NOSF = False
+
+    def pcent_id0(self):
+        """
+        force the display of the duty cycle at ID0
+        """
+        hi = self.eye.r2ftime(0,0)
+        if hi > 0:
+            lo = self.eye.f2rtime(0,0)
+            ds = 100*hi/(hi+lo)
+            self.labset(1, '%5.2f %%'%(ds))
+        else:
+            self.labset(1,'0 Hz')
+
+    def set_timebase(self, value):
+        """
+        callback for the horizontal slider
+        @param value: the position of the cursor in range(10)
+        """
+        assert value in range(10)
+        divs = [0.050, 0.100, 0.200, 0.500, 1.0, 2.0, 5.0, 10.0, 20.0, 50.0]
+        msperdiv = divs[value]
+        self.np = 200
+        self.delay = msperdiv * 100
+        if self.delay < 10: # for value==0
+            # self.delay == 5 is too short; increase the delay, get less measurements
+            self.np = 20 * self.delay * self.nc
+            self.delay = 10
+        elif self.delay > 1000: # for value in [8,9]
+            # self.delay in [2000, 5000] is too long; get more measurements, decrease the delay
+            self.np = self.delay / 5 / self.nc
+            self.delay = 1000
+        # don't allow float values
+        self.delay=int(self.delay)
+        self.np=int(self.np) 
+        self.setTimeVoltageWorld()
+
+    def setTimeVoltageWorld(self):
+        """
+        ensures the right viewport for ordinary oscillogramme
+        """
+        self.ui.graphWidget.setWorld(0,
+                                     -5*self.VPERDIV, 
+                                     self.np * self.delay * 0.001, 
+                                     5*self.VPERDIV,
+                                     xUnit='ms', yUnit='V')
+
+    def OD0toggle(self, state):
+        """
+        Callback for the check box OD0
+        @param state the state of the check box
+        """
+        if state==Qt.Checked:
+            self.ui.OD0_check.setStyleSheet(bgreen)
+            self.ui.OD0_check.setText(_("HI"))
+            self.OUTMASK |= (1 << 0)
+        else:
+            self.ui.OD0_check.setStyleSheet(bgray)
+            self.ui.OD0_check.setText(_("LO"))
+            self.OUTMASK &= ~(1 << 0)
+        self.eye.write_outputs(self.OUTMASK & 3)
+
+    def OD1toggle(self, state):
+        """
+        Callback for the check box OD1
+        @param state the state of the check box
+        """
+        if state==Qt.Checked:
+            self.ui.OD1_check.setStyleSheet(bgreen)
+            self.ui.OD1_check.setText(_("HI"))
+            self.OUTMASK |= (1 << 1)
+        else:
+            self.ui.OD1_check.setStyleSheet(bgray)
+            self.ui.OD1_check.setText(_("LO"))
+            self.OUTMASK &= ~(1 << 1)
+        self.eye.write_outputs(self.OUTMASK & 3)
+
+
+    def setTwDisplay(self, i, w):
+        """
+        affects a widget to the table, when it is used to display values
+        @param i the index in the table
+        @param w the widget
+        """
+        self.tw[i]=w
+        w.setReadOnly(True)
+        return
+
+    def panelHelp(self):
+        """
+        callback used when one clicks over the panel
+        """
+        wpos=self.geometry().topLeft()
+        pos=QCursor.pos()
+        x=pos.x()-wpos.x(); y=pos.y()-wpos.y()
+        plug=int(1.0+(y-12)/(550-12)*16)
+        if x in range(11,72):
+            self.showhelp(help[plug])
+        elif x in range(394,455):
+            plug=33-plug
+            self.showhelp(help[plug])
+        else:
+            self.showhelp(help[0])
+        
+
+    def setTwEdit(self, i, w):
+        """
+        affects a widget to the table, when it is used to enter values
+        @param i the index in the table
+        @param w the widget
+        """
+        self.tw[i]=w
+        self.connect(w, SIGNAL("editingFinished ()"), self.make_process(w))
+        return
+
+
+    def make_process(self, w):
+        """
+        function factory to make callbacks for line editors on the Panel
+        @param w a widget which will send a signal
+        """
+        # begin of definition of a process which will use w as a local variable
+        def process():
+            """
+            callback for line editors on the Panel
+            """
+            for i in range(self.NSIG):
+                if self.tw[i] == w:                # Look for the widget where Enter is pressed            
+                    fld = i
+                    break
+            msg = ''
+            try:
+                val = float(w.text())                   # Get the value entered by the user
+            except:
+                return
+            if fld == 6:                    # Set SQR1
+                freq = self.eye.set_sqr1(val)
+                self.twset(fld,'%5.1f'%freq)
+            elif fld == 7:                    # Set SQR2
+                self.eye.set_sqr2(val)
+                freq = self.eye.get_sqr2()
+                if freq > 0:
+                    self.labset(8,'%5.1f Hz'%freq)
+                    self.NOSQR2 = False
+                else:
+                    self.labset(8, '0 Hz')
+                    self.NOSQR2 = True
+            elif fld == 10:                    # Set Pulse duty cycle
+                ds = self.eye.set_pulse(val)
+                self.twset(fld,'%5.1f'%ds)
+            elif fld == 28:                    # Set Current
+                self.eye.set_current(val)
+                self.twset(fld,'%5.3f'%val)
+            elif fld == 30:
+                self.eye.set_voltage(0,val)
+                self.twset(i,'%5.3f'%val)
+            elif fld == 31:
+                self.eye.set_voltage(1,val)
+                self.twset(fld, '%5.3f'%val)
+        # end of definition of the process with w as a local variable
+        return process
+
+    def update(self, debug=True):
+        """
+        the routine for the periodic timer;
+        reports an error when something goes wrong
+        @param debug setting it to True escapes the try/except clause, so errors can be raised
+        """
+        if debug:
+            self.routine_work()
+            return
+        try:
+            self.routine_work()
+        except:
+            self.showhelp('Transaction Error.','red')
+
+    def routine_work(self):
+        """
+        sequence of actions to be done at each timer's tick
+        """
+        self.trace = []  # a pair of vectors which remains local to mainWindow
+        g=self.ui.graphWidget
+        tt, vv = None, None
+
+        if self.lissa == True:
+            t,v,tt,vv = self.eye.capture01(self.np,self.delay)
+            g.delete_lines()
+            g.setWorld(-5,-5,5,5,xUnit='V',yUnit='V')
+            g.polyline(v,vv)
+            self.trace.append([v,vv])
+        elif self.chanmask == 1 or self.chanmask == 2:                # Waveform display code 
+            t, v = self.eye.capture(self.chanmask-1,self.np,self.delay)
+            g.delete_lines()
+            g.polyline(t,v,self.chanmask-1)
+            self.trace.append([t,v])
+        elif self.chanmask == 3:
+            t,v,tt,vv = self.eye.capture01(self.np,self.delay)
+            g.delete_lines()
+            g.polyline(t,v)
+            g.polyline(tt,vv,1)
+            self.trace.append([t,v])
+            self.trace.append([tt,vv])
+
+        self.curveFit(t,v,tt,vv)               # fits the curves
+
+        v = self.eye.get_voltage(6)            # CS voltage
+        self.labset(27, '%5.3f V'%v)                    
+        v = self.eye.get_voltage(0)            # A0
+        self.labset(26, '%5.3f V'%v)
+        v = self.eye.get_voltage(1)            # A1
+        self.labset(25, '%5.3f V'%v)
+        v = self.eye.get_voltage(2)            # A2
+        self.labset(24, '%5.3f V'%v)
+        v = self.eye.get_voltage(4)            # SENSOR
+        self.labset(23, '%5.3f V'%v)
+
+        res = self.eye.read_inputs()        # Set the color based on Input Levels
+        if res & 1:                                # ID0
+            self.ui.ID0_display.setStyleSheet(bgreen)                
+        else:
+            self.ui.ID0_display.setStyleSheet(bgray) 
+        if res & 2:                                # ID1
+            self.ui.ID1_display.setStyleSheet(bgreen) 
+        else:
+            self.ui.ID1_display.setStyleSheet(bgray)
+        if res & 4:                                # T15 input
+            self.ui.FREQ_display.setStyleSheet(bgreen)
+        else:
+            self.ui.FREQ_display.setStyleSheet(bgray)
+        if res & 8:                                # Sensor Input
+            self.ui.SEN_display_2.setStyleSheet(bgreen)
+        else:
+            self.ui.SEN_display_2.setStyleSheet(bgray)
+
+        if self.NOSQR2 == False:
+            freq = self.eye.get_sqr2()
+            if freq > 0:
+                self.labset(8,'%5.1f Hz'%freq)
+            else:
+                self.labset(8, '0 Hz')
+                self.NOSQR2 = True
+
+        if self.NOSF == False:
+            freq = self.eye.sensor_frequency()
+            if freq > 0:
+                self.labset(22,'%5.1f Hz'%freq)
+            else:
+                self.labset(22, '0 Hz')
+                self.NOSF = True
+
+    def curveFit(self, t, v, tt=None, vv=None):
+        """
+        Curve fitting routine
+        @param t : abscissa vector
+        @param v : ordinate vector
+        @param tt: abscissa vector
+        @param vv: ordinate vector
+        """
+        if not self.measure:
+            return
+        if not EYEMATH:
+            self.showhelp('python-scipy not installed. Required for data fitting','red')
+            return
+        s = ''
+        if self.chanmask in (1,2):
+            fa = eyemath.fit_sine(t, v)
+            if fa != None:
+                rms = self.eye.rms(v)
+                f0 = fa[1][1] * 1000
+                s = 'CH%d: %5.2f V, F= %5.2f Hz'%(self.chanmask>>1, rms, f0)
+            else:
+                s = 'CH%d: nosig '%(self.chanmask>>1)
+
+        elif self.chanmask == 3:    
+            fa = eyemath.fit_sine(t,v)
+            if fa != None:
+                rms = self.eye.rms(v)
+                f0 = fa[1][1]*1000
+                ph0 = fa[1][2]
+                s += 'CH0: %5.2f V, F= %5.2f Hz'%(rms, f0)
+            else:
+                s += 'CH0: no signal'
+            fb = eyemath.fit_sine(tt,vv)
+            if fb != None:
+                rms = self.eye.rms(vv)
+                f1 = fb[1][1]*1000
+                ph1 = fb[1][2]
+                s = s + '<br/>CH1: %5.2f V, F= %5.2f Hz'%(rms, f1)
+                if fa != None and abs(f0-f1) < f0*0.1:
+                    s = s + '<br/>dphi= %5.1f'%( (ph1-ph0)*180.0/math.pi)
+            else:
+                s += '<br/>CH1:no signal'
+        self.showhelp(s,'blue')
+        return
+
+    def showhelp(self, s, color='black'):
+        """
+        displays a new text in the text browser
+        @param s a plain or html text
+        @param color a color to span over it
+        """
+        self.ui.helpBrowser.clear()
+        self.ui.helpBrowser.setAcceptRichText(True)
+        t=QTextDocument()
+        t.setHtml("<span style='color:%s;font-family:monospace;font-size:11px;'>%s</span>" %(color, s))
+        self.ui.helpBrowser.setDocument(t)
+
+    def labset(self,i,s):
+        self.tw[i].setText(s)
+
+    def twset(self,i,s):
+        self.tw[i].setText(s)
+
+
+if __name__=="__main__":
+    from dbus.mainloop.qt import DBusQtMainLoop
+    DBusQtMainLoop(set_as_default=True)
+    
+    app = QApplication(sys.argv)
+    locale = "%s" %QLocale.system().name()
+    qtTranslator = QTranslator()
+    if qtTranslator.load("qt_" + locale, "/usr/share/qt4/translations"):
+        # print "OK for qttranslator"
+        app.installTranslator(qtTranslator)
+    appTranslator = QTranslator()
+    for path in ["/usr/share/scolasync","."]:
+        langdir=os.path.join(path,"lang",locale+".qm")
+        b= appTranslator.load(langdir)
+        if b:
+            # print "installation du fichier de traduction", langdir
+            app.installTranslator(appTranslator)
+            break
+    opts=[] # sould gather options from the command line
+    w=mainWindow(None,opts,locale)
+    w.show()
+
+    sys.exit(app.exec_())
diff --git a/setup.py b/setup.py
new file mode 100644
index 0000000..28ce375
--- /dev/null
+++ b/setup.py
@@ -0,0 +1,14 @@
+# -*- coding: utf-8 -*-
+### setup.py ###
+
+from distutils.core import setup
+setup (name='expeyes',
+      version='1.0.0',
+      description=u"a hardware & software framework for developing science experiments",
+      author='Ajith Kumar B.P',
+      author_email='bpajith at gmail.com',
+      url='http://expeyes.in/',
+      license='GPLv3',
+      packages=['expeyes'],
+      package_dir={'expeyes': "expeyes"},
+)
diff --git a/webeyes-1.0/README b/webeyes-1.0/README
new file mode 100644
index 0000000..dcd33d7
--- /dev/null
+++ b/webeyes-1.0/README
@@ -0,0 +1,6 @@
+In this directory should be added two subdirectories :
+jquery and jquery-ui
+
+These are coming from third parties, so they are not included here.
+The current other files have been successfully tested with current
+packages jquery and jquery-ui on December 2013.
diff --git a/webeyes-1.0/ej1.css b/webeyes-1.0/ej1.css
new file mode 100644
index 0000000..a225387
--- /dev/null
+++ b/webeyes-1.0/ej1.css
@@ -0,0 +1,87 @@
+#backgroundImage {
+  position: absolute;
+  z-index: 2;
+  top: 0px;
+  left: 0px;
+}
+#leftButtons{
+  position: absolute;
+  left: 3px;
+  top: 4px;
+  border: 1px navy solid;
+  border-radius: 5px;
+  background-color: rgba(255,255,200,0.5);
+  width: 80px;
+  height: 327px;
+  z-index: 50;
+}
+#leftSliders{
+  position: absolute;
+  left: 3px;
+  top: 331px;
+  border: 1px navy solid;
+  border-radius: 5px;
+  background-color: rgba(255,255,200,0.5);
+  width: 80px;
+  height: 112px;
+  z-index: 50;
+}
+#rightSliders{
+  position: absolute;
+  left: 650px;
+  top: 5px;
+  border: 1px navy solid;
+  border-radius: 5px;
+  background-color: rgba(255,255,200,0.5);
+  width: 20px;
+  height: 400px;
+  z-index: 50;
+}
+.drag-item {
+  position: absolute;
+  padding: 2px;
+  margin: 0px;
+  width: 28px;
+  border-radius: 3px;
+  font-family: "Courier New",Courier,monospace;
+  text-align: center;
+  font-size: 15px;
+}
+#cro-canvas {
+  position: absolute;
+  left: 145px;
+  z-index: 100;
+}
+#
+.left-slider {
+    width: 77px;
+    height: 10px;
+    z-index: 100;
+}
+.right-slider {
+    width: 8px;
+    height: 90px;
+    margin-left: 5px;
+    margin-top: 6px;
+    margin-bottom: 6px;
+    z-index: 100;
+}
+.leftSliders .ui-slider-handle {
+
+    cursor: move;
+    top: 0px;
+    margin-left:-2px;
+    height: 12px;
+    width: 6px;
+    background: peru;
+    z-index: 100;
+}
+.rightSliders .ui-slider-handle {
+
+    cursor: move;
+    margin-left:0px;
+    left:0px;
+    height: 20px;
+    width: 6px;
+    z-index: 100;
+}
diff --git a/webeyes-1.0/ej1.js b/webeyes-1.0/ej1.js
new file mode 100644
index 0000000..835f568
--- /dev/null
+++ b/webeyes-1.0/ej1.js
@@ -0,0 +1,182 @@
+/**
+ * adds two sizes in px
+ * @param a a string terminated by "px"
+ * @param b a string terminated by "px"
+ * @return the sum of both values, as an integer
+ **/
+function pxAdd(a,b){
+    var result=0;
+    var pat= /(\S+)px/;
+    var ar=a.match(pat);
+    if (ar != null){
+	result += parseFloat(ar[1]);
+    }
+    var br=b.match(pat);
+    if (br != null){
+	result += parseFloat(br[1]);
+    }
+    return result;
+}
+
+var leftButtons=[
+    {id: "A1",  c: 0, l: 0,  f: "black", b: "wheat"},
+    {id: "A2",  c: 0, l: 1,  f: "black", b: "wheat"},
+    {id: "IN1", c: 0, l: 2,  f: "black", b: "wheat"},
+    {id: "IN2", c: 0, l: 3,  f: "black", b: "wheat"},
+    {id: "SEN", c: 0, l: 4,  f: "black", b: "wheat"},
+    {id: "SQ1", c: 0, l: 5,  f: "black", b: "wheat"},
+    {id: "SQ2", c: 0, l: 6,  f: "black", b: "wheat"},
+    {id: "OD1", c: 0, l: 7,  f: "blue",  b: "beige"},
+    {id: "CCS", c: 0, l: 8,  f: "blue",  b: "beige"},
+    {id: "NML", c: 0, l: 9,  f: "black", b: "khaki"},
+    {id: "FTR", c: 0, l: 10, f: "black", b: "khaki"},
+    {id: "FIT", c: 0, l: 11, f: "black", b: "khaki"},
+    {id: "DEL", c: 0, l: 12, f: "black", b: "khaki"},
+
+    {id: "ATR", c: 1, l: 0,  f: "black",   b: "wheat"},
+    {id: "WHI", c: 1, l: 1,  f: "black",   b: "wheat"},
+    {id: "WLO", c: 1, l: 2,  f: "black",   b: "wheat"},
+    {id: "WRE", c: 1, l: 3,  f: "black",   b: "wheat"},
+    {id: "WFE", c: 1, l: 4,  f: "black",   b: "wheat"},
+    {id: "SHI", c: 1, l: 5,  f: "blue",    b: "beige"},
+    {id: "SLO", c: 1, l: 6,  f: "blue",    b: "beige"},
+    {id: "HTP", c: 1, l: 7,  f: "blue",    b: "beige"},
+    {id: "LTP", c: 1, l: 8,  f: "blue",    b: "beige"},
+    {id: "CH1", c: 1, l: 9,  f: "black",   b: "khaki"},
+    {id: "CH2", c: 1, l: 10, f: "red",     b: "khaki"},
+    {id: "CH3", c: 1, l: 11, f: "blue",    b: "khaki"},
+    {id: "CH4", c: 1, l: 12, f: "magenta", b: "khaki"},
+];
+
+var leftSliders=[
+    {id:"timeScale", min: 1, max: 10, value: 1, title: "ms/div"},
+    {id:"voltScale", min: 1, max: 10, value: 1, title: "V/div"},
+    {id:"trigScale", min: 1, max: 10, value: 5, title: "trigger"},
+];
+
+var rightSliders=[
+    {id:"CH1", min: 0, max: 100, value: 50, color: "black"},
+    {id:"CH2", min: 0, max: 100, value: 50, color: "red"},
+    {id:"CH3", min: 0, max: 100, value: 50, color: "blue"},
+    {id:"CH4", min: 0, max: 100, value: 50, color: "magenta"},
+];
+
+$(function () {
+    // this function is launched when the page is downloaded
+    for (i=0; i<leftButtons.length; i++){
+	//adds draggable buttons inside "#leftButtons"
+	var b = leftButtons[i]
+	var div = $("<div id=drag-"+b.id+"/>");
+	div.addClass("drag-item");
+	var x = 2 + 40 * b.c;
+	var y = 0 + 25 * b.l + 6*Math.floor(b.l/9)
+	div.css("top",y+"px");
+	div.css("left",x+"px");
+	div.css("color",b.f);
+	div.css("background-color",b.b);
+        div.css('z-index', '100');
+	div.text(b.id);
+	$("#leftButtons").append(div);
+    }
+    // makes some buttons in "#leftButtons" draggable
+    $("#leftButtons div[id^='drag']").draggable({
+        containment: "parent",
+        stack: ".drag",
+	opacity: 0.8,
+	revert: true,
+	scroll: false,
+	start: function() {
+	    // lifts the button when drag starts
+            $(this).effect("highlight", {}, 1000);
+	    $(this).css( "cursor","move" );
+            $(this).css('z-index', '110');
+	},
+	stop: function(event,ui) {
+	    // lowers the button when drag stops
+            $(this).css("cursor","default");
+            $(this).css('z-index', '100');
+        }
+    }).droppable({
+	accept: ".drag-item",
+	tolerance: "intersect",
+	drop: function(event,ui){
+	    alert(event.originalEvent.target.id+" dropped on "+event.target.id);
+	}
+    });
+    // add the left sliders
+    for (i=0; i<leftSliders.length; i++){
+	//adds draggable buttons inside "#leftButtons"
+	var s = leftSliders[i]
+	var div0 = $("<div id=title-slider-"+s.id+"/>");
+	div0.text(s.title)
+	$("#leftSliders").append(div0);
+	var div1 = $("<div id=slider-"+s.id+"/>");
+	div1.addClass("left-slider");
+	div1.min=s.min;
+	div1.max=s.max;
+	div1.value=s.value;
+	div1.slider({
+	    min: s.min,
+	    max: s.max,
+	    value: s.value,
+	    step: 1,
+	    change: function(event,ui){
+		alert(event.target.id+" = "+ui.value);
+	    },
+	});
+	$("#leftSliders").append(div1);
+    }
+    // add a canvas for the CRO's screen
+    var canvas = document.getElementById("cro-canvas");
+    var ctx = canvas.getContext('2d');
+    ctx.strokeStyle = "grey";
+    ctx.lineWidth = 1;
+    ctx.beginPath();
+    ctx.moveTo(canvas.width / 2, 0);
+    ctx.lineTo(canvas.width / 2, canvas.height);
+    ctx.moveTo(0, canvas.height / 2);
+    ctx.lineTo(canvas.width, canvas.height / 2);
+    ctx.stroke();
+
+    ctx.strokeStyle = "black";
+    ctx.strokeRect(0, 0, canvas.width, canvas.height)
+
+    ctx.strokeStyle = "grey";
+    if ( ctx.setLineDash !== undefined )   ctx.setLineDash([1,4]);
+    if ( ctx.mozDash !== undefined )       ctx.mozDash = [1,4];
+    ctx.beginPath();
+    for (var i=1; i< 10; i++){
+	ctx.moveTo(canvas.width / 10 * i, 0);
+	ctx.lineTo(canvas.width / 10 * i, canvas.height);
+    }
+    for (var i=1; i< 10; i++){
+	ctx.moveTo(0, canvas.height / 10 * i);
+	ctx.lineTo(canvas.width, canvas.height / 10 * i);
+    }
+    ctx.stroke();
+
+    // add the right sliders
+    for (i=0; i<rightSliders.length; i++){
+	//adds draggable buttons inside "#leftButtons"
+	var s = rightSliders[i]
+	var div1 = $("<div id=slider-"+s.id+"/>");
+	div1.addClass("right-slider");
+	div1.min=s.min;
+	div1.max=s.max;
+	div1.value=s.value;
+	div1.slider({
+	    orientation: "vertical",
+	    min: s.min,
+	    max: s.max,
+	    value: s.value,
+	    step: 1,
+	    change: function(event,ui){
+		alert(event.target.id+" = "+ui.value);
+	    },
+	});
+	div1.children(".ui-slider-handle").css("background", s.color);
+	$("#rightSliders").append(div1);
+    }
+
+});
+
diff --git a/webeyes-1.0/eyes-junior.png b/webeyes-1.0/eyes-junior.png
new file mode 100644
index 0000000..4eafa10
Binary files /dev/null and b/webeyes-1.0/eyes-junior.png differ
diff --git a/webeyes-1.0/static.html b/webeyes-1.0/static.html
new file mode 100644
index 0000000..d42e248
--- /dev/null
+++ b/webeyes-1.0/static.html
@@ -0,0 +1,58 @@
+<html xmlns="http://www.w3.org/1999/xhtml"><head>
+  <title>Expeyes-Junior</title>
+
+  
+  
+  <meta http-equiv="Content-Type" content="text/html; charset=utf-8"/>
+
+  
+  <meta name="author" content="Georges Khaznadar"/>
+
+  
+  <meta name="copyright" content="2013, Georges Khaznadar"/>
+
+  
+  <link rel="stylesheet" href="jquery-ui/themes/base/jquery-ui.css" />
+  <link rel="stylesheet" type="text/css" href="ej1.css"/>
+
+  <script type="text/javascript" src="jquery/jquery.js"></script>
+
+  <script type="text/javascript" src="jquery-ui/jquery-ui.js"></script>
+
+  <script type="text/javascript" src="ej1.js">
+    $(function () {
+      $("#leftButtons div[id^='drag']").draggable({
+        containment: "parent",
+        stack: ".drag",
+	opacity: 0.8,
+	revert: true,
+	scroll: false,
+      });
+    });
+  </script>
+
+</head>
+<body>
+
+  <div id="backgroundImage" style="display: visible">
+    <img src="eyes-junior.png" alt="screenshot of expeyes-juinor's main application"/>
+  </div>
+  
+  <div id="leftButtons">
+    <!-- place to create a set of buttons with Javascript -->
+  </div>
+
+  <div id="leftSliders" class="leftSliders">
+    <!-- place to create a set of sliders with Javascript -->
+  </div>
+
+  <canvas id="cro-canvas" width="500" height="398">
+    <!-- place to draw a canvas -->
+  </canvas>
+
+  <div id="rightSliders" class="rightSliders">
+    <!-- place to create a set of sliders with Javascript -->
+  </div>
+
+</body>
+</html>
\ No newline at end of file

-- 
Alioth's /usr/local/bin/git-commit-notice on /srv/git.debian.org/git/debian-science/packages/expeyes.git



More information about the debian-science-commits mailing list